repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE.vhd
2
30,854
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE.vhd -- Generated using ACDS version 13.1 162 at 2015.02.11.10:36:05 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE is port ( ctrl_pak1 : out std_logic_vector(23 downto 0); -- ctrl_pak1.wire dil : in std_logic_vector(3 downto 0) := (others => '0'); -- dil.wire col : in std_logic_vector(31 downto 0) := (others => '0'); -- col.wire ctrl_pak2 : out std_logic_vector(23 downto 0); -- ctrl_pak2.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset row : in std_logic_vector(31 downto 0) := (others => '0'); -- row.wire ctrl_pak3 : out std_logic_vector(23 downto 0) -- ctrl_pak3.wire ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_cast_GNTS3MQUMJ is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNTS3MQUMJ; component alt_dspbuilder_port_GNEPKLLZKY is port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(31 downto 0) -- wire ); end component alt_dspbuilder_port_GNEPKLLZKY; component alt_dspbuilder_cast_GNJ7VFHJ4A is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNJ7VFHJ4A; component alt_dspbuilder_cast_GNMYKU6OLE is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNMYKU6OLE; component alt_dspbuilder_cast_GN5VN2FCXZ is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GN5VN2FCXZ; component alt_dspbuilder_bus_concat_GNAUBM7IRL is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNAUBM7IRL; component alt_dspbuilder_bus_concat_GNWZPLIVXS is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNWZPLIVXS; component alt_dspbuilder_bus_concat_GNIIOZRPJD is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNIIOZRPJD; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_constant_GNPLBTTHPL is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_constant_GNPLBTTHPL; component alt_dspbuilder_port_GNCNBVQF75 is port ( input : in std_logic_vector(3 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_port_GNCNBVQF75; component alt_dspbuilder_bus_concat_GN55ETJ4VI is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GN55ETJ4VI; component alt_dspbuilder_cast_GNMMXHT3UH is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(3 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNMMXHT3UH; component alt_dspbuilder_cast_GNNZHXLS76 is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_cast_GNNZHXLS76; signal bus_concatenation12_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation12:output -> Bus_Concatenation13:a signal bus_concatenation10_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation10:output -> Bus_Concatenation14:a signal bus_concatenation11_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation11:output -> Bus_Concatenation14:b signal bus_concatenation15_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation15:output -> Bus_Concatenation16:a signal bus_concatenation14_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation14:output -> Bus_Concatenation16:b signal bus_concatenation5_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation5:output -> Bus_Concatenation13:b signal bus_concatenation2_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation2:output -> Bus_Concatenation6:a signal bus_concatenation3_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation3:output -> Bus_Concatenation6:b signal bus_concatenation7_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation7:output -> Bus_Concatenation12:a signal bus_concatenation6_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation6:output -> Bus_Concatenation8:a signal bus_concatenation4_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation4:output -> Bus_Concatenation8:b signal bus_concatenation9_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation9:output -> Bus_Concatenation12:b signal bus_conversion_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion:output -> Bus_Concatenation3:b signal bus_conversion2_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion2:output -> Bus_Concatenation2:b signal bus_conversion3_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion3:output -> Bus_Concatenation5:b signal bus_conversion4_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion4:output -> Bus_Concatenation4:b signal bus_conversion5_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion5:output -> Bus_Concatenation9:b signal bus_conversion6_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion6:output -> Bus_Concatenation7:b signal bus_conversion7_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion7:output -> Bus_Concatenation11:b signal bus_conversion8_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion8:output -> Bus_Concatenation10:b signal bus_concatenation8_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation8:output -> ctrl_pak1_0:input signal bus_concatenation13_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation13:output -> ctrl_pak2_0:input signal bus_concatenation16_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation16:output -> ctrl_pak3_0:input signal dil_0_output_wire : std_logic_vector(3 downto 0); -- dil_0:output -> cast8:input signal cast8_output_wire : std_logic_vector(3 downto 0); -- cast8:output -> Bus_Concatenation15:b signal col_0_output_wire : std_logic_vector(31 downto 0); -- col_0:output -> [cast10:input, cast11:input, cast12:input, cast9:input] signal cast9_output_wire : std_logic_vector(15 downto 0); -- cast9:output -> Bus_Conversion:input signal cast10_output_wire : std_logic_vector(15 downto 0); -- cast10:output -> Bus_Conversion2:input signal cast11_output_wire : std_logic_vector(15 downto 0); -- cast11:output -> Bus_Conversion3:input signal cast12_output_wire : std_logic_vector(15 downto 0); -- cast12:output -> Bus_Conversion4:input signal row_0_output_wire : std_logic_vector(31 downto 0); -- row_0:output -> [cast13:input, cast14:input, cast15:input, cast16:input] signal cast13_output_wire : std_logic_vector(15 downto 0); -- cast13:output -> Bus_Conversion5:input signal cast14_output_wire : std_logic_vector(15 downto 0); -- cast14:output -> Bus_Conversion6:input signal cast15_output_wire : std_logic_vector(15 downto 0); -- cast15:output -> Bus_Conversion7:input signal cast16_output_wire : std_logic_vector(15 downto 0); -- cast16:output -> Bus_Conversion8:input signal constant10_output_wire : std_logic_vector(3 downto 0); -- Constant10:output -> cast17:input signal cast17_output_wire : std_logic_vector(3 downto 0); -- cast17:output -> Bus_Concatenation2:a signal constant19_output_wire : std_logic_vector(3 downto 0); -- Constant19:output -> cast18:input signal cast18_output_wire : std_logic_vector(3 downto 0); -- cast18:output -> Bus_Concatenation3:a signal constant21_output_wire : std_logic_vector(3 downto 0); -- Constant21:output -> cast19:input signal cast19_output_wire : std_logic_vector(3 downto 0); -- cast19:output -> Bus_Concatenation4:a signal constant22_output_wire : std_logic_vector(3 downto 0); -- Constant22:output -> cast20:input signal cast20_output_wire : std_logic_vector(3 downto 0); -- cast20:output -> Bus_Concatenation5:a signal constant23_output_wire : std_logic_vector(3 downto 0); -- Constant23:output -> cast21:input signal cast21_output_wire : std_logic_vector(3 downto 0); -- cast21:output -> Bus_Concatenation7:a signal constant24_output_wire : std_logic_vector(3 downto 0); -- Constant24:output -> cast22:input signal cast22_output_wire : std_logic_vector(3 downto 0); -- cast22:output -> Bus_Concatenation9:a signal constant25_output_wire : std_logic_vector(3 downto 0); -- Constant25:output -> cast23:input signal cast23_output_wire : std_logic_vector(3 downto 0); -- cast23:output -> Bus_Concatenation10:a signal constant26_output_wire : std_logic_vector(3 downto 0); -- Constant26:output -> cast24:input signal cast24_output_wire : std_logic_vector(3 downto 0); -- cast24:output -> Bus_Concatenation11:a signal constant27_output_wire : std_logic_vector(3 downto 0); -- Constant27:output -> cast25:input signal cast25_output_wire : std_logic_vector(3 downto 0); -- cast25:output -> Bus_Concatenation15:a signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation10:aclr, Bus_Concatenation11:aclr, Bus_Concatenation12:aclr, Bus_Concatenation13:aclr, Bus_Concatenation14:aclr, Bus_Concatenation15:aclr, Bus_Concatenation16:aclr, Bus_Concatenation2:aclr, Bus_Concatenation3:aclr, Bus_Concatenation4:aclr, Bus_Concatenation5:aclr, Bus_Concatenation6:aclr, Bus_Concatenation7:aclr, Bus_Concatenation8:aclr, Bus_Concatenation9:aclr] signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation10:clock, Bus_Concatenation11:clock, Bus_Concatenation12:clock, Bus_Concatenation13:clock, Bus_Concatenation14:clock, Bus_Concatenation15:clock, Bus_Concatenation16:clock, Bus_Concatenation2:clock, Bus_Concatenation3:clock, Bus_Concatenation4:clock, Bus_Concatenation5:clock, Bus_Concatenation6:clock, Bus_Concatenation7:clock, Bus_Concatenation8:clock, Bus_Concatenation9:clock] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); bus_conversion2 : component alt_dspbuilder_cast_GNTS3MQUMJ generic map ( round => 0, saturate => 0 ) port map ( input => cast10_output_wire, -- input.wire output => bus_conversion2_output_wire -- output.wire ); col_0 : component alt_dspbuilder_port_GNEPKLLZKY port map ( input => col, -- input.wire output => col_0_output_wire -- output.wire ); bus_conversion3 : component alt_dspbuilder_cast_GNJ7VFHJ4A generic map ( round => 0, saturate => 0 ) port map ( input => cast11_output_wire, -- input.wire output => bus_conversion3_output_wire -- output.wire ); bus_conversion4 : component alt_dspbuilder_cast_GNMYKU6OLE generic map ( round => 0, saturate => 0 ) port map ( input => cast12_output_wire, -- input.wire output => bus_conversion4_output_wire -- output.wire ); bus_conversion : component alt_dspbuilder_cast_GN5VN2FCXZ generic map ( round => 0, saturate => 0 ) port map ( input => cast9_output_wire, -- input.wire output => bus_conversion_output_wire -- output.wire ); bus_concatenation7 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast21_output_wire, -- a.wire b => bus_conversion6_output_wire, -- b.wire output => bus_concatenation7_output_wire -- output.wire ); bus_concatenation8 : component alt_dspbuilder_bus_concat_GNWZPLIVXS generic map ( widthB => 8, widthA => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation6_output_wire, -- a.wire b => bus_concatenation4_output_wire, -- b.wire output => bus_concatenation8_output_wire -- output.wire ); bus_concatenation5 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast20_output_wire, -- a.wire b => bus_conversion3_output_wire, -- b.wire output => bus_concatenation5_output_wire -- output.wire ); bus_concatenation6 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation2_output_wire, -- a.wire b => bus_concatenation3_output_wire, -- b.wire output => bus_concatenation6_output_wire -- output.wire ); bus_concatenation3 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast18_output_wire, -- a.wire b => bus_conversion_output_wire, -- b.wire output => bus_concatenation3_output_wire -- output.wire ); bus_concatenation4 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast19_output_wire, -- a.wire b => bus_conversion4_output_wire, -- b.wire output => bus_concatenation4_output_wire -- output.wire ); bus_concatenation2 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast17_output_wire, -- a.wire b => bus_conversion2_output_wire, -- b.wire output => bus_concatenation2_output_wire -- output.wire ); ctrl_pak2_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation13_output_wire, -- input.wire output => ctrl_pak2 -- output.wire ); ctrl_pak3_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation16_output_wire, -- input.wire output => ctrl_pak3 -- output.wire ); constant10 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant10_output_wire -- output.wire ); ctrl_pak1_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation8_output_wire, -- input.wire output => ctrl_pak1 -- output.wire ); constant19 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant19_output_wire -- output.wire ); dil_0 : component alt_dspbuilder_port_GNCNBVQF75 port map ( input => dil, -- input.wire output => dil_0_output_wire -- output.wire ); constant27 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant27_output_wire -- output.wire ); constant26 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant26_output_wire -- output.wire ); constant23 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant23_output_wire -- output.wire ); bus_concatenation13 : component alt_dspbuilder_bus_concat_GNWZPLIVXS generic map ( widthB => 8, widthA => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation12_output_wire, -- a.wire b => bus_concatenation5_output_wire, -- b.wire output => bus_concatenation13_output_wire -- output.wire ); constant22 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant22_output_wire -- output.wire ); bus_concatenation12 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation7_output_wire, -- a.wire b => bus_concatenation9_output_wire, -- b.wire output => bus_concatenation12_output_wire -- output.wire ); constant25 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant25_output_wire -- output.wire ); bus_concatenation11 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast24_output_wire, -- a.wire b => bus_conversion7_output_wire, -- b.wire output => bus_concatenation11_output_wire -- output.wire ); constant24 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant24_output_wire -- output.wire ); bus_concatenation10 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast23_output_wire, -- a.wire b => bus_conversion8_output_wire, -- b.wire output => bus_concatenation10_output_wire -- output.wire ); bus_concatenation16 : component alt_dspbuilder_bus_concat_GN55ETJ4VI generic map ( widthB => 16, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation15_output_wire, -- a.wire b => bus_concatenation14_output_wire, -- b.wire output => bus_concatenation16_output_wire -- output.wire ); constant21 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant21_output_wire -- output.wire ); bus_concatenation15 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast25_output_wire, -- a.wire b => cast8_output_wire, -- b.wire output => bus_concatenation15_output_wire -- output.wire ); row_0 : component alt_dspbuilder_port_GNEPKLLZKY port map ( input => row, -- input.wire output => row_0_output_wire -- output.wire ); bus_concatenation14 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation10_output_wire, -- a.wire b => bus_concatenation11_output_wire, -- b.wire output => bus_concatenation14_output_wire -- output.wire ); bus_concatenation9 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast22_output_wire, -- a.wire b => bus_conversion5_output_wire, -- b.wire output => bus_concatenation9_output_wire -- output.wire ); bus_conversion8 : component alt_dspbuilder_cast_GNJ7VFHJ4A generic map ( round => 0, saturate => 0 ) port map ( input => cast16_output_wire, -- input.wire output => bus_conversion8_output_wire -- output.wire ); bus_conversion7 : component alt_dspbuilder_cast_GNTS3MQUMJ generic map ( round => 0, saturate => 0 ) port map ( input => cast15_output_wire, -- input.wire output => bus_conversion7_output_wire -- output.wire ); bus_conversion6 : component alt_dspbuilder_cast_GN5VN2FCXZ generic map ( round => 0, saturate => 0 ) port map ( input => cast14_output_wire, -- input.wire output => bus_conversion6_output_wire -- output.wire ); bus_conversion5 : component alt_dspbuilder_cast_GNMYKU6OLE generic map ( round => 0, saturate => 0 ) port map ( input => cast13_output_wire, -- input.wire output => bus_conversion5_output_wire -- output.wire ); cast8 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => dil_0_output_wire, -- input.wire output => cast8_output_wire -- output.wire ); cast9 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast9_output_wire -- output.wire ); cast10 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast10_output_wire -- output.wire ); cast11 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast11_output_wire -- output.wire ); cast12 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast12_output_wire -- output.wire ); cast13 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast13_output_wire -- output.wire ); cast14 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast14_output_wire -- output.wire ); cast15 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast15_output_wire -- output.wire ); cast16 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast16_output_wire -- output.wire ); cast17 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant10_output_wire, -- input.wire output => cast17_output_wire -- output.wire ); cast18 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant19_output_wire, -- input.wire output => cast18_output_wire -- output.wire ); cast19 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant21_output_wire, -- input.wire output => cast19_output_wire -- output.wire ); cast20 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant22_output_wire, -- input.wire output => cast20_output_wire -- output.wire ); cast21 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant23_output_wire, -- input.wire output => cast21_output_wire -- output.wire ); cast22 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant24_output_wire, -- input.wire output => cast22_output_wire -- output.wire ); cast23 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant25_output_wire, -- input.wire output => cast23_output_wire -- output.wire ); cast24 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant26_output_wire, -- input.wire output => cast24_output_wire -- output.wire ); cast25 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant27_output_wire, -- input.wire output => cast25_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE
mit
8a6f3c825dafb7cc9cdf6a77f8e012ab
0.609289
3.250869
false
false
false
false
freecores/t48
bench/vhdl/t48_rom-lpm-a.vhd
1
3,689
------------------------------------------------------------------------------- -- -- T8x48 ROM -- Wrapper for ROM model from the LPM library. -- -- $Id: t48_rom-lpm-a.vhd,v 1.1 2006-06-21 00:58:27 arniml Exp $ -- -- Copyright (c) 2006 Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- architecture lpm of t48_rom is component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal vdd_s : std_logic; begin vdd_s <= '1'; rom_b : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 10, LPM_NUMWORDS => 2 ** 10, LPM_FILE => "rom_t48.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => rom_addr_i, inclock => clk_i, outclock => clk_i, memenab => vdd_s, q => rom_data_o ); end lpm; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
c304236bd69b07fa417f266592e50400
0.578748
4.412679
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_tpg_0_0/synth/tutorial_v_tpg_0_0.vhd
1
12,937
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_tpg:6.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_tpg_v6_0; USE v_tpg_v6_0.v_tpg; ENTITY tutorial_v_tpg_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; irq : OUT STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END tutorial_v_tpg_0_0; ARCHITECTURE tutorial_v_tpg_0_0_arch OF tutorial_v_tpg_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_tpg IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXIS_VIDEO_TUSER_WIDTH : INTEGER; C_M_AXIS_VIDEO_TUSER_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_ACTIVE_ROWS : INTEGER; C_ACTIVE_COLS : INTEGER; C_PATTERN_CONTROL : INTEGER; C_MOTION_SPEED : INTEGER; C_CROSS_HAIRS : INTEGER; C_ZPLATE_HOR_CONTROL : INTEGER; C_ZPLATE_VER_CONTROL : INTEGER; C_BOX_SIZE : INTEGER; C_BOX_COLOR : INTEGER; C_STUCK_PIXEL_THRESH : INTEGER; C_NOISE_GAIN : INTEGER; C_BAYER_PHASE : INTEGER; C_HAS_INTC_IF : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_VTIMING : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; hsync_in : IN STD_LOGIC; hblank_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; vblank_in : IN STD_LOGIC; active_video_in : IN STD_LOGIC ); END COMPONENT v_tpg; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "v_tpg,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_tpg_0_0_arch : ARCHITECTURE IS "tutorial_v_tpg_0_0,v_tpg,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "tutorial_v_tpg_0_0,v_tpg,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_tpg,x_ipVersion=6.0,x_ipCoreRevision=3,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXIS_VIDEO_DATA_WIDTH=8,C_M_AXIS_VIDEO_DATA_WIDTH=8,C_S_AXIS_VIDEO_TDATA_WIDTH=16,C_M_AXIS_VIDEO_TDATA_WIDTH=8,C_S_AXIS_VIDEO_FORMAT=0,C_M_AXIS_VIDEO_FORMAT=12,C_S_AXIS_VIDEO_TUSER_WIDTH=0,C_M_AXIS_VIDEO_TUSER_WIDTH=1,C_S_AXI_ADDR_WIDTH=9,C_S_AXI_DATA_WIDTH=32,C_S_AXI_CLK_FREQ_HZ=100000000,C_ACTIVE_ROWS=1080,C_ACTIVE_COLS=1920,C_PATTERN_CONTROL=4106,C_MOTION_SPEED=0,C_CROSS_HAIRS=6553700,C_ZPLATE_HOR_CONTROL=30,C_ZPLATE_VER_CONTROL=1,C_BOX_SIZE=50,C_BOX_COLOR=0,C_STUCK_PIXEL_THRESH=0,C_NOISE_GAIN=0,C_BAYER_PHASE=2,C_HAS_INTC_IF=0,C_HAS_AXI4_LITE=1,C_HAS_VTIMING=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF irq: SIGNAL IS "xilinx.com:signal:interrupt:1.0 irq_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 s_axi_aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RREADY"; BEGIN U0 : v_tpg GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_TDATA_WIDTH => 16, C_M_AXIS_VIDEO_TDATA_WIDTH => 8, C_S_AXIS_VIDEO_FORMAT => 0, C_M_AXIS_VIDEO_FORMAT => 12, C_S_AXIS_VIDEO_TUSER_WIDTH => 0, C_M_AXIS_VIDEO_TUSER_WIDTH => 1, C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_CLK_FREQ_HZ => 100000000, C_ACTIVE_ROWS => 1080, C_ACTIVE_COLS => 1920, C_PATTERN_CONTROL => 4106, C_MOTION_SPEED => 0, C_CROSS_HAIRS => 6553700, C_ZPLATE_HOR_CONTROL => 30, C_ZPLATE_VER_CONTROL => 1, C_BOX_SIZE => 50, C_BOX_COLOR => 0, C_STUCK_PIXEL_THRESH => 0, C_NOISE_GAIN => 0, C_BAYER_PHASE => 2, C_HAS_INTC_IF => 0, C_HAS_AXI4_LITE => 1, C_HAS_VTIMING => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, irq => irq, s_axis_video_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)), s_axis_video_tvalid => '0', s_axis_video_tlast => '0', s_axis_video_tuser => '0', m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser => m_axis_video_tuser, s_axi_aclk => s_axi_aclk, s_axi_aclken => s_axi_aclken, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, hsync_in => '0', hblank_in => '0', vsync_in => '1', vblank_in => '0', active_video_in => '0' ); END tutorial_v_tpg_0_0_arch;
gpl-2.0
94f841c33fb1aa5648cb3ea68fa2a51f
0.676896
3.133963
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_testbench_salt_GN7Z4SHGOK.vhd
17
1,749
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; library std; use std.textio.all; entity alt_dspbuilder_testbench_salt_GN7Z4SHGOK is generic ( XFILE : string := "default"); port( clock : in std_logic; aclr : in std_logic; output : out std_logic_vector(31 downto 0)); end entity; architecture rtl of alt_dspbuilder_testbench_salt_GN7Z4SHGOK is function to_std_logic (B: character) return std_logic is begin case B is when '0' => return '0'; when '1' => return '1'; when OTHERS => return 'X'; end case; end; function to_std_logic_vector (B: string) return std_logic_vector is variable res: std_logic_vector (B'range); begin for i in B'range loop case B(i) is when '0' => res(i) := '0'; when '1' => res(i) := '1'; when OTHERS => res(i) := 'X'; end case; end loop; return res; end; procedure skip_type_header(file f:text) is use STD.textio.all; variable in_line : line; begin readline(f, in_line); end procedure skip_type_header ; file InputFile : text open read_mode is XFILE; Begin -- salt generator skip_type_header(InputFile); -- Reading Simulink Input Input_pInput:process(clock, aclr) variable s : string(1 to 32) ; variable ptr : line ; begin if (aclr = '1') then output <= (others=>'0'); elsif (not endfile(InputFile)) then if clock'event and clock='0' then readline(Inputfile, ptr); read(ptr, s); output <= to_std_logic_vector(s); end if ; end if ; end process ; end architecture;
mit
aafe4cfc014ae4ee0cf8daf1cb4be39c
0.630646
3.010327
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_delay.vhd
2
5,379
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_delay is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "00000001"; WIDTH : positive := 8 ); port ( input : in std_logic_vector(width-1 downto 0) := (others=>'0'); clock : in std_logic := '0'; sclr : in std_logic := '0'; aclr : in std_logic := '0'; output : out std_logic_vector(width-1 downto 0); ena : in std_logic := '0' ); end entity alt_dspbuilder_delay; architecture rtl of alt_dspbuilder_delay is component alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 1; BITPATTERN : string := "00000000000000000000000000100000"; WIDTH : positive := 32 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(32-1 downto 0) := (others=>'0'); output : out std_logic_vector(32-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNPJ4Y7BVC; component alt_dspbuilder_delay_GNIYBMGPQQ is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "000000000000000000001111"; WIDTH : positive := 24 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(24-1 downto 0) := (others=>'0'); output : out std_logic_vector(24-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNIYBMGPQQ; component alt_dspbuilder_delay_GNNBTO2F3L is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "000000000000000000000010"; WIDTH : positive := 24 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(24-1 downto 0) := (others=>'0'); output : out std_logic_vector(24-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNNBTO2F3L; component alt_dspbuilder_delay_GNVJUPFOX3 is generic ( CLOCKPHASE : string := "1"; DELAY : positive := 1; USE_INIT : natural := 0; BITPATTERN : string := "000000000000000000000000"; WIDTH : positive := 24 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; input : in std_logic_vector(24-1 downto 0) := (others=>'0'); output : out std_logic_vector(24-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_delay_GNVJUPFOX3; begin alt_dspbuilder_delay_GNPJ4Y7BVC_0: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 1) and (BITPATTERN = "00000000000000000000000000100000") and (WIDTH = 32)) generate inst_alt_dspbuilder_delay_GNPJ4Y7BVC_0: alt_dspbuilder_delay_GNPJ4Y7BVC generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 1, BITPATTERN => "00000000000000000000000000100000", WIDTH => 32) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; alt_dspbuilder_delay_GNIYBMGPQQ_1: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000001111") and (WIDTH = 24)) generate inst_alt_dspbuilder_delay_GNIYBMGPQQ_1: alt_dspbuilder_delay_GNIYBMGPQQ generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 0, BITPATTERN => "000000000000000000001111", WIDTH => 24) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; alt_dspbuilder_delay_GNNBTO2F3L_2: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000000010") and (WIDTH = 24)) generate inst_alt_dspbuilder_delay_GNNBTO2F3L_2: alt_dspbuilder_delay_GNNBTO2F3L generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 0, BITPATTERN => "000000000000000000000010", WIDTH => 24) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; alt_dspbuilder_delay_GNVJUPFOX3_3: if ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000000000") and (WIDTH = 24)) generate inst_alt_dspbuilder_delay_GNVJUPFOX3_3: alt_dspbuilder_delay_GNVJUPFOX3 generic map(CLOCKPHASE => "1", DELAY => 1, USE_INIT => 0, BITPATTERN => "000000000000000000000000", WIDTH => 24) port map(aclr => aclr, clock => clock, ena => ena, input => input, output => output, sclr => sclr); end generate; assert not (((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 1) and (BITPATTERN = "00000000000000000000000000100000") and (WIDTH = 32)) or ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000001111") and (WIDTH = 24)) or ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000000010") and (WIDTH = 24)) or ((CLOCKPHASE = "1") and (DELAY = 1) and (USE_INIT = 0) and (BITPATTERN = "000000000000000000000000") and (WIDTH = 24))) report "Please run generate again" severity error; end architecture rtl;
mit
ecf90aaf124ef781b5ac970bd5a35cce
0.666667
3.261977
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_mult_add/_primary.vhd
5
43,630
library verilog; use verilog.vl_types.all; entity altera_mult_add is generic( extra_latency : integer := 0; dedicated_multiplier_circuitry: string := "AUTO"; dsp_block_balancing: string := "AUTO"; selected_device_family: string := "Stratix V"; lpm_type : string := "altera_mult_add"; lpm_hint : string := "UNUSED"; width_a : integer := 1; input_register_a0: string := "UNREGISTERED"; input_aclr_a0 : string := "NONE"; input_source_a0 : string := "DATAA"; input_register_a1: string := "UNREGISTERED"; input_aclr_a1 : string := "NONE"; input_source_a1 : string := "DATAA"; input_register_a2: string := "UNREGISTERED"; input_aclr_a2 : string := "NONE"; input_source_a2 : string := "DATAA"; input_register_a3: string := "UNREGISTERED"; input_aclr_a3 : string := "NONE"; input_source_a3 : string := "DATAA"; input_a0_latency_clock: string := "UNREGISTERED"; input_a0_latency_aclr: string := "NONE"; input_a1_latency_clock: string := "UNREGISTERED"; input_a1_latency_aclr: string := "NONE"; input_a2_latency_clock: string := "UNREGISTERED"; input_a2_latency_aclr: string := "NONE"; input_a3_latency_clock: string := "UNREGISTERED"; input_a3_latency_aclr: string := "NONE"; width_b : integer := 1; input_register_b0: string := "UNREGISTERED"; input_aclr_b0 : string := "NONE"; input_source_b0 : string := "DATAB"; input_register_b1: string := "UNREGISTERED"; input_aclr_b1 : string := "NONE"; input_source_b1 : string := "DATAB"; input_register_b2: string := "UNREGISTERED"; input_aclr_b2 : string := "NONE"; input_source_b2 : string := "DATAB"; input_register_b3: string := "UNREGISTERED"; input_aclr_b3 : string := "NONE"; input_source_b3 : string := "DATAB"; input_b0_latency_clock: string := "UNREGISTERED"; input_b0_latency_aclr: string := "NONE"; input_b1_latency_clock: string := "UNREGISTERED"; input_b1_latency_aclr: string := "NONE"; input_b2_latency_clock: string := "UNREGISTERED"; input_b2_latency_aclr: string := "NONE"; input_b3_latency_clock: string := "UNREGISTERED"; input_b3_latency_aclr: string := "NONE"; width_c : integer := 1; input_register_c0: string := "UNREGISTERED"; input_aclr_c0 : string := "NONE"; input_register_c1: string := "UNREGISTERED"; input_aclr_c1 : string := "NONE"; input_register_c2: string := "UNREGISTERED"; input_aclr_c2 : string := "NONE"; input_register_c3: string := "UNREGISTERED"; input_aclr_c3 : string := "NONE"; input_c0_latency_clock: string := "UNREGISTERED"; input_c0_latency_aclr: string := "NONE"; input_c1_latency_clock: string := "UNREGISTERED"; input_c1_latency_aclr: string := "NONE"; input_c2_latency_clock: string := "UNREGISTERED"; input_c2_latency_aclr: string := "NONE"; input_c3_latency_clock: string := "UNREGISTERED"; input_c3_latency_aclr: string := "NONE"; width_result : integer := 34; output_register : string := "UNREGISTERED"; output_aclr : string := "NONE"; port_signa : string := "PORT_UNUSED"; representation_a: string := "UNSIGNED"; signed_register_a: string := "UNREGISTERED"; signed_aclr_a : string := "NONE"; signed_latency_clock_a: string := "UNREGISTERED"; signed_latency_aclr_a: string := "NONE"; port_signb : string := "PORT_UNUSED"; representation_b: string := "UNSIGNED"; signed_register_b: string := "UNREGISTERED"; signed_aclr_b : string := "NONE"; signed_latency_clock_b: string := "UNREGISTERED"; signed_latency_aclr_b: string := "NONE"; number_of_multipliers: integer := 1; multiplier1_direction: string := "NONE"; multiplier3_direction: string := "NONE"; multiplier_register0: string := "UNREGISTERED"; multiplier_aclr0: string := "NONE"; multiplier_register1: string := "UNREGISTERED"; multiplier_aclr1: string := "NONE"; multiplier_register2: string := "UNREGISTERED"; multiplier_aclr2: string := "NONE"; multiplier_register3: string := "UNREGISTERED"; multiplier_aclr3: string := "NONE"; port_addnsub1 : string := "PORT_UNUSED"; addnsub_multiplier_register1: string := "UNREGISTERED"; addnsub_multiplier_aclr1: string := "NONE"; addnsub_multiplier_latency_clock1: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr1: string := "NONE"; port_addnsub3 : string := "PORT_UNUSED"; addnsub_multiplier_register3: string := "UNREGISTERED"; addnsub_multiplier_aclr3: string := "NONE"; addnsub_multiplier_latency_clock3: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr3: string := "NONE"; adder1_rounding : string := "NO"; addnsub1_round_register: string := "UNREGISTERED"; addnsub1_round_aclr: string := "NONE"; adder3_rounding : string := "NO"; addnsub3_round_register: string := "UNREGISTERED"; addnsub3_round_aclr: string := "NONE"; multiplier01_rounding: string := "NO"; mult01_round_register: string := "UNREGISTERED"; mult01_round_aclr: string := "NONE"; multiplier23_rounding: string := "NO"; mult23_round_register: string := "UNREGISTERED"; mult23_round_aclr: string := "NONE"; width_msb : integer := 17; output_rounding : string := "NO"; output_round_type: string := "NEAREST_INTEGER"; output_round_register: string := "UNREGISTERED"; output_round_aclr: string := "NONE"; chainout_rounding: string := "NO"; chainout_round_register: string := "UNREGISTERED"; chainout_round_aclr: string := "NONE"; chainout_round_output_register: string := "UNREGISTERED"; chainout_round_output_aclr: string := "NONE"; multiplier01_saturation: string := "NO"; mult01_saturation_register: string := "UNREGISTERED"; mult01_saturation_aclr: string := "NONE"; multiplier23_saturation: string := "NO"; mult23_saturation_register: string := "UNREGISTERED"; mult23_saturation_aclr: string := "NONE"; port_mult0_is_saturated: string := "NONE"; port_mult1_is_saturated: string := "NONE"; port_mult2_is_saturated: string := "NONE"; port_mult3_is_saturated: string := "NONE"; width_saturate_sign: integer := 1; output_saturation: string := "NO"; port_output_is_overflow: string := "PORT_UNUSED"; output_saturate_type: string := "ASYMMETRIC"; output_saturate_register: string := "UNREGISTERED"; output_saturate_aclr: string := "NONE"; chainout_saturation: string := "NO"; port_chainout_sat_is_overflow: string := "PORT_UNUSED"; chainout_saturate_register: string := "UNREGISTERED"; chainout_saturate_aclr: string := "NONE"; chainout_saturate_output_register: string := "UNREGISTERED"; chainout_saturate_output_aclr: string := "NONE"; scanouta_register: string := "UNREGISTERED"; scanouta_aclr : string := "NONE"; width_chainin : integer := 1; chainout_adder : string := "NO"; chainout_register: string := "UNREGISTERED"; chainout_aclr : string := "NONE"; zero_chainout_output_register: string := "UNREGISTERED"; zero_chainout_output_aclr: string := "NONE"; shift_mode : string := "NO"; rotate_register : string := "UNREGISTERED"; rotate_aclr : string := "NONE"; rotate_output_register: string := "UNREGISTERED"; rotate_output_aclr: string := "NONE"; shift_right_register: string := "UNREGISTERED"; shift_right_aclr: string := "NONE"; shift_right_output_register: string := "UNREGISTERED"; shift_right_output_aclr: string := "NONE"; zero_loopback_register: string := "UNREGISTERED"; zero_loopback_aclr: string := "NONE"; zero_loopback_output_register: string := "UNREGISTERED"; zero_loopback_output_aclr: string := "NONE"; accumulator : string := "NO"; accum_direction : string := "ADD"; loadconst_value : integer := 0; use_sload_accum_port: string := "NO"; accum_sload_register: string := "UNREGISTERED"; accum_sload_aclr: string := "NONE"; accum_sload_latency_clock: string := "UNREGISTERED"; accum_sload_latency_aclr: string := "NONE"; loadconst_control_register: string := "UNREGISTERED"; loadconst_control_aclr: string := "NONE"; double_accum : string := "NO"; systolic_delay1 : string := "UNREGISTERED"; systolic_delay3 : string := "UNREGISTERED"; systolic_aclr1 : string := "NONE"; systolic_aclr3 : string := "NONE"; preadder_mode : string := "SIMPLE"; preadder_direction_0: string := "ADD"; preadder_direction_1: string := "ADD"; preadder_direction_2: string := "ADD"; preadder_direction_3: string := "ADD"; width_coef : integer := 1; coefsel0_register: string := "UNREGISTERED"; coefsel0_aclr : string := "NONE"; coefsel1_register: string := "UNREGISTERED"; coefsel1_aclr : string := "NONE"; coefsel2_register: string := "UNREGISTERED"; coefsel2_aclr : string := "NONE"; coefsel3_register: string := "UNREGISTERED"; coefsel3_aclr : string := "NONE"; coef0_0 : integer := 0; coef0_1 : integer := 0; coef0_2 : integer := 0; coef0_3 : integer := 0; coef0_4 : integer := 0; coef0_5 : integer := 0; coef0_6 : integer := 0; coef0_7 : integer := 0; coef1_0 : integer := 0; coef1_1 : integer := 0; coef1_2 : integer := 0; coef1_3 : integer := 0; coef1_4 : integer := 0; coef1_5 : integer := 0; coef1_6 : integer := 0; coef1_7 : integer := 0; coef2_0 : integer := 0; coef2_1 : integer := 0; coef2_2 : integer := 0; coef2_3 : integer := 0; coef2_4 : integer := 0; coef2_5 : integer := 0; coef2_6 : integer := 0; coef2_7 : integer := 0; coef3_0 : integer := 0; coef3_1 : integer := 0; coef3_2 : integer := 0; coef3_3 : integer := 0; coef3_4 : integer := 0; coef3_5 : integer := 0; coef3_6 : integer := 0; coef3_7 : integer := 0; coefsel0_latency_clock: string := "UNREGISTERED"; coefsel0_latency_aclr: string := "NONE"; coefsel1_latency_clock: string := "UNREGISTERED"; coefsel1_latency_aclr: string := "NONE"; coefsel2_latency_clock: string := "UNREGISTERED"; coefsel2_latency_aclr: string := "NONE"; coefsel3_latency_clock: string := "UNREGISTERED"; coefsel3_latency_aclr: string := "NONE"; latency : integer := 0; signed_pipeline_register_a: string := "UNREGISTERED"; signed_pipeline_aclr_a: string := "NONE"; signed_pipeline_register_b: string := "UNREGISTERED"; signed_pipeline_aclr_b: string := "NONE"; addnsub_multiplier_pipeline_register1: string := "UNREGISTERED"; addnsub_multiplier_pipeline_aclr1: string := "NONE"; addnsub_multiplier_pipeline_register3: string := "UNREGISTERED"; addnsub_multiplier_pipeline_aclr3: string := "NONE"; addnsub1_round_pipeline_register: string := "UNREGISTERED"; addnsub1_round_pipeline_aclr: string := "NONE"; addnsub3_round_pipeline_register: string := "UNREGISTERED"; addnsub3_round_pipeline_aclr: string := "NONE"; output_round_pipeline_register: string := "UNREGISTERED"; output_round_pipeline_aclr: string := "NONE"; chainout_round_pipeline_register: string := "UNREGISTERED"; chainout_round_pipeline_aclr: string := "NONE"; output_saturate_pipeline_register: string := "UNREGISTERED"; output_saturate_pipeline_aclr: string := "NONE"; chainout_saturate_pipeline_register: string := "UNREGISTERED"; chainout_saturate_pipeline_aclr: string := "NONE"; rotate_pipeline_register: string := "UNREGISTERED"; rotate_pipeline_aclr: string := "NONE"; shift_right_pipeline_register: string := "UNREGISTERED"; shift_right_pipeline_aclr: string := "NONE"; zero_loopback_pipeline_register: string := "UNREGISTERED"; zero_loopback_pipeline_aclr: string := "NONE"; accum_sload_pipeline_register: string := "UNREGISTERED"; accum_sload_pipeline_aclr: string := "NONE"; width_clock_all_wire_msb: integer := 3; width_aclr_all_wire_msb: integer := 3; width_ena_all_wire_msb: integer := 3; width_a_total_msb: vl_notype; width_a_msb : vl_notype; width_b_total_msb: vl_notype; width_b_msb : vl_notype; width_c_total_msb: vl_notype; width_c_msb : vl_notype; width_scanina : vl_notype; width_scanina_msb: vl_notype; width_scaninb : vl_notype; width_scaninb_msb: vl_notype; width_sourcea_msb: vl_notype; width_sourceb_msb: vl_notype; width_scanouta_msb: vl_notype; width_scanoutb_msb: vl_notype; width_chainin_msb: vl_notype; width_result_msb: vl_notype; width_coef_msb : vl_notype; dataa_split_ext_require: vl_notype; dataa_port_sign : vl_notype; width_a_ext : vl_notype; width_a_ext_msb : vl_notype; datab_split_ext_require: vl_notype; datab_port_sign : vl_notype; width_b_ext : vl_notype; width_b_ext_msb : vl_notype; coef_ext_require: vl_notype; coef_port_sign : vl_notype; width_coef_ext : vl_notype; width_coef_ext_msb: vl_notype; datac_split_ext_require: vl_notype; datac_port_sign : vl_notype; width_c_ext : vl_notype; width_c_ext_msb : vl_notype; width_scanchain : vl_notype; width_scanchain_msb: vl_notype; scanchain_port_sign: vl_notype; preadder_representation: vl_notype; width_preadder_input_a: vl_notype; width_preadder_input_a_msb: vl_notype; width_preadder_adder_result: vl_notype; width_preadder_output_a: vl_notype; width_preadder_output_a_msb: vl_notype; width_preadder_output_b: vl_notype; width_preadder_output_b_msb: vl_notype; multiplier_input_representation_a: vl_notype; multiplier_input_representation_b: vl_notype; width_mult_source_a: vl_notype; width_mult_source_a_msb: vl_notype; width_mult_source_b: vl_notype; width_mult_source_b_msb: vl_notype; width_mult_result: vl_notype; width_mult_result_msb: vl_notype; width_adder_source: vl_notype; width_adder_source_msb: vl_notype; width_adder_result: vl_notype; width_adder_result_msb: vl_notype; width_chainin_ext: vl_notype; width_original_result: vl_notype; width_original_result_msb: vl_notype; result_ext_width: vl_notype; width_result_output: vl_notype; width_result_output_msb: vl_notype ); port( dataa : in vl_logic_vector; datab : in vl_logic_vector; datac : in vl_logic_vector; scanina : in vl_logic_vector; scaninb : in vl_logic_vector; sourcea : in vl_logic_vector; sourceb : in vl_logic_vector; clock3 : in vl_logic; clock2 : in vl_logic; clock1 : in vl_logic; clock0 : in vl_logic; aclr3 : in vl_logic; aclr2 : in vl_logic; aclr1 : in vl_logic; aclr0 : in vl_logic; ena3 : in vl_logic; ena2 : in vl_logic; ena1 : in vl_logic; ena0 : in vl_logic; signa : in vl_logic; signb : in vl_logic; addnsub1 : in vl_logic; addnsub3 : in vl_logic; result : out vl_logic_vector; scanouta : out vl_logic_vector; scanoutb : out vl_logic_vector; mult01_round : in vl_logic; mult23_round : in vl_logic; mult01_saturation: in vl_logic; mult23_saturation: in vl_logic; addnsub1_round : in vl_logic; addnsub3_round : in vl_logic; mult0_is_saturated: out vl_logic; mult1_is_saturated: out vl_logic; mult2_is_saturated: out vl_logic; mult3_is_saturated: out vl_logic; output_round : in vl_logic; chainout_round : in vl_logic; output_saturate : in vl_logic; chainout_saturate: in vl_logic; overflow : out vl_logic; chainout_sat_overflow: out vl_logic; chainin : in vl_logic_vector; zero_chainout : in vl_logic; rotate : in vl_logic; shift_right : in vl_logic; zero_loopback : in vl_logic; accum_sload : in vl_logic; sload_accum : in vl_logic; coefsel0 : in vl_logic_vector(2 downto 0); coefsel1 : in vl_logic_vector(2 downto 0); coefsel2 : in vl_logic_vector(2 downto 0); coefsel3 : in vl_logic_vector(2 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of extra_latency : constant is 1; attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1; attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1; attribute mti_svvh_generic_type of selected_device_family : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of width_a : constant is 1; attribute mti_svvh_generic_type of input_register_a0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1; attribute mti_svvh_generic_type of input_source_a0 : constant is 1; attribute mti_svvh_generic_type of input_register_a1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1; attribute mti_svvh_generic_type of input_source_a1 : constant is 1; attribute mti_svvh_generic_type of input_register_a2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1; attribute mti_svvh_generic_type of input_source_a2 : constant is 1; attribute mti_svvh_generic_type of input_register_a3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1; attribute mti_svvh_generic_type of input_source_a3 : constant is 1; attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_b : constant is 1; attribute mti_svvh_generic_type of input_register_b0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1; attribute mti_svvh_generic_type of input_source_b0 : constant is 1; attribute mti_svvh_generic_type of input_register_b1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1; attribute mti_svvh_generic_type of input_source_b1 : constant is 1; attribute mti_svvh_generic_type of input_register_b2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1; attribute mti_svvh_generic_type of input_source_b2 : constant is 1; attribute mti_svvh_generic_type of input_register_b3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1; attribute mti_svvh_generic_type of input_source_b3 : constant is 1; attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_c : constant is 1; attribute mti_svvh_generic_type of input_register_c0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1; attribute mti_svvh_generic_type of input_register_c1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1; attribute mti_svvh_generic_type of input_register_c2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1; attribute mti_svvh_generic_type of input_register_c3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1; attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_result : constant is 1; attribute mti_svvh_generic_type of output_register : constant is 1; attribute mti_svvh_generic_type of output_aclr : constant is 1; attribute mti_svvh_generic_type of port_signa : constant is 1; attribute mti_svvh_generic_type of representation_a : constant is 1; attribute mti_svvh_generic_type of signed_register_a : constant is 1; attribute mti_svvh_generic_type of signed_aclr_a : constant is 1; attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1; attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1; attribute mti_svvh_generic_type of port_signb : constant is 1; attribute mti_svvh_generic_type of representation_b : constant is 1; attribute mti_svvh_generic_type of signed_register_b : constant is 1; attribute mti_svvh_generic_type of signed_aclr_b : constant is 1; attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1; attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of multiplier1_direction : constant is 1; attribute mti_svvh_generic_type of multiplier3_direction : constant is 1; attribute mti_svvh_generic_type of multiplier_register0 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1; attribute mti_svvh_generic_type of multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of multiplier_register2 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1; attribute mti_svvh_generic_type of multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of port_addnsub1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1; attribute mti_svvh_generic_type of port_addnsub3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1; attribute mti_svvh_generic_type of adder1_rounding : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1; attribute mti_svvh_generic_type of adder3_rounding : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1; attribute mti_svvh_generic_type of mult01_round_register : constant is 1; attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1; attribute mti_svvh_generic_type of mult23_round_register : constant is 1; attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1; attribute mti_svvh_generic_type of width_msb : constant is 1; attribute mti_svvh_generic_type of output_rounding : constant is 1; attribute mti_svvh_generic_type of output_round_type : constant is 1; attribute mti_svvh_generic_type of output_round_register : constant is 1; attribute mti_svvh_generic_type of output_round_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_rounding : constant is 1; attribute mti_svvh_generic_type of chainout_round_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1; attribute mti_svvh_generic_type of width_saturate_sign : constant is 1; attribute mti_svvh_generic_type of output_saturation : constant is 1; attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1; attribute mti_svvh_generic_type of output_saturate_type : constant is 1; attribute mti_svvh_generic_type of output_saturate_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturation : constant is 1; attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1; attribute mti_svvh_generic_type of scanouta_register : constant is 1; attribute mti_svvh_generic_type of scanouta_aclr : constant is 1; attribute mti_svvh_generic_type of width_chainin : constant is 1; attribute mti_svvh_generic_type of chainout_adder : constant is 1; attribute mti_svvh_generic_type of chainout_register : constant is 1; attribute mti_svvh_generic_type of chainout_aclr : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_mode : constant is 1; attribute mti_svvh_generic_type of rotate_register : constant is 1; attribute mti_svvh_generic_type of rotate_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_output_register : constant is 1; attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_register : constant is 1; attribute mti_svvh_generic_type of shift_right_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_output_register : constant is 1; attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1; attribute mti_svvh_generic_type of accumulator : constant is 1; attribute mti_svvh_generic_type of accum_direction : constant is 1; attribute mti_svvh_generic_type of loadconst_value : constant is 1; attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1; attribute mti_svvh_generic_type of accum_sload_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1; attribute mti_svvh_generic_type of loadconst_control_register : constant is 1; attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1; attribute mti_svvh_generic_type of double_accum : constant is 1; attribute mti_svvh_generic_type of systolic_delay1 : constant is 1; attribute mti_svvh_generic_type of systolic_delay3 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1; attribute mti_svvh_generic_type of preadder_mode : constant is 1; attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1; attribute mti_svvh_generic_type of width_coef : constant is 1; attribute mti_svvh_generic_type of coefsel0_register : constant is 1; attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel1_register : constant is 1; attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel2_register : constant is 1; attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel3_register : constant is 1; attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1; attribute mti_svvh_generic_type of coef0_0 : constant is 1; attribute mti_svvh_generic_type of coef0_1 : constant is 1; attribute mti_svvh_generic_type of coef0_2 : constant is 1; attribute mti_svvh_generic_type of coef0_3 : constant is 1; attribute mti_svvh_generic_type of coef0_4 : constant is 1; attribute mti_svvh_generic_type of coef0_5 : constant is 1; attribute mti_svvh_generic_type of coef0_6 : constant is 1; attribute mti_svvh_generic_type of coef0_7 : constant is 1; attribute mti_svvh_generic_type of coef1_0 : constant is 1; attribute mti_svvh_generic_type of coef1_1 : constant is 1; attribute mti_svvh_generic_type of coef1_2 : constant is 1; attribute mti_svvh_generic_type of coef1_3 : constant is 1; attribute mti_svvh_generic_type of coef1_4 : constant is 1; attribute mti_svvh_generic_type of coef1_5 : constant is 1; attribute mti_svvh_generic_type of coef1_6 : constant is 1; attribute mti_svvh_generic_type of coef1_7 : constant is 1; attribute mti_svvh_generic_type of coef2_0 : constant is 1; attribute mti_svvh_generic_type of coef2_1 : constant is 1; attribute mti_svvh_generic_type of coef2_2 : constant is 1; attribute mti_svvh_generic_type of coef2_3 : constant is 1; attribute mti_svvh_generic_type of coef2_4 : constant is 1; attribute mti_svvh_generic_type of coef2_5 : constant is 1; attribute mti_svvh_generic_type of coef2_6 : constant is 1; attribute mti_svvh_generic_type of coef2_7 : constant is 1; attribute mti_svvh_generic_type of coef3_0 : constant is 1; attribute mti_svvh_generic_type of coef3_1 : constant is 1; attribute mti_svvh_generic_type of coef3_2 : constant is 1; attribute mti_svvh_generic_type of coef3_3 : constant is 1; attribute mti_svvh_generic_type of coef3_4 : constant is 1; attribute mti_svvh_generic_type of coef3_5 : constant is 1; attribute mti_svvh_generic_type of coef3_6 : constant is 1; attribute mti_svvh_generic_type of coef3_7 : constant is 1; attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_a_total_msb : constant is 3; attribute mti_svvh_generic_type of width_a_msb : constant is 3; attribute mti_svvh_generic_type of width_b_total_msb : constant is 3; attribute mti_svvh_generic_type of width_b_msb : constant is 3; attribute mti_svvh_generic_type of width_c_total_msb : constant is 3; attribute mti_svvh_generic_type of width_c_msb : constant is 3; attribute mti_svvh_generic_type of width_scanina : constant is 3; attribute mti_svvh_generic_type of width_scanina_msb : constant is 3; attribute mti_svvh_generic_type of width_scaninb : constant is 3; attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3; attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3; attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3; attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3; attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3; attribute mti_svvh_generic_type of width_chainin_msb : constant is 3; attribute mti_svvh_generic_type of width_result_msb : constant is 3; attribute mti_svvh_generic_type of width_coef_msb : constant is 3; attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3; attribute mti_svvh_generic_type of dataa_port_sign : constant is 3; attribute mti_svvh_generic_type of width_a_ext : constant is 3; attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3; attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3; attribute mti_svvh_generic_type of datab_port_sign : constant is 3; attribute mti_svvh_generic_type of width_b_ext : constant is 3; attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3; attribute mti_svvh_generic_type of coef_ext_require : constant is 3; attribute mti_svvh_generic_type of coef_port_sign : constant is 3; attribute mti_svvh_generic_type of width_coef_ext : constant is 3; attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3; attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3; attribute mti_svvh_generic_type of datac_port_sign : constant is 3; attribute mti_svvh_generic_type of width_c_ext : constant is 3; attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3; attribute mti_svvh_generic_type of width_scanchain : constant is 3; attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3; attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3; attribute mti_svvh_generic_type of preadder_representation : constant is 3; attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3; attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3; attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3; attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3; attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3; attribute mti_svvh_generic_type of width_mult_source_a : constant is 3; attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_source_b : constant is 3; attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_result : constant is 3; attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_source : constant is 3; attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_result : constant is 3; attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3; attribute mti_svvh_generic_type of width_chainin_ext : constant is 3; attribute mti_svvh_generic_type of width_original_result : constant is 3; attribute mti_svvh_generic_type of width_original_result_msb : constant is 3; attribute mti_svvh_generic_type of result_ext_width : constant is 3; attribute mti_svvh_generic_type of width_result_output : constant is 3; attribute mti_svvh_generic_type of width_result_output_msb : constant is 3; end altera_mult_add;
mit
b8515bba39dea5499083fdf77c749a1a
0.664245
3.687458
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_cmdsts_if.vhd
1
14,926
------------------------------------------------------------------------------- -- axi_sg_ftch_cmdsts_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_cmdsts_if.vhd -- Description: This entity is the descriptor fetch command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_cmdsts_if is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Fetch command write interface from fetch sm -- ftch_cmnd_wr : in std_logic ; -- ftch_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_ftch_cmd_tvalid : out std_logic ; -- s_axis_ftch_cmd_tready : in std_logic ; -- s_axis_ftch_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- Read response for detecting slverr, decerr early -- m_axi_sg_rresp : in std_logic_vector(1 downto 0) ; -- m_axi_sg_rvalid : in std_logic ; -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_ftch_sts_tvalid : in std_logic ; -- m_axis_ftch_sts_tready : out std_logic ; -- m_axis_ftch_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_ftch_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- mm2s_err : in std_logic ; -- ftch_done : out std_logic ; -- ftch_error : out std_logic ; -- ftch_interr : out std_logic ; -- ftch_slverr : out std_logic ; -- ftch_decerr : out std_logic ; -- ftch_error_early : out std_logic -- ); end axi_sg_ftch_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ftch_slverr_i : std_logic := '0'; signal ftch_decerr_i : std_logic := '0'; signal ftch_interr_i : std_logic := '0'; signal mm2s_error : std_logic := '0'; signal sg_rresp : std_logic_vector(1 downto 0) := (others => '0'); signal sg_rvalid : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ftch_slverr <= ftch_slverr_i; ftch_decerr <= ftch_decerr_i; ftch_interr <= ftch_interr_i; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor fetch command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_ftch_cmd_tvalid <= '0'; s_axis_ftch_cmd_tdata <= (others => '0'); elsif(ftch_cmnd_wr = '1')then s_axis_ftch_cmd_tvalid <= '1'; s_axis_ftch_cmd_tdata <= ftch_cmnd_data; elsif(s_axis_ftch_cmd_tready = '1')then s_axis_ftch_cmd_tvalid <= '0'; s_axis_ftch_cmd_tdata <= (others => '0'); end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis_ftch_sts_tready <= '0'; else m_axis_ftch_sts_tready <= '1'; end if; end if; end process REG_STS_READY; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_done <= '0'; ftch_slverr_i <= '0'; ftch_decerr_i <= '0'; ftch_interr_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_ftch_sts_tvalid = '1')then ftch_done <= m_axis_ftch_sts_tdata(DATAMOVER_STS_CMDDONE_BIT); ftch_slverr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_SLVERR_BIT); ftch_decerr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_DECERR_BIT); ftch_interr_i <= m_axis_ftch_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else ftch_done <= '0'; ftch_slverr_i <= '0'; ftch_decerr_i <= '0'; ftch_interr_i <= '0'; end if; end if; end process DATAMOVER_STS; ------------------------------------------------------------------------------- -- Early SlvErr and DecErr detections -- Early detection primarily required for non-queue mode because fetched desc -- is immediatle fed to DMA controller. Status from SG Datamover arrives -- too late to stop the insuing transfer on fetch error ------------------------------------------------------------------------------- REG_MM_RD_SIGNALS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then sg_rresp <= (others => '0'); sg_rvalid <= '0'; else sg_rresp <= m_axi_sg_rresp; sg_rvalid <= m_axi_sg_rvalid; end if; end if; end process REG_MM_RD_SIGNALS; REG_ERLY_FTCH_ERROR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error_early <= '0'; elsif(sg_rvalid = '1' and (sg_rresp = SLVERR_RESP or sg_rresp = DECERR_RESP))then ftch_error_early <= '1'; end if; end if; end process REG_ERLY_FTCH_ERROR; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- mm2s_error <= ftch_slverr_i or ftch_decerr_i or ftch_interr_i; -- Log errors into a global error output FETCH_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ftch_error <= '0'; elsif(mm2s_error = '1')then ftch_error <= '1'; end if; end if; end process FETCH_ERROR_PROCESS; end implementation;
gpl-2.0
bffac96a50590155dbce223b69232130
0.410827
4.807085
false
false
false
false
cathalmccabe/PYNQ
boards/ip/audio_codec_ctrl_v1.0/src/iis_ser.vhd
4
4,342
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 18:20:51 08/06/2012 -- Design Name: -- Module Name: iis_ser - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_unsigned.all; entity iis_ser is Port ( CLK_100MHZ : in STD_LOGIC; --gbuf clock SCLK : in STD_LOGIC; --logic (not used as clk) LRCLK : in STD_LOGIC; --logic (not used as clk) SDATA : out STD_LOGIC; EN : in STD_LOGIC; LDATA : in STD_LOGIC_VECTOR (23 downto 0); RDATA : in STD_LOGIC_VECTOR (23 downto 0)); end iis_ser; architecture Behavioral of iis_ser is --bit cntr counts to 25 (not 24) so that it can set sdata to zero after --the 24th bit has been sent to the receiver constant bit_cntr_max : std_logic_vector(4 downto 0) := "11001";--25 type IIS_STATE_TYPE is (RESET, WAIT_LEFT, WRITE_LEFT, WAIT_RIGHT, WRITE_RIGHT); signal start_left : std_logic; signal start_right : std_logic; signal write_bit : std_logic; signal sclk_d1 : std_logic := '0'; signal lrclk_d1 : std_logic := '0'; signal bit_cntr : std_logic_vector(4 downto 0) := (others => '0'); signal ldata_reg : std_logic_vector(23 downto 0) := (others => '0'); signal rdata_reg : std_logic_vector(23 downto 0) := (others => '0'); signal sdata_reg : std_logic := '0'; signal iis_state : IIS_STATE_TYPE := RESET; begin process(CLK_100MHZ) begin if (rising_edge(CLK_100MHZ)) then sclk_d1 <= SCLK; lrclk_d1 <= LRCLK; end if; end process; --Detect falling edge on LRCLK start_left <= (lrclk_d1 and not(LRCLK)); --Detect rising edge on LRCLK start_right <= (not(lrclk_d1) and LRCLK); --Detect falling edge on SCLK write_bit <= (sclk_d1 and not(SCLK)); --Next state logic next_iis_state_process : process (CLK_100MHZ) begin if (rising_edge(CLK_100MHZ)) then case iis_state is when RESET => if (EN = '1') then iis_state <= WAIT_LEFT; end if; when WAIT_LEFT => if (EN = '0') then iis_state <= RESET; elsif (start_left = '1') then iis_state <= WRITE_LEFT; end if; when WRITE_LEFT => if (EN = '0') then iis_state <= RESET; elsif (bit_cntr = bit_cntr_max) then iis_state <= WAIT_RIGHT; end if; when WAIT_RIGHT => if (EN = '0') then iis_state <= RESET; elsif (start_right = '1') then iis_state <= WRITE_RIGHT; end if; when WRITE_RIGHT => if (EN = '0') then iis_state <= RESET; elsif (bit_cntr = bit_cntr_max) then iis_state <= WAIT_LEFT; end if; when others=> --should never be reached iis_state <= RESET; end case; end if; end process; process (CLK_100MHZ) begin if (rising_edge(CLK_100MHZ)) then if (iis_state = WRITE_RIGHT or iis_state = WRITE_LEFT) then if (write_bit = '1') then bit_cntr <= bit_cntr + 1; end if; else bit_cntr <= (others => '0'); end if; end if; end process; data_shift_proc : process (CLK_100MHZ) begin if (rising_edge(CLK_100MHZ)) then if (iis_state = RESET) then ldata_reg <= (others => '0'); rdata_reg <= (others => '0'); elsif ((iis_state = WAIT_LEFT) and (start_left = '1')) then ldata_reg <= LDATA; rdata_reg <= RDATA; else if (iis_state = WRITE_LEFT and write_bit = '1') then ldata_reg(23 downto 1) <= ldata_reg(22 downto 0); ldata_reg(0) <= '0'; end if; if (iis_state = WRITE_RIGHT and write_bit = '1') then rdata_reg(23 downto 1) <= rdata_reg(22 downto 0); rdata_reg(0) <= '0'; end if; end if; end if; end process data_shift_proc; sdata_update_proc : process (CLK_100MHZ) begin if (rising_edge(CLK_100MHZ)) then if (iis_state = RESET) then sdata_reg <= '0'; elsif (iis_state = WRITE_LEFT and write_bit = '1') then sdata_reg <= ldata_reg(23); elsif (iis_state = WRITE_RIGHT and write_bit = '1') then sdata_reg <= rdata_reg(23); end if; end if; end process sdata_update_proc; SDATA <= sdata_reg; end Behavioral;
bsd-3-clause
3f10518c56a789ed510360b47592a861
0.581069
3.144098
false
false
false
false
freecores/t48
rtl/vhdl/int.vhd
1
8,892
------------------------------------------------------------------------------- -- -- The Interrupt Controller. -- It collects the interrupt sources and notifies the decoder. -- -- $Id: int.vhd,v 1.7 2006-06-20 00:46:03 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.mstate_t; entity t48_int is port ( clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; xtal_i : in std_logic; xtal_en_i : in boolean; clk_mstate_i : in mstate_t; jtf_executed_i : in boolean; tim_overflow_i : in boolean; tf_o : out std_logic; en_tcnti_i : in boolean; dis_tcnti_i : in boolean; int_n_i : in std_logic; ale_i : in boolean; last_cycle_i : in boolean; en_i_i : in boolean; dis_i_i : in boolean; ext_int_o : out boolean; tim_int_o : out boolean; retr_executed_i : in boolean; int_executed_i : in boolean; int_pending_o : out boolean; int_in_progress_o : out boolean ); end t48_int; use work.t48_pack.all; architecture rtl of t48_int is constant tim_int_c : std_logic := '0'; constant ext_int_c : std_logic := '1'; type int_state_t is (IDLE, PENDING, INT); signal int_state_s, int_state_q : int_state_t; signal timer_flag_q : boolean; signal timer_overflow_q : boolean; signal timer_int_enable_q : boolean; signal int_q : boolean; signal int_enable_q : boolean; signal ale_q : boolean; signal int_type_q : std_logic; signal int_in_progress_q : boolean; begin ----------------------------------------------------------------------------- -- Process nstate -- -- Purpose: -- Determines the next state of the Interrupt controller FSM. -- nstate: process (int_state_q, int_type_q, int_in_progress_q, int_executed_i, retr_executed_i, clk_mstate_i, last_cycle_i) begin int_state_s <= int_state_q; case int_state_q is when IDLE => if int_in_progress_q and last_cycle_i and clk_mstate_i = MSTATE5 then int_state_s <= PENDING; end if; when PENDING => if int_executed_i then int_state_s <= INT; end if; when INT => if retr_executed_i then int_state_s <= IDLE; end if; when others => int_state_s <= IDLE; end case; end process nstate; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process regs -- -- Purpose: -- Implement the various registers. -- They are designed according Figure "Interrupt Logic" of -- "The Single Component MCS-48 System". -- regs: process (res_i, clk_i) begin if res_i = res_active_c then timer_flag_q <= false; timer_overflow_q <= false; timer_int_enable_q <= false; int_enable_q <= false; int_type_q <= '0'; int_state_q <= IDLE; int_in_progress_q <= false; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then int_state_q <= int_state_s; if jtf_executed_i then timer_flag_q <= false; elsif tim_overflow_i then timer_flag_q <= true; end if; if (int_type_q = tim_int_c and int_executed_i) or not timer_int_enable_q then timer_overflow_q <= false; elsif tim_overflow_i then timer_overflow_q <= true; end if; if dis_tcnti_i then timer_int_enable_q <= false; elsif en_tcnti_i then timer_int_enable_q <= true; end if; if dis_i_i then int_enable_q <= false; elsif en_i_i then int_enable_q <= true; end if; if retr_executed_i then int_in_progress_q <= false; elsif (int_q and int_enable_q) or timer_overflow_q then int_in_progress_q <= true; if not int_in_progress_q then int_type_q <= to_stdLogic(int_q and int_enable_q); end if; end if; end if; end if; end process regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process xtal_regs -- -- Purpose: -- Implements the sequential registers clocked with XTAL. -- xtal_regs: process (res_i, xtal_i) begin if res_i = res_active_c then int_q <= false; ale_q <= false; elsif xtal_i'event and xtal_i = clk_active_c then if xtal_en_i then ale_q <= ale_i; if last_cycle_i and ale_q and not ale_i then int_q <= not to_boolean(int_n_i); end if; end if; end if; end process xtal_regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- tf_o <= to_stdLogic(timer_flag_q); ext_int_o <= int_type_q = ext_int_c; tim_int_o <= int_type_q = tim_int_c; int_pending_o <= int_state_q = PENDING; int_in_progress_o <= int_in_progress_q and int_state_q /= IDLE; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.6 2005/11/01 21:26:24 arniml -- operate ale_q and int_q with xtal_i after shift of ALE assertion to XTAL3 -- -- Revision 1.5 2005/09/13 21:00:16 arniml -- Fix bug reports: -- "Target address of JMP to Program Memory Bank 1 corrupted by interrupt" -- "Return address of CALL to Program Memory Bank 1 corrupted by interrupt" -- int_in_progress_o was active one cycle before int_pending_o is -- asserted. this confused the mb multiplexer which determines the state of -- the memory bank selection flag -- -- Revision 1.4 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.3 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.2 2004/06/30 21:18:28 arniml -- Fix bug report: -- "Program Memory bank can be switched during interrupt" -- int module emits int_in_progress signal that is used inside the decoder -- to hold mb low for JMP and CALL during interrupts -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
0de51061657d7581a9bf2f60d4680055
0.542398
3.941489
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/TestBench/liaison_TB.vhd
1
3,875
library ieee; use ieee.std_logic_1164.all; -- Add your library and packages declaration here ... entity liaison_tb is end liaison_tb; architecture TB_ARCHITECTURE of liaison_tb is -- Component declaration of the tested unit component liaison port( clk : in STD_LOGIC; reset : in STD_LOGIC; di_ready : in STD_LOGIC; mp_data : in STD_LOGIC_VECTOR(3 downto 0); do_ready : out STD_LOGIC; voted_data : out STD_LOGIC ); end component; -- Stimulus signals - signals mapped to the input and inout ports of tested entity signal clk : STD_LOGIC; signal reset : STD_LOGIC; signal di_ready : STD_LOGIC; signal mp_data : STD_LOGIC_VECTOR(3 downto 0); -- Observed signals - signals mapped to the output ports of tested entity signal do_ready : STD_LOGIC; signal voted_data : STD_LOGIC; -- Add your code here ... begin stim_proc: process begin -- Setup and reset di_ready <= '0'; mp_data <= "1111"; reset <= '0'; wait for 10 ns; reset <= '1'; wait for 10 ns; reset <= '0'; wait for 10 ns; -- Test with alternating output and no errors di_ready <= '1'; mp_data <= "0000"; wait for 10 ns; di_ready <= '0'; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; -- Wait while data is transmited wait for 80 ns; -- Test with alternating output and a single errorous bit di_ready <= '1'; mp_data <= "0000"; wait for 10 ns; di_ready <= '0'; mp_data <= "1110"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; -- Wait while data is transmited wait for 80 ns; -- Test with alternating output and a single errorous bit di_ready <= '1'; mp_data <= "0000"; wait for 10 ns; di_ready <= '0'; mp_data <= "1110"; wait for 10 ns; mp_data <= "0010"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; -- Wait while data is transmited wait for 80 ns; -- Test with alternating output and a single errorous bit di_ready <= '1'; mp_data <= "0000"; wait for 10 ns; di_ready <= '0'; mp_data <= "1110"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "0111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; -- Wait while data is transmited wait for 80 ns; reset <= '1'; wait for 10 ns; reset <= '0'; -- Test with alternating output immediately di_ready <= '1'; mp_data <= "0000"; wait for 10 ns; di_ready <= '0'; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait for 10 ns; mp_data <= "0000"; wait for 10 ns; mp_data <= "1111"; wait; end process; -- Unit Under Test port map UUT : liaison port map ( clk => clk, reset => reset, di_ready => di_ready, mp_data => mp_data, do_ready => do_ready, voted_data => voted_data ); -- This drive the clock clk_process :process begin clk <= '0'; wait for 5 ns; clk <= '1'; wait for 5 ns; end process; end TB_ARCHITECTURE; configuration TESTBENCH_FOR_liaison of liaison_tb is for TB_ARCHITECTURE for UUT : liaison use entity work.liaison(liaison); end for; end for; end TESTBENCH_FOR_liaison;
apache-2.0
81ae2fca55cc5457a01c31b4740c76cf
0.593032
2.861891
false
true
false
false
Given-Jiang/Test_Pattern_Generator
Test_Pattern_Generator_dspbuilder/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE.vhd
2
30,854
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE.vhd -- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE is port ( ctrl_pak2 : out std_logic_vector(23 downto 0); -- ctrl_pak2.wire dil : in std_logic_vector(3 downto 0) := (others => '0'); -- dil.wire col : in std_logic_vector(31 downto 0) := (others => '0'); -- col.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset ctrl_pak1 : out std_logic_vector(23 downto 0); -- ctrl_pak1.wire ctrl_pak3 : out std_logic_vector(23 downto 0); -- ctrl_pak3.wire row : in std_logic_vector(31 downto 0) := (others => '0') -- row.wire ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_cast_GNTS3MQUMJ is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNTS3MQUMJ; component alt_dspbuilder_port_GNEPKLLZKY is port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(31 downto 0) -- wire ); end component alt_dspbuilder_port_GNEPKLLZKY; component alt_dspbuilder_cast_GNJ7VFHJ4A is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNJ7VFHJ4A; component alt_dspbuilder_cast_GNMYKU6OLE is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNMYKU6OLE; component alt_dspbuilder_cast_GN5VN2FCXZ is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GN5VN2FCXZ; component alt_dspbuilder_bus_concat_GNAUBM7IRL is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNAUBM7IRL; component alt_dspbuilder_bus_concat_GNWZPLIVXS is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNWZPLIVXS; component alt_dspbuilder_bus_concat_GNIIOZRPJD is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GNIIOZRPJD; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_constant_GNPLBTTHPL is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_constant_GNPLBTTHPL; component alt_dspbuilder_port_GNCNBVQF75 is port ( input : in std_logic_vector(3 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_port_GNCNBVQF75; component alt_dspbuilder_bus_concat_GN55ETJ4VI is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GN55ETJ4VI; component alt_dspbuilder_cast_GNMMXHT3UH is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(3 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(3 downto 0) -- wire ); end component alt_dspbuilder_cast_GNMMXHT3UH; component alt_dspbuilder_cast_GNNZHXLS76 is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_cast_GNNZHXLS76; signal bus_concatenation12_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation12:output -> Bus_Concatenation13:a signal bus_concatenation10_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation10:output -> Bus_Concatenation14:a signal bus_concatenation11_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation11:output -> Bus_Concatenation14:b signal bus_concatenation15_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation15:output -> Bus_Concatenation16:a signal bus_concatenation14_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation14:output -> Bus_Concatenation16:b signal bus_concatenation5_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation5:output -> Bus_Concatenation13:b signal bus_concatenation2_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation2:output -> Bus_Concatenation6:a signal bus_concatenation3_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation3:output -> Bus_Concatenation6:b signal bus_concatenation7_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation7:output -> Bus_Concatenation12:a signal bus_concatenation6_output_wire : std_logic_vector(15 downto 0); -- Bus_Concatenation6:output -> Bus_Concatenation8:a signal bus_concatenation4_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation4:output -> Bus_Concatenation8:b signal bus_concatenation9_output_wire : std_logic_vector(7 downto 0); -- Bus_Concatenation9:output -> Bus_Concatenation12:b signal bus_conversion_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion:output -> Bus_Concatenation3:b signal bus_conversion2_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion2:output -> Bus_Concatenation2:b signal bus_conversion3_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion3:output -> Bus_Concatenation5:b signal bus_conversion4_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion4:output -> Bus_Concatenation4:b signal bus_conversion5_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion5:output -> Bus_Concatenation9:b signal bus_conversion6_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion6:output -> Bus_Concatenation7:b signal bus_conversion7_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion7:output -> Bus_Concatenation11:b signal bus_conversion8_output_wire : std_logic_vector(3 downto 0); -- Bus_Conversion8:output -> Bus_Concatenation10:b signal bus_concatenation8_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation8:output -> ctrl_pak1_0:input signal bus_concatenation13_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation13:output -> ctrl_pak2_0:input signal bus_concatenation16_output_wire : std_logic_vector(23 downto 0); -- Bus_Concatenation16:output -> ctrl_pak3_0:input signal dil_0_output_wire : std_logic_vector(3 downto 0); -- dil_0:output -> cast8:input signal cast8_output_wire : std_logic_vector(3 downto 0); -- cast8:output -> Bus_Concatenation15:b signal col_0_output_wire : std_logic_vector(31 downto 0); -- col_0:output -> [cast10:input, cast11:input, cast12:input, cast9:input] signal cast9_output_wire : std_logic_vector(15 downto 0); -- cast9:output -> Bus_Conversion:input signal cast10_output_wire : std_logic_vector(15 downto 0); -- cast10:output -> Bus_Conversion2:input signal cast11_output_wire : std_logic_vector(15 downto 0); -- cast11:output -> Bus_Conversion3:input signal cast12_output_wire : std_logic_vector(15 downto 0); -- cast12:output -> Bus_Conversion4:input signal row_0_output_wire : std_logic_vector(31 downto 0); -- row_0:output -> [cast13:input, cast14:input, cast15:input, cast16:input] signal cast13_output_wire : std_logic_vector(15 downto 0); -- cast13:output -> Bus_Conversion5:input signal cast14_output_wire : std_logic_vector(15 downto 0); -- cast14:output -> Bus_Conversion6:input signal cast15_output_wire : std_logic_vector(15 downto 0); -- cast15:output -> Bus_Conversion7:input signal cast16_output_wire : std_logic_vector(15 downto 0); -- cast16:output -> Bus_Conversion8:input signal constant10_output_wire : std_logic_vector(3 downto 0); -- Constant10:output -> cast17:input signal cast17_output_wire : std_logic_vector(3 downto 0); -- cast17:output -> Bus_Concatenation2:a signal constant19_output_wire : std_logic_vector(3 downto 0); -- Constant19:output -> cast18:input signal cast18_output_wire : std_logic_vector(3 downto 0); -- cast18:output -> Bus_Concatenation3:a signal constant21_output_wire : std_logic_vector(3 downto 0); -- Constant21:output -> cast19:input signal cast19_output_wire : std_logic_vector(3 downto 0); -- cast19:output -> Bus_Concatenation4:a signal constant22_output_wire : std_logic_vector(3 downto 0); -- Constant22:output -> cast20:input signal cast20_output_wire : std_logic_vector(3 downto 0); -- cast20:output -> Bus_Concatenation5:a signal constant23_output_wire : std_logic_vector(3 downto 0); -- Constant23:output -> cast21:input signal cast21_output_wire : std_logic_vector(3 downto 0); -- cast21:output -> Bus_Concatenation7:a signal constant24_output_wire : std_logic_vector(3 downto 0); -- Constant24:output -> cast22:input signal cast22_output_wire : std_logic_vector(3 downto 0); -- cast22:output -> Bus_Concatenation9:a signal constant25_output_wire : std_logic_vector(3 downto 0); -- Constant25:output -> cast23:input signal cast23_output_wire : std_logic_vector(3 downto 0); -- cast23:output -> Bus_Concatenation10:a signal constant26_output_wire : std_logic_vector(3 downto 0); -- Constant26:output -> cast24:input signal cast24_output_wire : std_logic_vector(3 downto 0); -- cast24:output -> Bus_Concatenation11:a signal constant27_output_wire : std_logic_vector(3 downto 0); -- Constant27:output -> cast25:input signal cast25_output_wire : std_logic_vector(3 downto 0); -- cast25:output -> Bus_Concatenation15:a signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation10:aclr, Bus_Concatenation11:aclr, Bus_Concatenation12:aclr, Bus_Concatenation13:aclr, Bus_Concatenation14:aclr, Bus_Concatenation15:aclr, Bus_Concatenation16:aclr, Bus_Concatenation2:aclr, Bus_Concatenation3:aclr, Bus_Concatenation4:aclr, Bus_Concatenation5:aclr, Bus_Concatenation6:aclr, Bus_Concatenation7:aclr, Bus_Concatenation8:aclr, Bus_Concatenation9:aclr] signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation10:clock, Bus_Concatenation11:clock, Bus_Concatenation12:clock, Bus_Concatenation13:clock, Bus_Concatenation14:clock, Bus_Concatenation15:clock, Bus_Concatenation16:clock, Bus_Concatenation2:clock, Bus_Concatenation3:clock, Bus_Concatenation4:clock, Bus_Concatenation5:clock, Bus_Concatenation6:clock, Bus_Concatenation7:clock, Bus_Concatenation8:clock, Bus_Concatenation9:clock] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); bus_conversion2 : component alt_dspbuilder_cast_GNTS3MQUMJ generic map ( round => 0, saturate => 0 ) port map ( input => cast10_output_wire, -- input.wire output => bus_conversion2_output_wire -- output.wire ); col_0 : component alt_dspbuilder_port_GNEPKLLZKY port map ( input => col, -- input.wire output => col_0_output_wire -- output.wire ); bus_conversion3 : component alt_dspbuilder_cast_GNJ7VFHJ4A generic map ( round => 0, saturate => 0 ) port map ( input => cast11_output_wire, -- input.wire output => bus_conversion3_output_wire -- output.wire ); bus_conversion4 : component alt_dspbuilder_cast_GNMYKU6OLE generic map ( round => 0, saturate => 0 ) port map ( input => cast12_output_wire, -- input.wire output => bus_conversion4_output_wire -- output.wire ); bus_conversion : component alt_dspbuilder_cast_GN5VN2FCXZ generic map ( round => 0, saturate => 0 ) port map ( input => cast9_output_wire, -- input.wire output => bus_conversion_output_wire -- output.wire ); bus_concatenation7 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast21_output_wire, -- a.wire b => bus_conversion6_output_wire, -- b.wire output => bus_concatenation7_output_wire -- output.wire ); bus_concatenation8 : component alt_dspbuilder_bus_concat_GNWZPLIVXS generic map ( widthB => 8, widthA => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation6_output_wire, -- a.wire b => bus_concatenation4_output_wire, -- b.wire output => bus_concatenation8_output_wire -- output.wire ); bus_concatenation5 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast20_output_wire, -- a.wire b => bus_conversion3_output_wire, -- b.wire output => bus_concatenation5_output_wire -- output.wire ); bus_concatenation6 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation2_output_wire, -- a.wire b => bus_concatenation3_output_wire, -- b.wire output => bus_concatenation6_output_wire -- output.wire ); bus_concatenation3 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast18_output_wire, -- a.wire b => bus_conversion_output_wire, -- b.wire output => bus_concatenation3_output_wire -- output.wire ); bus_concatenation4 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast19_output_wire, -- a.wire b => bus_conversion4_output_wire, -- b.wire output => bus_concatenation4_output_wire -- output.wire ); bus_concatenation2 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast17_output_wire, -- a.wire b => bus_conversion2_output_wire, -- b.wire output => bus_concatenation2_output_wire -- output.wire ); ctrl_pak2_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation13_output_wire, -- input.wire output => ctrl_pak2 -- output.wire ); ctrl_pak3_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation16_output_wire, -- input.wire output => ctrl_pak3 -- output.wire ); constant10 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant10_output_wire -- output.wire ); ctrl_pak1_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => bus_concatenation8_output_wire, -- input.wire output => ctrl_pak1 -- output.wire ); constant19 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant19_output_wire -- output.wire ); dil_0 : component alt_dspbuilder_port_GNCNBVQF75 port map ( input => dil, -- input.wire output => dil_0_output_wire -- output.wire ); constant27 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant27_output_wire -- output.wire ); constant26 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant26_output_wire -- output.wire ); constant23 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant23_output_wire -- output.wire ); bus_concatenation13 : component alt_dspbuilder_bus_concat_GNWZPLIVXS generic map ( widthB => 8, widthA => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation12_output_wire, -- a.wire b => bus_concatenation5_output_wire, -- b.wire output => bus_concatenation13_output_wire -- output.wire ); constant22 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant22_output_wire -- output.wire ); bus_concatenation12 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation7_output_wire, -- a.wire b => bus_concatenation9_output_wire, -- b.wire output => bus_concatenation12_output_wire -- output.wire ); constant25 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant25_output_wire -- output.wire ); bus_concatenation11 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast24_output_wire, -- a.wire b => bus_conversion7_output_wire, -- b.wire output => bus_concatenation11_output_wire -- output.wire ); constant24 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant24_output_wire -- output.wire ); bus_concatenation10 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast23_output_wire, -- a.wire b => bus_conversion8_output_wire, -- b.wire output => bus_concatenation10_output_wire -- output.wire ); bus_concatenation16 : component alt_dspbuilder_bus_concat_GN55ETJ4VI generic map ( widthB => 16, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation15_output_wire, -- a.wire b => bus_concatenation14_output_wire, -- b.wire output => bus_concatenation16_output_wire -- output.wire ); constant21 : component alt_dspbuilder_constant_GNPLBTTHPL generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000", width => 4 ) port map ( output => constant21_output_wire -- output.wire ); bus_concatenation15 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast25_output_wire, -- a.wire b => cast8_output_wire, -- b.wire output => bus_concatenation15_output_wire -- output.wire ); row_0 : component alt_dspbuilder_port_GNEPKLLZKY port map ( input => row, -- input.wire output => row_0_output_wire -- output.wire ); bus_concatenation14 : component alt_dspbuilder_bus_concat_GNIIOZRPJD generic map ( widthB => 8, widthA => 8 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => bus_concatenation10_output_wire, -- a.wire b => bus_concatenation11_output_wire, -- b.wire output => bus_concatenation14_output_wire -- output.wire ); bus_concatenation9 : component alt_dspbuilder_bus_concat_GNAUBM7IRL generic map ( widthB => 4, widthA => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast22_output_wire, -- a.wire b => bus_conversion5_output_wire, -- b.wire output => bus_concatenation9_output_wire -- output.wire ); bus_conversion8 : component alt_dspbuilder_cast_GNJ7VFHJ4A generic map ( round => 0, saturate => 0 ) port map ( input => cast16_output_wire, -- input.wire output => bus_conversion8_output_wire -- output.wire ); bus_conversion7 : component alt_dspbuilder_cast_GNTS3MQUMJ generic map ( round => 0, saturate => 0 ) port map ( input => cast15_output_wire, -- input.wire output => bus_conversion7_output_wire -- output.wire ); bus_conversion6 : component alt_dspbuilder_cast_GN5VN2FCXZ generic map ( round => 0, saturate => 0 ) port map ( input => cast14_output_wire, -- input.wire output => bus_conversion6_output_wire -- output.wire ); bus_conversion5 : component alt_dspbuilder_cast_GNMYKU6OLE generic map ( round => 0, saturate => 0 ) port map ( input => cast13_output_wire, -- input.wire output => bus_conversion5_output_wire -- output.wire ); cast8 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => dil_0_output_wire, -- input.wire output => cast8_output_wire -- output.wire ); cast9 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast9_output_wire -- output.wire ); cast10 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast10_output_wire -- output.wire ); cast11 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast11_output_wire -- output.wire ); cast12 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast12_output_wire -- output.wire ); cast13 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast13_output_wire -- output.wire ); cast14 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast14_output_wire -- output.wire ); cast15 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast15_output_wire -- output.wire ); cast16 : component alt_dspbuilder_cast_GNNZHXLS76 generic map ( round => 0, saturate => 0 ) port map ( input => row_0_output_wire, -- input.wire output => cast16_output_wire -- output.wire ); cast17 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant10_output_wire, -- input.wire output => cast17_output_wire -- output.wire ); cast18 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant19_output_wire, -- input.wire output => cast18_output_wire -- output.wire ); cast19 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant21_output_wire, -- input.wire output => cast19_output_wire -- output.wire ); cast20 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant22_output_wire, -- input.wire output => cast20_output_wire -- output.wire ); cast21 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant23_output_wire, -- input.wire output => cast21_output_wire -- output.wire ); cast22 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant24_output_wire, -- input.wire output => cast22_output_wire -- output.wire ); cast23 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant25_output_wire, -- input.wire output => cast23_output_wire -- output.wire ); cast24 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant26_output_wire, -- input.wire output => cast24_output_wire -- output.wire ); cast25 : component alt_dspbuilder_cast_GNMMXHT3UH generic map ( round => 0, saturate => 0 ) port map ( input => constant27_output_wire, -- input.wire output => cast25_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_CTRL_PAK_TRANSLATE
mit
a4885c68f92d7eb5af2131ddcc9c6bd5
0.609289
3.250527
false
false
false
false
straywarrior/MadeCPUin21days
CPU_TEST.vhd
1
6,386
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:37:46 11/22/2015 -- Design Name: -- Module Name: Z:/Downloads/VMware Shared Files/ComputerOrganization/MadeCPUin21days/CPU_TEST.vhd -- Project Name: MadeCPUin21days -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: CPU_TOP -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --USE ieee.numeric_std.ALL; ENTITY CPU_TEST IS END CPU_TEST; ARCHITECTURE behavior OF CPU_TEST IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT CPU_TOP PORT( clock : IN std_logic; reset : IN std_logic; RAM1ADDR : OUT std_logic_vector(17 downto 0); RAM1DATA : INOUT std_logic_vector(15 downto 0); RAM1EN : OUT std_logic; RAM1OE : OUT std_logic; RAM1RW : OUT std_logic; RAM2ADDR : OUT std_logic_vector(17 downto 0); RAM2DATA : INOUT std_logic_vector(15 downto 0); RAM2EN : OUT std_logic; RAM2OE : OUT std_logic; RAM2RW : OUT std_logic; SERIAL_DATA_READY : IN std_logic; SERIAL_RDN : OUT std_logic; SERIAL_TBRE : IN std_logic; SERIAL_TSRE : IN std_logic; SERIAL_WRN : OUT std_logic; SW : IN std_logic_vector (15 downto 0); LED : OUT std_logic_vector(15 downto 0); DLED_RIGHT : out STD_LOGIC_VECTOR (6 downto 0) ); END COMPONENT; --Inputs signal clock : std_logic := '0'; signal reset : std_logic := '0'; signal SERIAL_DATA_READY : std_logic := '0'; signal SERIAL_TBRE : std_logic := '1'; signal SERIAL_TSRE : std_logic := '1'; --BiDirs signal RAM1DATA : std_logic_vector(15 downto 0); signal RAM2DATA : std_logic_vector(15 downto 0); --Outputs signal RAM1ADDR : std_logic_vector(17 downto 0); signal RAM1EN : std_logic; signal RAM1OE : std_logic; signal RAM1RW : std_logic; signal RAM2ADDR : std_logic_vector(17 downto 0); signal RAM2EN : std_logic; signal RAM2OE : std_logic; signal RAM2RW : std_logic; signal SERIAL_RDN : std_logic; signal SERIAL_WRN : std_logic; signal LED : std_logic_vector(15 downto 0); signal SW : std_logic_vector (15 downto 0); signal DLED_RIGHT : std_logic_vector (6 downto 0); -- Clock period definitions constant clock_period : time := 20 ns; constant clock_2t_period : time := 40 ns; constant clock_4t_period : time := 80 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: CPU_TOP PORT MAP ( clock => clock, reset => reset, RAM1ADDR => RAM1ADDR, RAM1DATA => RAM1DATA, RAM1EN => RAM1EN, RAM1OE => RAM1OE, RAM1RW => RAM1RW, RAM2ADDR => RAM2ADDR, RAM2DATA => RAM2DATA, RAM2EN => RAM2EN, RAM2OE => RAM2OE, RAM2RW => RAM2RW, SERIAL_DATA_READY => SERIAL_DATA_READY, SERIAL_RDN => SERIAL_RDN, SERIAL_TBRE => SERIAL_TBRE, SERIAL_TSRE => SERIAL_TSRE, SERIAL_WRN => SERIAL_WRN, SW => SW, LED => LED, DLED_RIGHT => DLED_RIGHT ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state. reset <= '0'; sw <= "0000000001000111"; wait for 10 ns; reset <= '1'; -- NOP RAM2DATA <= "0000100000000000"; wait for clock_4t_period; RAM2DATA <= x"0800"; wait for clock_4t_period; RAM2DATA <= x"0800"; wait for clock_4t_period; RAM2DATA <= x"6D40"; wait for clock_4t_period; RAM2DATA <= x"35A0"; wait for clock_4t_period; RAM2DATA <= x"6880"; wait for clock_4t_period; RAM2DATA <= x"3000"; wait for clock_4t_period; RAM2DATA <= x"DD00"; wait for clock_4t_period; RAM2DATA <= x"68EF"; wait for clock_4t_period; RAM2DATA <= (others => 'Z'); wait for clock_4t_period; RAM2DATA <= x"3000"; wait for clock_4t_period; RAM2DATA <= x"DD01"; wait for clock_4t_period; RAM2DATA <= x"0800"; wait for clock_4t_period; RAM2DATA <= x"0800"; wait for clock_4t_period; -- NOP RAM2DATA <= "0000100000000000"; wait for clock_4t_period; -- B 0x31 RAM2DATA <= "0001000001100001"; wait for clock_4t_period; -- R0 <= x"FF" RAM2DATA <= "0110100011111111"; wait for clock_4t_period; -- SW R0 R1 1 RAM2DATA <= "1101100000100001"; wait for clock_4t_period; -- SLL R0 R0 RAM2DATA <= "0011000000000000"; wait for clock_4t_period; -- R1 <= x"0F" RAM2DATA <= "0110100000001111"; wait for clock_4t_period; -- NOP RAM2DATA <= "0000100000000000"; wait for clock_4t_period; -- SW R0 R1 1 RAM2DATA <= "1101100000100001"; wait for clock_4t_period; -- SLL R0 R0 RAM2DATA <= "0011000000000000"; -- ADDU R0 R1 R2 RAM2DATA <= "1110000000101001"; wait for clock_4t_period; -- NOP RAM2DATA <= "0000100000000000"; wait for clock_4t_period; -- SW R0 R1 0 RAM2DATA <= "1101100000100000"; wait for clock_4t_period; -- insert stimulus here wait; end process; END;
gpl-2.0
380c5c1b39ea9e6dbe9cb8455b8e8bfe
0.561697
3.644977
false
false
false
false
straywarrior/MadeCPUin21days
InstDecoder.vhd
1
33,151
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 15:16:45 11/14/2015 -- Design Name: -- Module Name: InstDecoder - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; --use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity InstDecoder is Port ( pc : in STD_LOGIC_VECTOR (15 downto 0); inst : in STD_LOGIC_VECTOR (15 downto 0); RegAVal : in STD_LOGIC_VECTOR (15 downto 0); RegBVal : in STD_LOGIC_VECTOR (15 downto 0); RAVal : in STD_LOGIC_VECTOR (15 downto 0); SPVal : in STD_LOGIC_VECTOR (15 downto 0); IHVal : in STD_LOGIC_VECTOR (15 downto 0); T_in : in STD_LOGIC; T_out : out STD_LOGIC; pc_imm : out STD_LOGIC_VECTOR (15 downto 0); pc_sel : out STD_LOGIC_VECTOR (1 downto 0); RegWE : out STD_LOGIC; RegDest : out STD_LOGIC_VECTOR (3 downto 0); MemRd : out STD_LOGIC; MemDIn : out STD_LOGIC_VECTOR (15 downto 0); RegMemDIn : out STD_LOGIC_VECTOR (3 downto 0); MemWE : out STD_LOGIC; opcode : out STD_LOGIC_VECTOR (3 downto 0); RegOpA : out STD_LOGIC_VECTOR (3 downto 0); RegOpB : out STD_LOGIC_VECTOR (3 downto 0); CReg : out STD_LOGIC; CRegA : out STD_LOGIC_VECTOR (3 downto 0); CRegB : out STD_LOGIC_VECTOR (3 downto 0); operandA : out STD_LOGIC_VECTOR (15 downto 0); operandB : out STD_LOGIC_VECTOR (15 downto 0) ); end InstDecoder; architecture Behavioral of InstDecoder is begin -- Use Process firt. TODO: Rewrite to combinational logic circuit process (inst, pc, RegAVal, RegBVal, T_in, IHVal, RAVal, SPVal) begin case inst(15 downto 11) is -- R type instruction when "11100" => case inst(1 downto 0) is when "01" => opcode <= "0000"; when "11" => opcode <= "0001"; when others => opcode <= "1111"; -- 1111 means doing nothing end case; operandA <= RegAVal; operandB <= RegBVal; RegDest(2 downto 0) <= inst(4 downto 2); RegDest(3) <= '0'; RegWE <= '1'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB(2 downto 0) <= inst(7 downto 5); RegOpB(3) <= '0'; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; -- R type instruction & J type instruction (excpet INT & NOP) when "11101" => case inst(4 downto 2) is when "000" => -- SLT SLTU & MFPC JR JRRA JALR RegOpA <= "1111"; RegOpB <= "1111"; case inst(1 downto 0) is when "10" => -- SLT if (signed(RegAVal) < signed(RegBVal)) then T_out <= '1'; else T_out <= '0'; end if; RegDest <= "1111"; -- dummy number operandA <= (others => '0'); operandB <= (others => '0'); pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB(2 downto 0) <= inst(7 downto 5); CRegB(3) <= '0'; when "11" => -- SLTU if (unsigned(RegAVal) < unsigned(RegBVal)) then T_out <= '1'; else T_out <= '0'; end if; RegDest <= "1111"; -- dummy number operandA <= (others => '0'); operandB <= (others => '0'); pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB(2 downto 0) <= inst(7 downto 5); CRegB(3) <= '0'; when "00" => -- MFPC JR JRRA JALR opcode <= "1111"; T_out <= T_in; case inst(7 downto 5) is when "010" => -- MFPC operandA <= pc; operandB <= (others => '0'); RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; when "000" => -- JR pc_sel <= "10"; pc_imm <= (others => '0'); operandA <= (others => '0'); operandB <= (others => '0'); RegDest <= "1111"; -- dummy number CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB <= "1111"; when "001" => -- JRRA pc_sel <= "01"; pc_imm <= (others => '0'); operandA <= (others => '0'); operandB <= (others => '0'); RegDest <= "1111"; -- dummy number CReg <= '1'; CRegA <= "1000"; CRegB <= "1111"; null; when "110" => -- JALR pc_sel <= "10"; pc_imm <= (others => '0'); operandA <= pc; operandB <= (others => '0'); RegDest <= "1000"; CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB <= "1111"; when others => pc_sel <= "00"; pc_imm <= (others => '0'); operandA <= (others => '0'); operandB <= (others => '0'); RegDest <= "1111"; end case; when others => pc_sel <= "00"; pc_imm <= (others => '0'); operandA <= (others => '0'); operandB <= (others => '0'); RegDest <= "1111"; end case; when "001" => -- SLLV SRLV SRAV case inst(1 downto 0) is when "00" => opcode <= "1000"; when "10" => opcode <= "1010"; when "11" => opcode <= "1011"; when others => null; end case; operandA <= RegBVal; operandB <= RegAVal; -- RegDest is ry RegDest(2 downto 0) <= inst(7 downto 5); RegDest(3) <= '0'; RegOpA(2 downto 0) <= inst(7 downto 5); RegOpA(3) <= '0'; RegOpB(2 downto 0) <= inst(10 downto 8); RegOpB(3) <= '0'; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; T_out <= T_in; when "010" => -- CMP NEG case inst(1 downto 0) is when "10" => -- CMP if (RegAVal = RegBVal) then T_out <= '0'; else T_out <= '1'; end if; RegDest <= "1111"; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB(2 downto 0) <= inst(7 downto 5); CRegB(3) <= '0'; when "11" => -- NEG opcode <= "0001"; operandA <= (others => '0'); operandB <= RegBVal; RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; RegOpA <= "1111"; RegOpB(2 downto 0) <= inst(7 downto 5); RegOpB(3) <= '0'; CReg <= '0'; T_out <= T_in; when others => null; end case; pc_sel <= "00"; pc_imm <= (others => '0'); when "011" => -- AND OR XOR NOT case inst(1 downto 0) is when "00" => opcode <= "0100"; when "01" => opcode <= "0101"; when "10" => opcode <= "0110"; when "11" => opcode <= "0111"; when others => null; end case; operandA <= RegAVal; operandB <= RegBVal; RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB(2 downto 0) <= inst(7 downto 5); RegOpB(3) <= '0'; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; T_out <= T_in; when others => pc_sel <= "00"; pc_imm <= (others => '0'); opcode <= "1111"; -- 1111 means doing nothing RegOpA <= "1111"; RegOpA <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; end case; RegWE <= '1'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); -- prefix "11101" ended when "11110" => -- MFIH MTIH case inst(1 downto 0) is when "00" => --MFIH operandA <= IHVal; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; RegOpA <= "1010"; when "01" => --MTIH operandA <= RegAVal; RegDest <= "1010"; RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; when others => null; end case; opcode <= "1111"; RegWE <= '1'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpB <= "1111"; operandB <= (others => '0'); pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "00110" => -- SRL SRA SLL case inst(1 downto 0) is when "00" => -- SLL opcode <= "1000"; when "10" => -- SRL opcode <= "1010"; when "11" => -- SRA opcode <= "1011"; when others => null; end case; RegWE <= '1'; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; operandA <= RegBVal; if (inst(4 downto 2) = "000") then operandB(3) <= '1'; else operandB(3) <= '0'; end if; operandB(2 downto 0) <= inst(4 downto 2); operandB(15 downto 4) <= (others => '0'); MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA(2 downto 0) <= inst(7 downto 5); RegOpA(3) <= '0'; RegOpB <= "1111"; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01111" => -- MOVE opcode <= "1111"; operandA <= RegBVal; operandB <= (others => '0'); RegWE <= '1'; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA(2 downto 0) <= inst(7 downto 5); RegOpA(3) <= '0'; RegOpB <= "1111"; pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01100" => -- MTSP SW_RS ADDSP BTEQZ BTNEZ case inst(10 downto 8) is when "100" => -- MTSP opcode <= "1111"; operandA <= RegBVal; -- NOTE: ry here is "rx" operandB <= (others => '0'); RegWE <= '1'; RegDest <= "1001"; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA(2 downto 0) <= inst(7 downto 5); RegOpA(3) <= '0'; RegOpB <= "1111"; pc_sel <= "00"; pc_imm <= (others => '0'); when "010" => -- SW_RS RegWE <= '0'; MemDIn <= RAVal; RegMemDIn <= "1000"; MemWE <= '1'; opcode <= "0000"; operandA <= SPVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); -- FIXME? S_EXT OR Z_EXT? RegOpA <= "1001"; RegOpB <= "1111"; pc_sel <= "00"; pc_imm <= (others => '0'); when "011" => -- ADDSP RegWE <= '1'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegDest <= "1001"; opcode <= "0000"; operandA <= SPVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); -- FIXME? S_EXT OR Z_EXT? RegOpA <= "1001"; RegOpB <= "1111"; pc_sel <= "00"; pc_imm <= (others => '0'); when "000" => -- BTEQZ RegWE <= '0'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); pc_imm(7 downto 0) <= inst(7 downto 0); pc_imm(15 downto 8) <= (others => inst(7)); if (T_in = '0') then pc_sel <= "11"; else pc_sel <= "00"; end if; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); when "001" => -- BTNEZ RegWE <= '0'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); pc_imm(7 downto 0) <= inst(7 downto 0); pc_imm(15 downto 8) <= (others => inst(7)); if (T_in /= '0') then pc_sel <= "11"; else pc_sel <= "00"; end if; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); when others => pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '0'; MemWE <= '0'; MemDIn <= (others => '0'); RegMemDIn <= "1111"; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); end case; MemRd <= '0'; CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "11111" => -- INT TODO! -- FIXME!!! RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "00001" => -- NOP pc_sel <= "00"; RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); pc_imm <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "00000" => -- ADDSP3 pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); MemRd <= '0'; opcode <= "0000"; operandA <= SPVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); RegOpA <= "1001"; RegOpB <= "1111"; CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01000" => -- ADDIU3 pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; -- RegDest is ry RegDest(2 downto 0) <= inst(7 downto 5); RegDest(3) <= '0'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); MemRd <= '0'; opcode <= "0000"; operandA <= RegAVal; operandB(3 downto 0) <= inst(3 downto 0); operandB(15 downto 4) <= (others => inst(3)); RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB <= "1111"; CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01001" => -- ADDIU pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; opcode <= "0000"; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); MemRd <= '0'; operandA <= RegAVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB <= "1111"; CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01010" => -- SLTI pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); MemRd <= '0'; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '1'; CRegA <= "1111"; CRegB <= "1111"; if (signed(RegAVal) < signed(inst(7 downto 0))) then T_out <= '1'; else T_out <= '0'; end if; when "01011" => -- SLTUI pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; MemWE <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); MemRd <= '0'; RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '1'; CRegA <= "1111"; CRegB <= "1111"; if (unsigned(RegAVal) < unsigned(inst(7 downto 0))) then -- FIXME? T_out <= '1'; else T_out <= '0'; end if; when "01101" => -- LI pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; opcode <= "1111"; operandA(7 downto 0) <= inst(7 downto 0); operandA(15 downto 8) <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "01110" => -- CMPI pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; RegDest <= "1111"; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB <= "1111"; if (signed(RegAVal) = signed(inst(7 downto 0))) then -- FIXME? T_out <= '0'; else T_out <= '1'; end if; when "10010" => -- LW_SP pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; MemWE <= '0'; MemRd <= '1'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1001"; RegOpB <= "1111"; -- RegDest is rx RegDest(2 downto 0) <= inst(10 downto 8); RegDest(3) <= '0'; opcode <= "0000"; operandA <= SPVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "10011" => -- LW pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '1'; MemWE <= '0'; MemRd <= '1'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB <= "1111"; -- RegDest is ry RegDest(2 downto 0) <= inst(7 downto 5); RegDest(3) <= '0'; opcode <= "0000"; operandA <= RegAVal; operandB(4 downto 0) <= inst(4 downto 0); operandB(15 downto 5) <= (others => inst(4)); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "11010" => -- SW_SP pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '0'; MemWE <= '1'; MemDIn <= RegAVal; RegMemDIn(2 downto 0) <= inst(10 downto 8); RegMemDIn(3) <= '0'; MemRd <= '0'; RegOpA <= "1001"; RegOpB <= "1111"; opcode <= "0000"; operandA <= SPVal; operandB(7 downto 0) <= inst(7 downto 0); operandB(15 downto 8) <= (others => inst(7)); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "11011" => -- SW pc_sel <= "00"; pc_imm <= (others => '0'); RegWE <= '0'; MemWE <= '1'; MemDIn <= RegBVal; RegMemDIn(2 downto 0) <= inst(7 downto 5); RegMemDIn(3) <= '0'; MemRd <= '0'; RegOpA(2 downto 0) <= inst(10 downto 8); RegOpA(3) <= '0'; RegOpB <= "1111"; opcode <= "0000"; operandA <= RegAVal; operandB(4 downto 0) <= inst(4 downto 0); operandB(15 downto 5) <= (others => inst(4)); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; when "00010" => -- B RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; pc_imm(10 downto 0) <= inst(10 downto 0); pc_imm(15 downto 11) <= (others => inst(10)); pc_sel <= "11"; T_out <= T_in; when "00100" => -- BEQZ RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); pc_imm(7 downto 0) <= inst(7 downto 0); pc_imm(15 downto 8) <= (others => inst(7)); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB <= "1111"; T_out <= T_in; if (RegAVal = "0000000000000000") then pc_sel <= "11"; else pc_sel <= "00"; end if; when "00101" => -- BNEZ RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); pc_imm(7 downto 0) <= inst(7 downto 0); pc_imm(15 downto 8) <= (others => inst(7)); CReg <= '1'; CRegA(2 downto 0) <= inst(10 downto 8); CRegA(3) <= '0'; CRegB <= "1111"; T_out <= T_in; if (RegAVal /= "0000000000000000") then pc_sel <= "11"; else pc_sel <= "00"; end if; when others => RegWE <= '0'; MemWE <= '0'; MemRd <= '0'; RegMemDIn <= "1111"; MemDIn <= (others => '0'); RegOpA <= "1111"; RegOpB <= "1111"; operandA <= (others => '0'); operandB <= (others => '0'); pc_sel <= "00"; pc_imm <= (others => '0'); CReg <= '0'; CRegA <= "1111"; CRegB <= "1111"; T_out <= T_in; end case; end process; end Behavioral;
gpl-2.0
091ebc15135389f9fd7039a912a5e818
0.309221
5.035085
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/StateMachineEditor_import.vhd
2
1,225
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity StateMachineEditor_import is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end entity StateMachineEditor_import; architecture rtl of StateMachineEditor_import is component StateMachineEditor_import_GN is port ( clock : in std_logic; counter : in std_logic_vector(24-1 downto 0); data_end : in std_logic; ready : in std_logic; reset : in std_logic; state : out std_logic_vector(3-1 downto 0) ); end component StateMachineEditor_import_GN; begin StateMachineEditor_import_GN_0: if true generate inst_StateMachineEditor_import_GN_0: StateMachineEditor_import_GN port map(clock => clock, counter => counter, data_end => data_end, ready => ready, reset => reset, state => state); end generate; end architecture rtl;
mit
3f62991d8bd5f082e58b1386fb145709
0.733878
3.412256
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_axi_iic_0_0/sim/tutorial_axi_iic_0_0.vhd
1
9,257
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_iic:2.0 -- IP Revision: 7 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_iic_v2_0; USE axi_iic_v2_0.axi_iic; ENTITY tutorial_axi_iic_0_0 IS PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; iic2intc_irpt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; sda_i : IN STD_LOGIC; sda_o : OUT STD_LOGIC; sda_t : OUT STD_LOGIC; scl_i : IN STD_LOGIC; scl_o : OUT STD_LOGIC; scl_t : OUT STD_LOGIC; gpo : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END tutorial_axi_iic_0_0; ARCHITECTURE tutorial_axi_iic_0_0_arch OF tutorial_axi_iic_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_axi_iic_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_iic IS GENERIC ( C_FAMILY : STRING; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_IIC_FREQ : INTEGER; C_TEN_BIT_ADR : INTEGER; C_GPO_WIDTH : INTEGER; C_S_AXI_ACLK_FREQ_HZ : INTEGER; C_SCL_INERTIAL_DELAY : INTEGER; C_SDA_INERTIAL_DELAY : INTEGER; C_SDA_LEVEL : INTEGER; C_SMBUS_PMBUS_HOST : INTEGER; C_DEFAULT_VALUE : STD_LOGIC_VECTOR(7 DOWNTO 0) ); PORT ( s_axi_aclk : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; iic2intc_irpt : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; sda_i : IN STD_LOGIC; sda_o : OUT STD_LOGIC; sda_t : OUT STD_LOGIC; scl_i : IN STD_LOGIC; scl_o : OUT STD_LOGIC; scl_t : OUT STD_LOGIC; gpo : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT axi_iic; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 S_AXI_ARESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF iic2intc_irpt: SIGNAL IS "xilinx.com:signal:interrupt:1.0 INTERRUPT INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI RREADY"; ATTRIBUTE X_INTERFACE_INFO OF sda_i: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_I"; ATTRIBUTE X_INTERFACE_INFO OF sda_o: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_O"; ATTRIBUTE X_INTERFACE_INFO OF sda_t: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SDA_T"; ATTRIBUTE X_INTERFACE_INFO OF scl_i: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_I"; ATTRIBUTE X_INTERFACE_INFO OF scl_o: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_O"; ATTRIBUTE X_INTERFACE_INFO OF scl_t: SIGNAL IS "xilinx.com:interface:iic:1.0 IIC SCL_T"; BEGIN U0 : axi_iic GENERIC MAP ( C_FAMILY => "zynq", C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_IIC_FREQ => 100000, C_TEN_BIT_ADR => 0, C_GPO_WIDTH => 1, C_S_AXI_ACLK_FREQ_HZ => 76000000, C_SCL_INERTIAL_DELAY => 0, C_SDA_INERTIAL_DELAY => 0, C_SDA_LEVEL => 1, C_SMBUS_PMBUS_HOST => 0, C_DEFAULT_VALUE => X"00" ) PORT MAP ( s_axi_aclk => s_axi_aclk, s_axi_aresetn => s_axi_aresetn, iic2intc_irpt => iic2intc_irpt, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, sda_i => sda_i, sda_o => sda_o, sda_t => sda_t, scl_i => scl_i, scl_o => scl_o, scl_t => scl_t, gpo => gpo ); END tutorial_axi_iic_0_0_arch;
gpl-2.0
01fefe57edd5a3688ddeaf1ff2ebb074
0.678298
3.228811
false
false
false
false
adelapie/desl
key_schedule.vhd
1
4,579
-- Copyright (c) 2013 Antonio de la Piedra -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity key_schedule is port(clk : in std_logic; rst : in std_logic; mode : in std_logic; -- 0 encrypt, 1 decrypt key : in std_logic_vector(55 downto 0); key_out : out std_logic_vector(47 downto 0)); end key_schedule; architecture Behavioral of key_schedule is signal init_key_s : std_logic_vector(55 downto 0); signal c_0_s : std_logic_vector(27 downto 0); signal d_0_s : std_logic_vector(27 downto 0); signal shift_s : std_logic_vector(15 downto 0); signal key_pre_s : std_logic_vector(55 downto 0); signal key_pre_delay_s : std_logic_vector(55 downto 0); begin pr_seq: process(clk, rst, key, shift_s(15), mode) begin if rst = '1' then c_0_s <= key(55 downto 28); d_0_s <= key(27 downto 0); elsif rising_edge(clk) then if shift_s(15) = '0' then if mode = '0' then c_0_s <= c_0_s(26 downto 0) & c_0_s(27); d_0_s <= d_0_s(26 downto 0) & d_0_s(27); else c_0_s <= c_0_s(0) & c_0_s(27 downto 1); d_0_s <= d_0_s(0) & d_0_s(27 downto 1); end if; else if mode = '0' then c_0_s <= c_0_s(25 downto 0) & c_0_s(27 downto 26); d_0_s <= d_0_s(25 downto 0) & d_0_s(27 downto 26); else c_0_s <= c_0_s(1 downto 0) & c_0_s(27 downto 2); d_0_s <= d_0_s(1 downto 0) & d_0_s(27 downto 2); end if; end if; end if; end process; pr_shr: process(clk, rst, mode) begin if rst = '1' then if mode = '0' then shift_s <= "0011111101111110"; else shift_s <= "0111111011111100"; end if; elsif rising_edge(clk) then shift_s <= shift_s(14 downto 0) & shift_s(15); end if; end process; key_pre_s <= c_0_s & d_0_s; pr_delay: process(clk, mode, key_pre_s) begin if rising_edge(clk) then if mode = '1' then key_pre_delay_s <= key_pre_s; end if; end if; end process; key_out <= (key_pre_s (42) & key_pre_s (39) & key_pre_s (45) & key_pre_s (32) & key_pre_s (55) & key_pre_s (51) & key_pre_s (53) & key_pre_s (28) & key_pre_s (41) & key_pre_s (50) & key_pre_s (35) & key_pre_s (46) & key_pre_s (33) & key_pre_s (37) & key_pre_s (44) & key_pre_s (52) & key_pre_s (30) & key_pre_s (48) & key_pre_s (40) & key_pre_s (49) & key_pre_s (29) & key_pre_s (36) & key_pre_s (43) & key_pre_s (54) & key_pre_s (15) & key_pre_s (4) & key_pre_s (25) & key_pre_s (19) & key_pre_s (9) & key_pre_s (1) & key_pre_s (26) & key_pre_s (16) & key_pre_s (5) & key_pre_s (11) & key_pre_s (23) & key_pre_s (8) & key_pre_s (12) & key_pre_s (7) & key_pre_s (17) & key_pre_s (0) & key_pre_s (22) & key_pre_s (3) & key_pre_s (10) & key_pre_s (14) & key_pre_s (6) & key_pre_s (20) & key_pre_s (27) & key_pre_s (24)) when mode = '0' else (key_pre_delay_s (42) & key_pre_delay_s (39) & key_pre_delay_s (45) & key_pre_delay_s (32) & key_pre_delay_s (55) & key_pre_delay_s (51) & key_pre_delay_s (53) & key_pre_delay_s (28) & key_pre_delay_s (41) & key_pre_delay_s (50) & key_pre_delay_s (35) & key_pre_delay_s (46) & key_pre_delay_s (33) & key_pre_delay_s (37) & key_pre_delay_s (44) & key_pre_delay_s (52) & key_pre_delay_s (30) & key_pre_delay_s (48) & key_pre_delay_s (40) & key_pre_delay_s (49) & key_pre_delay_s (29) & key_pre_delay_s (36) & key_pre_delay_s (43) & key_pre_delay_s (54) & key_pre_delay_s (15) & key_pre_delay_s (4) & key_pre_delay_s (25) & key_pre_delay_s (19) & key_pre_delay_s (9) & key_pre_delay_s (1) & key_pre_delay_s (26) & key_pre_delay_s (16) & key_pre_delay_s (5) & key_pre_delay_s (11) & key_pre_delay_s (23) & key_pre_delay_s (8) & key_pre_delay_s (12) & key_pre_delay_s (7) & key_pre_delay_s (17) & key_pre_delay_s (0) & key_pre_delay_s (22) & key_pre_delay_s (3) & key_pre_delay_s (10) & key_pre_delay_s (14) & key_pre_delay_s (6) & key_pre_delay_s (20) & key_pre_delay_s (27) & key_pre_delay_s (24)); end Behavioral;
gpl-3.0
b50e92eda78e26dde686950b53ebd7bc
0.59489
2.360309
false
false
false
false
freecores/t48
rtl/vhdl/decoder_pack-p.vhd
1
19,614
------------------------------------------------------------------------------- -- -- $Id: decoder_pack-p.vhd,v 1.4 2008-04-29 21:19:21 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; package t48_decoder_pack is ----------------------------------------------------------------------------- -- The Mnemonics. ----------------------------------------------------------------------------- type mnemonic_t is (MN_ADD, MN_ADD_A_DATA, MN_ANL, MN_ANL_A_DATA, MN_ANL_EXT, MN_CALL, MN_CLR_A, MN_CLR_C, MN_CLR_F, MN_CPL_A, MN_CPL_C, MN_CPL_F, MN_DA, MN_DEC, MN_DIS_EN_I, MN_DIS_EN_TCNTI, MN_DJNZ, MN_ENT0_CLK, MN_IN, MN_INC, MN_INS, MN_JBB, MN_JC, MN_JF, MN_JMP, MN_JMPP, MN_JNI, MN_JT, MN_JTF, MN_JZ, MN_MOV_A_DATA, MN_MOV_A_PSW, MN_MOV_A_RR, MN_MOV_PSW_A, MN_MOV_RR, MN_MOV_RR_DATA, MN_MOV_T, MN_MOVD_A_PP, MN_MOVP, MN_MOVX, MN_NOP, MN_ORL, MN_ORL_A_DATA, MN_ORL_EXT, MN_OUTD_PP_A, MN_OUTL_EXT, MN_RET, MN_RL, MN_RR, MN_SEL_MB, MN_SEL_RB, MN_STOP_TCNT, MN_STRT, MN_SWAP, MN_XCH, MN_XRL, MN_XRL_A_DATA); type mnemonic_rec_t is record mnemonic : mnemonic_t; multi_cycle : boolean; end record; function decode_opcode_f(opcode : in word_t) return mnemonic_rec_t; end t48_decoder_pack; package body t48_decoder_pack is function decode_opcode_f(opcode : in word_t) return mnemonic_rec_t is variable mnemonic_v : mnemonic_t; variable multi_cycle_v : boolean; variable result_v : mnemonic_rec_t; begin -- default assignment mnemonic_v := MN_NOP; multi_cycle_v := false; case opcode is -- Mnemonic ADD --------------------------------------------------------- when "01101000" | "01101001" | "01101010" | "01101011" | -- ADD A, Rr "01101100" | "01101101" | "01101110" | "01101111" | -- "01100000" | "01100001" | -- ADD A, @ Rr "01111000" | "01111001" | "01111010" | "01111011" | -- ADDC A, Rr "01111100" | "01111101" | "01111110" | "01111111" | -- "01110000" | "01110001" => -- ADDC A, @ Rr mnemonic_v := MN_ADD; -- Mnemonic ADD_A_DATA -------------------------------------------------- when "00000011" | -- ADD A, data "00010011" => -- ADDC A, data mnemonic_v := MN_ADD_A_DATA; multi_cycle_v := true; -- Mnemonic ANL --------------------------------------------------------- when "01011000" | "01011001" | "01011010" | "01011011" | -- ANL A, Rr "01011100" | "01011101" | "01011110" | "01011111" | -- "01010000" | "01010001" => -- ANL A, @ Rr mnemonic_v := MN_ANL; -- Mnemonic ANL_A_DATA -------------------------------------------------- when "01010011" => -- ANL A, data mnemonic_v := MN_ANL_A_DATA; multi_cycle_v := true; -- Mnemonic ANL_EXT ----------------------------------------------------- when "10011000" | -- ANL BUS, data "10011001" | "10011010" => -- ANL PP, data mnemonic_v := MN_ANL_EXT; multi_cycle_v := true; -- Mnemonic CALL -------------------------------------------------------- when "00010100" | "00110100" | "01010100" | "01110100" | -- CALL addr "10010100" | "10110100" | "11010100" | "11110100" => -- mnemonic_v := MN_CALL; multi_cycle_v := true; -- Mnemonic CLR_A ------------------------------------------------------- when "00100111" => -- CLR A mnemonic_v := MN_CLR_A; -- Mnemonic CLR_C ------------------------------------------------------- when "10010111" => -- CLR C mnemonic_v := MN_CLR_C; -- Mnemonic CLR_F ------------------------------------------------------- when "10000101" | -- CLR F0 "10100101" => mnemonic_v := MN_CLR_F; -- Mnemonic CPL_A ------------------------------------------------------- when "00110111" => -- CPL A mnemonic_v := MN_CPL_A; -- Mnemonic CPL_C ------------------------------------------------------- when "10100111" => -- CPL C mnemonic_v := MN_CPL_C; -- Mnemonic CPL_F ------------------------------------------------------- when "10010101" | -- CPL F0 "10110101" => -- CPL F1 mnemonic_v := MN_CPL_F; -- Mnemonic DA ---------------------------------------------------------- when "01010111" => -- DA D mnemonic_v := MN_DA; -- Mnemonic DEC --------------------------------------------------------- when "11001000" | "11001001" | "11001010" | "11001011" | -- DEC Rr "11001100" | "11001101" | "11001110" | "11001111" | -- "00000111" => -- DEC A mnemonic_v := MN_DEC; -- Mnemonic DIS_EN_I ---------------------------------------------------- when "00010101" | -- DIS I "00000101" => -- EN I mnemonic_v := MN_DIS_EN_I; -- Mnemonic DIS_EN_TCNTI ------------------------------------------------ when "00110101" | -- DIS TCNTI "00100101" => -- EN TCNTI mnemonic_v := MN_DIS_EN_TCNTI; -- Mnemonic DJNZ -------------------------------------------------------- when "11101000" | "11101001" | "11101010" | "11101011" | -- DJNZ Rr, addr "11101100" | "11101101" | "11101110" | "11101111" => -- mnemonic_v := MN_DJNZ; multi_cycle_v := true; -- Mnemonic ENT0_CLK ---------------------------------------------------- when "01110101" => -- ENT0 CLK mnemonic_v := MN_ENT0_CLK; -- Mnemonic IN ---------------------------------------------------------- when "00001001" | "00001010" => -- IN A, Pp mnemonic_v := MN_IN; multi_cycle_v := true; -- Mnemonic INC --------------------------------------------------------- when "00010111" | -- INC A "00011000" | "00011001" | "00011010" | "00011011" | -- INC Rr "00011100" | "00011101" | "00011110" | "00011111" | -- "00010000" | "00010001" => -- INC @ Rr mnemonic_v := MN_INC; -- Mnemonic INS --------------------------------------------------------- when "00001000" => -- INS A, BUS mnemonic_v := MN_INS; multi_cycle_v := true; -- Mnemonic JBB --------------------------------------------------------- when "00010010" | "00110010" | "01010010" | "01110010" | -- JBb addr "10010010" | "10110010" | "11010010" | "11110010" => -- mnemonic_v := MN_JBB; multi_cycle_v := true; -- Mnemonic JC ---------------------------------------------------------- when "11110110" | -- JC addr "11100110" => -- JNC addr mnemonic_v := MN_JC; multi_cycle_v := true; -- Mnemonic JF ---------------------------------------------------------- when "10110110" | -- JF0 addr "01110110" => -- JF1 addr mnemonic_v := MN_JF; multi_cycle_v := true; -- Mnemonic JMP --------------------------------------------------------- when "00000100" | "00100100" | "01000100" | "01100100" | -- JMP addr "10000100" | "10100100" | "11000100" | "11100100" => -- mnemonic_v := MN_JMP; multi_cycle_v := true; -- Mnemonic JMPP -------------------------------------------------------- when "10110011" => -- JMPP @ A mnemonic_v := MN_JMPP; multi_cycle_v := true; -- Mnemonic JNI --------------------------------------------------------- when "10000110" => -- JNI addr mnemonic_v := MN_JNI; multi_cycle_v := true; -- Mnemonic JT ---------------------------------------------------------- when "00100110" | -- JNT0 addr "01000110" | -- JNT1 addr "00110110" | -- JT0 addr "01010110" => -- JT1 addr mnemonic_v := MN_JT; multi_cycle_v := true; -- Mnemonic JTF --------------------------------------------------------- when "00010110" => -- JTF addr mnemonic_v := MN_JTF; multi_cycle_v := true; -- Mnemonic JZ ---------------------------------------------------------- when "10010110" | -- JNZ addr "11000110" => -- JZ addr mnemonic_v := MN_JZ; multi_cycle_v := true; -- Mnemonic MOV_A_DATA -------------------------------------------------- when "00100011" => -- MOV A, data mnemonic_v := MN_MOV_A_DATA; multi_cycle_v := true; -- Mnemonic MOV_A_PSW --------------------------------------------------- when "11000111" => -- MOV A, PSW mnemonic_v := MN_MOV_A_PSW; -- Mnemonic MOV_A_RR ---------------------------------------------------- when "11111000" | "11111001" | "11111010" | "11111011" | -- MOV A, Rr "11111100" | "11111101" | "11111110" | "11111111" | -- "11110000" | "11110001" => -- MOV A, @ Rr mnemonic_v := MN_MOV_A_RR; -- Mnemonic MOV_PSW_A --------------------------------------------------- when "11010111" => -- MOV PSW, A mnemonic_v := MN_MOV_PSW_A; -- Mnemonic MOV_RR ------------------------------------------------------ when "10101000" | "10101001" | "10101010" | "10101011" | -- MOV Rr, A "10101100" | "10101101" | "10101110" | "10101111" | -- "10100000" | "10100001" => -- MOV @ Rr, A mnemonic_v := MN_MOV_RR; -- Mnemonic MOV_RR_DATA ------------------------------------------------- when "10111000" | "10111001" | "10111010" | "10111011" | -- MOV Rr, data "10111100" | "10111101" | "10111110" | "10111111" | -- "10110000" | "10110001" => -- MOV @ Rr, data mnemonic_v := MN_MOV_RR_DATA; multi_cycle_v := true; -- Mnemonic MOV_T ------------------------------------------------------- when "01100010" | -- MOV T, A "01000010" => -- MOV A, T mnemonic_v := MN_MOV_T; -- Mnemonic MOVD_A_PP --------------------------------------------------- when "00001100" | "00001101" | "00001110" | "00001111" => -- MOVD A, Pp mnemonic_v := MN_MOVD_A_PP; multi_cycle_v := true; -- Mnemonic MOVP -------------------------------------------------------- when "10100011" | -- MOVP A, @ A "11100011" => -- MOVP3 A, @ A mnemonic_v := MN_MOVP; multi_cycle_v := true; -- Mnemonic MOVX -------------------------------------------------------- when "10000000" | "10000001" | -- MOVX A, @ Rr "10010000" | "10010001" => -- MOVX @ Rr, A mnemonic_v := MN_MOVX; multi_cycle_v := true; -- Mnemonic NOP --------------------------------------------------------- when "00000000" => -- NOP mnemonic_v := MN_NOP; -- Mnemonic ORL --------------------------------------------------------- when "01001000" | "01001001" | "01001010" | "01001011" | -- ORL A, Rr "01001100" | "01001101" | "01001110" | "01001111" | -- "01000000" | "01000001" => -- ORL A, @ Rr mnemonic_v := MN_ORL; -- Mnemonic ORL_A_DATA -------------------------------------------------- when "01000011" => -- ORL A, data mnemonic_v := MN_ORL_A_DATA; multi_cycle_v := true; -- Mnemonic ORL_EXT ----------------------------------------------------- when "10001000" | -- ORL BUS, data "10001001" | "10001010" => -- ORL Pp, data mnemonic_v := MN_ORL_EXT; multi_cycle_v := true; -- Mnemonic OUTD_PP_A --------------------------------------------------- when "00111100" | "00111101" | "00111110" | "00111111" | -- MOVD Pp, A "10011100" | "10011101" | "10011110" | "10011111" | -- ANLD PP, A "10001100" | "10001101" | "10001110" | "10001111" => -- ORLD Pp, A mnemonic_v := MN_OUTD_PP_A; multi_cycle_v := true; -- Mnemonic OUTL_EXT ---------------------------------------------------- when "00111001" | "00111010" | -- OUTL Pp, A "00000010" => -- OUTL BUS, A mnemonic_v := MN_OUTL_EXT; multi_cycle_v := true; -- Mnemonic RET --------------------------------------------------------- when "10000011" | -- RET "10010011" => -- RETR mnemonic_v := MN_RET; multi_cycle_v := true; -- Mnemonic RL ---------------------------------------------------------- when "11100111" | -- RL A "11110111" => -- RLC A mnemonic_v := MN_RL; -- Mnemonic RR ---------------------------------------------------------- when "01110111" | -- RR A "01100111" => -- RRC A mnemonic_v := MN_RR; -- Mnemonic SEL_MB ------------------------------------------------------ when "11100101" | -- SEL MB0 "11110101" => -- SEL MB1 mnemonic_v := MN_SEL_MB; -- Mnemonic SEL_RB ------------------------------------------------------ when "11000101" | -- SEL RB0 "11010101" => -- SEL RB1 mnemonic_v := MN_SEL_RB; -- Mnemonic STOP_TCNT --------------------------------------------------- when "01100101" => -- STOP TCNT mnemonic_v := MN_STOP_TCNT; -- Mnemonic START ------------------------------------------------------- when "01000101" | -- STRT CNT "01010101" => -- STRT T mnemonic_v := MN_STRT; -- Mnemonic SWAP -------------------------------------------------------- when "01000111" => -- SWAP A mnemonic_v := MN_SWAP; -- Mnemonic XCH --------------------------------------------------------- when "00101000" | "00101001" | "00101010" | "00101011" | -- XCH A, Rr "00101100" | "00101101" | "00101110" | "00101111" | -- "00100000" | "00100001" | -- XCH A, @ Rr "00110000" | "00110001" => -- XCHD A, @ Rr mnemonic_v := MN_XCH; -- Mnemonic XRL --------------------------------------------------------- when "11011000" | "11011001" | "11011010" | "11011011" | -- XRL A, Rr "11011100" | "11011101" | "11011110" | "11011111" | -- "11010000" | "11010001" => -- XRL A, @ Rr mnemonic_v := MN_XRL; -- Mnemonic XRL_A_DATA -------------------------------------------------- when "11010011" => -- XRL A, data mnemonic_v := MN_XRL_A_DATA; multi_cycle_v := true; when others => -- pragma translate_off assert now = 0 ns report "Unknown opcode." severity warning; -- pragma translate_on end case; result_v.mnemonic := mnemonic_v; result_v.multi_cycle := multi_cycle_v; return result_v; end; end t48_decoder_pack; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.3 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.2 2004/03/28 13:09:53 arniml -- merge MN_ANLD, MN_MOVD_PP_A and MN_ORLD_PP_A to OUTLD_PP_A -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
cd036b9e5c87b3d0e00e1db09d4058a7
0.307943
5.161579
false
false
false
false
nulldozer/purisc
Compute_Group/CORE/read_instruction_stage.vhd
1
1,599
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- This stage just updates the PC and requests the proper address from memory. -- Memory sends the result directly to the next stage entity read_instruction_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; start_address : in std_logic_vector(31 downto 0); cbranch : in std_logic; cbranch_addr : in std_logic_vector(31 downto 0); ubranch : in std_logic; ubranch_addr : in std_logic_vector(31 downto 0); --outputs next_pc : out std_logic_vector(31 downto 0); --memory r_addr_inst : out std_logic_vector(31 downto 0) ); end entity; architecture a1 of read_instruction_stage is signal pc : std_logic_vector(31 downto 0); begin with reset_n select r_addr_inst <= pc when '1', start_address when others; process(clk, reset_n, start_address) begin if (reset_n = '0') then pc <= start_address; next_pc <= std_logic_vector(unsigned(start_address)+to_unsigned(3,32)); elsif (rising_edge(clk)) then if(stall = '0') then if (cbranch = '1') then pc <= cbranch_addr; next_pc <= std_logic_vector(unsigned(cbranch_addr) + to_unsigned(3,32)); elsif (ubranch = '1') then pc <= ubranch_addr; next_pc <= std_logic_vector(unsigned(ubranch_addr) + to_unsigned(3,32)); else pc <= std_logic_vector(unsigned(pc) + to_unsigned(3,32)); next_pc <= std_logic_vector(unsigned(pc) + to_unsigned(6,32)); end if; else --hold previous value on stall (automatic) end if; end if; end process; end architecture;
gpl-2.0
8aa7f8f10d1160eac685452f821b59d4
0.665416
2.933945
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_tpg_0_0/sim/tutorial_v_tpg_0_0.vhd
1
11,780
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_tpg:6.0 -- IP Revision: 3 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_tpg_v6_0; USE v_tpg_v6_0.v_tpg; ENTITY tutorial_v_tpg_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; irq : OUT STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END tutorial_v_tpg_0_0; ARCHITECTURE tutorial_v_tpg_0_0_arch OF tutorial_v_tpg_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_tpg_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_tpg IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXIS_VIDEO_TUSER_WIDTH : INTEGER; C_M_AXIS_VIDEO_TUSER_WIDTH : INTEGER; C_S_AXI_ADDR_WIDTH : INTEGER; C_S_AXI_DATA_WIDTH : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_ACTIVE_ROWS : INTEGER; C_ACTIVE_COLS : INTEGER; C_PATTERN_CONTROL : INTEGER; C_MOTION_SPEED : INTEGER; C_CROSS_HAIRS : INTEGER; C_ZPLATE_HOR_CONTROL : INTEGER; C_ZPLATE_VER_CONTROL : INTEGER; C_BOX_SIZE : INTEGER; C_BOX_COLOR : INTEGER; C_STUCK_PIXEL_THRESH : INTEGER; C_NOISE_GAIN : INTEGER; C_BAYER_PHASE : INTEGER; C_HAS_INTC_IF : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_VTIMING : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(15 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; hsync_in : IN STD_LOGIC; hblank_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; vblank_in : IN STD_LOGIC; active_video_in : IN STD_LOGIC ); END COMPONENT v_tpg; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF irq: SIGNAL IS "xilinx.com:signal:interrupt:1.0 irq_intf INTERRUPT"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 s_axi_aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RREADY"; BEGIN U0 : v_tpg GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_TDATA_WIDTH => 16, C_M_AXIS_VIDEO_TDATA_WIDTH => 8, C_S_AXIS_VIDEO_FORMAT => 0, C_M_AXIS_VIDEO_FORMAT => 12, C_S_AXIS_VIDEO_TUSER_WIDTH => 0, C_M_AXIS_VIDEO_TUSER_WIDTH => 1, C_S_AXI_ADDR_WIDTH => 9, C_S_AXI_DATA_WIDTH => 32, C_S_AXI_CLK_FREQ_HZ => 100000000, C_ACTIVE_ROWS => 1080, C_ACTIVE_COLS => 1920, C_PATTERN_CONTROL => 4106, C_MOTION_SPEED => 0, C_CROSS_HAIRS => 6553700, C_ZPLATE_HOR_CONTROL => 30, C_ZPLATE_VER_CONTROL => 1, C_BOX_SIZE => 50, C_BOX_COLOR => 0, C_STUCK_PIXEL_THRESH => 0, C_NOISE_GAIN => 0, C_BAYER_PHASE => 2, C_HAS_INTC_IF => 0, C_HAS_AXI4_LITE => 1, C_HAS_VTIMING => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, irq => irq, s_axis_video_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 16)), s_axis_video_tvalid => '0', s_axis_video_tlast => '0', s_axis_video_tuser => '0', m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser => m_axis_video_tuser, s_axi_aclk => s_axi_aclk, s_axi_aclken => s_axi_aclken, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, hsync_in => '0', hblank_in => '0', vsync_in => '1', vblank_in => '0', active_video_in => '0' ); END tutorial_v_tpg_0_0_arch;
gpl-2.0
12b8e4769d2da3baa99e1a546aa324c6
0.669015
3.227397
false
false
false
false
freecores/t48
rtl/vhdl/p1.vhd
1
5,101
------------------------------------------------------------------------------- -- -- The Port 1 unit. -- Implements the Port 1 logic. -- -- $Id: p1.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; entity t48_p1 is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; write_p1_i : in boolean; read_p1_i : in boolean; read_reg_i : in boolean; -- Port 1 Interface ------------------------------------------------------- p1_i : in word_t; p1_o : out word_t; p1_low_imp_o : out std_logic ); end t48_p1; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; architecture rtl of t48_p1 is -- the port output register signal p1_q : word_t; -- the low impedance marker signal low_imp_q : std_logic; begin ----------------------------------------------------------------------------- -- Process p1_reg -- -- Purpose: -- Implements the port output register. -- p1_reg: process (res_i, clk_i) begin if res_i = res_active_c then p1_q <= (others => '1'); low_imp_q <= '0'; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if write_p1_i then p1_q <= data_i; low_imp_q <= '1'; else low_imp_q <= '0'; end if; end if; end if; end process p1_reg; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process p1_data -- -- Purpose: -- Generates the T48 bus data. -- p1_data: process (read_p1_i, p1_i, read_reg_i, p1_q) begin data_o <= (others => bus_idle_level_c); if read_p1_i then if read_reg_i then data_o <= p1_q; else data_o <= p1_i; end if; end if; end process p1_data; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- p1_o <= p1_q; p1_low_imp_o <= low_imp_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.3 2004/05/17 14:37:53 arniml -- reorder data_o generation -- -- Revision 1.2 2004/03/29 19:39:58 arniml -- rename pX_limp to pX_low_imp -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
cae51e62dbdbe2198d9476b6b07f5eaf
0.516173
4.084067
false
false
false
false
nulldozer/purisc
Compute_Group/Compute_Group.vhd
2
17,780
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity Compute_Group is PORT ( ADDRESS_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); IO_ENABLE : IN STD_LOGIC; DATA_TO_W : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : OUT STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; GLOBAL_EN : OUT STD_LOGIC; IDENT_IN : IN STD_LOGIC_VECTOR (1 DOWNTO 0); DATA_OUT_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); STALL_GLOB : IN STD_LOGIC ); end; architecture cg of Compute_Group is component MAGIC PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; HAZ_GLOB : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end component; component LOAD_BALANCER PORT ( CORE_ID : IN STD_LOGIC; ADDRESS_A_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W_C0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN_C0 : IN STD_LOGIC; ADDRESS_A_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W_C1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN_C1 : IN STD_LOGIC; ADDRESS_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_IO : IN STD_LOGIC_VECTOR (31 DOWNTO 0); IO_ENABLE : IN STD_LOGIC; global_enable : IN STD_LOGIC_VECTOR (5 downto 0); ADDRESS_A_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W_MAG : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN_MAG : OUT STD_LOGIC ); end component; component purisc_core PORT ( clk, reset_n : in std_logic; r_addr_a, r_addr_b, r_addr_c, r_addr_0, r_addr_1 : out std_logic_vector(31 downto 0); w_data, w_addr : out std_logic_vector(31 downto 0); we : out std_logic; stall : in std_logic; id : in std_logic_vector(2 downto 0); r_data_a, r_data_b, r_data_c, r_data_0, r_data_1 : in std_logic_vector(31 downto 0) ); end component; component BIST_core PORT ( clk, reset_n : in std_logic; r_addr_a, r_addr_b, r_addr_c, r_addr_0, r_addr_1 : out std_logic_vector(31 downto 0); w_data, w_addr : out std_logic_vector(31 downto 0); we : out std_logic; stall : in std_logic; id : in std_logic_vector(2 downto 0); r_data_a, r_data_b, r_data_c, r_data_0, r_data_1 : in std_logic_vector(31 downto 0) ); end component; signal address_a_sig : std_logic_vector(31 downto 0); signal address_b_sig : std_logic_vector(31 downto 0); signal address_c_sig : std_logic_vector(31 downto 0); signal address_0_sig : std_logic_vector(31 downto 0); signal address_1_sig : std_logic_vector(31 downto 0); signal address_w_sig : std_logic_vector(31 downto 0); signal data_to_w_sig : std_logic_vector(31 downto 0); signal w_en_sig : std_logic; signal w_en_local : std_logic; signal w_en_global : std_logic; signal address_a_sig_c0 : std_logic_vector(31 downto 0); signal address_b_sig_c0 : std_logic_vector(31 downto 0); signal address_c_sig_c0 : std_logic_vector(31 downto 0); signal address_0_sig_c0 : std_logic_vector(31 downto 0); signal address_1_sig_c0 : std_logic_vector(31 downto 0); signal address_w_sig_c0 : std_logic_vector(31 downto 0); signal data_to_w_sig_c0 : std_logic_vector(31 downto 0); signal w_en_sig_c0 : std_logic; signal address_a_sig_c1 : std_logic_vector(31 downto 0); signal address_b_sig_c1 : std_logic_vector(31 downto 0); signal address_c_sig_c1 : std_logic_vector(31 downto 0); signal address_0_sig_c1 : std_logic_vector(31 downto 0); signal address_1_sig_c1 : std_logic_vector(31 downto 0); signal address_w_sig_c1 : std_logic_vector(31 downto 0); signal data_to_w_sig_c1 : std_logic_vector(31 downto 0); signal w_en_sig_c1 : std_logic; signal data_a : std_logic_vector(31 downto 0); signal data_b : std_logic_vector(31 downto 0); signal data_c : std_logic_vector(31 downto 0); signal data_0 : std_logic_vector(31 downto 0); signal data_1 : std_logic_vector(31 downto 0); signal data_a_final : std_logic_vector(31 downto 0); signal data_b_final : std_logic_vector(31 downto 0); signal data_c_final : std_logic_vector(31 downto 0); signal data_0_final : std_logic_vector(31 downto 0); signal data_1_final : std_logic_vector(31 downto 0); signal data_a_c0_buff : std_logic_vector(31 downto 0); signal data_b_c0_buff : std_logic_vector(31 downto 0); signal data_c_c0_buff : std_logic_vector(31 downto 0); signal data_0_c0_buff : std_logic_vector(31 downto 0); signal data_1_c0_buff : std_logic_vector(31 downto 0); signal data_a_c1 : std_logic_vector(31 downto 0); signal data_b_c1 : std_logic_vector(31 downto 0); signal data_c_c1 : std_logic_vector(31 downto 0); signal data_0_c1 : std_logic_vector(31 downto 0); signal data_1_c1 : std_logic_vector(31 downto 0); signal data_a_c1_buff : std_logic_vector(31 downto 0); signal data_b_c1_buff : std_logic_vector(31 downto 0); signal data_c_c1_buff : std_logic_vector(31 downto 0); signal data_0_c1_buff : std_logic_vector(31 downto 0); signal data_1_c1_buff : std_logic_vector(31 downto 0); signal stall_c0 : std_logic; signal stall_c1 : std_logic; signal stall_c0_io : std_logic; signal stall_c1_io : std_logic; signal core_id : std_logic; signal io_buffer_en : std_logic; signal flags_0 : std_logic_vector(2 downto 0); signal flags_1 : std_logic_vector(2 downto 0); signal global_enable : std_logic_vector(5 downto 0); signal global_enable_delayed : std_logic_vector(5 downto 0); signal global_enable_delayed_2 : std_logic_vector(5 downto 0); signal global_data_buffer_flag_delay : std_logic; signal global_data_buffer_flag : std_logic; signal global_buffer_enable : std_logic; signal global_data_feed_a : std_logic_vector(31 downto 0); signal global_data_feed_b : std_logic_vector(31 downto 0); signal global_data_feed_c : std_logic_vector(31 downto 0); signal global_data_feed_0 : std_logic_vector(31 downto 0); signal global_data_feed_1 : std_logic_vector(31 downto 0); signal global_data_buffer_a : std_logic_vector(31 downto 0); signal global_data_buffer_b : std_logic_vector(31 downto 0); signal global_data_buffer_c : std_logic_vector(31 downto 0); signal global_data_buffer_0 : std_logic_vector(31 downto 0); signal global_data_buffer_1 : std_logic_vector(31 downto 0); signal hold_local : std_logic; signal hazard : std_logic; signal override_global : std_logic; signal startup_hold_1 : std_logic; signal startup_hold_2 : std_logic; signal startup_hold_3 : std_logic; signal startup_hold_4 : std_logic; signal startup_hold_5 : std_logic; signal FUCK : std_logic; signal dick : std_logic; begin core_0 : purisc_core PORT MAP( clk => CLK, reset_n => RESET_n, r_addr_a => address_a_sig_c0, r_addr_b => address_b_sig_c0, r_addr_c => address_c_sig_c0, r_addr_0 => address_0_sig_c0, r_addr_1 => address_1_sig_c0, w_addr => address_w_sig_c0, we => w_en_sig_c0, w_data => data_to_w_sig_c0, stall => stall_c0_io, id => flags_0, r_data_a => data_a_final, r_data_b => data_b_final, r_data_c => data_c_final, r_data_0 => data_0_final, r_data_1 => data_1_final ); core_1 : purisc_core PORT MAP( clk => CLK, reset_n => RESET_n, r_addr_a => address_a_sig_c1, r_addr_b => address_b_sig_c1, r_addr_c => address_c_sig_c1, r_addr_0 => address_0_sig_c1, r_addr_1 => address_1_sig_c1, w_addr => address_w_sig_c1, we => w_en_sig_c1, w_data => data_to_w_sig_c1, stall => stall_c1_io, id => flags_1, r_data_a => data_a_final, r_data_b => data_b_final, r_data_c => data_c_final, r_data_0 => data_0_final, r_data_1 => data_1_final ); cache : MAGIC PORT MAP ( ADDRESS_A => address_a_sig, ADDRESS_B => address_b_sig, ADDRESS_C => address_c_sig, ADDRESS_0 => address_0_sig, ADDRESS_1 => address_1_sig, ADDRESS_W => address_w_sig, DATA_TO_W => data_to_w_sig, W_EN => w_en_local, CLK => CLK, RESET_n => RESET_n, HAZ_GLOB => STALL_GLOB, DATA_OUT_A => data_a, DATA_OUT_B => data_b, DATA_OUT_C => data_c, DATA_OUT_0 => data_0, DATA_OUT_1 => data_1, C0_STALL => stall_c0, C1_STALL => stall_c1, CORE_IDENT => core_id, IO_ENABLE => hold_local ); balance : LOAD_BALANCER PORT MAP( CORE_ID => core_id, ADDRESS_A_C0 => address_a_sig_c0, ADDRESS_B_C0 => address_b_sig_c0, ADDRESS_C_C0 => address_c_sig_c0, ADDRESS_0_C0 => address_0_sig_c0, ADDRESS_1_C0 => address_1_sig_c0, ADDRESS_W_C0 => address_w_sig_c0, DATA_TO_W_C0 => data_to_w_sig_c0, W_EN_C0 => w_en_sig_c0, ADDRESS_A_C1 => address_a_sig_c1, ADDRESS_B_C1 => address_b_sig_c1, ADDRESS_C_C1 => address_c_sig_c1, ADDRESS_0_C1 => address_0_sig_c1, ADDRESS_1_C1 => address_1_sig_c1, ADDRESS_W_C1 => address_w_sig_c1, DATA_TO_W_C1 => data_to_w_sig_c1, W_EN_C1 => w_en_sig_c1, ADDRESS_IO => ADDRESS_IO, DATA_IO => DATA_IO, IO_ENABLE => IO_ENABLE, global_enable => global_enable, ADDRESS_A_MAG => address_a_sig, ADDRESS_B_MAG => address_b_sig, ADDRESS_C_MAG => address_c_sig, ADDRESS_0_MAG => address_0_sig, ADDRESS_1_MAG => address_1_sig, ADDRESS_W_MAG => address_w_sig, DATA_TO_W_MAG => data_to_w_sig, W_EN_MAG => w_en_sig ); process (CLK, RESET_n) begin if (RESET_n = '0') then startup_hold_1 <= '1'; startup_hold_2 <= '1'; startup_hold_3 <= '1'; startup_hold_4 <= '1'; startup_hold_5 <= '1'; elsif (rising_edge(CLK)) then startup_hold_1 <= not RESET_n; startup_hold_2 <= startup_hold_1; startup_hold_3 <= startup_hold_2; startup_hold_4 <= startup_hold_3; startup_hold_5 <= startup_hold_4; end if; end process; process (CLK, RESET_n) begin if (RESET_n = '0') then override_global <= '1'; elsif (rising_edge(CLK)) then if ((STALL_GLOB = '0') and (hazard = '1')) then override_global <= '0'; else override_global <= '1'; end if; end if; end process; process (CLK, RESET_n) begin if (RESET_n = '0') then global_enable_delayed <= "000000"; global_enable_delayed_2 <= "000000"; elsif (rising_edge(CLK)) then global_enable_delayed_2 <= global_enable_delayed; if ((stall_c0_io and stall_c1_io) = '0') then --OR STALL GLOBAL = 0 global_enable_delayed <= global_enable; end if; end if; end process; process (CLK, RESET_n) begin if (RESET_n = '0') then global_data_buffer_flag_delay <= '0'; --FUCK <= '0'; elsif (rising_edge(CLK)) then global_data_buffer_flag_delay <= global_data_buffer_flag; --FUCK <= dick; end if; end process; global_buffer_enable <= (global_data_buffer_flag_delay xor global_data_buffer_flag) and global_data_buffer_flag; process (CLK, RESET_n) begin if (RESET_n = '0') then global_data_buffer_a <= "00000000000000000000000000000000"; global_data_buffer_b <= "00000000000000000000000000000000"; global_data_buffer_c <= "00000000000000000000000000000000"; global_data_buffer_0 <= "00000000000000000000000000000000"; global_data_buffer_1 <= "00000000000000000000000000000000"; elsif (rising_edge(CLK)) then if (global_buffer_enable = '1') then global_data_buffer_a <= DATA_OUT_A; global_data_buffer_b <= DATA_OUT_B; global_data_buffer_c <= DATA_OUT_C; global_data_buffer_0 <= DATA_OUT_0; global_data_buffer_1 <= DATA_OUT_1; end if; end if; end process; process (global_enable, global_enable_delayed, global_enable_delayed_2, DATA_OUT_A, DATA_OUT_B, DATA_OUT_C, DATA_OUT_0, DATA_OUT_1, global_data_buffer_a, global_data_buffer_b, global_data_buffer_c, global_data_buffer_0, global_data_buffer_1, hazard) begin if ((global_enable_delayed = global_enable_delayed_2) and ((global_enable(5) or global_enable(4) or global_enable(3) or global_enable(2) or global_enable(1) or global_enable(0)) = '1')) then global_data_buffer_flag <= hazard; global_data_feed_a <= global_data_buffer_a; global_data_feed_b <= global_data_buffer_b; global_data_feed_c <= global_data_buffer_c; global_data_feed_0 <= global_data_buffer_0; global_data_feed_1 <= global_data_buffer_1; else global_data_buffer_flag <= '0'; global_data_feed_a <= DATA_OUT_A; global_data_feed_b <= DATA_OUT_B; global_data_feed_c <= DATA_OUT_C; global_data_feed_0 <= DATA_OUT_0; global_data_feed_1 <= DATA_OUT_1; end if; end process; process (global_enable_delayed, data_a, data_b, data_c, data_0, data_1, DATA_OUT_A, DATA_OUT_B, DATA_OUT_C, DATA_OUT_0, DATA_OUT_1, global_data_feed_a, global_data_feed_b, global_data_feed_c, global_data_feed_0, global_data_feed_1) begin if(global_enable_delayed(5) = '1') then data_a_final <= global_data_feed_a; else data_a_final <= data_a; end if; if(global_enable_delayed(4) = '1') then data_b_final <= global_data_feed_b; else data_b_final <= data_b; end if; if(global_enable_delayed(3) = '1') then data_c_final <= global_data_feed_c; else data_c_final <= data_c; end if; if(global_enable_delayed(2) = '1') then data_0_final <= global_data_feed_0; else data_0_final <= data_0; end if; if(global_enable_delayed(1) = '1') then data_1_final <= global_data_feed_1; else data_1_final <= data_1; end if; end process; flags_0 <= IDENT_IN & '0'; flags_1 <= IDENT_IN & '1'; ADDRESS_A <= address_a_sig; ADDRESS_B <= address_b_sig; ADDRESS_C <= address_c_sig; ADDRESS_0 <= address_0_sig; ADDRESS_1 <= address_1_sig; ADDRESS_W <= address_w_sig; DATA_TO_W <= data_to_w_sig; W_EN <= w_en_global; stall_c0_io <= (stall_c0 or IO_ENABLE or STALL_GLOB or startup_hold_5); stall_c1_io <= (stall_c1 or IO_ENABLE or STALL_GLOB or startup_hold_5); global_enable(5) <= address_a_sig(14) or address_a_sig(13) or address_a_sig(15); --a global_enable(4) <= address_b_sig(14) or address_b_sig(13) or address_a_sig(15); --b global_enable(3) <= address_c_sig(14) or address_c_sig(13) or address_a_sig(15); --c global_enable(2) <= address_0_sig(14) or address_0_sig(13) or address_a_sig(15); --0 global_enable(1) <= address_1_sig(14) or address_1_sig(13) or address_a_sig(15); --1 global_enable(0) <= address_w_sig(14) or address_w_sig(13) or address_a_sig(15); --w GLOBAL_EN <= dick; dick <= (global_enable(5) or global_enable(4) or global_enable(3) or global_enable(2) or global_enable(1) or global_enable(0)) and override_global; w_en_local <= w_en_sig and (not global_enable(0)); w_en_global <= w_en_sig and (global_enable(0)); hold_local <= IO_ENABLE or STALL_GLOB; hazard <= stall_c0_io and stall_c1_io; end;
gpl-2.0
711140e6f08f3dca9180390b30c5ecb4
0.604106
2.732442
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/common_28nm_ram_block/_primary.vhd
5
9,979
library verilog; use verilog.vl_types.all; entity common_28nm_ram_block is generic( operation_mode : string := "single_port"; mixed_port_feed_through_mode: string := "dont_care"; init_file_layout: string := "none"; ecc_pipeline_stage_enabled: string := "false"; enable_ecc : string := "false"; width_eccstatus : integer := 2; port_a_first_address: integer := 0; port_a_last_address: integer := 0; port_a_data_out_clear: string := "none"; port_a_data_out_clock: string := "none"; port_a_data_width: integer := 1; port_a_address_width: integer := 1; port_a_byte_enable_mask_width: integer := 1; port_b_first_address: integer := 0; port_b_last_address: integer := 0; port_b_address_clear: string := "none"; port_b_data_out_clear: string := "none"; port_b_data_in_clock: string := "clock1"; port_b_address_clock: string := "clock1"; port_b_write_enable_clock: string := "clock1"; port_b_read_enable_clock: string := "clock1"; port_b_byte_enable_clock: string := "clock1"; port_b_data_out_clock: string := "none"; port_b_data_width: integer := 1; port_b_address_width: integer := 1; port_b_byte_enable_mask_width: integer := 1; power_up_uninitialized: string := "false"; mem_init0 : string := ""; mem_init1 : string := ""; mem_init2 : string := ""; mem_init3 : string := ""; mem_init4 : string := ""; mem_init5 : string := ""; mem_init6 : string := ""; mem_init7 : string := ""; mem_init8 : string := ""; mem_init9 : string := ""; clk0_input_clock_enable: string := "none"; clk0_core_clock_enable: string := "none"; clk0_output_clock_enable: string := "none"; clk1_input_clock_enable: string := "none"; clk1_core_clock_enable: string := "none"; clk1_output_clock_enable: string := "none"; bist_ena : string := "false"; port_a_address_clear: string := "none"; port_a_write_enable_clock: string := "clock0"; port_a_read_enable_clock: string := "clock0"; primary_port_is_a: vl_notype; primary_port_is_b: vl_notype; mode_is_dp : vl_notype; mode_is_sp : vl_notype; mode_is_rom : vl_notype; mode_is_bdp : vl_notype; mode_is_rom_or_sp: vl_notype; mixed_port_rdw_is_dont_care: vl_notype; out_a_is_reg : vl_notype; out_b_is_reg : vl_notype; data_width : vl_notype; data_unit_width : vl_notype; address_width : vl_notype; address_unit_width: vl_notype; wired_mode : vl_notype; num_rows : vl_notype; num_cols : vl_notype; mask_width_prime: vl_notype; mask_width_sec : vl_notype; byte_size_a : vl_notype; byte_size_b : vl_notype; dual_clock : vl_notype; hw_write_mode_a : vl_notype; hw_write_mode_b : vl_notype; delay_write_pulse_a: vl_notype; delay_write_pulse_b: vl_notype ); port( portadatain : in vl_logic_vector; portaaddr : in vl_logic_vector; portawe : in vl_logic; portare : in vl_logic; portbdatain : in vl_logic_vector; portbaddr : in vl_logic_vector; portbwe : in vl_logic; portbre : in vl_logic; clk0 : in vl_logic; clk1 : in vl_logic; ena0 : in vl_logic; ena1 : in vl_logic; ena2 : in vl_logic; ena3 : in vl_logic; clr0 : in vl_logic; clr1 : in vl_logic; nerror : in vl_logic; portabyteenamasks: in vl_logic_vector; portbbyteenamasks: in vl_logic_vector; portaaddrstall : in vl_logic; portbaddrstall : in vl_logic; devclrn : in vl_logic; devpor : in vl_logic; eccstatus : out vl_logic_vector; portadataout : out vl_logic_vector; portbdataout : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of operation_mode : constant is 1; attribute mti_svvh_generic_type of mixed_port_feed_through_mode : constant is 1; attribute mti_svvh_generic_type of init_file_layout : constant is 1; attribute mti_svvh_generic_type of ecc_pipeline_stage_enabled : constant is 1; attribute mti_svvh_generic_type of enable_ecc : constant is 1; attribute mti_svvh_generic_type of width_eccstatus : constant is 1; attribute mti_svvh_generic_type of port_a_first_address : constant is 1; attribute mti_svvh_generic_type of port_a_last_address : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_a_data_width : constant is 1; attribute mti_svvh_generic_type of port_a_address_width : constant is 1; attribute mti_svvh_generic_type of port_a_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of port_b_first_address : constant is 1; attribute mti_svvh_generic_type of port_b_last_address : constant is 1; attribute mti_svvh_generic_type of port_b_address_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_in_clock : constant is 1; attribute mti_svvh_generic_type of port_b_address_clock : constant is 1; attribute mti_svvh_generic_type of port_b_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_read_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_width : constant is 1; attribute mti_svvh_generic_type of port_b_address_width : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of power_up_uninitialized : constant is 1; attribute mti_svvh_generic_type of mem_init0 : constant is 1; attribute mti_svvh_generic_type of mem_init1 : constant is 1; attribute mti_svvh_generic_type of mem_init2 : constant is 1; attribute mti_svvh_generic_type of mem_init3 : constant is 1; attribute mti_svvh_generic_type of mem_init4 : constant is 1; attribute mti_svvh_generic_type of mem_init5 : constant is 1; attribute mti_svvh_generic_type of mem_init6 : constant is 1; attribute mti_svvh_generic_type of mem_init7 : constant is 1; attribute mti_svvh_generic_type of mem_init8 : constant is 1; attribute mti_svvh_generic_type of mem_init9 : constant is 1; attribute mti_svvh_generic_type of clk0_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of bist_ena : constant is 1; attribute mti_svvh_generic_type of port_a_address_clear : constant is 1; attribute mti_svvh_generic_type of port_a_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_a_read_enable_clock : constant is 1; attribute mti_svvh_generic_type of primary_port_is_a : constant is 3; attribute mti_svvh_generic_type of primary_port_is_b : constant is 3; attribute mti_svvh_generic_type of mode_is_dp : constant is 3; attribute mti_svvh_generic_type of mode_is_sp : constant is 3; attribute mti_svvh_generic_type of mode_is_rom : constant is 3; attribute mti_svvh_generic_type of mode_is_bdp : constant is 3; attribute mti_svvh_generic_type of mode_is_rom_or_sp : constant is 3; attribute mti_svvh_generic_type of mixed_port_rdw_is_dont_care : constant is 3; attribute mti_svvh_generic_type of out_a_is_reg : constant is 3; attribute mti_svvh_generic_type of out_b_is_reg : constant is 3; attribute mti_svvh_generic_type of data_width : constant is 3; attribute mti_svvh_generic_type of data_unit_width : constant is 3; attribute mti_svvh_generic_type of address_width : constant is 3; attribute mti_svvh_generic_type of address_unit_width : constant is 3; attribute mti_svvh_generic_type of wired_mode : constant is 3; attribute mti_svvh_generic_type of num_rows : constant is 3; attribute mti_svvh_generic_type of num_cols : constant is 3; attribute mti_svvh_generic_type of mask_width_prime : constant is 3; attribute mti_svvh_generic_type of mask_width_sec : constant is 3; attribute mti_svvh_generic_type of byte_size_a : constant is 3; attribute mti_svvh_generic_type of byte_size_b : constant is 3; attribute mti_svvh_generic_type of dual_clock : constant is 3; attribute mti_svvh_generic_type of hw_write_mode_a : constant is 3; attribute mti_svvh_generic_type of hw_write_mode_b : constant is 3; attribute mti_svvh_generic_type of delay_write_pulse_a : constant is 3; attribute mti_svvh_generic_type of delay_write_pulse_b : constant is 3; end common_28nm_ram_block;
mit
2ad2519e0b2a814ad555e5f607591969
0.627618
3.438663
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_delay_GNVJUPFOX3.vhd
4
1,062
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNVJUPFOX3 is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "000000000000000000000000"; width : positive := 24); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNVJUPFOX3 is Begin -- Delay Element Delay1i : alt_dspbuilder_SDelay generic map ( LPM_WIDTH => 24, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
mit
52c5a0736a48b00a4a37f049f59c84e6
0.635593
3.008499
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/ECC.vhd
1
924
library IEEE; use IEEE.STD_LOGIC_1164.all; entity ECC is port( voted_data_out : in STD_LOGIC_VECTOR(7 downto 0); status_out : in STD_LOGIC_VECTOR(2 downto 0); ECC_signal : out STD_LOGIC_VECTOR(3 downto 0) := "0000" ); end ECC; architecture ECC of ECC is -- Add aliases for easiers treatment of data alias a is voted_data_out(0); alias b is voted_data_out(1); alias c is voted_data_out(2); alias d is voted_data_out(3); alias e is voted_data_out(4); alias f is voted_data_out(5); alias g is voted_data_out(6); alias h is voted_data_out(7); alias i is status_out(0); alias j is status_out(1); alias k is status_out(2); begin ECC_signal(0) <= a xor b xor d xor e xor g xor i xor k; --parity1 ECC_signal(1) <= a xor c xor d xor f xor g xor j xor k; --parity2 ECC_signal(2) <= b xor c xor d xor h xor i xor j xor k; --parity3 ECC_signal(3) <= e xor f xor g xor h xor i xor j xor k; --parity4 end ECC;
apache-2.0
13ca55e01a4324dd87f7ceea10dbb3b4
0.669913
2.733728
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Practica/Contador binario vhdl/clock_synthesis.vhd
1
1,935
-------------------------------------------------------------------------------- -- Copyright (c) 1995-2005 Xilinx, Inc. All rights reserved. -------------------------------------------------------------------------------- -- ____ ____ -- / /\/ / -- /___/ \ / Vendor: Xilinx -- \ \ \/ Version: H.42 -- \ \ Application: netgen -- / / Filename: clock_synthesis.vhd -- /___/ /\ Timestamp: Tue Sep 13 14:22:03 2011 -- \ \ / \ -- \___\/\___\ -- -- Command : -intstyle ise -ar Structure -w -ofmt vhdl -sim clock.ngc clock_synthesis.vhd -- Device : xc3s200-5-ft256 -- Input file : clock.ngc -- Output file : clock_synthesis.vhd -- # of Entities : 1 -- Design Name : clock -- Xilinx : C:/Xilinx -- -- Purpose: -- This VHDL netlist is a verification model and uses simulation -- primitives which may not represent the true implementation of the -- device, however the netlist is functionally correct and should not -- be modified. This file cannot be synthesized and should only be used -- with supported simulation tools. -- -- Reference: -- Development System Reference Guide, Chapter 23 -- Synthesis and Verification Design Guide, Chapter 6 -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; library UNISIM; use UNISIM.VCOMPONENTS.ALL; entity clock is port ( clk : in STD_LOGIC := 'X'; salida : out STD_LOGIC ); end clock; architecture Structure of clock is signal clk_IBUF : STD_LOGIC; signal salida_OBUF : STD_LOGIC; begin salida_OBUF_0 : OBUF port map ( I => salida_OBUF, O => salida ); salida1_INV_0 : INV port map ( I => clk_IBUF, O => salida_OBUF ); clk_IBUF_1 : IBUF port map ( I => clk, O => clk_IBUF ); end Structure;
gpl-3.0
61faae6d152633e40d0f4b0756e71b36
0.505426
3.981481
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_cyclonev_pll/_primary.vhd
5
37,707
library verilog; use verilog.vl_types.all; entity altera_cyclonev_pll is generic( number_of_counters: integer := 9; number_of_fplls : integer := 1; number_of_extclks: integer := 2; number_of_dlls : integer := 1; number_of_lvds : integer := 2; pll_auto_clk_sw_en_0: string := "false"; pll_clk_loss_edge_0: string := "both_edges"; pll_clk_loss_sw_en_0: string := "false"; pll_clk_sw_dly_0: integer := 0; pll_clkin_0_src_0: string := "clk_0"; pll_clkin_1_src_0: string := "clk_0"; pll_manu_clk_sw_en_0: string := "false"; pll_sw_refclk_src_0: string := "clk_0"; pll_auto_clk_sw_en_1: string := "false"; pll_clk_loss_edge_1: string := "both_edges"; pll_clk_loss_sw_en_1: string := "false"; pll_clk_sw_dly_1: integer := 0; pll_clkin_0_src_1: string := "clk_1"; pll_clkin_1_src_1: string := "clk_1"; pll_manu_clk_sw_en_1: string := "false"; pll_sw_refclk_src_1: string := "clk_1"; pll_output_clock_frequency_0: string := "700.0 MHz"; reference_clock_frequency_0: string := "700.0 MHz"; mimic_fbclk_type_0: string := "gclk"; dsm_accumulator_reset_value_0: integer := 0; forcelock_0 : string := "false"; nreset_invert_0 : string := "false"; pll_atb_0 : integer := 0; pll_bwctrl_0 : integer := 1000; pll_cmp_buf_dly_0: string := "0 ps"; pll_cp_comp_0 : string := "true"; pll_cp_current_0: integer := 20; pll_ctrl_override_setting_0: string := "true"; pll_dsm_dither_0: string := "disable"; pll_dsm_out_sel_0: string := "disable"; pll_dsm_reset_0 : string := "false"; pll_ecn_bypass_0: string := "false"; pll_ecn_test_en_0: string := "false"; pll_enable_0 : string := "true"; pll_fbclk_mux_1_0: string := "fb"; pll_fbclk_mux_2_0: string := "m_cnt"; pll_fractional_carry_out_0: integer := 24; pll_fractional_division_0: integer := 1; pll_fractional_value_ready_0: string := "true"; pll_lf_testen_0 : string := "false"; pll_lock_fltr_cfg_0: integer := 25; pll_lock_fltr_test_0: string := "false"; pll_m_cnt_bypass_en_0: string := "false"; pll_m_cnt_coarse_dly_0: string := "0 ps"; pll_m_cnt_fine_dly_0: string := "0 ps"; pll_m_cnt_hi_div_0: integer := 3; pll_m_cnt_in_src_0: string := "ph_mux_clk"; pll_m_cnt_lo_div_0: integer := 3; pll_m_cnt_odd_div_duty_en_0: string := "false"; pll_m_cnt_ph_mux_prst_0: integer := 0; pll_m_cnt_prst_0: integer := 256; pll_n_cnt_bypass_en_0: string := "true"; pll_n_cnt_coarse_dly_0: string := "0 ps"; pll_n_cnt_fine_dly_0: string := "0 ps"; pll_n_cnt_hi_div_0: integer := 1; pll_n_cnt_lo_div_0: integer := 1; pll_n_cnt_odd_div_duty_en_0: string := "false"; pll_ref_buf_dly_0: string := "0 ps"; pll_reg_boost_0 : integer := 0; pll_regulator_bypass_0: string := "false"; pll_ripplecap_ctrl_0: integer := 0; pll_slf_rst_0 : string := "false"; pll_tclk_mux_en_0: string := "false"; pll_tclk_sel_0 : string := "n_src"; pll_test_enable_0: string := "false"; pll_testdn_enable_0: string := "false"; pll_testup_enable_0: string := "false"; pll_unlock_fltr_cfg_0: integer := 1; pll_vco_div_0 : integer := 0; pll_vco_ph0_en_0: string := "true"; pll_vco_ph1_en_0: string := "true"; pll_vco_ph2_en_0: string := "true"; pll_vco_ph3_en_0: string := "true"; pll_vco_ph4_en_0: string := "true"; pll_vco_ph5_en_0: string := "true"; pll_vco_ph6_en_0: string := "true"; pll_vco_ph7_en_0: string := "true"; pll_vctrl_test_voltage_0: integer := 750; vccd0g_atb_0 : string := "disable"; vccd0g_output_0 : integer := 0; vccd1g_atb_0 : string := "disable"; vccd1g_output_0 : integer := 0; vccm1g_tap_0 : integer := 2; vccr_pd_0 : string := "false"; vcodiv_override_0: string := "false"; sim_use_fast_model_0: string := "false"; output_clock_frequency_0: string := "100.0 MHz"; enable_output_counter_0: string := "true"; phase_shift_0 : string := "0 ps"; duty_cycle_0 : integer := 50; c_cnt_coarse_dly_0: string := "0 ps"; c_cnt_fine_dly_0: string := "0 ps"; c_cnt_in_src_0 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_0: integer := 0; c_cnt_prst_0 : integer := 1; cnt_fpll_src_0 : string := "fpll_0"; dprio0_cnt_bypass_en_0: string := "true"; dprio0_cnt_hi_div_0: integer := 3; dprio0_cnt_lo_div_0: integer := 3; dprio0_cnt_odd_div_even_duty_en_0: string := "false"; dprio1_cnt_bypass_en_0: vl_notype; dprio1_cnt_hi_div_0: vl_notype; dprio1_cnt_lo_div_0: vl_notype; dprio1_cnt_odd_div_even_duty_en_0: vl_notype; output_clock_frequency_1: string := "0 ps"; enable_output_counter_1: string := "true"; phase_shift_1 : string := "0 ps"; duty_cycle_1 : integer := 50; c_cnt_coarse_dly_1: string := "0 ps"; c_cnt_fine_dly_1: string := "0 ps"; c_cnt_in_src_1 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_1: integer := 0; c_cnt_prst_1 : integer := 1; cnt_fpll_src_1 : string := "fpll_0"; dprio0_cnt_bypass_en_1: string := "true"; dprio0_cnt_hi_div_1: integer := 2; dprio0_cnt_lo_div_1: integer := 1; dprio0_cnt_odd_div_even_duty_en_1: string := "true"; dprio1_cnt_bypass_en_1: vl_notype; dprio1_cnt_hi_div_1: vl_notype; dprio1_cnt_lo_div_1: vl_notype; dprio1_cnt_odd_div_even_duty_en_1: vl_notype; output_clock_frequency_2: string := "0 ps"; enable_output_counter_2: string := "true"; phase_shift_2 : string := "0 ps"; duty_cycle_2 : integer := 50; c_cnt_coarse_dly_2: string := "0 ps"; c_cnt_fine_dly_2: string := "0 ps"; c_cnt_in_src_2 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_2: integer := 0; c_cnt_prst_2 : integer := 1; cnt_fpll_src_2 : string := "fpll_0"; dprio0_cnt_bypass_en_2: string := "true"; dprio0_cnt_hi_div_2: integer := 1; dprio0_cnt_lo_div_2: integer := 1; dprio0_cnt_odd_div_even_duty_en_2: string := "false"; dprio1_cnt_bypass_en_2: vl_notype; dprio1_cnt_hi_div_2: vl_notype; dprio1_cnt_lo_div_2: vl_notype; dprio1_cnt_odd_div_even_duty_en_2: vl_notype; output_clock_frequency_3: string := "0 ps"; enable_output_counter_3: string := "true"; phase_shift_3 : string := "0 ps"; duty_cycle_3 : integer := 50; c_cnt_coarse_dly_3: string := "0 ps"; c_cnt_fine_dly_3: string := "0 ps"; c_cnt_in_src_3 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_3: integer := 0; c_cnt_prst_3 : integer := 1; cnt_fpll_src_3 : string := "fpll_0"; dprio0_cnt_bypass_en_3: string := "false"; dprio0_cnt_hi_div_3: integer := 1; dprio0_cnt_lo_div_3: integer := 1; dprio0_cnt_odd_div_even_duty_en_3: string := "false"; dprio1_cnt_bypass_en_3: vl_notype; dprio1_cnt_hi_div_3: vl_notype; dprio1_cnt_lo_div_3: vl_notype; dprio1_cnt_odd_div_even_duty_en_3: vl_notype; output_clock_frequency_4: string := "0 ps"; enable_output_counter_4: string := "true"; phase_shift_4 : string := "0 ps"; duty_cycle_4 : integer := 50; c_cnt_coarse_dly_4: string := "0 ps"; c_cnt_fine_dly_4: string := "0 ps"; c_cnt_in_src_4 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_4: integer := 0; c_cnt_prst_4 : integer := 1; cnt_fpll_src_4 : string := "fpll_0"; dprio0_cnt_bypass_en_4: string := "false"; dprio0_cnt_hi_div_4: integer := 1; dprio0_cnt_lo_div_4: integer := 1; dprio0_cnt_odd_div_even_duty_en_4: string := "false"; dprio1_cnt_bypass_en_4: vl_notype; dprio1_cnt_hi_div_4: vl_notype; dprio1_cnt_lo_div_4: vl_notype; dprio1_cnt_odd_div_even_duty_en_4: vl_notype; output_clock_frequency_5: string := "0 ps"; enable_output_counter_5: string := "true"; phase_shift_5 : string := "0 ps"; duty_cycle_5 : integer := 50; c_cnt_coarse_dly_5: string := "0 ps"; c_cnt_fine_dly_5: string := "0 ps"; c_cnt_in_src_5 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_5: integer := 0; c_cnt_prst_5 : integer := 1; cnt_fpll_src_5 : string := "fpll_0"; dprio0_cnt_bypass_en_5: string := "false"; dprio0_cnt_hi_div_5: integer := 1; dprio0_cnt_lo_div_5: integer := 1; dprio0_cnt_odd_div_even_duty_en_5: string := "false"; dprio1_cnt_bypass_en_5: vl_notype; dprio1_cnt_hi_div_5: vl_notype; dprio1_cnt_lo_div_5: vl_notype; dprio1_cnt_odd_div_even_duty_en_5: vl_notype; output_clock_frequency_6: string := "0 ps"; enable_output_counter_6: string := "true"; phase_shift_6 : string := "0 ps"; duty_cycle_6 : integer := 50; c_cnt_coarse_dly_6: string := "0 ps"; c_cnt_fine_dly_6: string := "0 ps"; c_cnt_in_src_6 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_6: integer := 0; c_cnt_prst_6 : integer := 1; cnt_fpll_src_6 : string := "fpll_0"; dprio0_cnt_bypass_en_6: string := "false"; dprio0_cnt_hi_div_6: integer := 1; dprio0_cnt_lo_div_6: integer := 1; dprio0_cnt_odd_div_even_duty_en_6: string := "false"; dprio1_cnt_bypass_en_6: vl_notype; dprio1_cnt_hi_div_6: vl_notype; dprio1_cnt_lo_div_6: vl_notype; dprio1_cnt_odd_div_even_duty_en_6: vl_notype; output_clock_frequency_7: string := "0 ps"; enable_output_counter_7: string := "true"; phase_shift_7 : string := "0 ps"; duty_cycle_7 : integer := 50; c_cnt_coarse_dly_7: string := "0 ps"; c_cnt_fine_dly_7: string := "0 ps"; c_cnt_in_src_7 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_7: integer := 0; c_cnt_prst_7 : integer := 1; cnt_fpll_src_7 : string := "fpll_0"; dprio0_cnt_bypass_en_7: string := "false"; dprio0_cnt_hi_div_7: integer := 1; dprio0_cnt_lo_div_7: integer := 1; dprio0_cnt_odd_div_even_duty_en_7: string := "false"; dprio1_cnt_bypass_en_7: vl_notype; dprio1_cnt_hi_div_7: vl_notype; dprio1_cnt_lo_div_7: vl_notype; dprio1_cnt_odd_div_even_duty_en_7: vl_notype; output_clock_frequency_8: string := "0 ps"; enable_output_counter_8: string := "true"; phase_shift_8 : string := "0 ps"; duty_cycle_8 : integer := 50; c_cnt_coarse_dly_8: string := "0 ps"; c_cnt_fine_dly_8: string := "0 ps"; c_cnt_in_src_8 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_8: integer := 0; c_cnt_prst_8 : integer := 1; cnt_fpll_src_8 : string := "fpll_0"; dprio0_cnt_bypass_en_8: string := "false"; dprio0_cnt_hi_div_8: integer := 1; dprio0_cnt_lo_div_8: integer := 1; dprio0_cnt_odd_div_even_duty_en_8: string := "false"; dprio1_cnt_bypass_en_8: vl_notype; dprio1_cnt_hi_div_8: vl_notype; dprio1_cnt_lo_div_8: vl_notype; dprio1_cnt_odd_div_even_duty_en_8: vl_notype; dpa_output_clock_frequency_0: string := "0 ps"; pll_vcoph_div_0 : integer := 1; enable_extclk_output_0: string := "false"; pll_extclk_cnt_src_0: string := "m0_cnt"; pll_extclk_enable_0: string := "true"; pll_extclk_invert_0: string := "false"; enable_extclk_output_1: string := "false"; pll_extclk_cnt_src_1: string := "vss"; pll_extclk_enable_1: string := "true"; pll_extclk_invert_1: string := "false"; enable_dll_output_0: string := "false"; pll_dll_src_value_0: string := "vss"; enable_lvds_output_0: string := "false"; pll_loaden_coarse_dly_0: string := "0 ps"; pll_loaden_enable_disable_0: string := "true"; pll_loaden_fine_dly_0: string := "0 ps"; pll_lvdsclk_coarse_dly_0: string := "0 ps"; pll_lvdsclk_enable_disable_0: string := "true"; pll_lvdsclk_fine_dly_0: string := "0 ps"; enable_lvds_output_1: string := "false"; pll_loaden_coarse_dly_1: string := "0 ps"; pll_loaden_enable_disable_1: string := "true"; pll_loaden_fine_dly_1: string := "0 ps"; pll_lvdsclk_coarse_dly_1: string := "0 ps"; pll_lvdsclk_enable_disable_1: string := "true"; pll_lvdsclk_fine_dly_1: string := "0 ps" ); port( phout_0 : out vl_logic_vector(7 downto 0); adjpllin : in vl_logic_vector; cclk : in vl_logic_vector; coreclkin : in vl_logic_vector; extswitch : in vl_logic_vector; iqtxrxclkin : in vl_logic_vector; plliqclkin : in vl_logic_vector; rxiqclkin : in vl_logic_vector; clkin : in vl_logic_vector(3 downto 0); refiqclk_0 : in vl_logic_vector(1 downto 0); refiqclk_1 : in vl_logic_vector(1 downto 0); clk0bad : out vl_logic_vector; clk1bad : out vl_logic_vector; pllclksel : out vl_logic_vector; atpgmode : in vl_logic_vector; clk : in vl_logic_vector; fpllcsrtest : in vl_logic_vector; iocsrclkin : in vl_logic_vector; iocsrdatain : in vl_logic_vector; iocsren : in vl_logic_vector; iocsrrstn : in vl_logic_vector; mdiodis : in vl_logic_vector; phaseen : in vl_logic_vector; read : in vl_logic_vector; rstn : in vl_logic_vector; scanen : in vl_logic_vector; sershiftload : in vl_logic_vector; shiftdonei : in vl_logic_vector; updn : in vl_logic_vector; write : in vl_logic_vector; addr_0 : in vl_logic_vector(5 downto 0); byteen_0 : in vl_logic_vector(1 downto 0); cntsel_0 : in vl_logic_vector(4 downto 0); din_0 : in vl_logic_vector(15 downto 0); blockselect : out vl_logic_vector; iocsrdataout : out vl_logic_vector; iocsrenbuf : out vl_logic_vector; iocsrrstnbuf : out vl_logic_vector; phasedone : out vl_logic_vector; dout_0 : out vl_logic_vector(15 downto 0); dprioout_0 : out vl_logic_vector(815 downto 0); fbclkfpll : in vl_logic_vector; lvdfbin : in vl_logic_vector; nresync : in vl_logic_vector; pfden : in vl_logic_vector; shiften_fpll : in vl_logic_vector; zdb : in vl_logic_vector; fblvdsout : out vl_logic_vector; lock : out vl_logic_vector; mcntout : out vl_logic_vector; plniotribuf : out vl_logic_vector; clken : in vl_logic_vector; extclk : out vl_logic_vector; dll_clkin : in vl_logic_vector; clkout : out vl_logic_vector; loaden : out vl_logic_vector; lvdsclk : out vl_logic_vector; divclk : out vl_logic_vector; cascade_out : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of number_of_counters : constant is 1; attribute mti_svvh_generic_type of number_of_fplls : constant is 1; attribute mti_svvh_generic_type of number_of_extclks : constant is 1; attribute mti_svvh_generic_type of number_of_dlls : constant is 1; attribute mti_svvh_generic_type of number_of_lvds : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type_0 : constant is 1; attribute mti_svvh_generic_type of dsm_accumulator_reset_value_0 : constant is 1; attribute mti_svvh_generic_type of forcelock_0 : constant is 1; attribute mti_svvh_generic_type of nreset_invert_0 : constant is 1; attribute mti_svvh_generic_type of pll_atb_0 : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_cmp_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_comp_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_current_0 : constant is 1; attribute mti_svvh_generic_type of pll_ctrl_override_setting_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_dither_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_reset_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_test_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_carry_out_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_value_ready_0 : constant is 1; attribute mti_svvh_generic_type of pll_lf_testen_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_test_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_ref_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_reg_boost_0 : constant is 1; attribute mti_svvh_generic_type of pll_regulator_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ripplecap_ctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_slf_rst_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_mux_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_test_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testdn_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testup_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_unlock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vctrl_test_voltage_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccm1g_tap_0 : constant is 1; attribute mti_svvh_generic_type of vccr_pd_0 : constant is 1; attribute mti_svvh_generic_type of vcodiv_override_0 : constant is 1; attribute mti_svvh_generic_type of sim_use_fast_model_0 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_0 : constant is 1; attribute mti_svvh_generic_type of phase_shift_0 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_0 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_1 : constant is 1; attribute mti_svvh_generic_type of phase_shift_1 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_1 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_1 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_2 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_2 : constant is 1; attribute mti_svvh_generic_type of phase_shift_2 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_2 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_2 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_3 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_3 : constant is 1; attribute mti_svvh_generic_type of phase_shift_3 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_3 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_3 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_4 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_4 : constant is 1; attribute mti_svvh_generic_type of phase_shift_4 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_4 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_4 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_5 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_5 : constant is 1; attribute mti_svvh_generic_type of phase_shift_5 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_5 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_5 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_6 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_6 : constant is 1; attribute mti_svvh_generic_type of phase_shift_6 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_6 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_6 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_7 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_7 : constant is 1; attribute mti_svvh_generic_type of phase_shift_7 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_7 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_7 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_8 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_8 : constant is 1; attribute mti_svvh_generic_type of phase_shift_8 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_8 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_8 : constant is 3; attribute mti_svvh_generic_type of dpa_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div_0 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_0 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_1 : constant is 1; attribute mti_svvh_generic_type of enable_dll_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_dll_src_value_0 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_1 : constant is 1; end altera_cyclonev_pll;
mit
9cdf4b3e7d5ec560f703c11743b974ae
0.635903
3.067855
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_zed_hdmi_out_0_0/sim/tutorial_zed_hdmi_out_0_0.vhd
1
5,550
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: avnet:zedboard:zed_hdmi_out:2.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.zed_hdmi_out; ENTITY tutorial_zed_hdmi_out_0_0 IS PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END tutorial_zed_hdmi_out_0_0; ARCHITECTURE tutorial_zed_hdmi_out_0_0_arch OF tutorial_zed_hdmi_out_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT zed_hdmi_out IS GENERIC ( C_DATA_WIDTH : INTEGER; -- Video Data Width C_FAMILY : STRING ); PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END COMPONENT zed_hdmi_out; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF video_vsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_hsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_de: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF video_data: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_spdif: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO SPDIF"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_video: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_vsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_hsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_de: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DE"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_clk: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO CLK"; BEGIN U0 : zed_hdmi_out GENERIC MAP ( C_DATA_WIDTH => 16, C_FAMILY => "zynq" ) PORT MAP ( clk => clk, reset => reset, audio_spdif => audio_spdif, video_vsync => video_vsync, video_hsync => video_hsync, video_de => video_de, video_data => video_data, io_hdmio_spdif => io_hdmio_spdif, io_hdmio_video => io_hdmio_video, io_hdmio_vsync => io_hdmio_vsync, io_hdmio_hsync => io_hdmio_hsync, io_hdmio_de => io_hdmio_de, io_hdmio_clk => io_hdmio_clk ); END tutorial_zed_hdmi_out_0_0_arch;
gpl-2.0
3a318eca3cbd39b94b5b242b875baf54
0.706486
3.589909
false
false
false
false
nulldozer/purisc
Global_memory/MAGIC_global/MAGIC_global.vhd
2
24,029
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MAGIC_global is PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end; architecture magic of MAGIC_global is component SETUP_global PORT( CLK : IN STD_LOGIC; ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; RESET_n : IN STD_LOGIC; STALL : OUT STD_LOGIC; HAZARD : IN STD_LOGIC; ram_0_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_0_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_0_wren_a : OUT STD_LOGIC; ram_0_wren_b : OUT STD_LOGIC; ram_1_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_1_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_1_wren_a : OUT STD_LOGIC; ram_1_wren_b : OUT STD_LOGIC; ram_2_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_2_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_2_wren_a : OUT STD_LOGIC; ram_2_wren_b : OUT STD_LOGIC; ram_3_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_3_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_3_wren_a : OUT STD_LOGIC; ram_3_wren_b : OUT STD_LOGIC; ram_4_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_4_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_4_wren_a : OUT STD_LOGIC; ram_4_wren_b : OUT STD_LOGIC; ram_5_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_5_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_5_wren_a : OUT STD_LOGIC; ram_5_wren_b : OUT STD_LOGIC; ram_6_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_6_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_6_wren_a : OUT STD_LOGIC; ram_6_wren_b : OUT STD_LOGIC; ram_7_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_7_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_7_wren_a : OUT STD_LOGIC; ram_7_wren_b : OUT STD_LOGIC; ram_0_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_1_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_2_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_3_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_4_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_5_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_6_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_7_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); end component; component ROUTE_global PORT( hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_1_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_2_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_3_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_4_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_5_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_6_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_7_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); OUTPUT_A : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_B : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_C : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); OUTPUT_1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); end component; component RAM_0_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_1_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_2_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_3_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_4_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_5_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_6_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; component RAM_7_global PORT ( aclr : IN STD_LOGIC; address_a : IN STD_LOGIC_VECTOR (11 downto 0); address_b : IN STD_LOGIC_VECTOR (11 downto 0); clock : IN STD_LOGIC; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC; wren_b : IN STD_LOGIC; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; signal address_a_sig : std_logic_vector (31 downto 0); signal address_b_sig : std_logic_vector (31 downto 0); signal address_c_sig : std_logic_vector (31 downto 0); signal address_0_sig : std_logic_vector (31 downto 0); signal address_1_sig : std_logic_vector (31 downto 0); signal address_w_sig : std_logic_vector (31 downto 0); signal data_to_w_sig : std_logic_vector (31 downto 0); signal w_en_sig : std_logic; signal RESET : std_logic; signal stall_flag : std_logic; signal hazard : std_logic; signal hazard_w_io : std_logic; signal io_buffer_en : std_logic; signal ram_0_port_a : std_logic_vector (11 downto 0); signal ram_0_port_b : std_logic_vector (11 downto 0); signal ram_0_wren_a : std_logic; signal ram_0_wren_b : std_logic; signal ram_1_port_a : std_logic_vector (11 downto 0); signal ram_1_port_b : std_logic_vector (11 downto 0); signal ram_1_wren_a : std_logic; signal ram_1_wren_b : std_logic; signal ram_2_port_a : std_logic_vector (11 downto 0); signal ram_2_port_b : std_logic_vector (11 downto 0); signal ram_2_wren_a : std_logic; signal ram_2_wren_b : std_logic; signal ram_3_port_a : std_logic_vector (11 downto 0); signal ram_3_port_b : std_logic_vector (11 downto 0); signal ram_3_wren_a : std_logic; signal ram_3_wren_b : std_logic; signal ram_4_port_a : std_logic_vector (11 downto 0); signal ram_4_port_b : std_logic_vector (11 downto 0); signal ram_4_wren_a : std_logic; signal ram_4_wren_b : std_logic; signal ram_5_port_a : std_logic_vector (11 downto 0); signal ram_5_port_b : std_logic_vector (11 downto 0); signal ram_5_wren_a : std_logic; signal ram_5_wren_b : std_logic; signal ram_6_port_a : std_logic_vector (11 downto 0); signal ram_6_port_b : std_logic_vector (11 downto 0); signal ram_6_wren_a : std_logic; signal ram_6_wren_b : std_logic; signal ram_7_port_a : std_logic_vector (11 downto 0); signal ram_7_port_b : std_logic_vector (11 downto 0); signal ram_7_wren_a : std_logic; signal ram_7_wren_b : std_logic; signal ram_0_sel_vector : std_logic_vector(9 downto 0); signal ram_1_sel_vector : std_logic_vector(9 downto 0); signal ram_2_sel_vector : std_logic_vector(9 downto 0); signal ram_3_sel_vector : std_logic_vector(9 downto 0); signal ram_4_sel_vector : std_logic_vector(9 downto 0); signal ram_5_sel_vector : std_logic_vector(9 downto 0); signal ram_6_sel_vector : std_logic_vector(9 downto 0); signal ram_7_sel_vector : std_logic_vector(9 downto 0); signal ram_0_sel : std_logic_vector(9 downto 0); signal ram_1_sel : std_logic_vector(9 downto 0); signal ram_2_sel : std_logic_vector(9 downto 0); signal ram_3_sel : std_logic_vector(9 downto 0); signal ram_4_sel : std_logic_vector(9 downto 0); signal ram_5_sel : std_logic_vector(9 downto 0); signal ram_6_sel : std_logic_vector(9 downto 0); signal ram_7_sel : std_logic_vector(9 downto 0); signal ram_0_out_a : std_logic_vector (31 downto 0); signal ram_0_out_b : std_logic_vector (31 downto 0); signal ram_1_out_a : std_logic_vector (31 downto 0); signal ram_1_out_b : std_logic_vector (31 downto 0); signal ram_2_out_a : std_logic_vector (31 downto 0); signal ram_2_out_b : std_logic_vector (31 downto 0); signal ram_3_out_a : std_logic_vector (31 downto 0); signal ram_3_out_b : std_logic_vector (31 downto 0); signal ram_4_out_a : std_logic_vector (31 downto 0); signal ram_4_out_b : std_logic_vector (31 downto 0); signal ram_5_out_a : std_logic_vector (31 downto 0); signal ram_5_out_b : std_logic_vector (31 downto 0); signal ram_6_out_a : std_logic_vector (31 downto 0); signal ram_6_out_b : std_logic_vector (31 downto 0); signal ram_7_out_a : std_logic_vector (31 downto 0); signal ram_7_out_b : std_logic_vector (31 downto 0); signal output_a : std_logic_vector (31 downto 0); signal output_b : std_logic_vector (31 downto 0); signal output_c : std_logic_vector (31 downto 0); signal output_0 : std_logic_vector (31 downto 0); signal output_1 : std_logic_vector (31 downto 0); signal stall : std_logic; signal hold : std_logic; signal core_id : std_logic; signal c0_stall_sig : std_logic; signal c1_stall_sig : std_logic; signal hazard_advanced : std_logic; -- begin input_control : SETUP_global PORT MAP ( CLK => CLK, ADDRESS_A => address_a_sig, ADDRESS_B => address_b_sig, ADDRESS_C => address_c_sig, ADDRESS_0 => address_0_sig, ADDRESS_1 => address_1_sig, ADDRESS_W => address_w_sig, W_EN => w_en_sig, RESET_n => RESET_n, STALL => stall_flag, HAZARD => hazard, ram_0_port_a => ram_0_port_a, ram_0_port_b => ram_0_port_b, ram_0_wren_a => ram_0_wren_a, ram_0_wren_b => ram_0_wren_b, ram_1_port_a => ram_1_port_a, ram_1_port_b => ram_1_port_b, ram_1_wren_a => ram_1_wren_a, ram_1_wren_b => ram_1_wren_b, ram_2_port_a => ram_2_port_a, ram_2_port_b => ram_2_port_b, ram_2_wren_a => ram_2_wren_a, ram_2_wren_b => ram_2_wren_b, ram_3_port_a => ram_3_port_a, ram_3_port_b => ram_3_port_b, ram_3_wren_a => ram_3_wren_a, ram_3_wren_b => ram_3_wren_b, ram_4_port_a => ram_4_port_a, ram_4_port_b => ram_4_port_b, ram_4_wren_a => ram_4_wren_a, ram_4_wren_b => ram_4_wren_b, ram_5_port_a => ram_5_port_a, ram_5_port_b => ram_5_port_b, ram_5_wren_a => ram_5_wren_a, ram_5_wren_b => ram_5_wren_b, ram_6_port_a => ram_6_port_a, ram_6_port_b => ram_6_port_b, ram_6_wren_a => ram_6_wren_a, ram_6_wren_b => ram_6_wren_b, ram_7_port_a => ram_7_port_a, ram_7_port_b => ram_7_port_b, ram_7_wren_a => ram_7_wren_a, ram_7_wren_b => ram_7_wren_b, ram_0_sel_vector => ram_0_sel_vector, ram_1_sel_vector => ram_1_sel_vector, ram_2_sel_vector => ram_2_sel_vector, ram_3_sel_vector => ram_3_sel_vector, ram_4_sel_vector => ram_4_sel_vector, ram_5_sel_vector => ram_5_sel_vector, ram_6_sel_vector => ram_6_sel_vector, ram_7_sel_vector => ram_7_sel_vector ); RAM_0_inst : RAM_0_global PORT MAP ( aclr => RESET, address_a => ram_0_port_a, address_b => ram_0_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_0_wren_a, wren_b => ram_0_wren_b, q_a => ram_0_out_a, q_b => ram_0_out_b ); RAM_1_inst : RAM_1_global PORT MAP ( aclr => RESET, address_a => ram_1_port_a, address_b => ram_1_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_1_wren_a, wren_b => ram_1_wren_b, q_a => ram_1_out_a, q_b => ram_1_out_b ); RAM_2_inst : RAM_2_global PORT MAP ( aclr => RESET, address_a => ram_2_port_a, address_b => ram_2_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_2_wren_a, wren_b => ram_2_wren_b, q_a => ram_2_out_a, q_b => ram_2_out_b ); RAM_3_inst : RAM_3_global PORT MAP ( aclr => RESET, address_a => ram_3_port_a, address_b => ram_3_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_3_wren_a, wren_b => ram_3_wren_b, q_a => ram_3_out_a, q_b => ram_3_out_b ); RAM_4_inst : RAM_4_global PORT MAP ( aclr => RESET, address_a => ram_4_port_a, address_b => ram_4_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_4_wren_a, wren_b => ram_4_wren_b, q_a => ram_4_out_a, q_b => ram_4_out_b ); RAM_5_inst : RAM_5_global PORT MAP ( aclr => RESET, address_a => ram_5_port_a, address_b => ram_5_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_5_wren_a, wren_b => ram_5_wren_b, q_a => ram_5_out_a, q_b => ram_5_out_b ); RAM_6_inst : RAM_6_global PORT MAP ( aclr => RESET, address_a => ram_6_port_a, address_b => ram_6_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_6_wren_a, wren_b => ram_6_wren_b, q_a => ram_6_out_a, q_b => ram_6_out_b ); RAM_7_inst : RAM_7_global PORT MAP ( aclr => RESET, address_a => ram_7_port_a, address_b => ram_7_port_b, clock => CLK, data_a => data_to_w_sig, data_b => data_to_w_sig, wren_a => ram_7_wren_a, wren_b => ram_7_wren_b, q_a => ram_7_out_a, q_b => ram_7_out_b ); output_control : ROUTE_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, hazard => hazard_w_io, hazard_advanced => hazard_advanced, ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, ram_0_sel_vector => ram_0_sel, ram_1_sel_vector => ram_1_sel, ram_2_sel_vector => ram_2_sel, ram_3_sel_vector => ram_3_sel, ram_4_sel_vector => ram_4_sel, ram_5_sel_vector => ram_5_sel, ram_6_sel_vector => ram_6_sel, ram_7_sel_vector => ram_7_sel, OUTPUT_A => output_a, OUTPUT_B => output_b, OUTPUT_C => output_c, OUTPUT_0 => output_0, OUTPUT_1 => output_1 ); -- latch_outputs : process (CLK, RESET_n) begin -- if (RESET_n = '0') then -- DATA_OUT_A <= "00000000000000000000000000000000"; -- DATA_OUT_B <= "00000000000000000000000000000000"; -- DATA_OUT_C <= "00000000000000000000000000000000"; -- DATA_OUT_0 <= "00000000000000000000000000000000"; -- DATA_OUT_1 <= "00000000000000000000000000000000"; -- elsif (rising_edge(CLK)) then -- DATA_OUT_A <= output_a; -- DATA_OUT_B <= output_b; -- DATA_OUT_C <= output_c; -- DATA_OUT_0 <= output_0; -- DATA_OUT_1 <= output_1; -- end if; -- end process; --********above latching used for testing************ DATA_OUT_A <= output_a; DATA_OUT_B <= output_b; DATA_OUT_C <= output_c; DATA_OUT_0 <= output_0; DATA_OUT_1 <= output_1; latch_vectors : process (CLK, RESET_n) begin if (RESET_n = '0') then ram_0_sel <= "0000000000"; ram_1_sel <= "0000000000"; ram_2_sel <= "0000000000"; ram_3_sel <= "0000000000"; ram_4_sel <= "0000000000"; ram_5_sel <= "0000000000"; ram_6_sel <= "0000000000"; ram_7_sel <= "0000000000"; hazard <= '0'; elsif (rising_edge(CLK)) then ram_0_sel <= ram_0_sel_vector; ram_1_sel <= ram_1_sel_vector; ram_2_sel <= ram_2_sel_vector; ram_3_sel <= ram_3_sel_vector; ram_4_sel <= ram_4_sel_vector; ram_5_sel <= ram_5_sel_vector; ram_6_sel <= ram_6_sel_vector; ram_7_sel <= ram_7_sel_vector; hazard <= stall_flag; end if; end process; -- latch_inputs : process (CLK, RESET_n) begin -- if (RESET_n = '0') then -- address_a_sig <= "00000000000000000000000000000000"; -- address_b_sig <= "00000000000000000000000000000000"; -- address_c_sig <= "00000000000000000000000000000000"; -- address_0_sig <= "00000000000000000000000000000000"; -- address_1_sig <= "00000000000000000000000000000000"; -- address_w_sig <= "00000000000000000000000000000000"; -- data_to_w_sig <= "00000000000000000000000000000000"; -- w_en_sig <= '0'; -- elsif (rising_edge(CLK)) then -- address_a_sig <= ADDRESS_A; -- address_b_sig <= ADDRESS_B; -- address_c_sig <= ADDRESS_C; -- address_0_sig <= ADDRESS_0; -- address_1_sig <= ADDRESS_1; -- address_w_sig <= ADDRESS_W; -- data_to_w_sig <= DATA_TO_W; -- w_en_sig <= W_EN; -- end if; -- end process; --********above latching used for testing*************** address_a_sig <= ADDRESS_A; address_b_sig <= ADDRESS_B; address_c_sig <= ADDRESS_C; address_0_sig <= ADDRESS_0; address_1_sig <= ADDRESS_1; address_w_sig <= ADDRESS_W; data_to_w_sig <= DATA_TO_W; w_en_sig <= W_EN; RESET <= not RESET_n; stall <= stall_flag or hazard_w_io; --maybe without io hold <= c0_stall_sig and c1_stall_sig; C0_STALL <= (not core_id) or c0_stall_sig; --flipped not statement C1_STALL <= (core_id) or c1_stall_sig; --between these two lines CORE_IDENT <= core_id; hazard_w_io <= hazard or io_buffer_en; hazard_advanced <= hazard_w_io or stall_flag; id_gen : process (CLK, RESET_n, hold) begin if (RESET_n = '0') then core_id <= '0'; elsif (rising_edge(CLK)) then if (hold = '0' and IO_ENABLE = '0') then core_id <= not core_id; end if; end if; end process; override_io : process (CLK, RESET_n) begin if (RESET_n = '0') then io_buffer_en <= '0'; elsif (rising_edge(CLK)) then io_buffer_en <= IO_ENABLE; end if; end process; stalling : process (core_id, stall_flag, stall) begin if (core_id = '0' and stall = '1') then c0_stall_sig <= stall; c1_stall_sig <= stall_flag; elsif (core_id = '1' and stall = '1') then c0_stall_sig <= stall_flag; c1_stall_sig <= stall; else c0_stall_sig <= '0'; c1_stall_sig <= '0'; end if; end process; end;
gpl-2.0
6aa0219db3bab466fab3567089d5ebb5
0.555912
2.666334
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT.vhd
2
45,577
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT.vhd -- Generated using ACDS version 13.1 162 at 2015.02.11.10:36:06 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT is port ( eop : out std_logic; -- eop.wire data_en : in std_logic := '0'; -- data_en.wire ctrl_pak3 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak3.wire ctrl_pak1 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak1.wire ctrl_en : in std_logic := '0'; -- ctrl_en.wire sop : out std_logic; -- sop.wire data : out std_logic_vector(24 downto 0); -- data.wire ctrl_pak2 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak2.wire pixel_num : in std_logic_vector(47 downto 0) := (others => '0'); -- pixel_num.wire colorbar : in std_logic_vector(23 downto 0) := (others => '0'); -- colorbar.wire counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0' -- .reset ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_cast_GN33BXJAZX is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(1 downto 0) -- wire ); end component alt_dspbuilder_cast_GN33BXJAZX; component alt_dspbuilder_pipelined_adder_GNTWZRTG4I is generic ( width : natural := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk add_sub : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cout : out std_logic; -- wire dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_pipelined_adder_GNTWZRTG4I; component alt_dspbuilder_gnd_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_gnd_GN; component alt_dspbuilder_vcc_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_vcc_GN; component alt_dspbuilder_case_statement_GNWMX2GCN2 is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GNWMX2GCN2; component alt_dspbuilder_case_statement_GNFTM45DFU is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GNFTM45DFU; component alt_dspbuilder_multiplexer_GNLGLCKYZ5 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(23 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in2 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in3 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GNLGLCKYZ5; component alt_dspbuilder_port_GNEHYJMBQS is port ( input : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_port_GNEHYJMBQS; component alt_dspbuilder_constant_GNNKZSYI73 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNNKZSYI73; component alt_dspbuilder_bus_concat_GN6E6AAQPZ is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GN6E6AAQPZ; component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V; component alt_dspbuilder_constant_GNZEH3JAKA is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNZEH3JAKA; component alt_dspbuilder_delay_GNIYBMGPQQ is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNIYBMGPQQ; component alt_dspbuilder_constant_GNLJWFEWBD is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_constant_GNLJWFEWBD; component alt_dspbuilder_constant_GNQJ63TWA6 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNQJ63TWA6; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component alt_dspbuilder_if_statement_GNTVBNRAAT is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNTVBNRAAT; component alt_dspbuilder_delay_GNNBTO2F3L is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNNBTO2F3L; component alt_dspbuilder_port_GNUJT4YY5I is port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(47 downto 0) -- wire ); end component alt_dspbuilder_port_GNUJT4YY5I; component alt_dspbuilder_multiplexer_GNHQFFAUXQ is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(24 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in2 : in std_logic_vector(24 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GNHQFFAUXQ; component alt_dspbuilder_multiplexer_GN6ODCX3D4 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(24 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(24 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GN6ODCX3D4; component alt_dspbuilder_delay_GNVJUPFOX3 is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNVJUPFOX3; component alt_dspbuilder_cast_GN3ODVPHOL is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_cast_GN3ODVPHOL; component alt_dspbuilder_cast_GN46N4UJ5S is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic := 'X'; -- wire output : out std_logic_vector(0 downto 0) -- wire ); end component alt_dspbuilder_cast_GN46N4UJ5S; component alt_dspbuilder_cast_GNCPEUNC4M is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNCPEUNC4M; component alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKDE2NVCC; component alt_dspbuilder_cast_GNCCZ56SYK is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_cast_GNCCZ56SYK; component alt_dspbuilder_cast_GNKIWLRTQI is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKIWLRTQI; signal pipelined_adder2user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder2user_aclrGND:output -> Pipelined_Adder2:user_aclr signal pipelined_adder2enavcc_output_wire : std_logic; -- Pipelined_Adder2enaVCC:output -> Pipelined_Adder2:ena signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena signal delaysclrgnd_output_wire : std_logic; -- DelaysclrGND:output -> Delay:sclr signal delayenavcc_output_wire : std_logic; -- DelayenaVCC:output -> Delay:ena signal delay5sclrgnd_output_wire : std_logic; -- Delay5sclrGND:output -> Delay5:sclr signal delay5enavcc_output_wire : std_logic; -- Delay5enaVCC:output -> Delay5:ena signal delay3sclrgnd_output_wire : std_logic; -- Delay3sclrGND:output -> Delay3:sclr signal delay3enavcc_output_wire : std_logic; -- Delay3enaVCC:output -> Delay3:ena signal multiplexer1user_aclrgnd_output_wire : std_logic; -- Multiplexer1user_aclrGND:output -> Multiplexer1:user_aclr signal multiplexer1enavcc_output_wire : std_logic; -- Multiplexer1enaVCC:output -> Multiplexer1:ena signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena signal multiplexer2user_aclrgnd_output_wire : std_logic; -- Multiplexer2user_aclrGND:output -> Multiplexer2:user_aclr signal multiplexer2enavcc_output_wire : std_logic; -- Multiplexer2enaVCC:output -> Multiplexer2:ena signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> [Bus_Conversion1:input, If_Statement7:a, cast29:input, cast32:input] signal constant1_output_wire : std_logic_vector(23 downto 0); -- Constant1:output -> Delay:input signal ctrl_pak1_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak1_0:output -> Delay1:input signal ctrl_pak2_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak2_0:output -> Delay2:input signal ctrl_pak3_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak3_0:output -> Delay3:input signal constant7_output_wire : std_logic_vector(23 downto 0); -- Constant7:output -> Delay5:input signal if_statement7_true_wire : std_logic; -- If_Statement7:true -> [Logical_Bit_Operator10:data0, Logical_Bit_Operator9:data1] signal data_en_0_output_wire : std_logic; -- data_en_0:output -> [Logical_Bit_Operator10:data1, Logical_Bit_Operator3:data1, cast34:input] signal case_statement2_r1_wire : std_logic; -- Case_Statement2:r1 -> Logical_Bit_Operator3:data0 signal ctrl_en_0_output_wire : std_logic; -- ctrl_en_0:output -> [Logical_Bit_Operator4:data0, Logical_Bit_Operator9:data0, cast33:input] signal case_statement2_r0_wire : std_logic; -- Case_Statement2:r0 -> Logical_Bit_Operator4:data1 signal logical_bit_operator4_result_wire : std_logic; -- Logical_Bit_Operator4:result -> Logical_Bit_Operator5:data0 signal logical_bit_operator3_result_wire : std_logic; -- Logical_Bit_Operator3:result -> Logical_Bit_Operator5:data1 signal logical_bit_operator10_result_wire : std_logic; -- Logical_Bit_Operator10:result -> Logical_Bit_Operator6:data1 signal logical_bit_operator9_result_wire : std_logic; -- Logical_Bit_Operator9:result -> Logical_Bit_Operator6:data0 signal bus_conversion1_output_wire : std_logic_vector(1 downto 0); -- Bus_Conversion1:output -> Multiplexer:sel signal delay_output_wire : std_logic_vector(23 downto 0); -- Delay:output -> Multiplexer:in0 signal delay1_output_wire : std_logic_vector(23 downto 0); -- Delay1:output -> Multiplexer:in1 signal delay2_output_wire : std_logic_vector(23 downto 0); -- Delay2:output -> Multiplexer:in2 signal delay3_output_wire : std_logic_vector(23 downto 0); -- Delay3:output -> Multiplexer:in3 signal bus_concatenation_output_wire : std_logic_vector(1 downto 0); -- Bus_Concatenation:output -> Multiplexer1:sel signal bus_concatenation1_output_wire : std_logic_vector(1 downto 0); -- Bus_Concatenation1:output -> Multiplexer2:sel signal multiplexer2_result_wire : std_logic_vector(24 downto 0); -- Multiplexer2:result -> Multiplexer1:in1 signal constant18_output_wire : std_logic_vector(23 downto 0); -- Constant18:output -> Pipelined_Adder2:datab signal pipelined_adder2_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder2:result -> If_Statement7:c signal logical_bit_operator5_result_wire : std_logic; -- Logical_Bit_Operator5:result -> sop_0:input signal logical_bit_operator6_result_wire : std_logic; -- Logical_Bit_Operator6:result -> eop_0:input signal multiplexer1_result_wire : std_logic_vector(24 downto 0); -- Multiplexer1:result -> data_0:input signal cast29_output_wire : std_logic_vector(15 downto 0); -- cast29:output -> Case_Statement1:input signal case_statement1_r0_wire : std_logic; -- Case_Statement1:r0 -> cast30:input signal cast30_output_wire : std_logic_vector(0 downto 0); -- cast30:output -> Bus_Concatenation1:a signal case_statement1_r1_wire : std_logic; -- Case_Statement1:r1 -> cast31:input signal cast31_output_wire : std_logic_vector(0 downto 0); -- cast31:output -> Bus_Concatenation1:b signal cast32_output_wire : std_logic_vector(15 downto 0); -- cast32:output -> Case_Statement2:input signal cast33_output_wire : std_logic_vector(0 downto 0); -- cast33:output -> Bus_Concatenation:a signal cast34_output_wire : std_logic_vector(0 downto 0); -- cast34:output -> Bus_Concatenation:b signal constant16_output_wire : std_logic_vector(15 downto 0); -- Constant16:output -> cast35:input signal cast35_output_wire : std_logic_vector(23 downto 0); -- cast35:output -> If_Statement7:b signal constant5_output_wire : std_logic_vector(23 downto 0); -- Constant5:output -> cast36:input signal cast36_output_wire : std_logic_vector(24 downto 0); -- cast36:output -> Multiplexer1:in0 signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> cast37:input signal cast37_output_wire : std_logic_vector(24 downto 0); -- cast37:output -> Multiplexer1:in2 signal colorbar_0_output_wire : std_logic_vector(23 downto 0); -- colorbar_0:output -> cast38:input signal cast38_output_wire : std_logic_vector(24 downto 0); -- cast38:output -> Multiplexer2:in0 signal delay5_output_wire : std_logic_vector(23 downto 0); -- Delay5:output -> cast39:input signal cast39_output_wire : std_logic_vector(24 downto 0); -- cast39:output -> Multiplexer2:in1 signal pixel_num_0_output_wire : std_logic_vector(47 downto 0); -- pixel_num_0:output -> cast40:input signal cast40_output_wire : std_logic_vector(23 downto 0); -- cast40:output -> Pipelined_Adder2:dataa signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Case_Statement1:aclr, Case_Statement2:aclr, Delay1:aclr, Delay2:aclr, Delay3:aclr, Delay5:aclr, Delay:aclr, Multiplexer1:aclr, Multiplexer2:aclr, Multiplexer:aclr, Pipelined_Adder2:aclr] signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation1:clock, Bus_Concatenation:clock, Case_Statement1:clock, Case_Statement2:clock, Delay1:clock, Delay2:clock, Delay3:clock, Delay5:clock, Delay:clock, Multiplexer1:clock, Multiplexer2:clock, Multiplexer:clock, Pipelined_Adder2:clock] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); bus_conversion1 : component alt_dspbuilder_cast_GN33BXJAZX generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => bus_conversion1_output_wire -- output.wire ); pipelined_adder2 : component alt_dspbuilder_pipelined_adder_GNTWZRTG4I generic map ( width => 24, pipeline => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => cast40_output_wire, -- dataa.wire datab => constant18_output_wire, -- datab.wire result => pipelined_adder2_result_wire, -- result.wire user_aclr => pipelined_adder2user_aclrgnd_output_wire, -- user_aclr.wire ena => pipelined_adder2enavcc_output_wire -- ena.wire ); pipelined_adder2user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => pipelined_adder2user_aclrgnd_output_wire -- output.wire ); pipelined_adder2enavcc : component alt_dspbuilder_vcc_GN port map ( output => pipelined_adder2enavcc_output_wire -- output.wire ); case_statement1 : component alt_dspbuilder_case_statement_GNWMX2GCN2 generic map ( number_outputs => 2, hasDefault => 1, pipeline => 0, width => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast29_output_wire, -- input.wire r0 => case_statement1_r0_wire, -- r0.wire r1 => case_statement1_r1_wire -- r1.wire ); case_statement2 : component alt_dspbuilder_case_statement_GNFTM45DFU generic map ( number_outputs => 2, hasDefault => 0, pipeline => 0, width => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast32_output_wire, -- input.wire r0 => case_statement2_r0_wire, -- r0.wire r1 => case_statement2_r1_wire -- r1.wire ); multiplexer : component alt_dspbuilder_multiplexer_GNLGLCKYZ5 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 0, width => 24, pipeline => 0, number_inputs => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_conversion1_output_wire, -- sel.wire result => multiplexer_result_wire, -- result.wire ena => multiplexerenavcc_output_wire, -- ena.wire user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire in0 => delay_output_wire, -- in0.wire in1 => delay1_output_wire, -- in1.wire in2 => delay2_output_wire, -- in2.wire in3 => delay3_output_wire -- in3.wire ); multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexeruser_aclrgnd_output_wire -- output.wire ); multiplexerenavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexerenavcc_output_wire -- output.wire ); data_0 : component alt_dspbuilder_port_GNEHYJMBQS port map ( input => multiplexer1_result_wire, -- input.wire output => data -- output.wire ); constant7 : component alt_dspbuilder_constant_GNNKZSYI73 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000000", width => 24 ) port map ( output => constant7_output_wire -- output.wire ); constant5 : component alt_dspbuilder_constant_GNNKZSYI73 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000000", width => 24 ) port map ( output => constant5_output_wire -- output.wire ); bus_concatenation1 : component alt_dspbuilder_bus_concat_GN6E6AAQPZ generic map ( widthB => 1, widthA => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast30_output_wire, -- a.wire b => cast31_output_wire, -- b.wire output => bus_concatenation1_output_wire -- output.wire ); logical_bit_operator6 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV generic map ( LogicalOp => "AltOR", number_inputs => 2 ) port map ( result => logical_bit_operator6_result_wire, -- result.wire data0 => logical_bit_operator9_result_wire, -- data0.wire data1 => logical_bit_operator10_result_wire -- data1.wire ); logical_bit_operator5 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV generic map ( LogicalOp => "AltOR", number_inputs => 2 ) port map ( result => logical_bit_operator5_result_wire, -- result.wire data0 => logical_bit_operator4_result_wire, -- data0.wire data1 => logical_bit_operator3_result_wire -- data1.wire ); ctrl_pak2_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak2, -- input.wire output => ctrl_pak2_0_output_wire -- output.wire ); logical_bit_operator4 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator4_result_wire, -- result.wire data0 => ctrl_en_0_output_wire, -- data0.wire data1 => case_statement2_r0_wire -- data1.wire ); ctrl_pak3_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak3, -- input.wire output => ctrl_pak3_0_output_wire -- output.wire ); bus_concatenation : component alt_dspbuilder_bus_concat_GN6E6AAQPZ generic map ( widthB => 1, widthA => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast33_output_wire, -- a.wire b => cast34_output_wire, -- b.wire output => bus_concatenation_output_wire -- output.wire ); ctrl_pak1_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak1, -- input.wire output => ctrl_pak1_0_output_wire -- output.wire ); logical_bit_operator9 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator9_result_wire, -- result.wire data0 => ctrl_en_0_output_wire, -- data0.wire data1 => if_statement7_true_wire -- data1.wire ); constant1 : component alt_dspbuilder_constant_GNZEH3JAKA generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000001111", width => 24 ) port map ( output => constant1_output_wire -- output.wire ); delay : component alt_dspbuilder_delay_GNIYBMGPQQ generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000001111", width => 24 ) port map ( input => constant1_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay_output_wire, -- output.wire sclr => delaysclrgnd_output_wire, -- sclr.wire ena => delayenavcc_output_wire -- ena.wire ); delaysclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delaysclrgnd_output_wire -- output.wire ); delayenavcc : component alt_dspbuilder_vcc_GN port map ( output => delayenavcc_output_wire -- output.wire ); constant16 : component alt_dspbuilder_constant_GNLJWFEWBD generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000000000000011", width => 16 ) port map ( output => constant16_output_wire -- output.wire ); constant18 : component alt_dspbuilder_constant_GNQJ63TWA6 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000100", width => 24 ) port map ( output => constant18_output_wire -- output.wire ); logical_bit_operator3 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator3_result_wire, -- result.wire data0 => case_statement2_r1_wire, -- data0.wire data1 => data_en_0_output_wire -- data1.wire ); eop_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => logical_bit_operator6_result_wire, -- input.wire output => eop -- output.wire ); colorbar_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => colorbar, -- input.wire output => colorbar_0_output_wire -- output.wire ); if_statement7 : component alt_dspbuilder_if_statement_GNTVBNRAAT generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "(a=b) or (a=c)", number_inputs => 3, width => 24 ) port map ( true => if_statement7_true_wire, -- true.wire a => counter_0_output_wire, -- a.wire b => cast35_output_wire, -- b.wire c => pipelined_adder2_result_wire -- c.wire ); counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => counter, -- input.wire output => counter_0_output_wire -- output.wire ); delay5 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => constant7_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay5_output_wire, -- output.wire sclr => delay5sclrgnd_output_wire, -- sclr.wire ena => delay5enavcc_output_wire -- ena.wire ); delay5sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay5sclrgnd_output_wire -- output.wire ); delay5enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay5enavcc_output_wire -- output.wire ); pixel_num_0 : component alt_dspbuilder_port_GNUJT4YY5I port map ( input => pixel_num, -- input.wire output => pixel_num_0_output_wire -- output.wire ); delay3 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => ctrl_pak3_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay3_output_wire, -- output.wire sclr => delay3sclrgnd_output_wire, -- sclr.wire ena => delay3enavcc_output_wire -- ena.wire ); delay3sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay3sclrgnd_output_wire -- output.wire ); delay3enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay3enavcc_output_wire -- output.wire ); sop_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => logical_bit_operator5_result_wire, -- input.wire output => sop -- output.wire ); logical_bit_operator10 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator10_result_wire, -- result.wire data0 => if_statement7_true_wire, -- data0.wire data1 => data_en_0_output_wire -- data1.wire ); ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => ctrl_en, -- input.wire output => ctrl_en_0_output_wire -- output.wire ); multiplexer1 : component alt_dspbuilder_multiplexer_GNHQFFAUXQ generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 0, width => 25, pipeline => 0, number_inputs => 3 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_concatenation_output_wire, -- sel.wire result => multiplexer1_result_wire, -- result.wire ena => multiplexer1enavcc_output_wire, -- ena.wire user_aclr => multiplexer1user_aclrgnd_output_wire, -- user_aclr.wire in0 => cast36_output_wire, -- in0.wire in1 => multiplexer2_result_wire, -- in1.wire in2 => cast37_output_wire -- in2.wire ); multiplexer1user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexer1user_aclrgnd_output_wire -- output.wire ); multiplexer1enavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexer1enavcc_output_wire -- output.wire ); delay1 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => ctrl_pak1_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay1_output_wire, -- output.wire sclr => delay1sclrgnd_output_wire, -- sclr.wire ena => delay1enavcc_output_wire -- ena.wire ); delay1sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay1sclrgnd_output_wire -- output.wire ); delay1enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay1enavcc_output_wire -- output.wire ); multiplexer2 : component alt_dspbuilder_multiplexer_GN6ODCX3D4 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 1, width => 25, pipeline => 0, number_inputs => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_concatenation1_output_wire, -- sel.wire result => multiplexer2_result_wire, -- result.wire ena => multiplexer2enavcc_output_wire, -- ena.wire user_aclr => multiplexer2user_aclrgnd_output_wire, -- user_aclr.wire in0 => cast38_output_wire, -- in0.wire in1 => cast39_output_wire -- in1.wire ); multiplexer2user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexer2user_aclrgnd_output_wire -- output.wire ); multiplexer2enavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexer2enavcc_output_wire -- output.wire ); delay2 : component alt_dspbuilder_delay_GNVJUPFOX3 generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000000", width => 24 ) port map ( input => ctrl_pak2_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay2_output_wire, -- output.wire sclr => delay2sclrgnd_output_wire, -- sclr.wire ena => delay2enavcc_output_wire -- ena.wire ); delay2sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay2sclrgnd_output_wire -- output.wire ); delay2enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay2enavcc_output_wire -- output.wire ); data_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => data_en, -- input.wire output => data_en_0_output_wire -- output.wire ); cast29 : component alt_dspbuilder_cast_GN3ODVPHOL generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast29_output_wire -- output.wire ); cast30 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => case_statement1_r0_wire, -- input.wire output => cast30_output_wire -- output.wire ); cast31 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => case_statement1_r1_wire, -- input.wire output => cast31_output_wire -- output.wire ); cast32 : component alt_dspbuilder_cast_GN3ODVPHOL generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast32_output_wire -- output.wire ); cast33 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => ctrl_en_0_output_wire, -- input.wire output => cast33_output_wire -- output.wire ); cast34 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => data_en_0_output_wire, -- input.wire output => cast34_output_wire -- output.wire ); cast35 : component alt_dspbuilder_cast_GNCPEUNC4M generic map ( round => 0, saturate => 0 ) port map ( input => constant16_output_wire, -- input.wire output => cast35_output_wire -- output.wire ); cast36 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => constant5_output_wire, -- input.wire output => cast36_output_wire -- output.wire ); cast37 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => multiplexer_result_wire, -- input.wire output => cast37_output_wire -- output.wire ); cast38 : component alt_dspbuilder_cast_GNCCZ56SYK generic map ( round => 0, saturate => 0 ) port map ( input => colorbar_0_output_wire, -- input.wire output => cast38_output_wire -- output.wire ); cast39 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => delay5_output_wire, -- input.wire output => cast39_output_wire -- output.wire ); cast40 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => pixel_num_0_output_wire, -- input.wire output => cast40_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT
mit
214fc599aa4dad649732ed9821aff7db
0.565592
3.377325
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/onebitvoter.vhd
1
6,392
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.numeric_std.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity onebitvoter is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; a : in STD_LOGIC; b : in STD_LOGIC; c : in STD_LOGIC; d : in STD_LOGIC; y : out STD_LOGIC; status : out STD_LOGIC_VECTOR(2 downto 0) ); end onebitvoter; architecture Behavioral of onebitvoter is signal state_a: STD_LOGIC := '1'; signal state_b: STD_LOGIC := '1'; signal state_c: STD_LOGIC := '1'; signal state_d: STD_LOGIC := '1'; signal status_internal: STD_LOGIC_VECTOR(2 downto 0); signal last_status: STD_LOGIC_VECTOR(2 downto 0) := "000"; signal voted_data: STD_LOGIC; signal sum_of_inputs: STD_LOGIC_VECTOR(2 downto 0); signal number_of_winning_votes: STD_LOGIC_VECTOR(2 downto 0); signal extended_a: STD_LOGIC_VECTOR(2 downto 0); signal extended_b: STD_LOGIC_VECTOR(2 downto 0); signal extended_c: STD_LOGIC_VECTOR(2 downto 0); signal extended_d: STD_LOGIC_VECTOR(2 downto 0); signal extended_vote_a: STD_LOGIC_VECTOR(2 downto 0); signal extended_vote_b: STD_LOGIC_VECTOR(2 downto 0); signal extended_vote_c: STD_LOGIC_VECTOR(2 downto 0); signal extended_vote_d: STD_LOGIC_VECTOR(2 downto 0); begin -- Start of state machine back end -- Update outputs when the clock tick occur process (clk) begin if (rising_edge(clk)) then if(reset='1') then state_a <= '1'; --default state on reset. state_b <= '1'; --default state on reset. state_c <= '1'; --default state on reset. state_d <= '1'; --default state on reset. last_status <= "000"; else status <= status_internal; last_status <= status_internal; y <= voted_data; state_a <= state_a and (voted_data xnor a); state_b <= state_b and (voted_data xnor b); state_c <= state_c and (voted_data xnor c); state_d <= state_d and (voted_data xnor d); end if; end if; end process; -- End of state machine back end -- Start of state machine front end -- Filter out votes from failed micro controllers and extend the vote result to a 3 bit number process (a, b, c, d, state_a, state_b, state_c, state_d) begin extended_a <= "00"&(a and state_a); extended_b <= "00"&(b and state_b); extended_c <= "00"&(c and state_c); extended_d <= "00"&(d and state_d); end process; -- Calculate the sum of the inputs from all the non broken controllers process (extended_a, extended_b, extended_c, extended_d) begin sum_of_inputs <= std_logic_vector( unsigned(extended_a) + unsigned(extended_b) + unsigned(extended_c) + unsigned(extended_d)); end process; -- Set the voted data based on the status and the sum of the input data -- This is the core of the state machine driving the system process (sum_of_inputs, last_status) begin case last_status is when "000" => case sum_of_inputs is when "100" => voted_data <= '1'; when "011" => voted_data <= '1'; when "010" => voted_data <= '1'; -- in 2v2 votes the data doesn't matter, we are going to status 111 anyway when "001" => voted_data <= '0'; when "000" => voted_data <= '0'; when others => voted_data <= 'X'; -- This is never reached end case; when "001" => case sum_of_inputs is when "011" => voted_data <= '1'; when "010" => voted_data <= '1'; when "001" => voted_data <= '0'; when "000" => voted_data <= '0'; when others => voted_data <= 'X'; -- This is never reached end case; when "010" => case sum_of_inputs is when "010" => voted_data <= '1'; when "001" => voted_data <= '1'; -- in 2v2 votes the data doesn't matter, we are going to status 111 anyway when "000" => voted_data <= '0'; when others => voted_data <= 'X'; -- This is never reached end case; when "111" => voted_data <= '1'; when others => voted_data <= 'Z'; -- This is never reached, Z is used instead of X because it is more efficient here end case; end process; -- Filter out the votes that did not match the winning vote and extend them to a 3 bit number process(voted_data, a, b, c, d, state_a, state_b, state_c, state_d) begin extended_vote_a <= "00"&(state_a and (voted_data xnor a)); extended_vote_b <= "00"&(state_b and (voted_data xnor b)); extended_vote_c <= "00"&(state_c and (voted_data xnor c)); extended_vote_d <= "00"&(state_d and (voted_data xnor d)); end process; -- Calculate the number of votes that matched the vote outcome which came from an input with state '1' process(extended_vote_a, extended_vote_b, extended_vote_c, extended_vote_d) begin number_of_winning_votes <= std_logic_vector( unsigned( extended_vote_a ) + unsigned( extended_vote_b ) + unsigned( extended_vote_c ) + unsigned( extended_vote_d ) ); end process; -- Calculate the internal status field based on the inputs matched with the voted data process (number_of_winning_votes, last_status) begin if(number_of_winning_votes = "010" and last_status = "000") then status_internal <= "111"; else case number_of_winning_votes is when "100"=> status_internal <= "000"; when "011"=> status_internal <= "001"; when "010"=> status_internal <= "010"; when "001"=> status_internal <= "111"; when "000"=> status_internal <= "111"; when others => -- This should never be reached status_internal <= "XXX"; end case; end if; end process; -- End of state machine front end end Behavioral;
apache-2.0
d50e7f2f545576d6558c978cd131ef3e
0.583385
3.611299
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/generic_device_pll/_primary.vhd
5
3,272
library verilog; use verilog.vl_types.all; entity generic_device_pll is generic( reference_clock_frequency: string := "0 ps"; output_clock_frequency: string := "0 ps"; forcelock : string := "false"; nreset_invert : string := "false"; pll_enable : string := "false"; pll_fbclk_mux_1 : string := "glb"; pll_fbclk_mux_2 : string := "fb_1"; pll_m_cnt_bypass_en: string := "false"; pll_m_cnt_hi_div: integer := 1; pll_m_cnt_in_src: string := "ph_mux_clk"; pll_m_cnt_lo_div: integer := 1; pll_n_cnt_bypass_en: string := "false"; pll_n_cnt_hi_div: integer := 1; pll_n_cnt_lo_div: integer := 1; pll_vco_ph0_en : string := "false"; pll_vco_ph1_en : string := "false"; pll_vco_ph2_en : string := "false"; pll_vco_ph3_en : string := "false"; pll_vco_ph4_en : string := "false"; pll_vco_ph5_en : string := "false"; pll_vco_ph6_en : string := "false"; pll_vco_ph7_en : string := "false" ); port( coreclkfb : in vl_logic; fbclkfpll : in vl_logic; lvdsfbin : in vl_logic; nresync : in vl_logic; pfden : in vl_logic; refclkin : in vl_logic; zdb : in vl_logic; fbclk : out vl_logic; fblvdsout : out vl_logic; lock : out vl_logic; vcoph : out vl_logic_vector(7 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of reference_clock_frequency : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency : constant is 1; attribute mti_svvh_generic_type of forcelock : constant is 1; attribute mti_svvh_generic_type of nreset_invert : constant is 1; attribute mti_svvh_generic_type of pll_enable : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en : constant is 1; end generic_device_pll;
mit
02aaa72c338fc4027e72e2598bff2c47
0.600856
3.239604
false
false
false
false
frznchckn/polarbear
hw/cores/uart/hdl/vhdl/uart_bhv.vhd
1
8,562
-------------------------------------------------------------------------------- --| --| Filename : uart_bhv --| Author : Russell L Friesenhahn --| Origin Date : 20130828 --| -------------------------------------------------------------------------------- --| --| Abstract --| --| Behavorial architecture of UART core --| -------------------------------------------------------------------------------- --| --| Modification History --| --| --| -------------------------------------------------------------------------------- --| --| References --| --| --| -------------------------------------------------------------------------------- architecture bhv of uart is ----------------------------- -- Component Declarations ----------------------------- component cntr is generic ( CntrWidth : integer := 8 ); port ( Clk : in std_ulogic; Rst : in std_ulogic; En : in std_ulogic; Clr : in std_ulogic; CritValue : in std_ulogic_vector(CntrWidth-1 downto 0); CntrValue : out std_ulogic_vector(CntrWidth-1 downto 0); CntReached : out std_ulogic ); end component; ----------------------------- -- Constant Declarations ----------------------------- -- constant clk16Gen : integer := SysClkRate / BaudRate / 16; ----------------------------- -- Type Declarations ----------------------------- type rxst is ( IDLE, START, RX, PARITYCK, STOP, ERR ); type txst is ( IDLE, START, TX, TXPARITY, STOP ); ----------------------------- -- Signal Declarations ----------------------------- signal clkCntr : unsigned(19 downto 0); signal clkTxCntr : unsigned(23 downto 0); -- signal clkTxGen : unsigned(23 downto 0); signal clkTxGen : std_ulogic_vector(23 downto 0); signal ClkTxPulse : std_ulogic; signal startTxCntr : std_ulogic; signal clk16Pulse : std_ulogic; signal rxcs : rxst; signal din_d0 : std_ulogic; signal din_d1 : std_ulogic; signal din_d2 : std_ulogic; signal clk16Cnt : unsigned(3 downto 0); -- signal dout_int : std_ulogic_vector(7 downto 0); signal rxCnt : unsigned(3 downto 0); signal dout_i : std_ulogic_vector(7 downto 0); signal parity : std_ulogic; signal txcs : txst; signal bitTxParity : std_ulogic; signal txCnt : unsigned(2 downto 0); signal byteRx_d1 : std_ulogic_vector(7 downto 0); signal byteRx_d2 : std_ulogic_vector(7 downto 0); signal byteRxValid_d1 : std_ulogic; signal txBusy_i : std_ulogic; begin ByteTx <= dout_i; TxBusy <= txBusy_i; clkTxGen <= BaudRateGen & X"0"; cntr_tx : cntr generic map ( CntrWidth => 24 ) port map ( Clk => Clk, Rst => Rst, En => '1', Clr => startTxCntr, CritValue => clkTxGen, CntrValue => open, CntReached => ClkTxPulse ); P_TX : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then BitTx <= '1'; txBusy_i <= '1'; clkTxCntr <= (others => '0'); byteRxValid_d1 <= '0'; startTxCntr <= '0'; else if ByteRxValid = '1' and txBusy_i = '0' then byteRx_d1 <= ByteRx; byteRxValid_d1 <= ByteRxValid; txBusy_i <= '1'; end if; C_TX : case txcs is when IDLE => txBusy_i <= '0'; BitTx <= '1'; bitTxParity <= ParityType; txCnt <= (others => '0'); if byteRxValid_d1 = '1' then byteRx_d2 <= byteRx_d1; startTxCntr <= '1'; txBusy_i <= '1'; txcs <= START; byteRxValid_d1 <= '0'; end if; when START => startTxCntr <= '0'; BitTx <= '0'; if ClkTxPulse = '1' then txcs <= TX; BitTx <= byteRx_d1(0); bitTxParity <= bitTxParity xor byteRx_d1(0); txCnt <= txCnt + 1; end if; when TX => if ClkTxPulse = '1' then if txCnt = to_unsigned(0, txCnt'length) then BitTx <= bitTxParity; txcs <= TXPARITY; else BitTx <= byteRx_d1(to_integer(txCnt)); bitTxParity <= bitTxParity xor byteRx_d1(to_integer(txCnt)); txCnt <= txCnt + 1; end if; end if; when TXPARITY => if ClkTxPulse = '1' then BitTx <= '1'; txcs <= STOP; end if; when STOP => txBusy_i <= '0'; if ClkTxPulse = '1' then BitTx <= '1'; txcs <= IDLE; end if; when others => null; end case C_TX; end if; end if; end process P_TX; CLK16_PULSE_GEN : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then clkCntr <= (others => '0'); clk16Pulse <= '0'; else -- if clkCntr = to_unsigned(clk16Gen, clkCntr'length) if clkCntr = unsigned(BaudRateGen) then clkCntr <= (others => '0'); clk16Pulse <= '1'; else clkCntr <= clkCntr + 1; clk16Pulse <= '0'; end if; end if; end if; end process CLK16_PULSE_GEN; P_STABLE_DATA : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then din_d0 <= '0'; din_d1 <= '0'; din_d2 <= '0'; else din_d2 <= din_d1; din_d1 <= din_d0; din_d0 <= BitRx; end if; end if; end process P_STABLE_DATA; P_CLK16_CNTR : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then clk16Cnt <= (others => '0'); else if clk16Pulse = '1' then clk16Cnt <= clk16Cnt + 1; end if; if din_d1 /= din_d2 then clk16Cnt <= (others => '0'); end if; end if; end if; end process P_CLK16_CNTR; P_RX : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then rxcs <= IDLE; dout_i <= (others => '0'); ParErr <= '0'; StopErr <= '0'; else CO_RX_SM : case rxcs is when IDLE => ByteTxValid <= '0'; rxCnt <= (others => '0'); parity <= ParityType; if din_d1 = '0' and din_d2 = '1' then rxcs <= START; end if; when START => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if din_d2 = '0' then rxcs <= RX; else rxcs <= IDLE; end if; end if; when RX => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then rxCnt <= rxCnt + 1; end if; if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then dout_i <= din_d2 & dout_i(7 downto 1); parity <= parity xor din_d2; end if; if rxCnt = to_unsigned(8, 4) then if UseParity = '1' then rxcs <= PARITYCK; else rxcs <= STOP; end if; end if; when PARITYCK => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if parity /= din_d2 then ParErr <= '1'; rxcs <= ERR; assert false report "ERROR: parity incorrect" severity error; else rxcs <= STOP; end if; end if; when STOP => if clk16Pulse = '1' and clk16Cnt = to_unsigned(7, 4) then if din_d2 = '1' then ByteTxValid <= '1'; rxcs <= IDLE; else StopErr <= '1'; rxcs <= ERR; end if; end if; when ERR => ParErr <= '0'; StopErr <= '0'; rxcs <= IDLE; end case; end if; end if; end process P_RX; end architecture bhv;
unlicense
c335d92f887fb92f33a21e7441399390
0.413688
4.098612
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sImpulsennAltr.vhd
8
3,602
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sImpulsennAltr is generic ( Impulsedelay : positive ; Impulsewidth : positive ); port ( clock : in std_logic; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; q : out std_logic ); end alt_dspbuilder_sImpulsennAltr ; architecture syn of alt_dspbuilder_sImpulsennAltr is type States_ImpulseAltr is (sclear, slow, shigh,slowend); signal current_state : States_ImpulseAltr; signal next_state : States_ImpulseAltr; signal count : std_logic_vector(ToNatural(nbitnecessary(Impulsedelay)-1) downto 0); signal countwidth : std_logic_vector(ToNatural(nbitnecessary(Impulsewidth)-1) downto 0); signal enawidth : std_logic; begin rp:process(clock,aclr) begin if aclr='1' then count <= (others=>'0'); countwidth <= (others=>'0'); current_state <= sclear; elsif clock'event and clock='1' then if (sclr='1') then count <= (others=>'0'); countwidth <= (others=>'0'); current_state <= sclear; elsif (ena='1') then count <= count+int2ustd(1,nbitnecessary(Impulsedelay)); current_state <= next_state; if (enawidth='1') then countwidth <= countwidth+int2ustd(1,nbitnecessary(Impulsewidth)); end if; end if; end if; end process; cp:process(count, countwidth, current_state, sclr, ena) begin case current_state is when sclear => q <= '0'; enawidth <='0'; if (ena='1') and (sclr='0') then next_state <= slow; else next_state <= sclear; end if; when slow => q <= '0'; enawidth <='0'; if (sclr='1') then next_state <= sclear; elsif (count=int2ustd(Impulsedelay-1,nbitnecessary(Impulsedelay))) and (ena='1') then next_state <= shigh; else next_state <= slow ; end if; when shigh => q <= '1'; enawidth <='1'; if (sclr='1') then next_state <= sclear; elsif (countwidth=int2ustd(Impulsewidth-1,nbitnecessary(Impulsewidth))) and (ena='1') then next_state <= slowend ; else next_state <= shigh; end if; when slowend => q <= '0'; enawidth <='0'; if (sclr='1') then next_state <= sclear; else next_state <= slowend ; end if; end case; end process; end syn;
mit
c7c2219c19db10d826f8512f4d2e72fb
0.615769
3.728778
false
false
false
false
freecores/t48
rtl/vhdl/clock_ctrl.vhd
1
12,708
------------------------------------------------------------------------------- -- -- The Clock Control unit. -- Clock States and Machine Cycles are generated here. -- -- $Id: clock_ctrl.vhd,v 1.12 2006-07-14 01:04:35 arniml Exp $ -- -- Copyright (c) 2004, 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.all; entity t48_clock_ctrl is generic ( -- divide XTAL1 by 3 to derive Clock States xtal_div_3_g : integer := 1 ); port ( clk_i : in std_logic; xtal_i : in std_logic; xtal_en_i : in boolean; res_i : in std_logic; en_clk_i : in boolean; xtal3_o : out boolean; t0_o : out std_logic; multi_cycle_i : in boolean; assert_psen_i : in boolean; assert_prog_i : in boolean; assert_rd_i : in boolean; assert_wr_i : in boolean; mstate_o : out mstate_t; second_cycle_o : out boolean; ale_o : out boolean; psen_o : out boolean; prog_o : out boolean; rd_o : out boolean; wr_o : out boolean ); end t48_clock_ctrl; library ieee; use ieee.numeric_std.all; architecture rtl of t48_clock_ctrl is -- The three XTAL1 cycles. signal xtal_q : unsigned(1 downto 0); signal xtal1_s, xtal2_s, xtal3_s : boolean; signal x1_s, x2_s, x3_s : std_logic; signal t0_q : std_logic; -- The five clock states. signal mstate_q : mstate_t; signal ale_q : boolean; signal psen_q : boolean; signal prog_q : boolean; signal rd_q : boolean; signal wr_q : boolean; -- The Machine Cycle marker. signal second_cycle_q : boolean; signal multi_cycle_q : boolean; begin ----------------------------------------------------------------------------- -- Verify the generics ----------------------------------------------------------------------------- -- pragma translate_off -- XTAL1 divide by 3 -------------------------------------------------------- assert (xtal_div_3_g = 1) or (xtal_div_3_g = 0) report "xtal_div_3_g must be either 1 or 0!" severity failure; -- pragma translate_on ----------------------------------------------------------------------------- -- Divide XTAL1 by 3 to derive Clock States. ----------------------------------------------------------------------------- use_xtal_div: if xtal_div_3_g = 1 generate xtal: process (res_i, xtal_i) begin if res_i = res_active_c then xtal_q <= TO_UNSIGNED(0, 2); t0_q <= '0'; elsif xtal_i'event and xtal_i = clk_active_c then if xtal_en_i then if xtal_q < 2 then xtal_q <= xtal_q + 1; else xtal_q <= TO_UNSIGNED(0, 2); end if; if xtal3_s then t0_q <= '1'; else t0_q <= '0'; end if; end if; end if; end process xtal; x1_s <= '1' when xtal_q = 0 and xtal_en_i else '0'; x2_s <= '1' when xtal_q = 1 and xtal_en_i else '0'; x3_s <= '1' when xtal_q = 2 and xtal_en_i else '0'; t0_o <= t0_q; end generate; ----------------------------------------------------------------------------- -- XTAL1 is used directly for Clock States. ----------------------------------------------------------------------------- no_xtal_div: if xtal_div_3_g = 0 generate xtal_q <= TO_UNSIGNED(0, 2); x1_s <= '1' when xtal_en_i else '0'; x2_s <= '1' when xtal_en_i else '0'; x3_s <= '1' when xtal_en_i else '0'; t0_o <= xtal_i; end generate; -- And finally the boolean flags -------------------------------------------- xtal1_s <= to_boolean(x1_s); xtal2_s <= to_boolean(x2_s); xtal3_s <= to_boolean(x3_s); ----------------------------------------------------------------------------- -- Process external_signal -- -- Purpose: -- Control signals ALE, PSEN, PROG and RD/WR are generated here. -- external_signals: process (res_i, xtal_i) begin if res_i = res_active_c then ale_q <= false; psen_q <= false; prog_q <= false; rd_q <= false; wr_q <= false; elsif xtal_i'event and xtal_i = clk_active_c then case mstate_q is when MSTATE5 => -- RD, WR are set at the end of XTAL2 of first machine cycle if xtal2_s and not second_cycle_q then if assert_rd_i then rd_q <= true; end if; if assert_wr_i then wr_q <= true; end if; end if; when MSTATE1 => if xtal3_s then psen_q <= false; end if; when MSTATE2 => if xtal3_s then -- RD, WR are removed at the end of XTAL3 of second machine cycle rd_q <= false; wr_q <= false; -- so is PROG prog_q <= false; end if; when MSTATE3 => -- ALE is set at the end of XTAL3 of every machine cycle if xtal3_s then ale_q <= true; end if; when MSTATE4 => if xtal3_s then -- PSEN is set at the end of XTAL3 if assert_psen_i then psen_q <= true; end if; end if; -- PROG is set at the end of XTAL3 if xtal3_s and multi_cycle_q and not second_cycle_q and assert_prog_i then prog_q <= true; end if; -- ALE is removed at the end of XTAL2 of every machine cycle if xtal2_s then ale_q <= false; end if; when others => -- recover when states are out of sync ale_q <= false; psen_q <= false; prog_q <= false; rd_q <= false; wr_q <= false; end case; end if; end process external_signals; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process states -- -- Purpose: -- The Clock State controller. -- states: process (res_i, clk_i) begin if res_i = res_active_c then -- Reset machine state to MSTATE3 -- This allows a proper instruction fetch for the first real instruction -- after reset. -- The MSTATE3 is part of a virtual NOP that has no MSTATE1 and MSTATE2. mstate_q <= MSTATE3; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then case mstate_q is when MSTATE5 => mstate_q <= MSTATE1; when MSTATE1 => mstate_q <= MSTATE2; when MSTATE2 => mstate_q <= MSTATE3; when MSTATE3 => mstate_q <= MSTATE4; when MSTATE4 => mstate_q <= MSTATE5; when others => -- recover when states are out of sync mstate_q <= MSTATE1; -- pragma translate_off assert false report "Encoding of Clock States failed!" severity error; -- pragma translate_on end case; end if; end if; end process states; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process machine_cycle -- -- Purpose: -- Keep track of machine cycles. -- Basically, this means to differ between first and second cycle. -- machine_cycle: process (res_i, clk_i) variable state2_v, state5_v : boolean; begin if res_i = res_active_c then multi_cycle_q <= false; second_cycle_q <= false; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then state2_v := mstate_q = MSTATE2; state5_v := mstate_q = MSTATE5; -- multi cycle information is delivered in State 2 from the decoder if state2_v and multi_cycle_i then multi_cycle_q <= true; end if; -- mark second machine cycle if multi_cycle_q and state5_v then second_cycle_q <= true; end if; -- reset at end of second machine cycle if state5_v and (multi_cycle_q and second_cycle_q) then multi_cycle_q <= false; second_cycle_q <= false; end if; end if; end if; end process machine_cycle; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output assignments ----------------------------------------------------------------------------- xtal3_o <= xtal3_s; mstate_o <= mstate_q; second_cycle_o <= second_cycle_q; ale_o <= ale_q; psen_o <= psen_q; prog_o <= prog_q; rd_o <= rd_q; wr_o <= wr_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.11 2006/06/20 00:46:38 arniml -- new input xtal_en_i gates xtal_i base clock -- -- Revision 1.10 2005/11/01 21:24:21 arniml -- * shift assertion of ALE and PROG to xtal3 -- * correct change of revision 1.8 -- -- Revision 1.9 2005/06/11 10:08:43 arniml -- introduce prefix 't48_' for all packages, entities and configurations -- -- Revision 1.8 2005/06/09 22:15:10 arniml -- Use en_clk_i instead of xtal3_s for generation of external signals. -- This is required when the core runs with full xtal clock instead -- of xtal/3 (xtal_div_3_g = 0). -- -- Revision 1.7 2005/05/04 20:12:36 arniml -- Fix bug report: -- "Wrong clock applied to T0" -- t0_o is generated inside clock_ctrl with a separate flip-flop running -- with xtal_i -- -- Revision 1.6 2004/10/25 20:31:12 arniml -- remove PROG and end of XTAL2, see comment for details -- -- Revision 1.5 2004/10/25 19:35:41 arniml -- deassert rd_q, wr_q and prog_q at end of XTAL3 -- -- Revision 1.4 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.3 2004/04/18 18:56:23 arniml -- reset machine state to MSTATE3 to allow proper instruction fetch -- after reset -- -- Revision 1.2 2004/03/28 12:55:06 arniml -- move code for PROG out of if-branch for xtal3_s -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
902fa00ddba36320b6ecd8eefcbd0541
0.503698
4.005043
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_mult_add_rtl/_primary.vhd
5
43,770
library verilog; use verilog.vl_types.all; entity altera_mult_add_rtl is generic( extra_latency : integer := 0; dedicated_multiplier_circuitry: string := "AUTO"; dsp_block_balancing: string := "AUTO"; selected_device_family: string := "Stratix V"; lpm_type : string := "altera_mult_add"; lpm_hint : string := "UNUSED"; width_a : integer := 1; input_register_a0: string := "UNREGISTERED"; input_aclr_a0 : string := "NONE"; input_source_a0 : string := "DATAA"; input_register_a1: string := "UNREGISTERED"; input_aclr_a1 : string := "NONE"; input_source_a1 : string := "DATAA"; input_register_a2: string := "UNREGISTERED"; input_aclr_a2 : string := "NONE"; input_source_a2 : string := "DATAA"; input_register_a3: string := "UNREGISTERED"; input_aclr_a3 : string := "NONE"; input_source_a3 : string := "DATAA"; input_a0_latency_clock: string := "UNREGISTERED"; input_a0_latency_aclr: string := "NONE"; input_a1_latency_clock: string := "UNREGISTERED"; input_a1_latency_aclr: string := "NONE"; input_a2_latency_clock: string := "UNREGISTERED"; input_a2_latency_aclr: string := "NONE"; input_a3_latency_clock: string := "UNREGISTERED"; input_a3_latency_aclr: string := "NONE"; width_b : integer := 1; input_register_b0: string := "UNREGISTERED"; input_aclr_b0 : string := "NONE"; input_source_b0 : string := "DATAB"; input_register_b1: string := "UNREGISTERED"; input_aclr_b1 : string := "NONE"; input_source_b1 : string := "DATAB"; input_register_b2: string := "UNREGISTERED"; input_aclr_b2 : string := "NONE"; input_source_b2 : string := "DATAB"; input_register_b3: string := "UNREGISTERED"; input_aclr_b3 : string := "NONE"; input_source_b3 : string := "DATAB"; input_b0_latency_clock: string := "UNREGISTERED"; input_b0_latency_aclr: string := "NONE"; input_b1_latency_clock: string := "UNREGISTERED"; input_b1_latency_aclr: string := "NONE"; input_b2_latency_clock: string := "UNREGISTERED"; input_b2_latency_aclr: string := "NONE"; input_b3_latency_clock: string := "UNREGISTERED"; input_b3_latency_aclr: string := "NONE"; width_c : integer := 1; input_register_c0: string := "UNREGISTERED"; input_aclr_c0 : string := "NONE"; input_register_c1: string := "UNREGISTERED"; input_aclr_c1 : string := "NONE"; input_register_c2: string := "UNREGISTERED"; input_aclr_c2 : string := "NONE"; input_register_c3: string := "UNREGISTERED"; input_aclr_c3 : string := "NONE"; input_c0_latency_clock: string := "UNREGISTERED"; input_c0_latency_aclr: string := "NONE"; input_c1_latency_clock: string := "UNREGISTERED"; input_c1_latency_aclr: string := "NONE"; input_c2_latency_clock: string := "UNREGISTERED"; input_c2_latency_aclr: string := "NONE"; input_c3_latency_clock: string := "UNREGISTERED"; input_c3_latency_aclr: string := "NONE"; width_result : integer := 34; output_register : string := "UNREGISTERED"; output_aclr : string := "NONE"; port_signa : string := "PORT_UNUSED"; representation_a: string := "UNSIGNED"; signed_register_a: string := "UNREGISTERED"; signed_aclr_a : string := "NONE"; signed_latency_clock_a: string := "UNREGISTERED"; signed_latency_aclr_a: string := "NONE"; port_signb : string := "PORT_UNUSED"; representation_b: string := "UNSIGNED"; signed_register_b: string := "UNREGISTERED"; signed_aclr_b : string := "NONE"; signed_latency_clock_b: string := "UNREGISTERED"; signed_latency_aclr_b: string := "NONE"; number_of_multipliers: integer := 1; multiplier1_direction: string := "NONE"; multiplier3_direction: string := "NONE"; multiplier_register0: string := "UNREGISTERED"; multiplier_aclr0: string := "NONE"; multiplier_register1: string := "UNREGISTERED"; multiplier_aclr1: string := "NONE"; multiplier_register2: string := "UNREGISTERED"; multiplier_aclr2: string := "NONE"; multiplier_register3: string := "UNREGISTERED"; multiplier_aclr3: string := "NONE"; port_addnsub1 : string := "PORT_UNUSED"; addnsub_multiplier_register1: string := "UNREGISTERED"; addnsub_multiplier_aclr1: string := "NONE"; addnsub_multiplier_latency_clock1: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr1: string := "NONE"; port_addnsub3 : string := "PORT_UNUSED"; addnsub_multiplier_register3: string := "UNREGISTERED"; addnsub_multiplier_aclr3: string := "NONE"; addnsub_multiplier_latency_clock3: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr3: string := "NONE"; adder1_rounding : string := "NO"; addnsub1_round_register: string := "UNREGISTERED"; addnsub1_round_aclr: string := "NONE"; adder3_rounding : string := "NO"; addnsub3_round_register: string := "UNREGISTERED"; addnsub3_round_aclr: string := "NONE"; multiplier01_rounding: string := "NO"; mult01_round_register: string := "UNREGISTERED"; mult01_round_aclr: string := "NONE"; multiplier23_rounding: string := "NO"; mult23_round_register: string := "UNREGISTERED"; mult23_round_aclr: string := "NONE"; width_msb : integer := 17; output_rounding : string := "NO"; output_round_type: string := "NEAREST_INTEGER"; output_round_register: string := "UNREGISTERED"; output_round_aclr: string := "NONE"; chainout_rounding: string := "NO"; chainout_round_register: string := "UNREGISTERED"; chainout_round_aclr: string := "NONE"; chainout_round_output_register: string := "UNREGISTERED"; chainout_round_output_aclr: string := "NONE"; multiplier01_saturation: string := "NO"; mult01_saturation_register: string := "UNREGISTERED"; mult01_saturation_aclr: string := "NONE"; multiplier23_saturation: string := "NO"; mult23_saturation_register: string := "UNREGISTERED"; mult23_saturation_aclr: string := "NONE"; port_mult0_is_saturated: string := "NONE"; port_mult1_is_saturated: string := "NONE"; port_mult2_is_saturated: string := "NONE"; port_mult3_is_saturated: string := "NONE"; width_saturate_sign: integer := 1; output_saturation: string := "NO"; port_output_is_overflow: string := "PORT_UNUSED"; output_saturate_type: string := "ASYMMETRIC"; output_saturate_register: string := "UNREGISTERED"; output_saturate_aclr: string := "NONE"; chainout_saturation: string := "NO"; port_chainout_sat_is_overflow: string := "PORT_UNUSED"; chainout_saturate_register: string := "UNREGISTERED"; chainout_saturate_aclr: string := "NONE"; chainout_saturate_output_register: string := "UNREGISTERED"; chainout_saturate_output_aclr: string := "NONE"; scanouta_register: string := "UNREGISTERED"; scanouta_aclr : string := "NONE"; width_chainin : integer := 1; chainout_adder : string := "NO"; chainout_register: string := "UNREGISTERED"; chainout_aclr : string := "NONE"; zero_chainout_output_register: string := "UNREGISTERED"; zero_chainout_output_aclr: string := "NONE"; shift_mode : string := "NO"; rotate_register : string := "UNREGISTERED"; rotate_aclr : string := "NONE"; rotate_output_register: string := "UNREGISTERED"; rotate_output_aclr: string := "NONE"; shift_right_register: string := "UNREGISTERED"; shift_right_aclr: string := "NONE"; shift_right_output_register: string := "UNREGISTERED"; shift_right_output_aclr: string := "NONE"; zero_loopback_register: string := "UNREGISTERED"; zero_loopback_aclr: string := "NONE"; zero_loopback_output_register: string := "UNREGISTERED"; zero_loopback_output_aclr: string := "NONE"; accumulator : string := "NO"; accum_direction : string := "ADD"; loadconst_value : integer := 0; use_sload_accum_port: string := "NO"; accum_sload_register: string := "UNREGISTERED"; accum_sload_aclr: string := "NONE"; accum_sload_latency_clock: string := "UNREGISTERED"; accum_sload_latency_aclr: string := "NONE"; loadconst_control_register: string := "UNREGISTERED"; loadconst_control_aclr: string := "NONE"; double_accum : string := "NO"; systolic_delay1 : string := "UNREGISTERED"; systolic_delay3 : string := "UNREGISTERED"; systolic_aclr1 : string := "NONE"; systolic_aclr3 : string := "NONE"; preadder_mode : string := "SIMPLE"; preadder_direction_0: string := "ADD"; preadder_direction_1: string := "ADD"; preadder_direction_2: string := "ADD"; preadder_direction_3: string := "ADD"; width_coef : integer := 1; coefsel0_register: string := "UNREGISTERED"; coefsel0_aclr : string := "NONE"; coefsel1_register: string := "UNREGISTERED"; coefsel1_aclr : string := "NONE"; coefsel2_register: string := "UNREGISTERED"; coefsel2_aclr : string := "NONE"; coefsel3_register: string := "UNREGISTERED"; coefsel3_aclr : string := "NONE"; coef0_0 : integer := 0; coef0_1 : integer := 0; coef0_2 : integer := 0; coef0_3 : integer := 0; coef0_4 : integer := 0; coef0_5 : integer := 0; coef0_6 : integer := 0; coef0_7 : integer := 0; coef1_0 : integer := 0; coef1_1 : integer := 0; coef1_2 : integer := 0; coef1_3 : integer := 0; coef1_4 : integer := 0; coef1_5 : integer := 0; coef1_6 : integer := 0; coef1_7 : integer := 0; coef2_0 : integer := 0; coef2_1 : integer := 0; coef2_2 : integer := 0; coef2_3 : integer := 0; coef2_4 : integer := 0; coef2_5 : integer := 0; coef2_6 : integer := 0; coef2_7 : integer := 0; coef3_0 : integer := 0; coef3_1 : integer := 0; coef3_2 : integer := 0; coef3_3 : integer := 0; coef3_4 : integer := 0; coef3_5 : integer := 0; coef3_6 : integer := 0; coef3_7 : integer := 0; coefsel0_latency_clock: string := "UNREGISTERED"; coefsel0_latency_aclr: string := "NONE"; coefsel1_latency_clock: string := "UNREGISTERED"; coefsel1_latency_aclr: string := "NONE"; coefsel2_latency_clock: string := "UNREGISTERED"; coefsel2_latency_aclr: string := "NONE"; coefsel3_latency_clock: string := "UNREGISTERED"; coefsel3_latency_aclr: string := "NONE"; latency : integer := 0; signed_pipeline_register_a: string := "UNREGISTERED"; signed_pipeline_aclr_a: string := "NONE"; signed_pipeline_register_b: string := "UNREGISTERED"; signed_pipeline_aclr_b: string := "NONE"; addnsub_multiplier_pipeline_register1: string := "UNREGISTERED"; addnsub_multiplier_pipeline_aclr1: string := "NONE"; addnsub_multiplier_pipeline_register3: string := "UNREGISTERED"; addnsub_multiplier_pipeline_aclr3: string := "NONE"; addnsub1_round_pipeline_register: string := "UNREGISTERED"; addnsub1_round_pipeline_aclr: string := "NONE"; addnsub3_round_pipeline_register: string := "UNREGISTERED"; addnsub3_round_pipeline_aclr: string := "NONE"; output_round_pipeline_register: string := "UNREGISTERED"; output_round_pipeline_aclr: string := "NONE"; chainout_round_pipeline_register: string := "UNREGISTERED"; chainout_round_pipeline_aclr: string := "NONE"; output_saturate_pipeline_register: string := "UNREGISTERED"; output_saturate_pipeline_aclr: string := "NONE"; chainout_saturate_pipeline_register: string := "UNREGISTERED"; chainout_saturate_pipeline_aclr: string := "NONE"; rotate_pipeline_register: string := "UNREGISTERED"; rotate_pipeline_aclr: string := "NONE"; shift_right_pipeline_register: string := "UNREGISTERED"; shift_right_pipeline_aclr: string := "NONE"; zero_loopback_pipeline_register: string := "UNREGISTERED"; zero_loopback_pipeline_aclr: string := "NONE"; accum_sload_pipeline_register: string := "UNREGISTERED"; accum_sload_pipeline_aclr: string := "NONE"; width_clock_all_wire_msb: integer := 3; width_aclr_all_wire_msb: integer := 3; width_ena_all_wire_msb: integer := 3; width_a_total_msb: vl_notype; width_a_msb : vl_notype; width_b_total_msb: vl_notype; width_b_msb : vl_notype; width_c_total_msb: vl_notype; width_c_msb : vl_notype; width_scanina : vl_notype; width_scanina_msb: vl_notype; width_scaninb : vl_notype; width_scaninb_msb: vl_notype; width_sourcea_msb: vl_notype; width_sourceb_msb: vl_notype; width_scanouta_msb: vl_notype; width_scanoutb_msb: vl_notype; width_chainin_msb: vl_notype; width_result_msb: vl_notype; width_coef_msb : vl_notype; dataa_split_ext_require: vl_notype; dataa_port_sign : vl_notype; width_a_ext : vl_notype; width_a_ext_msb : vl_notype; datab_split_ext_require: vl_notype; datab_port_sign : vl_notype; width_b_ext : vl_notype; width_b_ext_msb : vl_notype; coef_ext_require: vl_notype; coef_port_sign : vl_notype; width_coef_ext : vl_notype; width_coef_ext_msb: vl_notype; datac_split_ext_require: vl_notype; datac_port_sign : vl_notype; width_c_ext : vl_notype; width_c_ext_msb : vl_notype; width_scanchain : vl_notype; width_scanchain_msb: vl_notype; scanchain_port_sign: vl_notype; preadder_representation: vl_notype; width_preadder_input_a: vl_notype; width_preadder_input_a_msb: vl_notype; width_preadder_adder_result: vl_notype; width_preadder_output_a: vl_notype; width_preadder_output_a_msb: vl_notype; width_preadder_output_b: vl_notype; width_preadder_output_b_msb: vl_notype; multiplier_input_representation_a: vl_notype; multiplier_input_representation_b: vl_notype; width_mult_source_a: vl_notype; width_mult_source_a_msb: vl_notype; width_mult_source_b: vl_notype; width_mult_source_b_msb: vl_notype; width_mult_result: vl_notype; width_mult_result_msb: vl_notype; width_adder_source: vl_notype; width_adder_source_msb: vl_notype; width_adder_result: vl_notype; width_adder_result_msb: vl_notype; width_chainin_ext: vl_notype; width_original_result: vl_notype; width_original_result_msb: vl_notype; result_ext_width: vl_notype; width_result_output: vl_notype; width_result_output_msb: vl_notype; width_chainout_adder_output: vl_notype ); port( dataa : in vl_logic_vector; datab : in vl_logic_vector; datac : in vl_logic_vector; scanina : in vl_logic_vector; scaninb : in vl_logic_vector; sourcea : in vl_logic_vector; sourceb : in vl_logic_vector; clock3 : in vl_logic; clock2 : in vl_logic; clock1 : in vl_logic; clock0 : in vl_logic; aclr3 : in vl_logic; aclr2 : in vl_logic; aclr1 : in vl_logic; aclr0 : in vl_logic; ena3 : in vl_logic; ena2 : in vl_logic; ena1 : in vl_logic; ena0 : in vl_logic; signa : in vl_logic; signb : in vl_logic; addnsub1 : in vl_logic; addnsub3 : in vl_logic; result : out vl_logic_vector; scanouta : out vl_logic_vector; scanoutb : out vl_logic_vector; mult01_round : in vl_logic; mult23_round : in vl_logic; mult01_saturation: in vl_logic; mult23_saturation: in vl_logic; addnsub1_round : in vl_logic; addnsub3_round : in vl_logic; mult0_is_saturated: out vl_logic; mult1_is_saturated: out vl_logic; mult2_is_saturated: out vl_logic; mult3_is_saturated: out vl_logic; output_round : in vl_logic; chainout_round : in vl_logic; output_saturate : in vl_logic; chainout_saturate: in vl_logic; overflow : out vl_logic; chainout_sat_overflow: out vl_logic; chainin : in vl_logic_vector; zero_chainout : in vl_logic; rotate : in vl_logic; shift_right : in vl_logic; zero_loopback : in vl_logic; accum_sload : in vl_logic; sload_accum : in vl_logic; coefsel0 : in vl_logic_vector(2 downto 0); coefsel1 : in vl_logic_vector(2 downto 0); coefsel2 : in vl_logic_vector(2 downto 0); coefsel3 : in vl_logic_vector(2 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of extra_latency : constant is 1; attribute mti_svvh_generic_type of dedicated_multiplier_circuitry : constant is 1; attribute mti_svvh_generic_type of dsp_block_balancing : constant is 1; attribute mti_svvh_generic_type of selected_device_family : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of width_a : constant is 1; attribute mti_svvh_generic_type of input_register_a0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a0 : constant is 1; attribute mti_svvh_generic_type of input_source_a0 : constant is 1; attribute mti_svvh_generic_type of input_register_a1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a1 : constant is 1; attribute mti_svvh_generic_type of input_source_a1 : constant is 1; attribute mti_svvh_generic_type of input_register_a2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a2 : constant is 1; attribute mti_svvh_generic_type of input_source_a2 : constant is 1; attribute mti_svvh_generic_type of input_register_a3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_a3 : constant is 1; attribute mti_svvh_generic_type of input_source_a3 : constant is 1; attribute mti_svvh_generic_type of input_a0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_a3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_a3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_b : constant is 1; attribute mti_svvh_generic_type of input_register_b0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b0 : constant is 1; attribute mti_svvh_generic_type of input_source_b0 : constant is 1; attribute mti_svvh_generic_type of input_register_b1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b1 : constant is 1; attribute mti_svvh_generic_type of input_source_b1 : constant is 1; attribute mti_svvh_generic_type of input_register_b2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b2 : constant is 1; attribute mti_svvh_generic_type of input_source_b2 : constant is 1; attribute mti_svvh_generic_type of input_register_b3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_b3 : constant is 1; attribute mti_svvh_generic_type of input_source_b3 : constant is 1; attribute mti_svvh_generic_type of input_b0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_b3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_b3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_c : constant is 1; attribute mti_svvh_generic_type of input_register_c0 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c0 : constant is 1; attribute mti_svvh_generic_type of input_register_c1 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c1 : constant is 1; attribute mti_svvh_generic_type of input_register_c2 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c2 : constant is 1; attribute mti_svvh_generic_type of input_register_c3 : constant is 1; attribute mti_svvh_generic_type of input_aclr_c3 : constant is 1; attribute mti_svvh_generic_type of input_c0_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c1_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c2_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of input_c3_latency_clock : constant is 1; attribute mti_svvh_generic_type of input_c3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_result : constant is 1; attribute mti_svvh_generic_type of output_register : constant is 1; attribute mti_svvh_generic_type of output_aclr : constant is 1; attribute mti_svvh_generic_type of port_signa : constant is 1; attribute mti_svvh_generic_type of representation_a : constant is 1; attribute mti_svvh_generic_type of signed_register_a : constant is 1; attribute mti_svvh_generic_type of signed_aclr_a : constant is 1; attribute mti_svvh_generic_type of signed_latency_clock_a : constant is 1; attribute mti_svvh_generic_type of signed_latency_aclr_a : constant is 1; attribute mti_svvh_generic_type of port_signb : constant is 1; attribute mti_svvh_generic_type of representation_b : constant is 1; attribute mti_svvh_generic_type of signed_register_b : constant is 1; attribute mti_svvh_generic_type of signed_aclr_b : constant is 1; attribute mti_svvh_generic_type of signed_latency_clock_b : constant is 1; attribute mti_svvh_generic_type of signed_latency_aclr_b : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of multiplier1_direction : constant is 1; attribute mti_svvh_generic_type of multiplier3_direction : constant is 1; attribute mti_svvh_generic_type of multiplier_register0 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1; attribute mti_svvh_generic_type of multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of multiplier_register2 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1; attribute mti_svvh_generic_type of multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of port_addnsub1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1; attribute mti_svvh_generic_type of port_addnsub3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1; attribute mti_svvh_generic_type of adder1_rounding : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_aclr : constant is 1; attribute mti_svvh_generic_type of adder3_rounding : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier01_rounding : constant is 1; attribute mti_svvh_generic_type of mult01_round_register : constant is 1; attribute mti_svvh_generic_type of mult01_round_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier23_rounding : constant is 1; attribute mti_svvh_generic_type of mult23_round_register : constant is 1; attribute mti_svvh_generic_type of mult23_round_aclr : constant is 1; attribute mti_svvh_generic_type of width_msb : constant is 1; attribute mti_svvh_generic_type of output_rounding : constant is 1; attribute mti_svvh_generic_type of output_round_type : constant is 1; attribute mti_svvh_generic_type of output_round_register : constant is 1; attribute mti_svvh_generic_type of output_round_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_rounding : constant is 1; attribute mti_svvh_generic_type of chainout_round_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_output_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier01_saturation : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult01_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of multiplier23_saturation : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_register : constant is 1; attribute mti_svvh_generic_type of mult23_saturation_aclr : constant is 1; attribute mti_svvh_generic_type of port_mult0_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult1_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult2_is_saturated : constant is 1; attribute mti_svvh_generic_type of port_mult3_is_saturated : constant is 1; attribute mti_svvh_generic_type of width_saturate_sign : constant is 1; attribute mti_svvh_generic_type of output_saturation : constant is 1; attribute mti_svvh_generic_type of port_output_is_overflow : constant is 1; attribute mti_svvh_generic_type of output_saturate_type : constant is 1; attribute mti_svvh_generic_type of output_saturate_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturation : constant is 1; attribute mti_svvh_generic_type of port_chainout_sat_is_overflow : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_output_aclr : constant is 1; attribute mti_svvh_generic_type of scanouta_register : constant is 1; attribute mti_svvh_generic_type of scanouta_aclr : constant is 1; attribute mti_svvh_generic_type of width_chainin : constant is 1; attribute mti_svvh_generic_type of chainout_adder : constant is 1; attribute mti_svvh_generic_type of chainout_register : constant is 1; attribute mti_svvh_generic_type of chainout_aclr : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_register : constant is 1; attribute mti_svvh_generic_type of zero_chainout_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_mode : constant is 1; attribute mti_svvh_generic_type of rotate_register : constant is 1; attribute mti_svvh_generic_type of rotate_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_output_register : constant is 1; attribute mti_svvh_generic_type of rotate_output_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_register : constant is 1; attribute mti_svvh_generic_type of shift_right_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_output_register : constant is 1; attribute mti_svvh_generic_type of shift_right_output_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_output_aclr : constant is 1; attribute mti_svvh_generic_type of accumulator : constant is 1; attribute mti_svvh_generic_type of accum_direction : constant is 1; attribute mti_svvh_generic_type of loadconst_value : constant is 1; attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1; attribute mti_svvh_generic_type of accum_sload_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1; attribute mti_svvh_generic_type of loadconst_control_register : constant is 1; attribute mti_svvh_generic_type of loadconst_control_aclr : constant is 1; attribute mti_svvh_generic_type of double_accum : constant is 1; attribute mti_svvh_generic_type of systolic_delay1 : constant is 1; attribute mti_svvh_generic_type of systolic_delay3 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1; attribute mti_svvh_generic_type of preadder_mode : constant is 1; attribute mti_svvh_generic_type of preadder_direction_0 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_1 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_2 : constant is 1; attribute mti_svvh_generic_type of preadder_direction_3 : constant is 1; attribute mti_svvh_generic_type of width_coef : constant is 1; attribute mti_svvh_generic_type of coefsel0_register : constant is 1; attribute mti_svvh_generic_type of coefsel0_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel1_register : constant is 1; attribute mti_svvh_generic_type of coefsel1_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel2_register : constant is 1; attribute mti_svvh_generic_type of coefsel2_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel3_register : constant is 1; attribute mti_svvh_generic_type of coefsel3_aclr : constant is 1; attribute mti_svvh_generic_type of coef0_0 : constant is 1; attribute mti_svvh_generic_type of coef0_1 : constant is 1; attribute mti_svvh_generic_type of coef0_2 : constant is 1; attribute mti_svvh_generic_type of coef0_3 : constant is 1; attribute mti_svvh_generic_type of coef0_4 : constant is 1; attribute mti_svvh_generic_type of coef0_5 : constant is 1; attribute mti_svvh_generic_type of coef0_6 : constant is 1; attribute mti_svvh_generic_type of coef0_7 : constant is 1; attribute mti_svvh_generic_type of coef1_0 : constant is 1; attribute mti_svvh_generic_type of coef1_1 : constant is 1; attribute mti_svvh_generic_type of coef1_2 : constant is 1; attribute mti_svvh_generic_type of coef1_3 : constant is 1; attribute mti_svvh_generic_type of coef1_4 : constant is 1; attribute mti_svvh_generic_type of coef1_5 : constant is 1; attribute mti_svvh_generic_type of coef1_6 : constant is 1; attribute mti_svvh_generic_type of coef1_7 : constant is 1; attribute mti_svvh_generic_type of coef2_0 : constant is 1; attribute mti_svvh_generic_type of coef2_1 : constant is 1; attribute mti_svvh_generic_type of coef2_2 : constant is 1; attribute mti_svvh_generic_type of coef2_3 : constant is 1; attribute mti_svvh_generic_type of coef2_4 : constant is 1; attribute mti_svvh_generic_type of coef2_5 : constant is 1; attribute mti_svvh_generic_type of coef2_6 : constant is 1; attribute mti_svvh_generic_type of coef2_7 : constant is 1; attribute mti_svvh_generic_type of coef3_0 : constant is 1; attribute mti_svvh_generic_type of coef3_1 : constant is 1; attribute mti_svvh_generic_type of coef3_2 : constant is 1; attribute mti_svvh_generic_type of coef3_3 : constant is 1; attribute mti_svvh_generic_type of coef3_4 : constant is 1; attribute mti_svvh_generic_type of coef3_5 : constant is 1; attribute mti_svvh_generic_type of coef3_6 : constant is 1; attribute mti_svvh_generic_type of coef3_7 : constant is 1; attribute mti_svvh_generic_type of coefsel0_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel0_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel1_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel1_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel2_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel2_latency_aclr : constant is 1; attribute mti_svvh_generic_type of coefsel3_latency_clock : constant is 1; attribute mti_svvh_generic_type of coefsel3_latency_aclr : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_a : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_register_b : constant is 1; attribute mti_svvh_generic_type of signed_pipeline_aclr_b : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_pipeline_aclr3 : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of addnsub1_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of addnsub3_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_round_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of output_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of chainout_saturate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_register : constant is 1; attribute mti_svvh_generic_type of rotate_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_register : constant is 1; attribute mti_svvh_generic_type of shift_right_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_register : constant is 1; attribute mti_svvh_generic_type of zero_loopback_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_pipeline_aclr : constant is 1; attribute mti_svvh_generic_type of width_clock_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_aclr_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_ena_all_wire_msb : constant is 1; attribute mti_svvh_generic_type of width_a_total_msb : constant is 3; attribute mti_svvh_generic_type of width_a_msb : constant is 3; attribute mti_svvh_generic_type of width_b_total_msb : constant is 3; attribute mti_svvh_generic_type of width_b_msb : constant is 3; attribute mti_svvh_generic_type of width_c_total_msb : constant is 3; attribute mti_svvh_generic_type of width_c_msb : constant is 3; attribute mti_svvh_generic_type of width_scanina : constant is 3; attribute mti_svvh_generic_type of width_scanina_msb : constant is 3; attribute mti_svvh_generic_type of width_scaninb : constant is 3; attribute mti_svvh_generic_type of width_scaninb_msb : constant is 3; attribute mti_svvh_generic_type of width_sourcea_msb : constant is 3; attribute mti_svvh_generic_type of width_sourceb_msb : constant is 3; attribute mti_svvh_generic_type of width_scanouta_msb : constant is 3; attribute mti_svvh_generic_type of width_scanoutb_msb : constant is 3; attribute mti_svvh_generic_type of width_chainin_msb : constant is 3; attribute mti_svvh_generic_type of width_result_msb : constant is 3; attribute mti_svvh_generic_type of width_coef_msb : constant is 3; attribute mti_svvh_generic_type of dataa_split_ext_require : constant is 3; attribute mti_svvh_generic_type of dataa_port_sign : constant is 3; attribute mti_svvh_generic_type of width_a_ext : constant is 3; attribute mti_svvh_generic_type of width_a_ext_msb : constant is 3; attribute mti_svvh_generic_type of datab_split_ext_require : constant is 3; attribute mti_svvh_generic_type of datab_port_sign : constant is 3; attribute mti_svvh_generic_type of width_b_ext : constant is 3; attribute mti_svvh_generic_type of width_b_ext_msb : constant is 3; attribute mti_svvh_generic_type of coef_ext_require : constant is 3; attribute mti_svvh_generic_type of coef_port_sign : constant is 3; attribute mti_svvh_generic_type of width_coef_ext : constant is 3; attribute mti_svvh_generic_type of width_coef_ext_msb : constant is 3; attribute mti_svvh_generic_type of datac_split_ext_require : constant is 3; attribute mti_svvh_generic_type of datac_port_sign : constant is 3; attribute mti_svvh_generic_type of width_c_ext : constant is 3; attribute mti_svvh_generic_type of width_c_ext_msb : constant is 3; attribute mti_svvh_generic_type of width_scanchain : constant is 3; attribute mti_svvh_generic_type of width_scanchain_msb : constant is 3; attribute mti_svvh_generic_type of scanchain_port_sign : constant is 3; attribute mti_svvh_generic_type of preadder_representation : constant is 3; attribute mti_svvh_generic_type of width_preadder_input_a : constant is 3; attribute mti_svvh_generic_type of width_preadder_input_a_msb : constant is 3; attribute mti_svvh_generic_type of width_preadder_adder_result : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_a : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_a_msb : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_b : constant is 3; attribute mti_svvh_generic_type of width_preadder_output_b_msb : constant is 3; attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 3; attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 3; attribute mti_svvh_generic_type of width_mult_source_a : constant is 3; attribute mti_svvh_generic_type of width_mult_source_a_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_source_b : constant is 3; attribute mti_svvh_generic_type of width_mult_source_b_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_result : constant is 3; attribute mti_svvh_generic_type of width_mult_result_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_source : constant is 3; attribute mti_svvh_generic_type of width_adder_source_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_result : constant is 3; attribute mti_svvh_generic_type of width_adder_result_msb : constant is 3; attribute mti_svvh_generic_type of width_chainin_ext : constant is 3; attribute mti_svvh_generic_type of width_original_result : constant is 3; attribute mti_svvh_generic_type of width_original_result_msb : constant is 3; attribute mti_svvh_generic_type of result_ext_width : constant is 3; attribute mti_svvh_generic_type of width_result_output : constant is 3; attribute mti_svvh_generic_type of width_result_output_msb : constant is 3; attribute mti_svvh_generic_type of width_chainout_adder_output : constant is 3; end altera_mult_add_rtl;
mit
0e3747839bc331dce44d7c03656e1495
0.664451
3.687137
false
false
false
false
adelapie/desl
des_loop.vhd
1
2,714
-- Copyright (c) 2013 Antonio de la Piedra -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity des_loop is port(clk : in std_logic; rst : in std_logic; mode : in std_logic; -- 0 encrypt, 1 decrypt key_in : in std_logic_vector(55 downto 0); blk_in : in std_logic_vector(63 downto 0); blk_out : out std_logic_vector(63 downto 0)); end des_loop; architecture Behavioral of des_loop is signal after_ip_s : std_logic_vector(63 downto 0); signal after_ip_minus_one_s : std_logic_vector(63 downto 0); signal after_f_s : std_logic_vector(31 downto 0); signal final_s : std_logic_vector(63 downto 0); component des_round is port(clk : in std_logic; l_0 : in std_logic_vector(31 downto 0); r_0 : in std_logic_vector(31 downto 0); k_i : in std_logic_vector(47 downto 0); l_1 : out std_logic_vector(31 downto 0); r_1 : out std_logic_vector(31 downto 0)); end component; component key_schedule is port(clk : in std_logic; rst : in std_logic; mode : in std_logic; -- 0 encrypt, 1 decrypt key : in std_logic_vector(55 downto 0); key_out : out std_logic_vector(47 downto 0)); end component; signal key_s : std_logic_vector(47 downto 0); signal l_0_s : std_logic_vector(31 downto 0); signal l_1_s : std_logic_vector(31 downto 0); signal r_0_s : std_logic_vector(31 downto 0); signal r_1_s : std_logic_vector(31 downto 0); signal rst_s : std_logic; begin pr_rst_delay : process(clk, rst) begin if rising_edge(clk) then rst_s <= rst; end if; end process; pr_seq: process(clk, rst_s, blk_in) begin if rst_s = '1' then l_0_s <= blk_in(63 downto 32); r_0_s <= blk_in(31 downto 0); elsif rising_edge(clk) then l_0_s <= l_1_s; r_0_s <= r_1_s; end if; end process; DES_ROUND_0 : des_round port map (clk, l_0_s, r_0_s, key_s, l_1_s, r_1_s); blk_out <= r_1_s & l_1_s; KEY_SCHEDULE_0 : key_schedule port map (clk, rst, mode, key_in, key_s); end Behavioral;
gpl-3.0
4bcb46d33b7f67a6e9e734a349a269f0
0.643331
2.992282
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_cmdsts_if.vhd
1
13,847
------------------------------------------------------------------------------- -- axi_sg_updt_cmdsts_if ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_cmdsts_if.vhd -- Description: This entity is the descriptor update command and status inteface -- for the Scatter Gather Engine AXI DataMover. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_cmdsts_if is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 -- Master AXI Memory Map Address Width for Scatter Gather R/W Port ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- -- Update command write interface from fetch sm -- updt_cmnd_wr : in std_logic ; -- updt_cmnd_data : in std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Command Interface Ports (AXI Stream) -- s_axis_updt_cmd_tvalid : out std_logic ; -- s_axis_updt_cmd_tready : in std_logic ; -- s_axis_updt_cmd_tdata : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH+CMD_BASE_WIDTH)-1 downto 0); -- -- -- User Status Interface Ports (AXI Stream) -- m_axis_updt_sts_tvalid : in std_logic ; -- m_axis_updt_sts_tready : out std_logic ; -- m_axis_updt_sts_tdata : in std_logic_vector(7 downto 0) ; -- m_axis_updt_sts_tkeep : in std_logic_vector(0 downto 0) ; -- -- -- Scatter Gather Fetch Status -- s2mm_err : in std_logic ; -- updt_done : out std_logic ; -- updt_error : out std_logic ; -- updt_interr : out std_logic ; -- updt_slverr : out std_logic ; -- updt_decerr : out std_logic -- ); end axi_sg_updt_cmdsts_if; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_cmdsts_if is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal updt_slverr_i : std_logic := '0'; signal updt_decerr_i : std_logic := '0'; signal updt_interr_i : std_logic := '0'; signal s2mm_error : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin updt_slverr <= updt_slverr_i; updt_decerr <= updt_decerr_i; updt_interr <= updt_interr_i; ------------------------------------------------------------------------------- -- DataMover Command Interface ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- When command by fetch sm, drive descriptor update command to data mover. -- Hold until data mover indicates ready. ------------------------------------------------------------------------------- GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); elsif(updt_cmnd_wr = '1')then s_axis_updt_cmd_tvalid <= '1'; s_axis_updt_cmd_tdata <= updt_cmnd_data; elsif(s_axis_updt_cmd_tready = '1')then s_axis_updt_cmd_tvalid <= '0'; s_axis_updt_cmd_tdata <= (others => '0'); end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- DataMover Status Interface ------------------------------------------------------------------------------- -- Drive ready low during reset to indicate not ready REG_STS_READY : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then m_axis_updt_sts_tready <= '0'; else m_axis_updt_sts_tready <= '1'; end if; end if; end process REG_STS_READY; ------------------------------------------------------------------------------- -- Log status bits out of data mover. ------------------------------------------------------------------------------- DATAMOVER_STS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_slverr_i <= '0'; updt_decerr_i <= '0'; updt_interr_i <= '0'; -- Status valid, therefore capture status elsif(m_axis_updt_sts_tvalid = '1')then updt_slverr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT); updt_decerr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT); updt_interr_i <= m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else updt_slverr_i <= '0'; updt_decerr_i <= '0'; updt_interr_i <= '0'; end if; end if; end process DATAMOVER_STS; ------------------------------------------------------------------------------- -- Transfer Done ------------------------------------------------------------------------------- XFER_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_done <= '0'; -- Status valid, therefore capture status elsif(m_axis_updt_sts_tvalid = '1')then updt_done <= m_axis_updt_sts_tdata(DATAMOVER_STS_CMDDONE_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_SLVERR_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_DECERR_BIT) or m_axis_updt_sts_tdata(DATAMOVER_STS_INTERR_BIT); -- Only assert when valid else updt_done <= '0'; end if; end if; end process XFER_DONE; ------------------------------------------------------------------------------- -- Register global error from data mover. ------------------------------------------------------------------------------- s2mm_error <= updt_slverr_i or updt_decerr_i or updt_interr_i; -- Log errors into a global error output UPDATE_ERROR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error <= '0'; elsif(s2mm_error = '1')then updt_error <= '1'; end if; end if; end process UPDATE_ERROR_PROCESS; end implementation;
gpl-2.0
b790a97497636f7058a7b0891582b6e5
0.409981
4.860302
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_clock.vhd
10
1,426
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_clock is generic ( RESET : string := "ACTIVE_HIGH"; DOMAIN : string := "default" ); port ( clock_out : out std_logic; clock : in std_logic; aclr_out : out std_logic; aclr : in std_logic; aclr_n : in std_logic ); end entity alt_dspbuilder_clock; architecture rtl of alt_dspbuilder_clock is component alt_dspbuilder_clock_GNQFU4PUDH is generic ( RESET : string := "ACTIVE_HIGH"; DOMAIN : string := "default" ); port ( aclr : in std_logic; aclr_out : out std_logic; clock : in std_logic; clock_out : out std_logic ); end component alt_dspbuilder_clock_GNQFU4PUDH; begin alt_dspbuilder_clock_GNQFU4PUDH_0: if ((RESET = "ACTIVE_HIGH") and (DOMAIN = "default")) generate inst_alt_dspbuilder_clock_GNQFU4PUDH_0: alt_dspbuilder_clock_GNQFU4PUDH generic map(RESET => "ACTIVE_HIGH", DOMAIN => "default") port map(aclr => aclr, aclr_out => aclr_out, clock => clock, clock_out => clock_out); end generate; assert not (((RESET = "ACTIVE_HIGH") and (DOMAIN = "default"))) report "Please run generate again" severity error; end architecture rtl;
mit
d36299238bc880e6d498683a81236a2e
0.70547
3.240909
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_bus_concat.vhd
2
1,510
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_bus_concat is generic ( WIDTHB : natural := 8; WIDTHA : natural := 8 ); port ( b : in std_logic_vector(widthB-1 downto 0); clock : in std_logic; a : in std_logic_vector(widthA-1 downto 0); aclr : in std_logic; output : out std_logic_vector(widthA+widthB-1 downto 0) ); end entity alt_dspbuilder_bus_concat; architecture rtl of alt_dspbuilder_bus_concat is component alt_dspbuilder_bus_concat_GNWZPLIVXS is generic ( WIDTHB : natural := 8; WIDTHA : natural := 16 ); port ( a : in std_logic_vector(16-1 downto 0); aclr : in std_logic; b : in std_logic_vector(8-1 downto 0); clock : in std_logic; output : out std_logic_vector(24-1 downto 0) ); end component alt_dspbuilder_bus_concat_GNWZPLIVXS; begin alt_dspbuilder_bus_concat_GNWZPLIVXS_0: if ((WIDTHB = 8) and (WIDTHA = 16)) generate inst_alt_dspbuilder_bus_concat_GNWZPLIVXS_0: alt_dspbuilder_bus_concat_GNWZPLIVXS generic map(WIDTHB => 8, WIDTHA => 16) port map(a => a, aclr => aclr, b => b, clock => clock, output => output); end generate; assert not (((WIDTHB = 8) and (WIDTHA = 16))) report "Please run generate again" severity error; end architecture rtl;
mit
34af4963d5dd25f8217fac5760efcb80
0.704636
3.205945
false
false
false
false
frznchckn/polarbear
hw/cores/uart/hdl/vhdl/cntr_bhv.vhd
1
1,312
-------------------------------------------------------------------------------- --| --| Filename : cntr_bhv --| Author : R. Friesenhahn --| Origin Date : 20130906 --| -------------------------------------------------------------------------------- --| --| Abstract --| --| --| -------------------------------------------------------------------------------- --| --| Modification History --| --| --| -------------------------------------------------------------------------------- --| --| References --| --| --| -------------------------------------------------------------------------------- architecture bhv of cntr is signal cntr : unsigned(CntrWidth-1 downto 0); begin CntrValue <= std_ulogic_vector(cntr); P_CNTR : process (Clk) begin if Clk'event and Clk = '1' then if Rst = '1' then cntr <= (others => '0'); CntReached <= '0'; else CntReached <= '0'; if En = '1' then if Clr = '1' then cntr <= (others => '0'); elsif cntr = (unsigned(CritValue) - to_unsigned(1, CritValue'length)) then CntReached <= '1'; cntr <= (others => '0'); else cntr <= cntr + 1; end if; end if; end if; end if; end process P_CNTR; end architecture bhv;
unlicense
8f3132b5b62e10ca5ee5c55318509d31
0.336128
4.859259
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN5P6ORZXA.vhd
4
877
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN5P6ORZXA is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN5P6ORZXA is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 24, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
mit
b694567e4792ae54beb5fdc08b565689
0.648803
3.034602
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_MultAddMF.vhd
12
10,158
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_signed.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_MultAddMF is generic ( width_a : positive :=8; width_r : positive :=17; direction : AddSubOperator := AddAdd; intended_device_family : string :="Stratix"; representation : string :="SIGNED"; nMult : positive := 2; regstruct : registerstructure :=NoRegister ); port ( dat1aa : in std_logic_vector (width_a-1 downto 0); dat1ab : in std_logic_vector (width_a-1 downto 0); dat2aa : in std_logic_vector (width_a-1 downto 0); dat2ab : in std_logic_vector (width_a-1 downto 0); dat3aa : in std_logic_vector (width_a-1 downto 0); dat3ab : in std_logic_vector (width_a-1 downto 0); dat4aa : in std_logic_vector (width_a-1 downto 0); dat4ab : in std_logic_vector (width_a-1 downto 0); clock : in std_logic ; ena : in std_logic ; aclr : in std_logic ; result : out std_logic_vector (width_r-1 downto 0) ); end alt_dspbuilder_MultAddMF; architecture MultAddMF_synth of alt_dspbuilder_MultAddMF is function GetFirstAdd(s: AddSubOperator) return string is begin if (s=AddAdd) then return "ADD"; elsif (s=AddSub) then return "ADD"; else return "SUB"; end if; end GetFirstAdd; function GetSecAdd(s: AddSubOperator) return string is begin if (s=AddAdd) then return "ADD"; elsif (s=SubAdd) then return "ADD"; else return "SUB"; end if; end GetSecAdd; function Getinput_register(s: registerstructure) return string is begin if (s=InputsOnly) or (s=InputsandMultiplier) or (s=InputsandAdder) or (s=InputsMultiplierandAdder) then return "CLOCK0"; else return "UNREGISTERED"; end if; end Getinput_register; function Getinput_aclr(s: registerstructure) return string is begin if (s=InputsOnly) or (s=InputsandMultiplier) or (s=InputsandAdder)or (s=InputsMultiplierandAdder) then return "ACLR3"; else return "UNUSED"; end if; end Getinput_aclr; function Getmultiplier_register(s: registerstructure) return string is begin if (s=MultiplierOnly) or (s=MultiplierandAdder) or (s=InputsMultiplierandAdder) or (s=InputsandMultiplier) then return "CLOCK0"; else return "UNREGISTERED"; end if; end Getmultiplier_register; function Getmultiplier_aclr(s: registerstructure) return string is begin if (s=MultiplierOnly) or (s=MultiplierandAdder) or (s=InputsMultiplierandAdder) or (s=InputsandMultiplier) then return "ACLR3"; else return "UNUSED"; end if; end Getmultiplier_aclr; function Getoutput_register(s: registerstructure) return string is begin if (s=AdderOnly) or (s=InputsandAdder) or (s=InputsMultiplierandAdder) or (s=MultiplierandAdder) then return "CLOCK0"; else return "UNREGISTERED"; end if; end Getoutput_register; function Getoutput_aclr(s: registerstructure) return string is begin if (s=AdderOnly) or (s=InputsandAdder) or (s=InputsMultiplierandAdder) or (s=MultiplierandAdder) then return "ACLR3"; else return "UNUSED"; end if; end Getoutput_aclr; signal dataa_mf : std_logic_vector(nMult*width_a-1 downto 0); signal datab_mf : std_logic_vector(nMult*width_a-1 downto 0); begin gm2:if nMult=2 generate dataa_mf <= dat2aa & dat1aa; datab_mf <= dat2ab & dat1ab; end generate gm2; gm3:if nMult=3 generate dataa_mf <= dat3aa & dat2aa & dat1aa; datab_mf <= dat3ab & dat2ab & dat1ab; end generate gm3; gm4:if nMult=4 generate dataa_mf <= dat4aa & dat3aa & dat2aa & dat1aa; datab_mf <= dat4ab & dat3ab & dat2ab & dat1ab; end generate gm4; gr:if (regstruct/=NoRegister) generate ALTMULT_ADD_component : altmult_add GENERIC MAP ( input_register_b2 => getinput_register(regstruct), input_register_a1 => getinput_register(regstruct), multiplier_register0 => getmultiplier_register(regstruct), signed_pipeline_aclr_b => "ACLR3", input_register_b3 => getinput_register(regstruct), input_register_a2 => getinput_register(regstruct), multiplier_register1 => getmultiplier_register(regstruct), addnsub_multiplier_pipeline_aclr1 => "ACLR3", input_register_a3 => getinput_register(regstruct), multiplier_register2 => getmultiplier_register(regstruct), signed_aclr_a => "ACLR3", signed_register_a => "CLOCK0", number_of_multipliers => nMult, multiplier_register3 => getmultiplier_register(regstruct), multiplier_aclr0 => "ACLR3", addnsub_multiplier_pipeline_aclr3 => "ACLR3", signed_aclr_b => "ACLR3", signed_register_b => "CLOCK0", lpm_type => "altmult_add", multiplier_aclr1 => getmultiplier_aclr(regstruct), input_aclr_b0 => getinput_aclr(regstruct), output_register => getoutput_register(regstruct), width_result => width_r, representation_a => representation, signed_pipeline_register_a => "CLOCK0", input_source_b0 => "DATAB", multiplier_aclr2 => getmultiplier_aclr(regstruct), input_aclr_b1 => getinput_aclr(regstruct), input_aclr_a0 => getinput_aclr(regstruct), multiplier3_direction => GetSecAdd(direction), addnsub_multiplier_register1 => "CLOCK0", representation_b => representation, signed_pipeline_register_b => "CLOCK0", input_source_b1 => "DATAB", input_source_a0 => "DATAA", multiplier_aclr3 => getmultiplier_aclr(regstruct), input_aclr_b2 => getinput_aclr(regstruct), input_aclr_a1 => getinput_aclr(regstruct), dedicated_multiplier_circuitry => "AUTO", input_source_b2 => "DATAB", input_source_a1 => "DATAA", input_aclr_b3 => getinput_aclr(regstruct), input_aclr_a2 => getinput_aclr(regstruct), addnsub_multiplier_register3 => "CLOCK0", addnsub_multiplier_aclr1 => "ACLR3", output_aclr => getoutput_aclr(regstruct), input_source_b3 => "DATAB", input_source_a2 => "DATAA", input_aclr_a3 => getinput_aclr(regstruct), input_source_a3 => "DATAA", addnsub_multiplier_aclr3 => "ACLR3", intended_device_family => intended_device_family, addnsub_multiplier_pipeline_register1 => "CLOCK0", width_a => width_a, input_register_b0 => getinput_register(regstruct), width_b => width_a, input_register_b1 => getinput_register(regstruct), input_register_a0 => getinput_register(regstruct), addnsub_multiplier_pipeline_register3 => "CLOCK0", multiplier1_direction => GetFirstAdd(direction), signed_pipeline_aclr_a => "ACLR3", port_addnsub1 => "PORT_UNUSED", port_addnsub3 => "PORT_UNUSED", systolic_delay1 => "UNREGISTERED", systolic_delay3 => "UNREGISTERED" ) PORT MAP ( dataa => dataa_mf, datab => datab_mf, clock0 => clock, aclr3 => aclr, ena0 => ena, result => result ); end generate gr; gc:if (regstruct=NoRegister) generate ALTMULT_ADD_component : altmult_add GENERIC MAP ( input_register_b2 => "UNREGISTERED", input_register_a1 => "UNREGISTERED", multiplier_register0 => "UNREGISTERED", signed_pipeline_aclr_b => "UNUSED", input_register_b3 => "UNREGISTERED", input_register_a2 => "UNREGISTERED", multiplier_register1 => "UNREGISTERED", addnsub_multiplier_pipeline_aclr1 => "UNUSED", input_register_a3 => "UNREGISTERED", multiplier_register2 => "UNREGISTERED", signed_aclr_a => "UNUSED", signed_register_a => "CLOCK0", number_of_multipliers => nMult, multiplier_register3 => "UNREGISTERED", addnsub_multiplier_pipeline_aclr3 => "UNUSED", signed_aclr_b => "UNUSED", signed_register_b => "CLOCK0", lpm_type => "altmult_add", output_register => "UNREGISTERED", width_result => width_r, representation_a => representation, signed_pipeline_register_a => "CLOCK0", input_source_b0 => "DATAB", multiplier3_direction => GetSecAdd(direction), addnsub_multiplier_register1 => "CLOCK0", representation_b => representation, signed_pipeline_register_b => "CLOCK0", input_source_b1 => "DATAB", input_source_a0 => "DATAA", dedicated_multiplier_circuitry => "AUTO", input_source_b2 => "DATAB", input_source_a1 => "DATAA", addnsub_multiplier_register3 => "CLOCK0", addnsub_multiplier_aclr1 => "UNUSED", input_source_b3 => "DATAB", input_source_a2 => "DATAA", input_source_a3 => "DATAA", addnsub_multiplier_aclr3 => "UNUSED", intended_device_family => intended_device_family, addnsub_multiplier_pipeline_register1 => "CLOCK0", width_a => width_a, input_register_b0 => "UNREGISTERED", width_b => width_a, input_register_b1 => "UNREGISTERED", input_register_a0 => "UNREGISTERED", addnsub_multiplier_pipeline_register3 => "CLOCK0", multiplier1_direction => GetFirstAdd(direction), signed_pipeline_aclr_a => "UNUSED", port_addnsub1 => "PORT_UNUSED", port_addnsub3 => "PORT_UNUSED", systolic_delay1 => "UNREGISTERED", systolic_delay3 => "UNREGISTERED" ) PORT MAP ( dataa => dataa_mf, datab => datab_mf, result => result ); end generate gc; end MultAddMF_synth;
mit
5404416795df93d57ea6d9ddb9c7b6d0
0.683796
3.328309
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_cfa_0_0/synth/tutorial_v_cfa_0_0.vhd
1
9,759
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_cfa:7.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_cfa_v7_0; USE v_cfa_v7_0.v_cfa; ENTITY tutorial_v_cfa_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC ); END tutorial_v_cfa_0_0; ARCHITECTURE tutorial_v_cfa_0_0_arch OF tutorial_v_cfa_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_cfa_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_cfa IS GENERIC ( c_s_axis_video_data_width : INTEGER; c_m_axis_video_data_width : INTEGER; c_s_axis_video_tdata_width : INTEGER; c_m_axis_video_tdata_width : INTEGER; c_s_axis_video_format : INTEGER; c_m_axis_video_format : INTEGER; c_s_axis_video_tuser_width : INTEGER; c_m_axis_video_tuser_width : INTEGER; c_s_axi_addr_width : INTEGER; c_s_axi_data_width : INTEGER; c_s_axi_clk_freq_hz : INTEGER; c_bayer_phase : INTEGER; c_active_rows : INTEGER; c_active_cols : INTEGER; c_max_cols : INTEGER; c_has_intc_if : INTEGER; c_has_axi4_lite : INTEGER; c_has_debug : INTEGER; c_hor_filt : INTEGER; c_fringe_tol : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_cfa; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_v_cfa_0_0_arch: ARCHITECTURE IS "v_cfa,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_cfa_0_0_arch : ARCHITECTURE IS "tutorial_v_cfa_0_0,v_cfa,{v_cfa=hardware_evaluation}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_cfa_0_0_arch: ARCHITECTURE IS "tutorial_v_cfa_0_0,v_cfa,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_cfa,x_ipVersion=7.0,x_ipCoreRevision=5,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,[email protected](hardware_evaluation),c_s_axis_video_data_width=8,c_m_axis_video_data_width=8,c_s_axis_video_tdata_width=8,c_m_axis_video_tdata_width=24,c_s_axis_video_format=12,c_m_axis_video_format=2,c_s_axis_video_tuser_width=1,c_m_axis_video_tuser_width=1,c_s_axi_addr_width=9,c_s_axi_data_width=32,c_s_axi_clk_freq_hz=100000000,c_bayer_phase=2,c_active_rows=1080,c_active_cols=1920,c_max_cols=1920,c_has_intc_if=0,c_has_axi4_lite=0,c_has_debug=0,c_hor_filt=1,c_fringe_tol=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; BEGIN U0 : v_cfa GENERIC MAP ( c_s_axis_video_data_width => 8, c_m_axis_video_data_width => 8, c_s_axis_video_tdata_width => 8, c_m_axis_video_tdata_width => 24, c_s_axis_video_format => 12, c_m_axis_video_format => 2, c_s_axis_video_tuser_width => 1, c_m_axis_video_tuser_width => 1, c_s_axi_addr_width => 9, c_s_axi_data_width => 32, c_s_axi_clk_freq_hz => 100000000, c_bayer_phase => 2, c_active_rows => 1080, c_active_cols => 1920, c_max_cols => 1920, c_has_intc_if => 0, c_has_axi4_lite => 0, c_has_debug => 0, c_hor_filt => 1, c_fringe_tol => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tready => s_axis_video_tready, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tlast => s_axis_video_tlast, s_axis_video_tuser => s_axis_video_tuser, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser => m_axis_video_tuser, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_cfa_0_0_arch;
gpl-2.0
b66de0d3f631306d456afbc9b93f7da5
0.678246
3.150097
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sImpulse11Altr.vhd
8
2,408
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sImpulse11Altr is port ( clock : in std_logic; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; q : out std_logic ); end alt_dspbuilder_sImpulse11Altr ; architecture syn of alt_dspbuilder_sImpulse11Altr is type States_ImpulseAltr is (sclear, shigh,slowend); signal current_state : States_ImpulseAltr; signal next_state : States_ImpulseAltr; begin rp:process(clock,aclr) begin if aclr='1' then current_state <= sclear; elsif clock'event and clock='1' then if (sclr='1') then current_state <= sclear; elsif (ena='1') then current_state <= next_state; end if; end if; end process; cp:process(current_state, sclr,ena) begin case current_state is when sclear => q <= '0'; if (ena='1') and (sclr='0') then next_state <= shigh; else next_state <= sclear; end if; when shigh => q <= '1'; if (sclr='1') then next_state <= sclear; else next_state <= slowend ; end if; when slowend => q <= '0'; if (sclr='1') then next_state <= sclear; else next_state <= slowend ; end if; end case; end process; end syn;
mit
417ff446b4934828f6a5190cab9add85
0.625415
3.84051
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP.vhd
2
15,793
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP.vhd -- Generated using ACDS version 13.1 162 at 2015.02.11.10:36:06 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP is port ( check_en : out std_logic; -- check_en.wire ready : in std_logic := '0'; -- ready.wire data_en : out std_logic; -- data_en.wire counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset pixel_num : in std_logic_vector(47 downto 0) := (others => '0'); -- pixel_num.wire ctrl_en : out std_logic -- ctrl_en.wire ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component StateMachineEditor is port ( clock : in std_logic := 'X'; -- clk counter : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire data_end : in std_logic := 'X'; -- wire ready : in std_logic := 'X'; -- wire reset : in std_logic := 'X'; -- wire state : out std_logic_vector(2 downto 0) -- wire ); end component StateMachineEditor; component alt_dspbuilder_pipelined_adder_GNTWZRTG4I is generic ( width : natural := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk add_sub : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cout : out std_logic; -- wire dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_pipelined_adder_GNTWZRTG4I; component alt_dspbuilder_gnd_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_gnd_GN; component alt_dspbuilder_vcc_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_vcc_GN; component alt_dspbuilder_case_statement_GN4KF5KLTA is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic; -- wire r2 : out std_logic; -- wire r3 : out std_logic; -- wire r4 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GN4KF5KLTA; component alt_dspbuilder_if_statement_GNIV4UP6ZO is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNIV4UP6ZO; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_single_pulse_GN2XGKTRR3 is generic ( delay : positive := 1; signal_type : string := "Impulse"; impulse_width : positive := 1 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire result : out std_logic; -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_single_pulse_GN2XGKTRR3; component alt_dspbuilder_constant_GNQJ63TWA6 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNQJ63TWA6; component alt_dspbuilder_port_GNUJT4YY5I is port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(47 downto 0) -- wire ); end component alt_dspbuilder_port_GNUJT4YY5I; component alt_dspbuilder_cast_GNKIWLRTQI is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKIWLRTQI; component alt_dspbuilder_cast_GN5P6ORZXA is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GN5P6ORZXA; component alt_dspbuilder_cast_GNLWRZWTQF is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(2 downto 0) -- wire ); end component alt_dspbuilder_cast_GNLWRZWTQF; signal pipelined_adder1user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder1user_aclrGND:output -> Pipelined_Adder1:user_aclr signal pipelined_adder1enavcc_output_wire : std_logic; -- Pipelined_Adder1enaVCC:output -> Pipelined_Adder1:ena signal single_pulsesclrgnd_output_wire : std_logic; -- Single_PulsesclrGND:output -> Single_Pulse:sclr signal single_pulseenavcc_output_wire : std_logic; -- Single_PulseenaVCC:output -> Single_Pulse:ena signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> [If_Statement6:a, cast27:input] signal constant15_output_wire : std_logic_vector(23 downto 0); -- Constant15:output -> Pipelined_Adder1:datab signal pipelined_adder1_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder1:result -> If_Statement6:b signal single_pulse_result_wire : std_logic; -- Single_Pulse:result -> State_Machine_Editor:reset signal ready_0_output_wire : std_logic; -- ready_0:output -> State_Machine_Editor:ready signal if_statement6_true_wire : std_logic; -- If_Statement6:true -> State_Machine_Editor:data_end signal case_statement_r1_wire : std_logic; -- Case_Statement:r1 -> ctrl_en_0:input signal case_statement_r2_wire : std_logic; -- Case_Statement:r2 -> data_en_0:input signal case_statement_r3_wire : std_logic; -- Case_Statement:r3 -> check_en_0:input signal pixel_num_0_output_wire : std_logic_vector(47 downto 0); -- pixel_num_0:output -> cast26:input signal cast26_output_wire : std_logic_vector(23 downto 0); -- cast26:output -> Pipelined_Adder1:dataa signal cast27_output_wire : std_logic_vector(23 downto 0); -- cast27:output -> State_Machine_Editor:counter signal state_machine_editor_state_wire : std_logic_vector(2 downto 0); -- State_Machine_Editor:state -> cast28:input signal cast28_output_wire : std_logic_vector(2 downto 0); -- cast28:output -> Case_Statement:input signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Case_Statement:clock, Pipelined_Adder1:clock, Single_Pulse:clock, State_Machine_Editor:clock] signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Case_Statement:aclr, Pipelined_Adder1:aclr, Single_Pulse:aclr] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r1_wire, -- input.wire output => ctrl_en -- output.wire ); state_machine_editor : component StateMachineEditor port map ( clock => clock_0_clock_output_clk, -- clock.clk reset => single_pulse_result_wire, -- reset.wire ready => ready_0_output_wire, -- ready.wire counter => cast27_output_wire, -- counter.wire data_end => if_statement6_true_wire, -- data_end.wire state => state_machine_editor_state_wire -- state.wire ); pipelined_adder1 : component alt_dspbuilder_pipelined_adder_GNTWZRTG4I generic map ( width => 24, pipeline => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => cast26_output_wire, -- dataa.wire datab => constant15_output_wire, -- datab.wire result => pipelined_adder1_result_wire, -- result.wire user_aclr => pipelined_adder1user_aclrgnd_output_wire, -- user_aclr.wire ena => pipelined_adder1enavcc_output_wire -- ena.wire ); pipelined_adder1user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => pipelined_adder1user_aclrgnd_output_wire -- output.wire ); pipelined_adder1enavcc : component alt_dspbuilder_vcc_GN port map ( output => pipelined_adder1enavcc_output_wire -- output.wire ); case_statement : component alt_dspbuilder_case_statement_GN4KF5KLTA generic map ( number_outputs => 5, hasDefault => 1, pipeline => 0, width => 3 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast28_output_wire, -- input.wire r0 => open, -- r0.wire r1 => case_statement_r1_wire, -- r1.wire r2 => case_statement_r2_wire, -- r2.wire r3 => case_statement_r3_wire, -- r3.wire r4 => open -- r4.wire ); data_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r2_wire, -- input.wire output => data_en -- output.wire ); if_statement6 : component alt_dspbuilder_if_statement_GNIV4UP6ZO generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "a=b", number_inputs => 2, width => 24 ) port map ( true => if_statement6_true_wire, -- true.wire a => counter_0_output_wire, -- a.wire b => pipelined_adder1_result_wire -- b.wire ); counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => counter, -- input.wire output => counter_0_output_wire -- output.wire ); single_pulse : component alt_dspbuilder_single_pulse_GN2XGKTRR3 generic map ( delay => 1, signal_type => "Step Down", impulse_width => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset result => single_pulse_result_wire, -- result.wire sclr => single_pulsesclrgnd_output_wire, -- sclr.wire ena => single_pulseenavcc_output_wire -- ena.wire ); single_pulsesclrgnd : component alt_dspbuilder_gnd_GN port map ( output => single_pulsesclrgnd_output_wire -- output.wire ); single_pulseenavcc : component alt_dspbuilder_vcc_GN port map ( output => single_pulseenavcc_output_wire -- output.wire ); constant15 : component alt_dspbuilder_constant_GNQJ63TWA6 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000100", width => 24 ) port map ( output => constant15_output_wire -- output.wire ); check_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r3_wire, -- input.wire output => check_en -- output.wire ); pixel_num_0 : component alt_dspbuilder_port_GNUJT4YY5I port map ( input => pixel_num, -- input.wire output => pixel_num_0_output_wire -- output.wire ); ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => ready, -- input.wire output => ready_0_output_wire -- output.wire ); cast26 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => pixel_num_0_output_wire, -- input.wire output => cast26_output_wire -- output.wire ); cast27 : component alt_dspbuilder_cast_GN5P6ORZXA generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast27_output_wire -- output.wire ); cast28 : component alt_dspbuilder_cast_GNLWRZWTQF generic map ( round => 0, saturate => 0 ) port map ( input => state_machine_editor_state_wire, -- input.wire output => cast28_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP
mit
8e29033c2f2685f03cad7c89242ac435
0.552713
3.40293
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_cfa_0_0/sim/tutorial_v_cfa_0_0.vhd
1
8,663
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_cfa:7.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_cfa_v7_0; USE v_cfa_v7_0.v_cfa; ENTITY tutorial_v_cfa_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC ); END tutorial_v_cfa_0_0; ARCHITECTURE tutorial_v_cfa_0_0_arch OF tutorial_v_cfa_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_cfa_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_cfa IS GENERIC ( c_s_axis_video_data_width : INTEGER; c_m_axis_video_data_width : INTEGER; c_s_axis_video_tdata_width : INTEGER; c_m_axis_video_tdata_width : INTEGER; c_s_axis_video_format : INTEGER; c_m_axis_video_format : INTEGER; c_s_axis_video_tuser_width : INTEGER; c_m_axis_video_tuser_width : INTEGER; c_s_axi_addr_width : INTEGER; c_s_axi_data_width : INTEGER; c_s_axi_clk_freq_hz : INTEGER; c_bayer_phase : INTEGER; c_active_rows : INTEGER; c_active_cols : INTEGER; c_max_cols : INTEGER; c_has_intc_if : INTEGER; c_has_axi4_lite : INTEGER; c_has_debug : INTEGER; c_hor_filt : INTEGER; c_fringe_tol : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0); s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(23 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_cfa; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; BEGIN U0 : v_cfa GENERIC MAP ( c_s_axis_video_data_width => 8, c_m_axis_video_data_width => 8, c_s_axis_video_tdata_width => 8, c_m_axis_video_tdata_width => 24, c_s_axis_video_format => 12, c_m_axis_video_format => 2, c_s_axis_video_tuser_width => 1, c_m_axis_video_tuser_width => 1, c_s_axi_addr_width => 9, c_s_axi_data_width => 32, c_s_axi_clk_freq_hz => 100000000, c_bayer_phase => 2, c_active_rows => 1080, c_active_cols => 1920, c_max_cols => 1920, c_has_intc_if => 0, c_has_axi4_lite => 0, c_has_debug => 0, c_hor_filt => 1, c_fringe_tol => 0 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tready => s_axis_video_tready, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tlast => s_axis_video_tlast, s_axis_video_tuser => s_axis_video_tuser, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tlast => m_axis_video_tlast, m_axis_video_tuser => m_axis_video_tuser, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_cfa_0_0_arch;
gpl-2.0
48d434e464d2523236ef6c48592bbf2a
0.668244
3.267823
false
false
false
false
freecores/t48
rtl/vhdl/t8243/t8243_async_notri.vhd
1
4,898
------------------------------------------------------------------------------- -- -- The T8243 asynchronous toplevel without tri-state signals -- -- $Id: t8243_async_notri.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8243_async_notri is port ( -- System Interface ------------------------------------------------------- reset_n_i : in std_logic; -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_i : in std_logic_vector(3 downto 0); p2_o : out std_logic_vector(3 downto 0); p2_en_o : out std_logic; -- Port 4 Interface ------------------------------------------------------- p4_i : in std_logic_vector(3 downto 0); p4_o : out std_logic_vector(3 downto 0); p4_en_o : out std_logic; -- Port 5 Interface ------------------------------------------------------- p5_i : in std_logic_vector(3 downto 0); p5_o : out std_logic_vector(3 downto 0); p5_en_o : out std_logic; -- Port 6 Interface ------------------------------------------------------- p6_i : in std_logic_vector(3 downto 0); p6_o : out std_logic_vector(3 downto 0); p6_en_o : out std_logic; -- Port 7 Interface ------------------------------------------------------- p7_i : in std_logic_vector(3 downto 0); p7_o : out std_logic_vector(3 downto 0); p7_en_o : out std_logic ); end t8243_async_notri; use work.t8243_comp_pack.t8243_core; architecture struct of t8243_async_notri is signal vdd_s : std_logic; begin vdd_s <= '1'; ----------------------------------------------------------------------------- -- The T8243 Core ----------------------------------------------------------------------------- t8243_core_b : t8243_core generic map ( clk_fall_level_g => 0 ) port map ( clk_i => prog_n_i, clk_rise_en_i => vdd_s, clk_fall_en_i => vdd_s, reset_n_i => reset_n_i, cs_n_i => cs_n_i, prog_n_i => prog_n_i, p2_i => p2_i, p2_o => p2_o, p2_en_o => p2_en_o, p4_i => p4_i, p4_o => p4_o, p4_en_o => p4_en_o, p5_i => p5_i, p5_o => p5_o, p5_en_o => p5_en_o, p6_i => p6_i, p6_o => p6_o, p6_en_o => p6_en_o, p7_i => p7_i, p7_o => p7_o, p7_en_o => p7_en_o ); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
9ac61a17bc8b19e79d06250e21768a8a
0.510821
3.937299
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_SBF.vhd
20
8,869
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_SBF is generic ( width_inl : natural :=10; width_inr : natural :=10; width_outl : natural :=8; width_outr : natural :=8; round : natural :=1; satur : natural :=1; lpm_signed : BusArithm :=BusIsSigned ); port ( xin : in std_logic_vector(width_inl+width_inr-1 downto 0); yout : out std_logic_vector(width_outl+width_outr-1 downto 0) ); end alt_dspbuilder_SBF; architecture SBF_SYNTH of alt_dspbuilder_SBF is signal youtround : std_logic_vector(width_inl+width_outr-1 downto 0); signal youtroundc : std_logic_vector(width_outl+width_outr-1 downto 0); signal xinextc : std_logic_vector(width_outl+width_inr-1 downto 0) ; signal xin_int : std_logic_vector(width_inl+width_inr-1 downto 0); begin u0: alt_dspbuilder_sAltrPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion , width=> width_inl+width_inr) port map (d => xin, r => xin_int); -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- --(width_inl>=width_outl) and (width_inr>=width_outr) -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- sbf_a:if (width_inl>=width_outl) and (width_inr>=width_outr) generate gnsnr:if (round = 0) generate gnsat:if (satur=0) generate gl:for i in 0 to width_outl+width_outr-1 generate yout(i) <= xin_int(i+width_inr-width_outr); end generate ; end generate gnsat; gsat:if (satur>0) generate gl:for i in 0 to width_inl+width_outr-1 generate youtround(i) <= xin_int(i+width_inr-width_outr); end generate ; us:alt_dspbuilder_ASAT generic map ( widthin => width_inl+width_outr, widthout => width_outl+width_outr, lpm_signed => lpm_signed) port map ( xin => youtround, yout => yout); end generate gsat; end generate ; rnd:if (round>0)generate ura:alt_dspbuilder_AROUND generic map ( widthin => width_inl+width_inr, widthout => width_inl+width_outr) port map ( xin => xin_int, yout => youtround); gns:if satur=0 generate yout(width_outl+width_outr-1 downto 0) <= youtround(width_outl+width_outr-1 downto 0); end generate gns; gs:if (satur>0) generate us:alt_dspbuilder_ASAT generic map ( widthin => width_inl+width_outr, widthout => width_outl+width_outr, lpm_signed => lpm_signed) port map ( xin => youtround, yout => yout ); end generate gs; end generate rnd; end generate sbf_a; -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- (width_inl>width_outl) and (width_inr<width_outr) -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- sbf_b:if (width_inl>=width_outl) and (width_inr<width_outr) generate ns:if (satur=0) generate gc:for i in 0 to width_outr-width_inr-1 generate yout(i) <= '0'; end generate gc; gl:for i in width_outr-width_inr to width_outl+width_outr-1 generate yout(i) <= xin_int(i+width_inr-width_outr); end generate ; end generate ns ; gs:if (satur>0) generate gc:for i in 0 to width_outr-width_inr-1 generate youtround(i) <= '0'; end generate gc; gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate youtround(i) <= xin_int(i+width_inr-width_outr); end generate ; us:alt_dspbuilder_ASAT generic map ( widthin => width_inl+width_outr, widthout => width_outl+width_outr, lpm_signed => lpm_signed) port map ( xin => youtround, yout => yout); end generate gs ; end generate sbf_b; -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- (width_inl<width_outl) and (width_inr>width_outr) -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- sbf_c:if (width_inl<width_outl) and (width_inr>=width_outr) generate gnsnr:if (round = 0) generate gl:for i in 0 to width_inl+width_outr-1 generate yout(i) <= xin_int(i+width_inr-width_outr); end generate ; gc:for i in width_inl+width_outr to width_outl+width_outr-1 generate yout(i) <= xin_int( width_inl+width_inr-1); end generate ; end generate ; rnd:if (round > 0) generate xinextc(width_inl+width_inr-1 downto 0) <= xin_int(width_inl+width_inr-1 downto 0); gxinextc:for i in width_inl+width_inr to width_outl+width_inr-1 generate xinextc(i) <= xin_int(width_inl+width_inr-1); end generate gxinextc; urb:alt_dspbuilder_AROUND generic map ( widthin => width_outl+width_inr, widthout => width_outl+width_outr) port map ( xin => xinextc, yout => youtroundc); yout <= youtroundc; end generate rnd ; end generate sbf_c; -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -- (width_inl<width_outl) and (width_inr<width_outr) -------------------------------------------------------------------------------------------------------------------------------------------------------------------- -------------------------------------------------------------------------------------------------------------------------------------------------------------------- sbf_d:if (width_inl<width_outl) and (width_inr<width_outr) generate gl:for i in width_outr-width_inr to width_inl+width_outr-1 generate yout(i) <= xin_int(i+width_inr-width_outr); end generate gl; gc:for i in 0 to width_outr-width_inr-1 generate yout(i) <= '0'; end generate gc; gcv:for i in width_inl+width_outr to width_outl+width_outr-1 generate yout(i) <= xin_int( width_inl+width_inr-1); end generate gcv; end generate sbf_d; end SBF_SYNTH;
mit
55f1b2950d874b130909af7d9956d9be
0.448416
4.259846
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/M25P32/memory_access.vhd
1
9,527
------------------------------------------------------- -- Author: Hugues CREUSY --February 2004 -- VHDL model -- project: M25P32 50 MHz, -- release: 1.0 ----------------------------------------------------- -- Unit : Memory Access ----------------------------------------------------- ------------------------------------------------------------- -- These VHDL models are provided "as is" without warranty -- of any kind, included but not limited to, implied warranty -- of merchantability and fitness for a particular purpose. ------------------------------------------------------------- ----------------------------------------------------------- -- -- MEMORY ACCESS -- ----------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; LIBRARY STD; USE STD.textio.ALL; LIBRARY work; USE WORK.mem_util_pkg.ALL; ----------------------------------------------------------- -- Entity ----------------------------------------------------------- -- This entity modelizes the access to the memory array ----------------------------------------------------------- ENTITY Memory_Access IS GENERIC( init_file: string; SIZE : positive; Plength : positive; SSIZE : positive; NB_BIT_DATA: positive; NB_BIT_ADD: positive; NB_BIT_ADD_MEM: positive ); PORT( add_mem: IN std_logic_vector(NB_BIT_ADD_MEM-1 downto 0); BE_enable,SE_enable,add_pp_enable,PP_enable,read_enable,data_request: IN boolean; p_prog: IN page (0 TO (Plength-1)); data_to_read: OUT std_logic_vector (NB_BIT_DATA-1 downto 0) ); END Memory_Access; ----------------------------------------------------------------- -- Architecture ----------------------------------------------------------------- -- The architecture contains one process which executes -- read and write instructions -- on the content. This content is initialized and further -- saved by two procedures -- (write_to_file and read_from_file) in the convenient text file ----------------------------------------------------------------- ARCHITECTURE Static_Alloc OF Memory_Access IS CONSTANT bit_to_code_mem:natural:=TO_bit_code(size/NB_BIT_DATA); CONSTANT top_mem:positive:=size/NB_BIT_DATA-1; TYPE memoire IS array (0 TO top_mem) OF std_logic_vector(7 downto 0); --------------------------- PROCEDURES ------------------------ ------------------------- READ FROM FILE ---------------------- PROCEDURE read_from_file ( file_name:string; memory: out memoire) IS file data_file : text open read_mode is file_name; VARIABLE L: line; VARIABLE LSB,MSB:std_logic_vector(3 downto 0); VARIABLE dr: string (1 to 2*Plength); VARIABLE index_m: natural:=0; BEGIN WHILE NOT endfile(data_file) LOOP readline(data_file,L); READ(L,dr); deallocate(L); FOR i IN 1 TO Plength LOOP CASE dr(2*(i-1)+1) IS WHEN '0'=> MSB := "0000"; WHEN '1'=> MSB := "0001"; WHEN '2'=> MSB := "0010"; WHEN '3'=> MSB := "0011"; WHEN '4'=> MSB := "0100"; WHEN '5'=> MSB := "0101"; WHEN '6'=> MSB := "0110"; WHEN '7'=> MSB := "0111"; WHEN '8'=> MSB := "1000"; WHEN '9'=> MSB := "1001"; WHEN 'A'=> MSB := "1010"; WHEN 'B'=> MSB := "1011"; WHEN 'C'=> MSB := "1100"; WHEN 'D'=> MSB := "1101"; WHEN 'E'=> MSB := "1110"; WHEN 'F'=> MSB := "1111"; WHEN 'a'=> MSB := "1010"; WHEN 'b'=> MSB := "1011"; WHEN 'c'=> MSB := "1100"; WHEN 'd'=> MSB := "1101"; WHEN 'e'=> MSB := "1110"; WHEN 'f'=> MSB := "1111"; WHEN OTHERS => null; END CASE; CASE dr(2*(i-1)+2) IS WHEN '0'=> LSB := "0000"; WHEN '1'=> LSB := "0001"; WHEN '2'=> LSB := "0010"; WHEN '3'=> LSB := "0011"; WHEN '4'=> LSB := "0100"; WHEN '5'=> LSB := "0101"; WHEN '6'=> LSB := "0110"; WHEN '7'=> LSB := "0111"; WHEN '8'=> LSB := "1000"; WHEN '9'=> LSB := "1001"; WHEN 'A'=> LSB := "1010"; WHEN 'B'=> LSB := "1011"; WHEN 'C'=> LSB := "1100"; WHEN 'D'=> LSB := "1101"; WHEN 'E'=> LSB := "1110"; WHEN 'F'=> LSB := "1111"; WHEN 'a'=> MSB := "1010"; WHEN 'b'=> MSB := "1011"; WHEN 'c'=> MSB := "1100"; WHEN 'd'=> MSB := "1101"; WHEN 'e'=> MSB := "1110"; WHEN 'f'=> MSB := "1111"; WHEN OTHERS => null; END CASE; memory(index_m):=(MSB(3),MSB(2),MSB(1),MSB(0),LSB(3),LSB(2),LSB(1),LSB(0)); index_m:=index_m+1; END LOOP; END LOOP; END read_from_file; ---------------------------------------------------------- ---------------- WRITE TO FILE -------------------- PROCEDURE write_to_file ( file_name:string; memory:IN memoire) IS file data_file : text open write_mode is file_name; VARIABLE L: line; VARIABLE LSB,MSB:std_logic_vector(3 downto 0); VARIABLE dr: string (1 to 2*Plength); VARIABLE index_m:natural:=0; BEGIN WHILE (index_m<TOP_MEM) LOOP FOR i IN 1 TO Plength LOOP FOR j IN 0 TO 3 LOOP LSB(j):= memory(index_m)(j); MSB(j):= memory(index_m)(j+4); END LOOP; index_m:=index_m+1; CASE MSB IS WHEN "0000" => dr(2*(i-1)+1):='0'; WHEN "0001" => dr(2*(i-1)+1):='1'; WHEN "0010" => dr(2*(i-1)+1):='2'; WHEN "0011" => dr(2*(i-1)+1):='3'; WHEN "0100" => dr(2*(i-1)+1):='4'; WHEN "0101" => dr(2*(i-1)+1):='5'; WHEN "0110" => dr(2*(i-1)+1):='6'; WHEN "0111" => dr(2*(i-1)+1):='7'; WHEN "1000" => dr(2*(i-1)+1):='8'; WHEN "1001" => dr(2*(i-1)+1):='9'; WHEN "1010" => dr(2*(i-1)+1):='A'; WHEN "1011" => dr(2*(i-1)+1):='B'; WHEN "1100" => dr(2*(i-1)+1):='C'; WHEN "1101" => dr(2*(i-1)+1):='D'; WHEN "1110" => dr(2*(i-1)+1):='E'; WHEN "1111" => dr(2*(i-1)+1):='F'; WHEN OTHERS => null; END CASE; CASE LSB IS WHEN "0000" => dr(2*(i-1)+2):='0'; WHEN "0001" => dr(2*(i-1)+2):='1'; WHEN "0010" => dr(2*(i-1)+2):='2'; WHEN "0011" => dr(2*(i-1)+2):='3'; WHEN "0100" => dr(2*(i-1)+2):='4'; WHEN "0101" => dr(2*(i-1)+2):='5'; WHEN "0110" => dr(2*(i-1)+2):='6'; WHEN "0111" => dr(2*(i-1)+2):='7'; WHEN "1000" => dr(2*(i-1)+2):='8'; WHEN "1001" => dr(2*(i-1)+2):='9'; WHEN "1010" => dr(2*(i-1)+2):='A'; WHEN "1011" => dr(2*(i-1)+2):='B'; WHEN "1100" => dr(2*(i-1)+2):='C'; WHEN "1101" => dr(2*(i-1)+2):='D'; WHEN "1110" => dr(2*(i-1)+2):='E'; WHEN "1111" => dr(2*(i-1)+2):='F'; WHEN OTHERS => null; END CASE; END LOOP; WRITE(L,dr); writeline(data_file,L); END LOOP; END write_to_file; ---------------------------------------------------- BEGIN -- architecture body begins here ---------------------------------------------------- -- PROCESS MEMORY ---------------------------------------------------- memory: PROCESS VARIABLE content:memoire; VARIABLE deb_zone, int_add:natural:=0; VARIABLE cut_add:std_logic_vector(bit_to_code_mem-1 downto 0); VARIABLE int_add_mem:natural:=to_bit_code(size/NB_BIT_DATA); VARIABLE first_run:boolean:=true; VARIABLE message, my_file: LINE; BEGIN --------------------------------- -- initialisation of memory array --------------------------------- IF (first_run) THEN WRITE (message,string'("Trying to load ")); WRITE (message, init_file); writeline(output, message); read_from_file(init_file,content); first_run:=false; END IF; WAIT ON add_pp_enable,pp_enable, be_enable, se_enable, data_request,read_enable; ----------------------------------------------------------- -- To ignore don't care MSB of the address ----------------------------------------------------------- IF ( (se_enable'event AND se_enable) OR (add_pp_enable'event AND add_pp_enable) OR (read_enable'event AND read_enable) ) THEN FOR i IN 0 TO bit_to_code_mem-1 LOOP cut_add(i):=add_mem(i); END LOOP; END IF; ----------------------------------------------------------- -- Read instruction ----------------------------------------------------------- IF (data_request'event AND data_request AND read_enable) THEN int_add:=to_natural(cut_add); IF (int_add>top_mem) THEN FOR i IN 0 TO bit_to_code_mem-1 LOOP cut_add(i):='0'; END LOOP; int_add:=0; END IF; data_to_read<=content(int_add); cut_add:=add_inc(cut_add); -- to increase the adress END IF; IF (READ_enable'event AND (NOT read_enable)) THEN FOR i IN 0 TO NB_BIT_DATA-1 LOOP data_to_read(i)<='0'; END LOOP; END IF; ---------------------------------------------------------- -- Page program instruction -- To find the first adress of the memory to be programmed ---------------------------------------------------------- IF (add_pp_enable'event AND add_pp_enable) THEN int_add_mem:=to_natural(cut_add); int_add:=top_mem+1; WHILE int_add>int_add_mem LOOP int_add:=int_add-Plength; END LOOP; END IF; ------------------------------------------------------ -- Sector erase instruction -- To find the first adress of the sector to be erased ------------------------------------------------------ IF (se_enable'event AND se_enable) THEN int_add:=add_sector(cut_add,SIZE/NB_BIT_DATA,SSIZE/NB_BIT_DATA); END IF; ------------------------------------------------------ -- Write or erase cycle execution ------------------------------------------------------ IF (pp_enable'event AND (pp_enable)) THEN FOR i IN 0 TO (Plength-1) LOOP content (int_add+i):=p_prog(i) AND content(int_add+i); END LOOP; -- write_to_file(init_file,content); END IF; IF (be_enable'event AND (NOT be_enable)) THEN FOR i IN 0 TO top_mem LOOP content(i):="11111111"; END LOOP; -- write_to_file(init_file,content); END IF; IF (se_enable'event AND (NOT se_enable)) THEN FOR i IN int_add TO (int_add+SSIZE/NB_BIT_DATA-1) LOOP content(i):="11111111"; END LOOP; -- write_to_file(init_file,content); END IF; END PROCESS memory; END static_alloc;
gpl-3.0
5fa34703fae539611a0c37e40f2af000
0.490081
3.134913
false
false
false
false
freecores/t48
rtl/vhdl/t8243/t8243_sync_notri.vhd
1
5,798
------------------------------------------------------------------------------- -- -- The T8243 synchronous toplevel without tri-state signals -- -- $Id: t8243_sync_notri.vhd,v 1.1 2006-07-13 22:53:56 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8243_sync_notri is port ( -- System Interface ------------------------------------------------------- clk_i : in std_logic; clk_en_i : in std_logic; reset_n_i : in std_logic; -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_i : in std_logic_vector(3 downto 0); p2_o : out std_logic_vector(3 downto 0); p2_en_o : out std_logic; -- Port 4 Interface ------------------------------------------------------- p4_i : in std_logic_vector(3 downto 0); p4_o : out std_logic_vector(3 downto 0); p4_en_o : out std_logic; -- Port 5 Interface ------------------------------------------------------- p5_i : in std_logic_vector(3 downto 0); p5_o : out std_logic_vector(3 downto 0); p5_en_o : out std_logic; -- Port 6 Interface ------------------------------------------------------- p6_i : in std_logic_vector(3 downto 0); p6_o : out std_logic_vector(3 downto 0); p6_en_o : out std_logic; -- Port 7 Interface ------------------------------------------------------- p7_i : in std_logic_vector(3 downto 0); p7_o : out std_logic_vector(3 downto 0); p7_en_o : out std_logic ); end t8243_sync_notri; use work.t8243_comp_pack.t8243_core; architecture struct of t8243_sync_notri is signal prog_n_q : std_logic; signal clk_rise_en_s, clk_fall_en_s : std_logic; begin ----------------------------------------------------------------------------- -- Process edge_detect -- -- Purpose: -- Implements the sequential element required for edge detection -- on the PROG input. -- edge_detect: process (clk_i, reset_n_i) begin if reset_n_i = '0' then prog_n_q <= '1'; elsif rising_edge(clk_i) then if clk_en_i = '1' then prog_n_q <= prog_n_i; end if; end if; end process edge_detect; -- ----------------------------------------------------------------------------- -- clock enables to detect rising and falling edges of PROG clk_rise_en_s <= clk_en_i and not prog_n_q and prog_n_i; clk_fall_en_s <= clk_en_i and prog_n_q and not prog_n_i; ----------------------------------------------------------------------------- -- The T8243 Core ----------------------------------------------------------------------------- t8243_core_b : t8243_core generic map ( clk_fall_level_g => 1 ) port map ( clk_i => clk_i, clk_rise_en_i => clk_rise_en_s, clk_fall_en_i => clk_fall_en_s, reset_n_i => reset_n_i, cs_n_i => cs_n_i, prog_n_i => prog_n_i, p2_i => p2_i, p2_o => p2_o, p2_en_o => p2_en_o, p4_i => p4_i, p4_o => p4_o, p4_en_o => p4_en_o, p5_i => p5_i, p5_o => p5_o, p5_en_o => p5_en_o, p6_i => p6_i, p6_o => p6_o, p6_en_o => p6_en_o, p7_i => p7_i, p7_o => p7_o, p7_en_o => p7_en_o ); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
4fb4546998939568215b3ee8a9cae7ad
0.502415
3.875668
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_divider.vhd
2
1,996
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_divider is generic ( SIGNED : natural := 0; WIDTH : natural := 8; PIPELINE : natural := 0 ); port ( user_aclr : in std_logic := '0'; denom : in std_logic_vector(width-1 downto 0) := (others=>'0'); quotient : out std_logic_vector(width-1 downto 0); remain : out std_logic_vector(width-1 downto 0); numer : in std_logic_vector(width-1 downto 0) := (others=>'0'); clock : in std_logic := '0'; aclr : in std_logic := '0'; ena : in std_logic := '0' ); end entity alt_dspbuilder_divider; architecture rtl of alt_dspbuilder_divider is component alt_dspbuilder_divider_GNKAPZN5MO is generic ( SIGNED : natural := 0; WIDTH : natural := 24; PIPELINE : natural := 0 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; denom : in std_logic_vector(24-1 downto 0) := (others=>'0'); ena : in std_logic := '0'; numer : in std_logic_vector(24-1 downto 0) := (others=>'0'); quotient : out std_logic_vector(24-1 downto 0); remain : out std_logic_vector(24-1 downto 0); user_aclr : in std_logic := '0' ); end component alt_dspbuilder_divider_GNKAPZN5MO; begin alt_dspbuilder_divider_GNKAPZN5MO_0: if ((SIGNED = 0) and (WIDTH = 24) and (PIPELINE = 0)) generate inst_alt_dspbuilder_divider_GNKAPZN5MO_0: alt_dspbuilder_divider_GNKAPZN5MO generic map(SIGNED => 0, WIDTH => 24, PIPELINE => 0) port map(aclr => aclr, clock => clock, denom => denom, ena => ena, numer => numer, quotient => quotient, remain => remain, user_aclr => user_aclr); end generate; assert not (((SIGNED = 0) and (WIDTH = 24) and (PIPELINE = 0))) report "Please run generate again" severity error; end architecture rtl;
mit
f70c2bc3a07e213cd7d09f2558fad72b
0.671844
3.153239
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_multiplexer_GNHQFFAUXQ.vhd
4
1,302
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_multiplexer_GNHQFFAUXQ is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 25; pipeline : natural := 0; number_inputs : natural := 3); port( clock : in std_logic; aclr : in std_logic; sel : in std_logic_vector(1 downto 0); result : out std_logic_vector(24 downto 0); ena : in std_logic; user_aclr : in std_logic; in0 : in std_logic_vector(24 downto 0); in1 : in std_logic_vector(24 downto 0); in2 : in std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_multiplexer_GNHQFFAUXQ is signal data_muxin : std_logic_vector(74 downto 0); Begin data_muxin <= in2 & in1 & in0 ; nto1Multiplexeri : alt_dspbuilder_sMuxAltr generic map ( lpm_pipeline =>0, lpm_size => 3, lpm_widths => 2 , lpm_width => 25 , SelOneHot => 0 ) port map ( clock => clock, ena => ena, user_aclr => user_aclr, aclr => aclr, data => data_muxin, sel => sel, result => result); end architecture;
mit
170493ba6f50ca5365d94b3c50e24391
0.639017
2.824295
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_SDelay.vhd
20
3,612
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_SDelay is generic ( lpm_width : positive :=8; lpm_delay : positive :=2; SequenceLength : positive :=1; SequenceValue : std_logic_vector :="1" ); port ( dataa : in std_logic_vector(lpm_width-1 downto 0); clock : in std_logic ; ena : in std_logic :='1'; aclr : in std_logic :='0'; user_aclr : in std_logic :='0'; sclr : in std_logic :='0'; result : out std_logic_vector(lpm_width-1 downto 0) :=(others=>'0') ); end alt_dspbuilder_SDelay; architecture SDelay_SYNTH of alt_dspbuilder_SDelay is type StdUArray is array (lpm_delay-1 downto 0) of std_logic_vector (lpm_width-1 downto 0); signal DelayLine : StdUArray; signal dataa_int : std_logic_vector(lpm_width-1 downto 0); signal seqenable : std_logic ; signal enadff : std_logic ; signal aclr_i : std_logic ; begin aclr_i <= aclr or user_aclr; u0: alt_dspbuilder_sAltrPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion , width=> lpm_width) port map (d => dataa, r => dataa_int); gnoseq: if ((SequenceLength=1) and (SequenceValue="1")) generate enadff <= ena; end generate gnoseq; gseq: if not ((SequenceLength=1) and (SequenceValue="1")) generate u:alt_dspbuilder_vecseq generic map (SequenceLength=>SequenceLength,SequenceValue=>SequenceValue) port map (clock=>clock, ena=>ena, aclr=>aclr_i, sclr=>sclr, yout=> seqenable); enadff <= seqenable and ena; end generate gseq; gen1:if lpm_delay=1 generate process(clock, aclr_i) begin if aclr_i='1' then result <=(others=>'0'); elsif clock'event and clock='1' then if (sclr ='1') then result <=(others=>'0'); elsif enadff ='1' then result <= dataa_int; end if ; end if ; end process ; end generate ; gen2:if lpm_delay>1 generate process(clock, aclr_i) begin if aclr_i='1' then DelayLine <= (others => (others => '0')); elsif clock'event and clock='1' then if (sclr='1') then DelayLine <= (others => (others => '0')); elsif (enadff='1') then DelayLine(0) <= dataa_int; for i in 1 to lpm_delay-1 loop DelayLine(i) <= DelayLine(i-1); end loop; end if ; end if ; end process ; result <= DelayLine(lpm_delay-1); end generate ; end SDelay_SYNTH;
mit
843bf3d33e00aa200f73b0bdd690ba5d
0.634551
3.583333
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_single_pulse.vhd
4
1,650
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_single_pulse is generic ( DELAY : positive := 1; SIGNAL_TYPE : string := "Impulse"; IMPULSE_WIDTH : positive := 1 ); port ( result : out std_logic; clock : in std_logic := '0'; sclr : in std_logic := '0'; aclr : in std_logic := '0'; ena : in std_logic := '0' ); end entity alt_dspbuilder_single_pulse; architecture rtl of alt_dspbuilder_single_pulse is component alt_dspbuilder_single_pulse_GN2XGKTRR3 is generic ( DELAY : positive := 1; SIGNAL_TYPE : string := "Step Down"; IMPULSE_WIDTH : positive := 1 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; ena : in std_logic := '0'; result : out std_logic; sclr : in std_logic := '0' ); end component alt_dspbuilder_single_pulse_GN2XGKTRR3; begin alt_dspbuilder_single_pulse_GN2XGKTRR3_0: if ((DELAY = 1) and (SIGNAL_TYPE = "Step Down") and (IMPULSE_WIDTH = 1)) generate inst_alt_dspbuilder_single_pulse_GN2XGKTRR3_0: alt_dspbuilder_single_pulse_GN2XGKTRR3 generic map(DELAY => 1, SIGNAL_TYPE => "Step Down", IMPULSE_WIDTH => 1) port map(aclr => aclr, clock => clock, ena => ena, result => result, sclr => sclr); end generate; assert not (((DELAY = 1) and (SIGNAL_TYPE = "Step Down") and (IMPULSE_WIDTH = 1))) report "Please run generate again" severity error; end architecture rtl;
mit
15df727e261ff5397359395f141b373a
0.68303
3.16092
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/RAM_4.vhd
1
10,399
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: RAM_4.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 14.0.0 Build 200 06/17/2014 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2014 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus II License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY RAM_4 IS PORT ( aclr : IN STD_LOGIC := '0'; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END RAM_4; ARCHITECTURE SYN OF ram_4 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK0", init_file => "RAM_4.mif", intended_device_family => "Cyclone IV E", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "CLEAR0", outdata_aclr_b => "CLEAR0", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => 10, widthad_b => 10, width_a => 32, width_b => 32, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_wraddress_reg_b => "CLOCK0" ) PORT MAP ( aclr0 => aclr, address_a => address_a, address_b => address_b, clock0 => clock, data_a => data_a, data_b => data_b, wren_a => wren_a, wren_b => wren_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "1" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "RAM_4.mif" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_4.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" -- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]" -- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" -- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" -- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" -- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" -- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" -- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" -- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 -- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 -- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 -- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_4.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_4.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_4.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_4.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_4_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
ffd6735fbb7098074919b4fce0b6e729
0.666314
3.290823
false
false
false
false
Given-Jiang/Test_Pattern_Generator
Test_Pattern_Generator_dspbuilder/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT.vhd
2
45,577
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT.vhd -- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT is port ( sop : out std_logic; -- sop.wire pixel_num : in std_logic_vector(47 downto 0) := (others => '0'); -- pixel_num.wire ctrl_en : in std_logic := '0'; -- ctrl_en.wire counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire ctrl_pak1 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak1.wire colorbar : in std_logic_vector(23 downto 0) := (others => '0'); -- colorbar.wire data : out std_logic_vector(24 downto 0); -- data.wire data_en : in std_logic := '0'; -- data_en.wire ctrl_pak2 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak2.wire eop : out std_logic; -- eop.wire ctrl_pak3 : in std_logic_vector(23 downto 0) := (others => '0'); -- ctrl_pak3.wire Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0' -- .reset ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_cast_GN33BXJAZX is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(1 downto 0) -- wire ); end component alt_dspbuilder_cast_GN33BXJAZX; component alt_dspbuilder_pipelined_adder_GNTWZRTG4I is generic ( width : natural := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk add_sub : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cout : out std_logic; -- wire dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_pipelined_adder_GNTWZRTG4I; component alt_dspbuilder_gnd_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_gnd_GN; component alt_dspbuilder_vcc_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_vcc_GN; component alt_dspbuilder_case_statement_GNWMX2GCN2 is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GNWMX2GCN2; component alt_dspbuilder_case_statement_GNFTM45DFU is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GNFTM45DFU; component alt_dspbuilder_multiplexer_GNLGLCKYZ5 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(23 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in2 : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire in3 : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GNLGLCKYZ5; component alt_dspbuilder_port_GNEHYJMBQS is port ( input : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_port_GNEHYJMBQS; component alt_dspbuilder_constant_GNNKZSYI73 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNNKZSYI73; component alt_dspbuilder_bus_concat_GN6E6AAQPZ is generic ( widthB : natural := 8; widthA : natural := 8 ); port ( a : in std_logic_vector(widthA-1 downto 0) := (others => 'X'); -- wire aclr : in std_logic := 'X'; -- clk b : in std_logic_vector(widthB-1 downto 0) := (others => 'X'); -- wire clock : in std_logic := 'X'; -- clk output : out std_logic_vector(widthA+widthB-1 downto 0) -- wire ); end component alt_dspbuilder_bus_concat_GN6E6AAQPZ; component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V; component alt_dspbuilder_constant_GNZEH3JAKA is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNZEH3JAKA; component alt_dspbuilder_delay_GNIYBMGPQQ is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNIYBMGPQQ; component alt_dspbuilder_constant_GNLJWFEWBD is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_constant_GNLJWFEWBD; component alt_dspbuilder_constant_GNQJ63TWA6 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNQJ63TWA6; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component alt_dspbuilder_if_statement_GNTVBNRAAT is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNTVBNRAAT; component alt_dspbuilder_delay_GNNBTO2F3L is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNNBTO2F3L; component alt_dspbuilder_port_GNUJT4YY5I is port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(47 downto 0) -- wire ); end component alt_dspbuilder_port_GNUJT4YY5I; component alt_dspbuilder_multiplexer_GNHQFFAUXQ is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(24 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in2 : in std_logic_vector(24 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GNHQFFAUXQ; component alt_dspbuilder_multiplexer_GN6ODCX3D4 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 0; width : positive := 8; pipeline : natural := 0; number_inputs : natural := 4 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset sel : in std_logic_vector(1 downto 0) := (others => 'X'); -- wire result : out std_logic_vector(24 downto 0); -- wire ena : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X'; -- wire in0 : in std_logic_vector(24 downto 0) := (others => 'X'); -- wire in1 : in std_logic_vector(24 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_multiplexer_GN6ODCX3D4; component alt_dspbuilder_delay_GNVJUPFOX3 is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "00000001"; width : positive := 8 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire input : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_delay_GNVJUPFOX3; component alt_dspbuilder_cast_GN3ODVPHOL is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_cast_GN3ODVPHOL; component alt_dspbuilder_cast_GN46N4UJ5S is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic := 'X'; -- wire output : out std_logic_vector(0 downto 0) -- wire ); end component alt_dspbuilder_cast_GN46N4UJ5S; component alt_dspbuilder_cast_GNCPEUNC4M is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNCPEUNC4M; component alt_dspbuilder_cast_GNKDE2NVCC is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKDE2NVCC; component alt_dspbuilder_cast_GNCCZ56SYK is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(24 downto 0) -- wire ); end component alt_dspbuilder_cast_GNCCZ56SYK; component alt_dspbuilder_cast_GNKIWLRTQI is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKIWLRTQI; signal pipelined_adder2user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder2user_aclrGND:output -> Pipelined_Adder2:user_aclr signal pipelined_adder2enavcc_output_wire : std_logic; -- Pipelined_Adder2enaVCC:output -> Pipelined_Adder2:ena signal multiplexeruser_aclrgnd_output_wire : std_logic; -- Multiplexeruser_aclrGND:output -> Multiplexer:user_aclr signal multiplexerenavcc_output_wire : std_logic; -- MultiplexerenaVCC:output -> Multiplexer:ena signal delaysclrgnd_output_wire : std_logic; -- DelaysclrGND:output -> Delay:sclr signal delayenavcc_output_wire : std_logic; -- DelayenaVCC:output -> Delay:ena signal delay5sclrgnd_output_wire : std_logic; -- Delay5sclrGND:output -> Delay5:sclr signal delay5enavcc_output_wire : std_logic; -- Delay5enaVCC:output -> Delay5:ena signal delay3sclrgnd_output_wire : std_logic; -- Delay3sclrGND:output -> Delay3:sclr signal delay3enavcc_output_wire : std_logic; -- Delay3enaVCC:output -> Delay3:ena signal multiplexer1user_aclrgnd_output_wire : std_logic; -- Multiplexer1user_aclrGND:output -> Multiplexer1:user_aclr signal multiplexer1enavcc_output_wire : std_logic; -- Multiplexer1enaVCC:output -> Multiplexer1:ena signal delay1sclrgnd_output_wire : std_logic; -- Delay1sclrGND:output -> Delay1:sclr signal delay1enavcc_output_wire : std_logic; -- Delay1enaVCC:output -> Delay1:ena signal multiplexer2user_aclrgnd_output_wire : std_logic; -- Multiplexer2user_aclrGND:output -> Multiplexer2:user_aclr signal multiplexer2enavcc_output_wire : std_logic; -- Multiplexer2enaVCC:output -> Multiplexer2:ena signal delay2sclrgnd_output_wire : std_logic; -- Delay2sclrGND:output -> Delay2:sclr signal delay2enavcc_output_wire : std_logic; -- Delay2enaVCC:output -> Delay2:ena signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> [Bus_Conversion1:input, If_Statement7:a, cast29:input, cast32:input] signal constant1_output_wire : std_logic_vector(23 downto 0); -- Constant1:output -> Delay:input signal ctrl_pak1_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak1_0:output -> Delay1:input signal ctrl_pak2_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak2_0:output -> Delay2:input signal ctrl_pak3_0_output_wire : std_logic_vector(23 downto 0); -- ctrl_pak3_0:output -> Delay3:input signal constant7_output_wire : std_logic_vector(23 downto 0); -- Constant7:output -> Delay5:input signal if_statement7_true_wire : std_logic; -- If_Statement7:true -> [Logical_Bit_Operator10:data0, Logical_Bit_Operator9:data1] signal data_en_0_output_wire : std_logic; -- data_en_0:output -> [Logical_Bit_Operator10:data1, Logical_Bit_Operator3:data1, cast34:input] signal case_statement2_r1_wire : std_logic; -- Case_Statement2:r1 -> Logical_Bit_Operator3:data0 signal ctrl_en_0_output_wire : std_logic; -- ctrl_en_0:output -> [Logical_Bit_Operator4:data0, Logical_Bit_Operator9:data0, cast33:input] signal case_statement2_r0_wire : std_logic; -- Case_Statement2:r0 -> Logical_Bit_Operator4:data1 signal logical_bit_operator4_result_wire : std_logic; -- Logical_Bit_Operator4:result -> Logical_Bit_Operator5:data0 signal logical_bit_operator3_result_wire : std_logic; -- Logical_Bit_Operator3:result -> Logical_Bit_Operator5:data1 signal logical_bit_operator10_result_wire : std_logic; -- Logical_Bit_Operator10:result -> Logical_Bit_Operator6:data1 signal logical_bit_operator9_result_wire : std_logic; -- Logical_Bit_Operator9:result -> Logical_Bit_Operator6:data0 signal bus_conversion1_output_wire : std_logic_vector(1 downto 0); -- Bus_Conversion1:output -> Multiplexer:sel signal delay_output_wire : std_logic_vector(23 downto 0); -- Delay:output -> Multiplexer:in0 signal delay1_output_wire : std_logic_vector(23 downto 0); -- Delay1:output -> Multiplexer:in1 signal delay2_output_wire : std_logic_vector(23 downto 0); -- Delay2:output -> Multiplexer:in2 signal delay3_output_wire : std_logic_vector(23 downto 0); -- Delay3:output -> Multiplexer:in3 signal bus_concatenation_output_wire : std_logic_vector(1 downto 0); -- Bus_Concatenation:output -> Multiplexer1:sel signal bus_concatenation1_output_wire : std_logic_vector(1 downto 0); -- Bus_Concatenation1:output -> Multiplexer2:sel signal multiplexer2_result_wire : std_logic_vector(24 downto 0); -- Multiplexer2:result -> Multiplexer1:in1 signal constant18_output_wire : std_logic_vector(23 downto 0); -- Constant18:output -> Pipelined_Adder2:datab signal pipelined_adder2_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder2:result -> If_Statement7:c signal logical_bit_operator5_result_wire : std_logic; -- Logical_Bit_Operator5:result -> sop_0:input signal logical_bit_operator6_result_wire : std_logic; -- Logical_Bit_Operator6:result -> eop_0:input signal multiplexer1_result_wire : std_logic_vector(24 downto 0); -- Multiplexer1:result -> data_0:input signal cast29_output_wire : std_logic_vector(15 downto 0); -- cast29:output -> Case_Statement1:input signal case_statement1_r0_wire : std_logic; -- Case_Statement1:r0 -> cast30:input signal cast30_output_wire : std_logic_vector(0 downto 0); -- cast30:output -> Bus_Concatenation1:a signal case_statement1_r1_wire : std_logic; -- Case_Statement1:r1 -> cast31:input signal cast31_output_wire : std_logic_vector(0 downto 0); -- cast31:output -> Bus_Concatenation1:b signal cast32_output_wire : std_logic_vector(15 downto 0); -- cast32:output -> Case_Statement2:input signal cast33_output_wire : std_logic_vector(0 downto 0); -- cast33:output -> Bus_Concatenation:a signal cast34_output_wire : std_logic_vector(0 downto 0); -- cast34:output -> Bus_Concatenation:b signal constant16_output_wire : std_logic_vector(15 downto 0); -- Constant16:output -> cast35:input signal cast35_output_wire : std_logic_vector(23 downto 0); -- cast35:output -> If_Statement7:b signal constant5_output_wire : std_logic_vector(23 downto 0); -- Constant5:output -> cast36:input signal cast36_output_wire : std_logic_vector(24 downto 0); -- cast36:output -> Multiplexer1:in0 signal multiplexer_result_wire : std_logic_vector(23 downto 0); -- Multiplexer:result -> cast37:input signal cast37_output_wire : std_logic_vector(24 downto 0); -- cast37:output -> Multiplexer1:in2 signal colorbar_0_output_wire : std_logic_vector(23 downto 0); -- colorbar_0:output -> cast38:input signal cast38_output_wire : std_logic_vector(24 downto 0); -- cast38:output -> Multiplexer2:in0 signal delay5_output_wire : std_logic_vector(23 downto 0); -- Delay5:output -> cast39:input signal cast39_output_wire : std_logic_vector(24 downto 0); -- cast39:output -> Multiplexer2:in1 signal pixel_num_0_output_wire : std_logic_vector(47 downto 0); -- pixel_num_0:output -> cast40:input signal cast40_output_wire : std_logic_vector(23 downto 0); -- cast40:output -> Pipelined_Adder2:dataa signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Bus_Concatenation1:aclr, Bus_Concatenation:aclr, Case_Statement1:aclr, Case_Statement2:aclr, Delay1:aclr, Delay2:aclr, Delay3:aclr, Delay5:aclr, Delay:aclr, Multiplexer1:aclr, Multiplexer2:aclr, Multiplexer:aclr, Pipelined_Adder2:aclr] signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Bus_Concatenation1:clock, Bus_Concatenation:clock, Case_Statement1:clock, Case_Statement2:clock, Delay1:clock, Delay2:clock, Delay3:clock, Delay5:clock, Delay:clock, Multiplexer1:clock, Multiplexer2:clock, Multiplexer:clock, Pipelined_Adder2:clock] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); bus_conversion1 : component alt_dspbuilder_cast_GN33BXJAZX generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => bus_conversion1_output_wire -- output.wire ); pipelined_adder2 : component alt_dspbuilder_pipelined_adder_GNTWZRTG4I generic map ( width => 24, pipeline => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => cast40_output_wire, -- dataa.wire datab => constant18_output_wire, -- datab.wire result => pipelined_adder2_result_wire, -- result.wire user_aclr => pipelined_adder2user_aclrgnd_output_wire, -- user_aclr.wire ena => pipelined_adder2enavcc_output_wire -- ena.wire ); pipelined_adder2user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => pipelined_adder2user_aclrgnd_output_wire -- output.wire ); pipelined_adder2enavcc : component alt_dspbuilder_vcc_GN port map ( output => pipelined_adder2enavcc_output_wire -- output.wire ); case_statement1 : component alt_dspbuilder_case_statement_GNWMX2GCN2 generic map ( number_outputs => 2, hasDefault => 1, pipeline => 0, width => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast29_output_wire, -- input.wire r0 => case_statement1_r0_wire, -- r0.wire r1 => case_statement1_r1_wire -- r1.wire ); case_statement2 : component alt_dspbuilder_case_statement_GNFTM45DFU generic map ( number_outputs => 2, hasDefault => 0, pipeline => 0, width => 16 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast32_output_wire, -- input.wire r0 => case_statement2_r0_wire, -- r0.wire r1 => case_statement2_r1_wire -- r1.wire ); multiplexer : component alt_dspbuilder_multiplexer_GNLGLCKYZ5 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 0, width => 24, pipeline => 0, number_inputs => 4 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_conversion1_output_wire, -- sel.wire result => multiplexer_result_wire, -- result.wire ena => multiplexerenavcc_output_wire, -- ena.wire user_aclr => multiplexeruser_aclrgnd_output_wire, -- user_aclr.wire in0 => delay_output_wire, -- in0.wire in1 => delay1_output_wire, -- in1.wire in2 => delay2_output_wire, -- in2.wire in3 => delay3_output_wire -- in3.wire ); multiplexeruser_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexeruser_aclrgnd_output_wire -- output.wire ); multiplexerenavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexerenavcc_output_wire -- output.wire ); data_0 : component alt_dspbuilder_port_GNEHYJMBQS port map ( input => multiplexer1_result_wire, -- input.wire output => data -- output.wire ); constant7 : component alt_dspbuilder_constant_GNNKZSYI73 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000000", width => 24 ) port map ( output => constant7_output_wire -- output.wire ); constant5 : component alt_dspbuilder_constant_GNNKZSYI73 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000000", width => 24 ) port map ( output => constant5_output_wire -- output.wire ); bus_concatenation1 : component alt_dspbuilder_bus_concat_GN6E6AAQPZ generic map ( widthB => 1, widthA => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast30_output_wire, -- a.wire b => cast31_output_wire, -- b.wire output => bus_concatenation1_output_wire -- output.wire ); logical_bit_operator6 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV generic map ( LogicalOp => "AltOR", number_inputs => 2 ) port map ( result => logical_bit_operator6_result_wire, -- result.wire data0 => logical_bit_operator9_result_wire, -- data0.wire data1 => logical_bit_operator10_result_wire -- data1.wire ); logical_bit_operator5 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV generic map ( LogicalOp => "AltOR", number_inputs => 2 ) port map ( result => logical_bit_operator5_result_wire, -- result.wire data0 => logical_bit_operator4_result_wire, -- data0.wire data1 => logical_bit_operator3_result_wire -- data1.wire ); ctrl_pak2_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak2, -- input.wire output => ctrl_pak2_0_output_wire -- output.wire ); logical_bit_operator4 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator4_result_wire, -- result.wire data0 => ctrl_en_0_output_wire, -- data0.wire data1 => case_statement2_r0_wire -- data1.wire ); ctrl_pak3_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak3, -- input.wire output => ctrl_pak3_0_output_wire -- output.wire ); bus_concatenation : component alt_dspbuilder_bus_concat_GN6E6AAQPZ generic map ( widthB => 1, widthA => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset a => cast33_output_wire, -- a.wire b => cast34_output_wire, -- b.wire output => bus_concatenation_output_wire -- output.wire ); ctrl_pak1_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => ctrl_pak1, -- input.wire output => ctrl_pak1_0_output_wire -- output.wire ); logical_bit_operator9 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator9_result_wire, -- result.wire data0 => ctrl_en_0_output_wire, -- data0.wire data1 => if_statement7_true_wire -- data1.wire ); constant1 : component alt_dspbuilder_constant_GNZEH3JAKA generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000001111", width => 24 ) port map ( output => constant1_output_wire -- output.wire ); delay : component alt_dspbuilder_delay_GNIYBMGPQQ generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000001111", width => 24 ) port map ( input => constant1_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay_output_wire, -- output.wire sclr => delaysclrgnd_output_wire, -- sclr.wire ena => delayenavcc_output_wire -- ena.wire ); delaysclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delaysclrgnd_output_wire -- output.wire ); delayenavcc : component alt_dspbuilder_vcc_GN port map ( output => delayenavcc_output_wire -- output.wire ); constant16 : component alt_dspbuilder_constant_GNLJWFEWBD generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000000000000011", width => 16 ) port map ( output => constant16_output_wire -- output.wire ); constant18 : component alt_dspbuilder_constant_GNQJ63TWA6 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000100", width => 24 ) port map ( output => constant18_output_wire -- output.wire ); logical_bit_operator3 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator3_result_wire, -- result.wire data0 => case_statement2_r1_wire, -- data0.wire data1 => data_en_0_output_wire -- data1.wire ); eop_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => logical_bit_operator6_result_wire, -- input.wire output => eop -- output.wire ); colorbar_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => colorbar, -- input.wire output => colorbar_0_output_wire -- output.wire ); if_statement7 : component alt_dspbuilder_if_statement_GNTVBNRAAT generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "(a=b) or (a=c)", number_inputs => 3, width => 24 ) port map ( true => if_statement7_true_wire, -- true.wire a => counter_0_output_wire, -- a.wire b => cast35_output_wire, -- b.wire c => pipelined_adder2_result_wire -- c.wire ); counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => counter, -- input.wire output => counter_0_output_wire -- output.wire ); delay5 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => constant7_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay5_output_wire, -- output.wire sclr => delay5sclrgnd_output_wire, -- sclr.wire ena => delay5enavcc_output_wire -- ena.wire ); delay5sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay5sclrgnd_output_wire -- output.wire ); delay5enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay5enavcc_output_wire -- output.wire ); pixel_num_0 : component alt_dspbuilder_port_GNUJT4YY5I port map ( input => pixel_num, -- input.wire output => pixel_num_0_output_wire -- output.wire ); delay3 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => ctrl_pak3_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay3_output_wire, -- output.wire sclr => delay3sclrgnd_output_wire, -- sclr.wire ena => delay3enavcc_output_wire -- ena.wire ); delay3sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay3sclrgnd_output_wire -- output.wire ); delay3enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay3enavcc_output_wire -- output.wire ); sop_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => logical_bit_operator5_result_wire, -- input.wire output => sop -- output.wire ); logical_bit_operator10 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator10_result_wire, -- result.wire data0 => if_statement7_true_wire, -- data0.wire data1 => data_en_0_output_wire -- data1.wire ); ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => ctrl_en, -- input.wire output => ctrl_en_0_output_wire -- output.wire ); multiplexer1 : component alt_dspbuilder_multiplexer_GNHQFFAUXQ generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 0, width => 25, pipeline => 0, number_inputs => 3 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_concatenation_output_wire, -- sel.wire result => multiplexer1_result_wire, -- result.wire ena => multiplexer1enavcc_output_wire, -- ena.wire user_aclr => multiplexer1user_aclrgnd_output_wire, -- user_aclr.wire in0 => cast36_output_wire, -- in0.wire in1 => multiplexer2_result_wire, -- in1.wire in2 => cast37_output_wire -- in2.wire ); multiplexer1user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexer1user_aclrgnd_output_wire -- output.wire ); multiplexer1enavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexer1enavcc_output_wire -- output.wire ); delay1 : component alt_dspbuilder_delay_GNNBTO2F3L generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000010", width => 24 ) port map ( input => ctrl_pak1_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay1_output_wire, -- output.wire sclr => delay1sclrgnd_output_wire, -- sclr.wire ena => delay1enavcc_output_wire -- ena.wire ); delay1sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay1sclrgnd_output_wire -- output.wire ); delay1enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay1enavcc_output_wire -- output.wire ); multiplexer2 : component alt_dspbuilder_multiplexer_GN6ODCX3D4 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", use_one_hot_select_bus => 1, width => 25, pipeline => 0, number_inputs => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset sel => bus_concatenation1_output_wire, -- sel.wire result => multiplexer2_result_wire, -- result.wire ena => multiplexer2enavcc_output_wire, -- ena.wire user_aclr => multiplexer2user_aclrgnd_output_wire, -- user_aclr.wire in0 => cast38_output_wire, -- in0.wire in1 => cast39_output_wire -- in1.wire ); multiplexer2user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplexer2user_aclrgnd_output_wire -- output.wire ); multiplexer2enavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplexer2enavcc_output_wire -- output.wire ); delay2 : component alt_dspbuilder_delay_GNVJUPFOX3 generic map ( ClockPhase => "1", delay => 1, use_init => 0, BitPattern => "000000000000000000000000", width => 24 ) port map ( input => ctrl_pak2_0_output_wire, -- input.wire clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset output => delay2_output_wire, -- output.wire sclr => delay2sclrgnd_output_wire, -- sclr.wire ena => delay2enavcc_output_wire -- ena.wire ); delay2sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => delay2sclrgnd_output_wire -- output.wire ); delay2enavcc : component alt_dspbuilder_vcc_GN port map ( output => delay2enavcc_output_wire -- output.wire ); data_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => data_en, -- input.wire output => data_en_0_output_wire -- output.wire ); cast29 : component alt_dspbuilder_cast_GN3ODVPHOL generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast29_output_wire -- output.wire ); cast30 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => case_statement1_r0_wire, -- input.wire output => cast30_output_wire -- output.wire ); cast31 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => case_statement1_r1_wire, -- input.wire output => cast31_output_wire -- output.wire ); cast32 : component alt_dspbuilder_cast_GN3ODVPHOL generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast32_output_wire -- output.wire ); cast33 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => ctrl_en_0_output_wire, -- input.wire output => cast33_output_wire -- output.wire ); cast34 : component alt_dspbuilder_cast_GN46N4UJ5S generic map ( round => 0, saturate => 0 ) port map ( input => data_en_0_output_wire, -- input.wire output => cast34_output_wire -- output.wire ); cast35 : component alt_dspbuilder_cast_GNCPEUNC4M generic map ( round => 0, saturate => 0 ) port map ( input => constant16_output_wire, -- input.wire output => cast35_output_wire -- output.wire ); cast36 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => constant5_output_wire, -- input.wire output => cast36_output_wire -- output.wire ); cast37 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => multiplexer_result_wire, -- input.wire output => cast37_output_wire -- output.wire ); cast38 : component alt_dspbuilder_cast_GNCCZ56SYK generic map ( round => 0, saturate => 0 ) port map ( input => colorbar_0_output_wire, -- input.wire output => cast38_output_wire -- output.wire ); cast39 : component alt_dspbuilder_cast_GNKDE2NVCC generic map ( round => 0, saturate => 0 ) port map ( input => delay5_output_wire, -- input.wire output => cast39_output_wire -- output.wire ); cast40 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => pixel_num_0_output_wire, -- input.wire output => cast40_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_SIGNAL_OUT
mit
104623ef1a9da5cd312b227842b5d908
0.565592
3.377325
false
false
false
false
freecores/t48
rtl/vhdl/db_bus.vhd
1
5,192
------------------------------------------------------------------------------- -- -- The BUS unit. -- Implements the BUS port logic. -- -- $Id: db_bus.vhd,v 1.5 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; entity t48_db_bus is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; ea_i : in std_logic; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; write_bus_i : in boolean; read_bus_i : in boolean; -- BUS Interface ---------------------------------------------------------- output_pcl_i : in boolean; bidir_bus_i : in boolean; pcl_i : in word_t; db_i : in word_t; db_o : out word_t; db_dir_o : out std_logic ); end t48_db_bus; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; use work.t48_pack.to_stdLogic; architecture rtl of t48_db_bus is -- the BUS output register signal bus_q : word_t; -- BUS direction marker signal db_dir_q, db_dir_qq : std_logic; begin ----------------------------------------------------------------------------- -- Process bus_regs -- -- Purpose: -- Implements the BUS output register. -- bus_regs: process (res_i, clk_i) begin if res_i = res_active_c then bus_q <= (others => '0'); db_dir_q <= '0'; db_dir_qq <= '0'; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if write_bus_i then db_dir_qq <= '1'; else -- extend bus direction by one machine cycle db_dir_qq <= db_dir_q; end if; if write_bus_i then bus_q <= data_i; db_dir_q <= '1'; elsif ea_i = '1' or bidir_bus_i then db_dir_q <= '0'; end if; end if; end if; end process bus_regs; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- db_o <= pcl_i when output_pcl_i else bus_q; db_dir_o <= db_dir_qq or to_stdLogic(output_pcl_i); data_o <= (others => bus_idle_level_c) when not read_bus_i else db_i; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2005/06/09 22:16:26 arniml -- Implement db_dir_o glitch-safe -- -- Revision 1.3 2004/10/25 20:30:18 arniml -- delay db_dir_o by one machine cycle -- this fixes the timing relation between BUS data and WR' -- -- Revision 1.2 2004/04/04 14:15:45 arniml -- add dump_compare support -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
4a9cc06561a1fadd99787f055f4b42c2
0.537173
4.009266
false
false
false
false
freecores/t48
rtl/vhdl/system/lpm_rom.vhd
2
13,920
-------------------------------------------------------------------------- -- This VHDL file was developed by Altera Corporation. It may be -- freely copied and/or distributed at no cost. Any persons using this -- file for any purpose do so at their own risk, and are responsible for -- the results of such use. Altera Corporation does not guarantee that -- this file is complete, correct, or fit for any particular purpose. -- NO WARRANTY OF ANY KIND IS EXPRESSED OR IMPLIED. This notice must -- accompany any copy of this file. -- -------------------------------------------------------------------------- -- LPM Synthesizable Models (Support string type generic) -------------------------------------------------------------------------- -- Version 2.0 (lpm 220) Date 01/04/00 -- -- 1. Fixed LPM_RAM_DQ, LPM_RAM_DP, LPM_RAM_IO and LPM_ROM to correctly -- read in values from LPM_FILE (*.hex) when the DATA width is greater -- than 16 bits. -- 2. Explicit sign conversions are added to standard logic vector -- comparisons in LPM_RAM_DQ, LPM_RAM_DP, LPM_RAM_IO, LPM_ROM, and -- LPM_COMPARE. -- 3. LPM_FIFO_DC is rewritten to have correct outputs. -- 4. LPM_FIFO outputs zeros when nothing has been read from it, and -- outputs LPM_NUMWORDS mod exp(2, LPM_WIDTHU) when it is full. -- 5. Fixed LPM_DIVIDE to divide correctly. -------------------------------------------------------------------------- -- Version 1.9 (lpm 220) Date 11/30/99 -- -- 1. Fixed UNUSED file not found problem and initialization problem -- with LPM_RAM_DP, LPM_RAM_DQ, and LPM_RAM_IO. -- 2. Fixed LPM_MULT when SUM port is not used. -- 3. Fixed LPM_FIFO_DC to enable read when rdclock and wrclock rise -- at the same time. -- 4. Fixed LPM_COUNTER comparison problem when signed library is loaded -- and counter is incrementing. -- 5. Got rid of "Illegal Character" error message at time = 0 ns when -- simulating LPM_COUNTER. -------------------------------------------------------------------------- -- Version 1.8 (lpm 220) Date 10/25/99 -- -- 1. Some LPM_PVALUE implementations were missing, and now implemented. -- 2. Fixed LPM_COUNTER to count correctly without conversion overflow, -- that is, when LPM_MODULUS = 2 ** LPM_WIDTH. -- 3. Fixed LPM_RAM_DP sync process sensitivity list to detect wraddress -- changes. -------------------------------------------------------------------------- -- Version 1.7 (lpm 220) Date 07/13/99 -- -- Changed LPM_RAM_IO so that it can be used to simulate both MP2 and -- Quartus behaviour and LPM220-compliant behaviour. -------------------------------------------------------------------------- -- Version 1.6 (lpm 220) Date 06/15/99 -- -- 1. Fixed LPM_ADD_SUB sign extension problem and subtraction bug. -- 2. Fixed LPM_COUNTER to use LPM_MODULUS value. -- 3. Added CIN and COUT port, and discarded EQ port in LPM_COUNTER to -- comply with the specfication. -- 4. Included LPM_RAM_DP, LPM_RAM_DQ, LPM_RAM_IO, LPM_ROM, LPM_FIFO, and -- LPM_FIFO_DC; they are all initialized to 0's. -------------------------------------------------------------------------- -- Version 1.5 (lpm 220) Date 05/10/99 -- -- Changed LPM_MODULUS from string type to integer. -------------------------------------------------------------------------- -- Version 1.4 (lpm 220) Date 02/05/99 -- -- 1. Added LPM_DIVIDE module. -- 2. Added CLKEN port to LPM_MUX, LPM_DECODE, LPM_ADD_SUB, LPM_MULT -- and LPM_COMPARE -- 3. Replaced the constants holding string with the actual string. -------------------------------------------------------------------------- -- Version 1.3 Date 07/30/96 -- -- Modification History -- -- 1. Changed the DEFAULT value to "UNUSED" for LPM_SVALUE, LPM_AVALUE, -- LPM_MODULUS, and LPM_NUMWORDS, LPM_HINT,LPM_STRENGTH, LPM_DIRECTION, -- and LPM_PVALUE -- -- 2. Added the two dimentional port components (AND, OR, XOR, and MUX). -------------------------------------------------------------------------- -- Excluded Functions: -- -- LPM_FSM and LPM_TTABLE -- -------------------------------------------------------------------------- -- Assumptions: -- -- 1. All ports and signal types are std_logic or std_logic_vector -- from IEEE 1164 package. -- 2. Synopsys std_logic_arith, std_logic_unsigned, and std_logic_signed -- package are assumed to be accessible from IEEE library. -- 3. lpm_component_package must be accessible from library work. -- 4. The default value of LPM_SVALUE, LPM_AVALUE, LPM_MODULUS, LPM_HINT, -- LPM_NUMWORDS, LPM_STRENGTH, LPM_DIRECTION, and LPM_PVALUE is -- string "UNUSED". -------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; --use work.LPM_COMPONENTS.all; use std.textio.all; entity LPM_ROM is generic (LPM_WIDTH : positive; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_FILE : string; LPM_TYPE : string := "LPM_ROM"; LPM_HINT : string := "UNUSED"); port (ADDRESS : in STD_LOGIC_VECTOR(LPM_WIDTHAD-1 downto 0); INCLOCK : in STD_LOGIC := '0'; OUTCLOCK : in STD_LOGIC := '0'; MEMENAB : in STD_LOGIC := '1'; Q : out STD_LOGIC_VECTOR(LPM_WIDTH-1 downto 0)); function int_to_str( value : integer ) return string is variable ivalue,index : integer; variable digit : integer; variable line_no: string(8 downto 1) := " "; begin ivalue := value; index := 1; while (ivalue > 0 ) loop digit := ivalue MOD 10; ivalue := ivalue/10; case digit is when 0 => line_no(index) := '0'; when 1 => line_no(index) := '1'; when 2 => line_no(index) := '2'; when 3 => line_no(index) := '3'; when 4 => line_no(index) := '4'; when 5 => line_no(index) := '5'; when 6 => line_no(index) := '6'; when 7 => line_no(index) := '7'; when 8 => line_no(index) := '8'; when 9 => line_no(index) := '9'; when others => ASSERT FALSE REPORT "Illegal number!" SEVERITY ERROR; end case; index := index + 1; end loop; return line_no; end; function hex_str_to_int( str : string ) return integer is variable len : integer := str'length; variable ivalue : integer := 0; variable digit : integer; begin for i in len downto 1 loop case str(i) is when '0' => digit := 0; when '1' => digit := 1; when '2' => digit := 2; when '3' => digit := 3; when '4' => digit := 4; when '5' => digit := 5; when '6' => digit := 6; when '7' => digit := 7; when '8' => digit := 8; when '9' => digit := 9; when 'A' => digit := 10; when 'a' => digit := 10; when 'B' => digit := 11; when 'b' => digit := 11; when 'C' => digit := 12; when 'c' => digit := 12; when 'D' => digit := 13; when 'd' => digit := 13; when 'E' => digit := 14; when 'e' => digit := 14; when 'F' => digit := 15; when 'f' => digit := 15; when others => ASSERT FALSE REPORT "Illegal character "& str(i) & "in Intel Hex File! " SEVERITY ERROR; end case; ivalue := ivalue * 16 + digit; end loop; return ivalue; end; procedure Shrink_line(L : inout LINE; pos : in integer) is subtype nstring is string(1 to pos); variable stmp : nstring; begin if pos >= 1 then read(l, stmp); end if; end; end LPM_ROM; architecture LPM_SYN of lpm_rom is --type lpm_memory is array(lpm_numwords-1 downto 0) of std_logic_vector(lpm_width-1 downto 0); type lpm_memory is array(integer range (2**lpm_widthad)-1 downto 0) of std_logic_vector(lpm_width-1 downto 0); signal q2, q_tmp, q_reg : std_logic_vector(lpm_width-1 downto 0); signal address_tmp, address_reg : std_logic_vector(lpm_widthad-1 downto 0); begin enable_mem: process(memenab, q2) begin if (memenab = '1') then q <= q2; else q <= (OTHERS => 'Z'); end if; end process; sync: process(address, address_reg, q_tmp, q_reg) begin if (lpm_address_control = "REGISTERED") then address_tmp <= address_reg; else address_tmp <= address; end if; if (lpm_outdata = "REGISTERED") then q2 <= q_reg; else q2 <= q_tmp; end if; end process; input_reg: process (inclock) begin if inclock'event and inclock = '1' then address_reg <= address; end if; end process; output_reg: process (outclock) begin if outclock'event and outclock = '1' then q_reg <= q_tmp; end if; end process; memory: process(memenab, address_tmp) variable mem_data : lpm_memory; variable mem_data_tmp : integer := 0; variable mem_init: boolean := false; variable i, j, k, lineno : integer := 0; variable buf: line ; variable booval: boolean ; FILE mem_data_file: TEXT IS IN LPM_FILE; variable base, byte, rec_type, datain, addr, checksum: string(2 downto 1); variable startadd: string(4 downto 1); variable ibase: integer := 0; variable ibyte: integer := 0; variable istartadd: integer := 0; variable check_sum_vec, check_sum_vec_tmp: unsigned(7 downto 0); begin -- INITIALIZE -- if NOT(mem_init) then -- INITIALIZE TO 0 -- for i in mem_data'LOW to mem_data'HIGH loop mem_data(i) := (OTHERS => '0'); end loop; if (LPM_FILE = "UNUSED") then ASSERT FALSE REPORT "Initialization file not found!" SEVERITY ERROR; else WHILE NOT ENDFILE(mem_data_file) loop booval := true; READLINE(mem_data_file, buf); lineno := lineno + 1; check_sum_vec := (OTHERS => '0'); if (buf(buf'LOW) = ':') then i := 1; shrink_line(buf, i); READ(L=>buf, VALUE=>byte, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format!" SEVERITY ERROR; end if; ibyte := hex_str_to_int(byte); check_sum_vec := unsigned(check_sum_vec) + to_unsigned(ibyte, 8); READ(L=>buf, VALUE=>startadd, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format! " SEVERITY ERROR; end if; istartadd := hex_str_to_int(startadd); addr(2) := startadd(4); addr(1) := startadd(3); check_sum_vec := unsigned(check_sum_vec) + to_unsigned(hex_str_to_int(addr), check_sum_vec'length); addr(2) := startadd(2); addr(1) := startadd(1); check_sum_vec := unsigned(check_sum_vec) + to_unsigned(hex_str_to_int(addr), check_sum_vec'length); READ(L=>buf, VALUE=>rec_type, good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format! " SEVERITY ERROR; end if; check_sum_vec := unsigned(check_sum_vec) + to_unsigned(hex_str_to_int(rec_type), check_sum_vec'length); else ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format! " SEVERITY ERROR; end if; case rec_type is when "00"=> -- Data record i := 0; k := lpm_width / 8; if ((lpm_width MOD 8) /= 0) then k := k + 1; end if; -- k = no. of bytes per CAM entry. while (i < ibyte) loop mem_data_tmp := 0; for j in 1 to k loop READ(L=>buf, VALUE=>datain,good=>booval); -- read in data a byte (2 hex chars) at a time. if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format! " SEVERITY ERROR; end if; check_sum_vec := unsigned(check_sum_vec) + to_unsigned(hex_str_to_int(datain), check_sum_vec'length); mem_data_tmp := mem_data_tmp * 256 + hex_str_to_int(datain); end loop; i := i + k; mem_data(ibase + istartadd) := STD_LOGIC_VECTOR(to_unsigned(mem_data_tmp, lpm_width)); istartadd := istartadd + 1; end loop; when "01"=> exit; when "02"=> ibase := 0; if (ibyte /= 2) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format for record type 02! " SEVERITY ERROR; end if; for i in 0 to (ibyte-1) loop READ(L=>buf, VALUE=>base,good=>booval); ibase := ibase * 256 + hex_str_to_int(base); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal Intel Hex Format! " SEVERITY ERROR; end if; check_sum_vec := unsigned(check_sum_vec) + to_unsigned(hex_str_to_int(base), check_sum_vec'length); end loop; ibase := ibase * 16; when OTHERS => ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Illegal record type in Intel Hex File! " SEVERITY ERROR; end case; READ(L=>buf, VALUE=>checksum,good=>booval); if not (booval) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Checksum is missing! " SEVERITY ERROR; end if; check_sum_vec := unsigned(not (check_sum_vec)) + 1 ; check_sum_vec_tmp := to_unsigned(hex_str_to_int(checksum),8); if (unsigned(check_sum_vec) /= unsigned(check_sum_vec_tmp)) then ASSERT FALSE REPORT "[Line "& int_to_str(lineno) & "]:Incorrect checksum!" SEVERITY ERROR; end if; end loop; end if; mem_init := TRUE; end if; -- MEMORY FUNCTION -- --if memenab = '1' then q_tmp <= mem_data(to_integer(UNSIGNED(address_tmp))); --else -- q_tmp <= (OTHERS => 'Z'); --end if; end process; end LPM_SYN; --------------------------------------------------------------------------- -- pragma translate_off configuration lpm_rom_c0 of lpm_rom is for lpm_syn end for; end lpm_rom_c0; -- pragma translate_on
gpl-2.0
9bd2dcb50ed28a959144cd252b7b8f8f
0.563506
3.337329
false
false
false
false
Given-Jiang/Test_Pattern_Generator
Test_Pattern_Generator_dspbuilder/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE.vhd
2
34,606
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE.vhd -- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is port ( Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire col : in std_logic_vector(31 downto 0) := (others => '0'); -- col.wire colorbar : out std_logic_vector(23 downto 0); -- colorbar.wire data_en : in std_logic := '0'; -- data_en.wire ctrl_en : in std_logic := '0' -- ctrl_en.wire ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_pipelined_adder_GNWEIMU3MK is generic ( width : natural := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk add_sub : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cout : out std_logic; -- wire dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_pipelined_adder_GNWEIMU3MK; component alt_dspbuilder_gnd_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_gnd_GN; component alt_dspbuilder_vcc_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_vcc_GN; component alt_dspbuilder_port_GNEPKLLZKY is port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(31 downto 0) -- wire ); end component alt_dspbuilder_port_GNEPKLLZKY; component alt_dspbuilder_bus_build_GNI6E4JZ66 is generic ( width : natural := 8 ); port ( output : out std_logic_vector(2 downto 0); -- wire in0 : in std_logic := 'X'; -- wire in1 : in std_logic := 'X'; -- wire in2 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_bus_build_GNI6E4JZ66; component alt_dspbuilder_divider_GNKAPZN5MO is generic ( Signed : natural := 0; width : natural := 8; pipeline : natural := 0 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk denom : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire numer : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire quotient : out std_logic_vector(width-1 downto 0); -- wire remain : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_divider_GNKAPZN5MO; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_if_statement_GNJ7D74ANQ is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNJ7D74ANQ; component alt_dspbuilder_constant_GNKT7L5CDY is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNKT7L5CDY; component alt_dspbuilder_if_statement_GNIV4UP6ZO is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNIV4UP6ZO; component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V; component alt_dspbuilder_if_statement_GNMQPB5LUF is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNMQPB5LUF; component alt_dspbuilder_if_statement_GNZR777PB6 is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNZR777PB6; component alt_dspbuilder_constant_GNUWBUDS4L is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNUWBUDS4L; component alt_dspbuilder_constant_GNJ2DIDH6N is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNJ2DIDH6N; component alt_dspbuilder_logical_bit_op_GNUQ2R64DV is generic ( LogicalOp : string := "AltAND"; number_inputs : positive := 2 ); port ( result : out std_logic; -- wire data0 : in std_logic := 'X'; -- wire data1 : in std_logic := 'X' -- wire ); end component alt_dspbuilder_logical_bit_op_GNUQ2R64DV; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component alt_dspbuilder_constant_GNNCFWNIJI is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(15 downto 0) -- wire ); end component alt_dspbuilder_constant_GNNCFWNIJI; component alt_dspbuilder_if_statement_GNWHMBR6GA is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire c : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNWHMBR6GA; component alt_dspbuilder_single_pulse_GN2XGKTRR3 is generic ( delay : positive := 1; signal_type : string := "Impulse"; impulse_width : positive := 1 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire result : out std_logic; -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_single_pulse_GN2XGKTRR3; component StateMachineEditor1 is port ( clock : in std_logic := 'X'; -- clk col_select : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire data : out std_logic_vector(23 downto 0); -- wire data_en : in std_logic := 'X'; -- wire reset : in std_logic := 'X' -- wire ); end component StateMachineEditor1; component alt_dspbuilder_counter_GNZKRIGTBB is generic ( use_usr_aclr : string := "false"; use_ena : string := "false"; use_cin : string := "false"; use_sset : string := "false"; ndirection : natural := 1; svalue : string := "0"; use_sload : string := "false"; use_sclr : string := "false"; use_cout : string := "false"; modulus : integer := 256; use_cnt_ena : string := "false"; width : natural := 8; use_aset : string := "false"; use_aload : string := "false"; avalue : string := "0" ); port ( aclr : in std_logic := 'X'; -- clk aload : in std_logic := 'X'; -- wire aset : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cnt_ena : in std_logic := 'X'; -- wire cout : out std_logic; -- wire data : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire direction : in std_logic := 'X'; -- wire ena : in std_logic := 'X'; -- wire q : out std_logic_vector(width-1 downto 0); -- wire sclr : in std_logic := 'X'; -- wire sload : in std_logic := 'X'; -- wire sset : in std_logic := 'X'; -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_counter_GNZKRIGTBB; component alt_dspbuilder_multiplier_GNEIWYOKUR is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "AUTO"; Signed : natural := 0; OutputMsb : integer := 8; aWidth : natural := 8; bWidth : natural := 8; OutputLsb : integer := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk dataa : in std_logic_vector(aWidth-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(bWidth-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(OutputMsb-OutputLsb+1-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_multiplier_GNEIWYOKUR; component alt_dspbuilder_cast_GN7PRGDOVA is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(31 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GN7PRGDOVA; component alt_dspbuilder_cast_GNCPEUNC4M is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(15 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNCPEUNC4M; component alt_dspbuilder_cast_GNKIWLRTQI is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKIWLRTQI; component alt_dspbuilder_cast_GNLHWQIRQK is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(2 downto 0) -- wire ); end component alt_dspbuilder_cast_GNLHWQIRQK; signal pipelined_adder3user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder3user_aclrGND:output -> Pipelined_Adder3:user_aclr signal pipelined_adder3enavcc_output_wire : std_logic; -- Pipelined_Adder3enaVCC:output -> Pipelined_Adder3:ena signal divideruser_aclrgnd_output_wire : std_logic; -- Divideruser_aclrGND:output -> Divider:user_aclr signal dividerenavcc_output_wire : std_logic; -- DividerenaVCC:output -> Divider:ena signal single_pulse1sclrgnd_output_wire : std_logic; -- Single_Pulse1sclrGND:output -> Single_Pulse1:sclr signal single_pulse1enavcc_output_wire : std_logic; -- Single_Pulse1enaVCC:output -> Single_Pulse1:ena signal multiplieruser_aclrgnd_output_wire : std_logic; -- Multiplieruser_aclrGND:output -> Multiplier:user_aclr signal multiplierenavcc_output_wire : std_logic; -- MultiplierenaVCC:output -> Multiplier:ena signal constant9_output_wire : std_logic_vector(23 downto 0); -- Constant9:output -> Counter1:data signal constant8_output_wire : std_logic_vector(23 downto 0); -- Constant8:output -> Divider:denom signal counter1_q_wire : std_logic_vector(23 downto 0); -- Counter1:q -> [If_Statement1:a, If_Statement2:a, If_Statement3:a, If_Statement:a] signal divider_quotient_wire : std_logic_vector(23 downto 0); -- Divider:quotient -> [If_Statement1:b, If_Statement:b, Multiplier:dataa] signal if_statement_true_wire : std_logic; -- If_Statement:true -> Bus_Builder:in0 signal if_statement1_true_wire : std_logic; -- If_Statement1:true -> Bus_Builder:in1 signal if_statement2_true_wire : std_logic; -- If_Statement2:true -> Bus_Builder:in2 signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> If_Statement5:a signal if_statement3_true_wire : std_logic; -- If_Statement3:true -> Logical_Bit_Operator12:data0 signal data_en_0_output_wire : std_logic; -- data_en_0:output -> [Logical_Bit_Operator12:data1, Logical_Bit_Operator8:data0, State_Machine_Editor1:data_en] signal ctrl_en_0_output_wire : std_logic; -- ctrl_en_0:output -> Logical_Bit_Operator7:data0 signal logical_bit_operator12_result_wire : std_logic; -- Logical_Bit_Operator12:result -> Logical_Bit_Operator7:data1 signal logical_bit_operator7_result_wire : std_logic; -- Logical_Bit_Operator7:result -> Counter1:sload signal if_statement5_true_wire : std_logic; -- If_Statement5:true -> Logical_Bit_Operator8:data1 signal logical_bit_operator8_result_wire : std_logic; -- Logical_Bit_Operator8:result -> Counter1:cnt_ena signal constant6_output_wire : std_logic_vector(23 downto 0); -- Constant6:output -> Multiplier:datab signal constant13_output_wire : std_logic_vector(23 downto 0); -- Constant13:output -> Pipelined_Adder3:datab signal pipelined_adder3_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder3:result -> If_Statement2:c signal single_pulse1_result_wire : std_logic; -- Single_Pulse1:result -> State_Machine_Editor1:reset signal state_machine_editor1_data_wire : std_logic_vector(23 downto 0); -- State_Machine_Editor1:data -> colorbar_0:input signal col_0_output_wire : std_logic_vector(31 downto 0); -- col_0:output -> [cast0:input, cast1:input, cast2:input, cast6:input] signal cast0_output_wire : std_logic_vector(23 downto 0); -- cast0:output -> Divider:numer signal cast1_output_wire : std_logic_vector(23 downto 0); -- cast1:output -> If_Statement:c signal cast2_output_wire : std_logic_vector(23 downto 0); -- cast2:output -> If_Statement3:b signal constant11_output_wire : std_logic_vector(15 downto 0); -- Constant11:output -> cast3:input signal cast3_output_wire : std_logic_vector(23 downto 0); -- cast3:output -> If_Statement5:b signal multiplier_result_wire : std_logic_vector(47 downto 0); -- Multiplier:result -> [cast4:input, cast5:input] signal cast4_output_wire : std_logic_vector(23 downto 0); -- cast4:output -> If_Statement1:c signal cast5_output_wire : std_logic_vector(23 downto 0); -- cast5:output -> If_Statement2:b signal cast6_output_wire : std_logic_vector(23 downto 0); -- cast6:output -> Pipelined_Adder3:dataa signal bus_builder_output_wire : std_logic_vector(2 downto 0); -- Bus_Builder:output -> cast7:input signal cast7_output_wire : std_logic_vector(2 downto 0); -- cast7:output -> State_Machine_Editor1:col_select signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Counter1:aclr, Divider:aclr, Multiplier:aclr, Pipelined_Adder3:aclr, Single_Pulse1:aclr] signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Counter1:clock, Divider:clock, Multiplier:clock, Pipelined_Adder3:clock, Single_Pulse1:clock, State_Machine_Editor1:clock] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); pipelined_adder3 : component alt_dspbuilder_pipelined_adder_GNWEIMU3MK generic map ( width => 24, pipeline => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => cast6_output_wire, -- dataa.wire datab => constant13_output_wire, -- datab.wire result => pipelined_adder3_result_wire, -- result.wire user_aclr => pipelined_adder3user_aclrgnd_output_wire, -- user_aclr.wire ena => pipelined_adder3enavcc_output_wire -- ena.wire ); pipelined_adder3user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => pipelined_adder3user_aclrgnd_output_wire -- output.wire ); pipelined_adder3enavcc : component alt_dspbuilder_vcc_GN port map ( output => pipelined_adder3enavcc_output_wire -- output.wire ); col_0 : component alt_dspbuilder_port_GNEPKLLZKY port map ( input => col, -- input.wire output => col_0_output_wire -- output.wire ); bus_builder : component alt_dspbuilder_bus_build_GNI6E4JZ66 generic map ( width => 3 ) port map ( output => bus_builder_output_wire, -- output.wire in0 => if_statement_true_wire, -- in0.wire in1 => if_statement1_true_wire, -- in1.wire in2 => if_statement2_true_wire -- in2.wire ); divider : component alt_dspbuilder_divider_GNKAPZN5MO generic map ( Signed => 0, width => 24, pipeline => 0 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset numer => cast0_output_wire, -- numer.wire denom => constant8_output_wire, -- denom.wire quotient => divider_quotient_wire, -- quotient.wire remain => open, -- remain.wire user_aclr => divideruser_aclrgnd_output_wire, -- user_aclr.wire ena => dividerenavcc_output_wire -- ena.wire ); divideruser_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => divideruser_aclrgnd_output_wire -- output.wire ); dividerenavcc : component alt_dspbuilder_vcc_GN port map ( output => dividerenavcc_output_wire -- output.wire ); colorbar_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => state_machine_editor1_data_wire, -- input.wire output => colorbar -- output.wire ); if_statement5 : component alt_dspbuilder_if_statement_GNJ7D74ANQ generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "a>b", number_inputs => 2, width => 24 ) port map ( true => if_statement5_true_wire, -- true.wire a => counter_0_output_wire, -- a.wire b => cast3_output_wire -- b.wire ); counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => counter, -- input.wire output => counter_0_output_wire -- output.wire ); constant6 : component alt_dspbuilder_constant_GNKT7L5CDY generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000010", width => 24 ) port map ( output => constant6_output_wire -- output.wire ); if_statement3 : component alt_dspbuilder_if_statement_GNIV4UP6ZO generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "a=b", number_inputs => 2, width => 24 ) port map ( true => if_statement3_true_wire, -- true.wire a => counter1_q_wire, -- a.wire b => cast2_output_wire -- b.wire ); logical_bit_operator12 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator12_result_wire, -- result.wire data0 => if_statement3_true_wire, -- data0.wire data1 => data_en_0_output_wire -- data1.wire ); if_statement2 : component alt_dspbuilder_if_statement_GNMQPB5LUF generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "((a>b) or (a=b)) and ((a<c) or (a=c))", number_inputs => 3, width => 24 ) port map ( true => if_statement2_true_wire, -- true.wire a => counter1_q_wire, -- a.wire b => cast5_output_wire, -- b.wire c => pipelined_adder3_result_wire -- c.wire ); if_statement1 : component alt_dspbuilder_if_statement_GNZR777PB6 generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "((a>b) or (a=b)) and (a<c)", number_inputs => 3, width => 24 ) port map ( true => if_statement1_true_wire, -- true.wire a => counter1_q_wire, -- a.wire b => divider_quotient_wire, -- b.wire c => cast4_output_wire -- c.wire ); constant8 : component alt_dspbuilder_constant_GNUWBUDS4L generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000011", width => 24 ) port map ( output => constant8_output_wire -- output.wire ); constant9 : component alt_dspbuilder_constant_GNJ2DIDH6N generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000001", width => 24 ) port map ( output => constant9_output_wire -- output.wire ); logical_bit_operator7 : component alt_dspbuilder_logical_bit_op_GNUQ2R64DV generic map ( LogicalOp => "AltOR", number_inputs => 2 ) port map ( result => logical_bit_operator7_result_wire, -- result.wire data0 => ctrl_en_0_output_wire, -- data0.wire data1 => logical_bit_operator12_result_wire -- data1.wire ); ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => ctrl_en, -- input.wire output => ctrl_en_0_output_wire -- output.wire ); constant11 : component alt_dspbuilder_constant_GNNCFWNIJI generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "0000000000000100", width => 16 ) port map ( output => constant11_output_wire -- output.wire ); if_statement : component alt_dspbuilder_if_statement_GNWHMBR6GA generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "((a>zero) and (a<b)) or (a=c)", number_inputs => 3, width => 24 ) port map ( true => if_statement_true_wire, -- true.wire a => counter1_q_wire, -- a.wire b => divider_quotient_wire, -- b.wire c => cast1_output_wire -- c.wire ); data_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => data_en, -- input.wire output => data_en_0_output_wire -- output.wire ); constant13 : component alt_dspbuilder_constant_GNJ2DIDH6N generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000001", width => 24 ) port map ( output => constant13_output_wire -- output.wire ); logical_bit_operator8 : component alt_dspbuilder_logical_bit_op_GNA5ZFEL7V generic map ( LogicalOp => "AltAND", number_inputs => 2 ) port map ( result => logical_bit_operator8_result_wire, -- result.wire data0 => data_en_0_output_wire, -- data0.wire data1 => if_statement5_true_wire -- data1.wire ); single_pulse1 : component alt_dspbuilder_single_pulse_GN2XGKTRR3 generic map ( delay => 1, signal_type => "Step Down", impulse_width => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset result => single_pulse1_result_wire, -- result.wire sclr => single_pulse1sclrgnd_output_wire, -- sclr.wire ena => single_pulse1enavcc_output_wire -- ena.wire ); single_pulse1sclrgnd : component alt_dspbuilder_gnd_GN port map ( output => single_pulse1sclrgnd_output_wire -- output.wire ); single_pulse1enavcc : component alt_dspbuilder_vcc_GN port map ( output => single_pulse1enavcc_output_wire -- output.wire ); state_machine_editor1 : component StateMachineEditor1 port map ( clock => clock_0_clock_output_clk, -- clock.clk reset => single_pulse1_result_wire, -- reset.wire col_select => cast7_output_wire, -- col_select.wire data_en => data_en_0_output_wire, -- data_en.wire data => state_machine_editor1_data_wire -- data.wire ); counter1 : component alt_dspbuilder_counter_GNZKRIGTBB generic map ( use_usr_aclr => "false", use_ena => "false", use_cin => "false", use_sset => "false", ndirection => 1, svalue => "1", use_sload => "true", use_sclr => "false", use_cout => "false", modulus => 65536, use_cnt_ena => "true", width => 24, use_aset => "false", use_aload => "false", avalue => "0" ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset data => constant9_output_wire, -- data.wire cnt_ena => logical_bit_operator8_result_wire, -- cnt_ena.wire sload => logical_bit_operator7_result_wire, -- sload.wire q => counter1_q_wire, -- q.wire cout => open -- cout.wire ); multiplier : component alt_dspbuilder_multiplier_GNEIWYOKUR generic map ( DEDICATED_MULTIPLIER_CIRCUITRY => "YES", Signed => 0, OutputMsb => 47, aWidth => 24, bWidth => 24, OutputLsb => 0, pipeline => 0 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => divider_quotient_wire, -- dataa.wire datab => constant6_output_wire, -- datab.wire result => multiplier_result_wire, -- result.wire user_aclr => multiplieruser_aclrgnd_output_wire, -- user_aclr.wire ena => multiplierenavcc_output_wire -- ena.wire ); multiplieruser_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => multiplieruser_aclrgnd_output_wire -- output.wire ); multiplierenavcc : component alt_dspbuilder_vcc_GN port map ( output => multiplierenavcc_output_wire -- output.wire ); cast0 : component alt_dspbuilder_cast_GN7PRGDOVA generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast0_output_wire -- output.wire ); cast1 : component alt_dspbuilder_cast_GN7PRGDOVA generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast1_output_wire -- output.wire ); cast2 : component alt_dspbuilder_cast_GN7PRGDOVA generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast2_output_wire -- output.wire ); cast3 : component alt_dspbuilder_cast_GNCPEUNC4M generic map ( round => 0, saturate => 0 ) port map ( input => constant11_output_wire, -- input.wire output => cast3_output_wire -- output.wire ); cast4 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => multiplier_result_wire, -- input.wire output => cast4_output_wire -- output.wire ); cast5 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => multiplier_result_wire, -- input.wire output => cast5_output_wire -- output.wire ); cast6 : component alt_dspbuilder_cast_GN7PRGDOVA generic map ( round => 0, saturate => 0 ) port map ( input => col_0_output_wire, -- input.wire output => cast6_output_wire -- output.wire ); cast7 : component alt_dspbuilder_cast_GNLHWQIRQK generic map ( round => 0, saturate => 0 ) port map ( input => bus_builder_output_wire, -- input.wire output => cast7_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_DATA_GENERATE
mit
1d7716aeb3683868acb0fcb53743c95c
0.54661
3.361764
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GNJ7VFHJ4A.vhd
4
877
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNJ7VFHJ4A is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(15 downto 0); output : out std_logic_vector(3 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNJ7VFHJ4A is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 16 + 1 , width_inr=> 0, width_outl=> 4, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(15 downto 0) => input, xin(16) => '0', yout => output ); end architecture;
mit
86b376910ec84f49be6c2c151503c6c7
0.648803
3.045139
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Proyecto 1 DLP TP Calculadora/Src/ArithmeticModule.vhd
1
1,483
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 22:00:40 10/08/2011 -- Design Name: -- Module Name: ArithmeticModule - ArithmeticArchitecture -- Project Name: DLP Proyecto Codename Calculadora -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; entity ArithmeticModule is Port ( A : in STD_LOGIC_VECTOR(7 downto 0);--Primer operando(valor binario) B : in STD_LOGIC_VECTOR(7 downto 0);--Segundo operando(valor binario) Op : in STD_LOGIC_VECTOR(1 downto 0);--Operador Res : out STD_LOGIC_VECTOR(15 downto 0));--Resultado de la operacion(valor binario) end ArithmeticModule; architecture ArithmeticArchitecture of ArithmeticModule is begin PROCESS(A, B, Op) BEGIN CASE Op IS WHEN "00" => --Suma Res(7 downto 0) <= A+B; Res(15 downto 8) <= "00000000"; WHEN "01" => --Resta Res(7 downto 0) <= A-B; Res(15 downto 8) <= "00000000"; WHEN "10" =>--Multiplicacion Res <= A*B; WHEN "11" =>--Division NO IMPLEMENTADA TODAVIA Res <= "1111111111111111"; when others => Res <= "1111111111111111"; END CASE; END PROCESS; end ArithmeticArchitecture;
gpl-3.0
40b15dc1748327780d0c941582bc7b10
0.579906
3.689055
false
false
false
false
Given-Jiang/Test_Pattern_Generator
DSPBuilder_Test_Pattern_Generator_import/StateMachineEditor1.vhd
1
3,820
-- Copyright (C) 1991-2013 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- Generated by Quartus II Version 13.1.0 Build 162 10/23/2013 SJ Full Version -- Created on Wed Feb 11 10:18:10 2015 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY StateMachineEditor1 IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC := '0'; col_select : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := "000"; data_en : IN STD_LOGIC := '0'; data : OUT STD_LOGIC_VECTOR(23 DOWNTO 0) ); END StateMachineEditor1; ARCHITECTURE BEHAVIOR OF StateMachineEditor1 IS TYPE type_fstate IS (IDLE,BLOCKA,BLOCKB,BLOCKC); SIGNAL fstate : type_fstate; SIGNAL reg_fstate : type_fstate; BEGIN PROCESS (clock,reg_fstate) BEGIN IF (clock='1' AND clock'event) THEN fstate <= reg_fstate; END IF; END PROCESS; PROCESS (fstate,reset,col_select,data_en) BEGIN IF (reset='1') THEN reg_fstate <= IDLE; data <= "000000000000000000000000"; ELSE data <= "000000000000000000000000"; CASE fstate IS WHEN IDLE => IF (((col_select(2 DOWNTO 0) = "001") AND (data_en = '1'))) THEN reg_fstate <= BLOCKA; ELSIF ((col_select(2 DOWNTO 0) = "000")) THEN reg_fstate <= IDLE; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= IDLE; END IF; data <= "000000000000000000000000"; WHEN BLOCKA => IF (((col_select(2 DOWNTO 0) = "010") AND (data_en = '1'))) THEN reg_fstate <= BLOCKB; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= BLOCKA; END IF; data <= "000000000000000011111111"; WHEN BLOCKB => IF (((col_select(2 DOWNTO 0) = "100") AND (data_en = '1'))) THEN reg_fstate <= BLOCKC; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= BLOCKB; END IF; data <= "000000001111111100000000"; WHEN BLOCKC => IF ((col_select(2 DOWNTO 0) = "000")) THEN reg_fstate <= IDLE; ELSIF (((col_select(2 DOWNTO 0) = "001") AND (data_en = '1'))) THEN reg_fstate <= BLOCKA; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= BLOCKC; END IF; data <= "111111110000000000000000"; WHEN OTHERS => data <= "XXXXXXXXXXXXXXXXXXXXXXXX"; report "Reach undefined state"; END CASE; END IF; END PROCESS; END BEHAVIOR;
mit
fc889caf8c31d28db1d4852428caea99
0.535864
4.847716
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/mt58l512l18f.vhd
1
12,169
------------------------------------------------------------------------------- -- -- File Name: MT58L512L18F.VHD -- Revision: 2.0 -- Date: April 3rd, 2002 -- Model: Bus Functional -- Simulator: Aldec, ModemSim, NCDesktop -- -- Dependencies: None -- -- Author: Son P. Huynh -- Email: [email protected] -- Phone: (208) 368-3825 -- Company: Micron Technology, Inc. -- Part #: MT58L512L18F -- -- Description: Micron 8 Meg SyncBurst SRAM (Flow-through) -- -- Disclaimer: THESE DESIGNS ARE PROVIDED "AS IS" WITH NO WARRANTY -- WHATSOEVER AND MICRON SPECIFICALLY DISCLAIMS ANY -- IMPLIED WARRANTIES OF MERCHANTABILITY, FITNESS FOR -- A PARTICULAR PURPOSE, OR AGAINST INFRINGEMENT. -- -- Copyright (c) 1997 Micron Semiconductor Products, Inc. -- All rights researved -- -- Rev Author Phone Date Changes -- --- -------------- ------------ ---------- ----------------------------- -- 2.0 Son P. Huynh 208-368-3825 04/03/2002 - Fix Burst counter -- Micron Technology, Inc. -- ------------------------------------------------------------------------------- LIBRARY ieee, std, work; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; USE std.standard.ALL; USE std.textio.all; ENTITY MT58L512L18F IS GENERIC ( -- Clock tKC : TIME := 8.0 ns; -- Timing are for -6.8 tKH : TIME := 1.8 ns; tKL : TIME := 1.8 ns; -- Output Times tKQHZ : TIME := 3.8 ns; -- Setup Times tAS : TIME := 1.8 ns; tADSS : TIME := 1.8 ns; tAAS : TIME := 1.8 ns; tWS : TIME := 1.8 ns; tDS : TIME := 1.8 ns; tCES : TIME := 1.8 ns; -- Hold Times tAH : TIME := 0.5 ns; tADSH : TIME := 0.5 ns; tAAH : TIME := 0.5 ns; tWH : TIME := 0.5 ns; tDH : TIME := 0.5 ns; tCEH : TIME := 0.5 ns; -- Bus Width and Data Bus addr_bits : INTEGER := 19; data_bits : INTEGER := 16 ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0); Mode : IN STD_LOGIC; Adv_n : IN STD_LOGIC; Clk : IN STD_LOGIC; Adsc_n : IN STD_LOGIC; Adsp_n : IN STD_LOGIC; Bwa_n : IN STD_LOGIC; Bwb_n : IN STD_LOGIC; Bwe_n : IN STD_LOGIC; Gw_n : IN STD_LOGIC; Ce_n : IN STD_LOGIC; Ce2 : IN STD_LOGIC; Ce2_n : IN STD_LOGIC; Oe_n : IN STD_LOGIC; Zz : IN STD_LOGIC ); END MT58L512L18F; ARCHITECTURE behave OF MT58L512L18F IS TYPE memory IS ARRAY (2 ** addr_bits - 1 DOWNTO 0) OF STD_LOGIC_VECTOR (data_bits / 2 - 1 DOWNTO 0); SIGNAL doe : STD_LOGIC; SIGNAL dout : STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); SIGNAL bwan, bwbn, ce, clr : STD_LOGIC; --FILE outfile: TEXT IS OUT "sram_outfile.txt"; BEGIN bwan <= ((Bwa_n OR Bwe_n) AND Gw_n) OR (NOT(Ce_n) AND NOT(Adsp_n)); bwbn <= ((Bwb_n OR Bwe_n) AND Gw_n) OR (NOT(Ce_n) AND NOT(Adsp_n)); ce <= NOT(Ce_n) AND Ce2 AND NOT(Ce2_n); clr <= NOT(Adsc_n) OR (NOT(Adsp_n) AND NOT(Ce_n)); main : PROCESS -- Memory Array VARIABLE bank0, bank1 : memory; -- Address Registers VARIABLE addr_reg_in : STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); VARIABLE addr_reg_out : STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0) := (OTHERS => '0'); -- Burst Counter VARIABLE bcount : STD_LOGIC_VECTOR (1 DOWNTO 0) := "00"; VARIABLE baddr0 : STD_LOGIC; VARIABLE baddr1 : STD_LOGIC; -- Other Registers VARIABLE din : STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); VARIABLE ce_reg : STD_LOGIC; VARIABLE bwa_reg : STD_LOGIC; VARIABLE bwb_reg : STD_LOGIC; VARIABLE write_line : line; VARIABLE i,j : integer; VARIABLE dat : STD_LOGIC_VECTOR (data_bits*2 - 1 DOWNTO 0); BEGIN i := 0; j := 0; LOOP dat := conv_std_logic_vector(j,data_bits*2); bank0(i) := dat(data_bits/2 -1 DOWNTO 0); bank1(i) := dat(data_bits-1 DOWNTO data_bits/2); bank0(i+1) := dat(3*data_bits/2 -1 DOWNTO data_bits); bank1(i+1) := dat(2*data_bits-1 DOWNTO 3*data_bits/2); i := i + 2; j := j + 1; IF i = 2 ** addr_bits THEN exit; END IF; END LOOP; LOOP WAIT ON Clk; IF Clk'EVENT AND Clk = '1' AND Zz = '0' THEN -- Address Register IF clr = '1' THEN addr_reg_in := Addr; END IF; -- Binary Counter and Logic IF Mode = '1' AND clr = '1' THEN bcount := "00"; ELSIF Mode = '0' AND clr = '1' THEN bcount := Addr(1 DOWNTO 0); ELSIF Adv_n = '0' AND clr = '0' THEN bcount(1) := bcount(0) XOR bcount(1); bcount(0) := NOT(bcount(0)); END IF; -- Burst Address Decode IF Mode = '1' THEN baddr0 := bcount(0) XOR addr_reg_in(0); baddr1 := bcount(1) XOR addr_reg_in(1); ELSE baddr0 := bcount(0); baddr1 := bcount(1); END IF; -- Output Address addr_reg_out (addr_bits - 1 DOWNTO 2) := addr_reg_in (addr_bits - 1 DOWNTO 2); addr_reg_out (1) := baddr1; addr_reg_out (0) := baddr0; -- Byte Write Register bwa_reg := NOT(bwan); bwb_reg := NOT(bwbn); -- Enable Register IF clr = '1' THEN ce_reg := ce; END IF; -- Input Register IF (ce_reg = '1' AND (bwa_reg = '1' OR bwb_reg = '1')) THEN din := Dq; ELSE din := (OTHERS => 'Z'); END IF; -- Byte Write Driver IF ce_reg = '1' AND bwa_reg = '1' THEN bank0 (CONV_INTEGER(addr_reg_out)) := din ( 7 DOWNTO 0); -- WRITE(write_line, 'W'); -- WRITE(write_line, 'L'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(din(7 DOWNTO 0))); -- WRITELINE(outfile, write_line); END IF; IF ce_reg = '1' AND bwb_reg = '1' THEN bank1 (CONV_INTEGER(addr_reg_out)) := din (15 DOWNTO 8); -- WRITE(write_line, 'W'); -- WRITE(write_line, 'H'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(din(15 DOWNTO 8))); -- WRITELINE(outfile, write_line); END IF; -- Output Register IF (NOT(bwa_reg = '1' OR bwb_reg = '1')) THEN dout ( 7 DOWNTO 0) <= bank0 (CONV_INTEGER(addr_reg_out)); dout (15 DOWNTO 8) <= bank1 (CONV_INTEGER(addr_reg_out)); -- WRITE(write_line, 'R'); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(addr_reg_out)); -- WRITE(write_line, ' '); -- WRITE(write_line, TO_HEX_STRING(bank1 (CONV_INTEGER(addr_reg_out)))); -- WRITE(write_line, TO_HEX_STRING(bank0 (CONV_INTEGER(addr_reg_out)))); -- WRITELINE(outfile, write_line); END IF; -- Data Out Enable doe <= ce_reg AND (NOT(bwa_reg OR bwb_reg)); END IF; END LOOP; END PROCESS main; -- Output buffer WITH (NOT(Oe_n) AND NOT(Zz) AND doe) SELECT Dq <= TRANSPORT dout AFTER tKQHZ WHEN '1', (OTHERS => 'Z') AFTER tKQHZ WHEN '0', (OTHERS => 'Z') AFTER tKQHZ WHEN OTHERS; -- Checking for setup time violation Setup_check : PROCESS BEGIN WAIT ON Clk; IF Clk'EVENT AND Clk = '1' THEN ASSERT(Addr'LAST_EVENT >= tAS) REPORT "Addr Setup time violation -- tAS" SEVERITY WARNING; ASSERT(Adsc_n'LAST_EVENT >= tADSS) REPORT "Adsc_n Setup time violation -- tADSS" SEVERITY WARNING; ASSERT(Adsp_n'LAST_EVENT >= tADSS) REPORT "Adsp_n Setup time violation -- tADSS" SEVERITY WARNING; ASSERT(Adv_n'LAST_EVENT >= tAAS) REPORT "Adv_n Setup time violation -- tAAS" SEVERITY WARNING; ASSERT(Bwa_n'LAST_EVENT >= tWS) REPORT "Bwa_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Bwb_n'LAST_EVENT >= tWS) REPORT "Bwb_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Bwe_n'LAST_EVENT >= tWS) REPORT "Bwe_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Gw_n'LAST_EVENT >= tWS) REPORT "Gw_n Setup time violation -- tWS" SEVERITY WARNING; ASSERT(Ce_n'LAST_EVENT >= tCES) REPORT "Ce_n Setup time violation -- tCES" SEVERITY WARNING; ASSERT(Ce2_n'LAST_EVENT >= tCES) REPORT "Ce2_n Setup time violation -- tCES" SEVERITY WARNING; ASSERT(Ce2'LAST_EVENT >= tCES) REPORT "Ce2 Setup time violation -- tCES" SEVERITY WARNING; END IF; END PROCESS; -- Checking for hold time violation Hold_check : PROCESS BEGIN WAIT ON Clk'DELAYED(tAH), Clk'DELAYED(tADSH), Clk'DELAYED(tAAH), Clk'DELAYED(tWH), Clk'DELAYED(tCEH); IF Clk'DELAYED(tAH)'EVENT AND Clk'DELAYED(tAH) = '1' THEN ASSERT(Addr'LAST_EVENT > tAH) REPORT "Addr Hold time violation -- tAH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tADSH)'EVENT AND Clk'DELAYED(tADSH) = '1' THEN ASSERT(Adsc_n'LAST_EVENT > tADSH) REPORT "Adsc_n Hold time violation -- tADSH" SEVERITY WARNING; ASSERT(Adsp_n'LAST_EVENT > tADSH) REPORT "Adsp_n Hold time violation -- tADSH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tAAH)'EVENT AND Clk'DELAYED(tAAH) = '1' THEN ASSERT(Adv_n'LAST_EVENT > tAAH) REPORT "Adv_n Hold time violation -- tAAH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tWH)'EVENT AND Clk'DELAYED(tWH) = '1' THEN ASSERT(Bwa_n'LAST_EVENT > tWH) REPORT "Bwa_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Bwb_n'LAST_EVENT > tWH) REPORT "Bwb_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Bwe_n'LAST_EVENT > tWH) REPORT "Bwe_n Hold time violation -- tWH" SEVERITY WARNING; ASSERT(Gw_n'LAST_EVENT > tWH) REPORT "Gw_n Hold time violation -- tWH" SEVERITY WARNING; END IF; IF Clk'DELAYED(tCEH)'EVENT AND Clk'DELAYED(tCEH) = '1' THEN ASSERT(Ce_n'LAST_EVENT > tCEH) REPORT "Ce_n Hold time violation -- tCEH" SEVERITY WARNING; ASSERT(Ce2_n'LAST_EVENT > tCEH) REPORT "Ce2_n Hold time violation -- tCEH" SEVERITY WARNING; ASSERT(Ce2'LAST_EVENT > tCEH) REPORT "Ce2 Hold time violation -- tCEH" SEVERITY WARNING; END IF; END PROCESS; END behave;
gpl-3.0
1b6731addc20b0d10d7f432446c98481
0.485249
3.627124
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/terminal.vhd
1
9,633
--------------------------------------------------------------- -- Title : Simulation Terminal -- Project : - --------------------------------------------------------------- -- File : terminal.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 10/11/04 --------------------------------------------------------------- -- Simulator : Modelsim PE 5.7g -- Synthesis : Quartus II 3.0 --------------------------------------------------------------- -- Description : -- -- Application Layer for simulation stimuli --------------------------------------------------------------- -- Hierarchy: -- -- testbench -- terminal -- wb_test --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.3 $ -- -- $Log: terminal.vhd,v $ -- Revision 1.3 2013/07/15 13:14:20 mmiehling -- adopted testcases -- -- Revision 1.2 2013/04/18 15:11:08 MMiehling -- support of pcie model -- -- Revision 1.1 2012/03/29 10:28:43 MMiehling -- Initial Revision -- -- Revision 1.2 2006/03/15 14:21:54 mmiehling -- extended tga -- removed "use work.vme_pkg.all" -- -- Revision 1.1 2005/08/23 15:21:05 MMiehling -- Initial Revision -- -- Revision 1.3 2005/03/18 15:14:18 MMiehling -- changed -- -- Revision 1.2 2005/01/31 16:28:56 mmiehling -- updated -- -- Revision 1.1 2004/11/16 12:09:06 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE work.print_pkg.all; USE work.terminal_pkg.ALL; USE work.vme_sim_pack.all; USE work.pcie_sim_pkg.ALL; LIBRARY modelsim_lib; USE modelsim_lib.util.all; USE std.textio.all; ENTITY terminal IS PORT ( hreset_n : OUT std_logic; slot1 : OUT boolean:=TRUE; -- if true dut is in slot1 en_clk : OUT boolean:=TRUE; -- if true dut is supplied with 16 mhz clk terminal_in_0 : IN terminal_in_type; -- PCIe Master Model terminal_out_0 : OUT terminal_out_type; terminal_in_1 : IN terminal_in_type; -- VMEbus Master Model terminal_out_1 : OUT terminal_out_type; vme_slv_in : OUT vme_slv_in_type; vme_slv_out : IN vme_slv_out_type; vme_mon_out : IN vme_mon_out_type; v2p_rstn : IN std_logic; -- connected to hreset_req1_n vme_ga : OUT std_logic_vector(4 DOWNTO 0); -- geographical addresses vme_gap : OUT std_logic -- geographical addresses ); END terminal; ARCHITECTURE terminal_arch OF terminal IS SIGNAL terminal_err_0 : integer:=0; SIGNAL end_of_tests : boolean; SIGNAL vb_sysresn : std_logic; SIGNAL irq_req : std_logic_vector(16 DOWNTO 0); CONSTANT en_msg_0 : integer:= 2; BEGIN term_0: PROCESS VARIABLE err : integer:=0; VARIABLE dat : std_logic_vector(31 DOWNTO 0); BEGIN hreset_n <= '0'; en_clk <= TRUE; vme_ga <= (OTHERS => '0'); vme_gap <= '0'; --init_signal_spy("/a25_tb/a25/pcie/irq_req","irq_req",1,1); init_signal_spy("/a25_tb/vb_sysresn","vb_sysresn",1,1); init(terminal_out_0); init(terminal_out_1); init_vme_slv(vme_slv_in); -- powerup board -- shorten reset time on vme bus signal_force("/a25_tb/a25/vme/vmectrl/bustimer/pre_cnt_max_sig", "0000001000", 0 ns, freeze, -1 ns, 1); signal_force("/a25_tb/a25/vme/vmectrl/bustimer/main_cnt_max_sig", "000000000000011", 0 ns, freeze, -1 ns, 1); --signal_force("/a25_tb/a25/pcie/test_pcie_core", "0000000000000001", 0 ns, freeze, -1 ns, 1); --signal_force("/a25_tb/a25/pcie/test_rs_serdes", "1", 0 ns, freeze, -1 ns, 1); slot1 <= TRUE; WAIT FOR 100 ns; hreset_n <= '1'; WAIT FOR 2 us; --! procedure to initialize the BFM --! @param bfm_inst_nbr number of the BFM instance that will be initialized --! @param io_add start address for the BFM internal I/O space --! @param mem32_addr start address for the BFM internal MEM32 space --! @param mem64_addr start address for the BFM internal MEM64 space --! @param requester_id defines the requester ID that is used for every BFM transfer --! @param max_payloadsize defines the maximum payload size for every write request init_bfm(0, x"0000_0000", SIM_BAR0, x"0000_0000_0000_0000", x"0000", 256); --! procedure to configure the BFM --! @param bfm_inst_nbr number of the BFM instance that will be configured --! @param max_payload_size maximum payload size for write requests --! @param max_read_size maximum payload size for read requests --! @param bar0 BAR0 settings --! @param bar1 BAR1 settings --! @param bar2 BAR2 settings --! @param bar3 BAR3 settings --! @param bar4 BAR4 settings --! @param bar5 BAR5 settings --! @param cmd_status_reg settings for the command status register --! @param ctrl_status_reg settings for the control status register configure_bfm(terminal_in => terminal_in_0, terminal_out => terminal_out_0, bar0_addr => BAR0, bar1_addr => BAR1, bar2_addr => BAR2, bar3_addr => BAR3, bar4_addr => BAR4, bar5_addr => BAR5, txt_out => en_msg_0); WAIT FOR 3 us; print("***************************************************"); print(" Start of Tests"); print("***************************************************"); -- Reset: vme_reset(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, slot1, hreset_n, v2p_rstn, vb_sysresn, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME Buserror: vme_buserror(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- chameleon cham_test(terminal_in_0, terminal_out_0, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- geographical address test vme_ga_test(terminal_in_0, terminal_out_0, vme_ga, vme_gap, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME Slave: vme_slave_a242sram(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_slave_a242pci(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_slave_a322sram(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_slave_a322pci(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_slave_a162regs(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME Master: vme_master_windows(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME Interrupt Handler: vme_irq_rcv(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, vme_slv_in, vme_slv_out, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME Interrupter: vme_irq_trans(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, vme_slv_in, vme_slv_out, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; -- VME DMA: vme_dma_boundaries(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_fifo(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_sram2a24d32(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_am(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, vme_slv_in, vme_slv_out, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_sram2sram(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_sram2a32d32(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_sram2a32d64(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_dma_sram2pci(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, irq_req, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; vme_arbitration(terminal_in_0, terminal_out_0, terminal_in_1, terminal_out_1, hreset_n, slot1, en_clk, en_msg_0, err); terminal_err_0 <= terminal_err_0 + err; WAIT FOR 1 us; print("***************************************************"); print(" Test Summary:"); print_s_i(" Number of errors: ", terminal_err_0); print("***************************************************"); ASSERT FALSE REPORT "--- END OF SIMULATION ---" SEVERITY failure; WAIT; END PROCESS term_0; END terminal_arch;
gpl-3.0
3d02080b20113153accbcee8dd86f131
0.584449
3.291083
false
false
false
false
freecores/t48
rtl/vhdl/system/generic_ram_ena.vhd
1
3,242
------------------------------------------------------------------------------- -- -- Parametrizable, generic RAM with enable. -- -- $Id: generic_ram_ena.vhd,v 1.1 2006-06-21 00:59:15 arniml Exp $ -- -- Copyright (c) 2006 Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity generic_ram_ena is generic ( addr_width_g : integer := 10; data_width_g : integer := 8 ); port ( clk_i : in std_logic; a_i : in std_logic_vector(addr_width_g-1 downto 0); we_i : in std_logic; ena_i : in std_logic; d_i : in std_logic_vector(data_width_g-1 downto 0); d_o : out std_logic_vector(data_width_g-1 downto 0) ); end generic_ram_ena; library ieee; use ieee.numeric_std.all; architecture rtl of generic_ram_ena is type mem_t is array (natural range 0 to 2**addr_width_g-1) of std_logic_vector(d_i'range); signal mem_q : mem_t -- pragma translate_off := (others => (others => '0')) -- pragma translate_on ; signal a_q : std_logic_vector(a_i'range); begin mem: process (clk_i) begin if clk_i'event and clk_i = '1' then if ena_i = '1' then if we_i = '1' then mem_q(to_integer(unsigned(a_i))) <= d_i; end if; a_q <= a_i; end if; end if; end process mem; d_o <= mem_q(to_integer(unsigned(a_q))); end rtl;
gpl-2.0
87aaa2f3f36ef33c51fc49e45631eb8c
0.659778
3.800703
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/SETUP.vhd
2
12,679
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SETUP is PORT( CLK : IN STD_LOGIC; ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; RESET_n : IN STD_LOGIC; STALL : OUT STD_LOGIC; HAZARD : IN STD_LOGIC; ram_0_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_0_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_0_wren_a : OUT STD_LOGIC; ram_0_wren_b : OUT STD_LOGIC; ram_1_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_1_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_1_wren_a : OUT STD_LOGIC; ram_1_wren_b : OUT STD_LOGIC; ram_2_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_2_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_2_wren_a : OUT STD_LOGIC; ram_2_wren_b : OUT STD_LOGIC; ram_3_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_3_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_3_wren_a : OUT STD_LOGIC; ram_3_wren_b : OUT STD_LOGIC; ram_4_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_4_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_4_wren_a : OUT STD_LOGIC; ram_4_wren_b : OUT STD_LOGIC; ram_5_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_5_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_5_wren_a : OUT STD_LOGIC; ram_5_wren_b : OUT STD_LOGIC; ram_6_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_6_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_6_wren_a : OUT STD_LOGIC; ram_6_wren_b : OUT STD_LOGIC; ram_7_port_a : OUT STD_LOGIC_VECTOR (9 downto 0); ram_7_port_b : OUT STD_LOGIC_VECTOR (9 downto 0); ram_7_wren_a : OUT STD_LOGIC; ram_7_wren_b : OUT STD_LOGIC; ram_0_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_1_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_2_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_3_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_4_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_5_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_6_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_7_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); end; architecture control of SETUP is component address_transcode PORT ( ADDRESS : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ROW : OUT STD_LOGIC_VECTOR (9 downto 0); COL : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); end component; component create_opcode PORT ( COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0); W_EN : IN STD_LOGIC; OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); end component; component FLOW PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0); ROW_A : IN STD_LOGIC_VECTOR(9 downto 0); ROW_B : IN STD_LOGIC_VECTOR(9 downto 0); ROW_C : IN STD_LOGIC_VECTOR(9 downto 0); ROW_D : IN STD_LOGIC_VECTOR(9 downto 0); ROW_E : IN STD_LOGIC_VECTOR(9 downto 0); ROW_W : IN STD_LOGIC_VECTOR(9 downto 0); HAZARD : IN STD_LOGIC; EQUALITY : OUT STD_LOGIC; ADDRESS_A : OUT STD_LOGIC_VECTOR(9 downto 0); ADDRESS_B : OUT STD_LOGIC_VECTOR(9 downto 0); SEL_VECTOR : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); WREN_A : OUT STD_LOGIC; WREN_B : OUT STD_LOGIC ); end component; signal COL_A : std_logic_vector (2 downto 0); signal COL_B : std_logic_vector (2 downto 0); signal COL_C : std_logic_vector (2 downto 0); signal COL_D : std_logic_vector (2 downto 0); signal COL_E : std_logic_vector (2 downto 0); signal COL_W : std_logic_vector (2 downto 0); signal ROW_A : std_logic_vector (9 downto 0); signal ROW_B : std_logic_vector (9 downto 0); signal ROW_C : std_logic_vector (9 downto 0); signal ROW_D : std_logic_vector (9 downto 0); signal ROW_E : std_logic_vector (9 downto 0); signal ROW_W : std_logic_vector (9 downto 0); signal OPCODE_0 : std_logic_vector (5 downto 0); signal OPCODE_1 : std_logic_vector (5 downto 0); signal OPCODE_2 : std_logic_vector (5 downto 0); signal OPCODE_3 : std_logic_vector (5 downto 0); signal OPCODE_4 : std_logic_vector (5 downto 0); signal OPCODE_5 : std_logic_vector (5 downto 0); signal OPCODE_6 : std_logic_vector (5 downto 0); signal OPCODE_7 : std_logic_vector (5 downto 0); signal equality_0 : std_logic; signal equality_1 : std_logic; signal equality_2 : std_logic; signal equality_3 : std_logic; signal equality_4 : std_logic; signal equality_5 : std_logic; signal equality_6 : std_logic; signal equality_7 : std_logic; begin transcode_a : address_transcode PORT MAP ( ADDRESS => ADDRESS_A, ROW => ROW_A, COL => COL_A ); transcode_b : address_transcode PORT MAP ( ADDRESS => ADDRESS_B, ROW => ROW_B, COL => COL_B ); transcode_c : address_transcode PORT MAP ( ADDRESS => ADDRESS_C, ROW => ROW_C, COL => COL_C ); transcode_d : address_transcode PORT MAP ( ADDRESS => ADDRESS_0, ROW => ROW_D, COL => COL_D ); transcode_e : address_transcode PORT MAP ( ADDRESS => ADDRESS_1, ROW => ROW_E, COL => COL_E ); transcode_w : address_transcode PORT MAP ( ADDRESS => ADDRESS_W, ROW => ROW_W, COL => COL_W ); opcodery : create_opcode PORT MAP ( COL_A => COL_A, COL_B => COL_B, COL_C => COL_C, COL_D => COL_D, COL_E => COL_E, COL_W => COL_W, W_EN => W_EN, OPCODE_0 => OPCODE_0, OPCODE_1 => OPCODE_1, OPCODE_2 => OPCODE_2, OPCODE_3 => OPCODE_3, OPCODE_4 => OPCODE_4, OPCODE_5 => OPCODE_5, OPCODE_6 => OPCODE_6, OPCODE_7 => OPCODE_7 ); RAM_0_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_0, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_0, ADDRESS_A => ram_0_port_a, ADDRESS_B => ram_0_port_b, SEL_VECTOR => ram_0_sel_vector, WREN_A => ram_0_wren_a, WREN_B => ram_0_wren_b ); RAM_1_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_1, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_1, ADDRESS_A => ram_1_port_a, ADDRESS_B => ram_1_port_b, SEL_VECTOR => ram_1_sel_vector, WREN_A => ram_1_wren_a, WREN_B => ram_1_wren_b ); RAM_2_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_2, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_2, ADDRESS_A => ram_2_port_a, ADDRESS_B => ram_2_port_b, SEL_VECTOR => ram_2_sel_vector, WREN_A => ram_2_wren_a, WREN_B => ram_2_wren_b ); RAM_3_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_3, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_3, ADDRESS_A => ram_3_port_a, ADDRESS_B => ram_3_port_b, SEL_VECTOR => ram_3_sel_vector, WREN_A => ram_3_wren_a, WREN_B => ram_3_wren_b ); RAM_4_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_4, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_4, ADDRESS_A => ram_4_port_a, ADDRESS_B => ram_4_port_b, SEL_VECTOR => ram_4_sel_vector, WREN_A => ram_4_wren_a, WREN_B => ram_4_wren_b ); RAM_5_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_5, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_5, ADDRESS_A => ram_5_port_a, ADDRESS_B => ram_5_port_b, SEL_VECTOR => ram_5_sel_vector, WREN_A => ram_5_wren_a, WREN_B => ram_5_wren_b ); RAM_6_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_6, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_6, ADDRESS_A => ram_6_port_a, ADDRESS_B => ram_6_port_b, SEL_VECTOR => ram_6_sel_vector, WREN_A => ram_6_wren_a, WREN_B => ram_6_wren_b ); RAM_7_CONTROL : FLOW PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_7, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_7, ADDRESS_A => ram_7_port_a, ADDRESS_B => ram_7_port_b, SEL_VECTOR => ram_7_sel_vector, WREN_A => ram_7_wren_a, WREN_B => ram_7_wren_b ); STALL <= not (equality_0 and equality_1 and equality_2 and equality_3 and equality_4 and equality_5 and equality_6 and equality_7); end;
gpl-2.0
ef0b408b54bb3ada9246ec63c4e73722
0.510529
3.040528
false
false
false
false
straywarrior/MadeCPUin21days
Register_Files.vhd
1
3,493
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 15:28:57 11/14/2015 -- Design Name: -- Module Name: Register_Files - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity Register_Files is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; ASel : in STD_LOGIC_VECTOR (3 downto 0); BSel : in STD_LOGIC_VECTOR (3 downto 0); WSel : in STD_LOGIC_VECTOR (3 downto 0); WE : in STD_LOGIC; WVal : in STD_LOGIC_VECTOR (15 downto 0); AVal : out STD_LOGIC_VECTOR (15 downto 0); BVal : out STD_LOGIC_VECTOR (15 downto 0); RAVal : out STD_LOGIC_VECTOR (15 downto 0); SPVal : out STD_LOGIC_VECTOR (15 downto 0); IHVal : out STD_LOGIC_VECTOR (15 downto 0) ); end Register_Files; architecture Behavioral of Register_Files is type regs is array (0 to 10) of STD_LOGIC_VECTOR(15 downto 0); -- 8 universal regs (R0 ~ R7) and 3 special regs (RA 1000, SP 1001, IH 1010) signal regfiles : regs := (others => (others => '0')); begin process (clk, reset) begin if (reset = '0') then RAVal <= (others => '0'); SPVal <= (others => '0'); IHVal <= (others => '0'); AVal <= (others => '0'); BVal <= (others => '0'); regfiles <= (others => (others => '0')); elsif (clk'event and clk = '1') then if (WE = '1' and WSel /= "1111") then regfiles(CONV_INTEGER(unsigned(WSel))) <= WVal; if ("1000" = WSel) then RAVal <= WVal; else RAVal <= regfiles(8); end if; if ("1001" = WSel) then SPVal <= WVal; else SPVal <= regfiles(9); end if; if ("1010" = WSel) then IHVal <= WVal; else IHVal <= regfiles(10); end if; if (ASel = WSel) then AVal <= WVal; else AVal <= regfiles(CONV_INTEGER(unsigned(ASel(2 downto 0)))); end if; if (BSel = WSel) then BVal <= WVal; else BVal <= regfiles(CONV_INTEGER(unsigned(BSel(2 downto 0)))); end if; else RAVal <= regfiles(8); SPVal <= regfiles(9); IHVal <= regfiles(10); AVal <= regfiles(CONV_INTEGER(unsigned(ASel(2 downto 0)))); BVal <= regfiles(CONV_INTEGER(unsigned(BSel(2 downto 0)))); end if; end if; end process; end Behavioral;
gpl-2.0
be1e532b45f84f1a59924f45300ace1d
0.477526
4.254568
false
false
false
false
cathalmccabe/PYNQ
boards/ip/rgb2dvi_v1_2/src/ClockGen.vhd
9
8,885
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 11/03/2014 06:27:16 PM -- Design Name: -- Module Name: ClockGen - Behavioral -- Project Name: -- Target Devices: -- Tool Versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx leaf cells in this code. library UNISIM; use UNISIM.VComponents.all; entity ClockGen is Generic ( kClkRange : natural := 1; -- MULT_F = kClkRange*5 (choose >=120MHz=1, >=60MHz=2, >=40MHz=3, >=30MHz=4, >=25MHz=5 kClkPrimitive : string := "MMCM"); -- "MMCM" or "PLL" to instantiate, if kGenerateSerialClk true Port ( PixelClkIn : in STD_LOGIC; PixelClkOut : out STD_LOGIC; SerialClk : out STD_LOGIC; aRst : in STD_LOGIC; aLocked : out STD_LOGIC); end ClockGen; architecture Behavioral of ClockGen is component SyncAsync is Generic ( kResetTo : std_logic := '0'; --value when reset and upon init kStages : natural := 2); --double sync by default Port ( aReset : in STD_LOGIC; -- active-high asynchronous reset aIn : in STD_LOGIC; OutClk : in STD_LOGIC; oOut : out STD_LOGIC); end component SyncAsync; component ResetBridge is Generic ( kPolarity : std_logic := '1'); Port ( aRst : in STD_LOGIC; -- asynchronous reset; active-high, if kPolarity=1 OutClk : in STD_LOGIC; oRst : out STD_LOGIC); end component ResetBridge; signal PixelClkInX1, PixelClkInX5, FeedbackClk : std_logic; signal aLocked_int, pLocked, pRst, pLockWasLost : std_logic; signal pLocked_q : std_logic_vector(2 downto 0) := (others => '1'); begin -- We need a reset bridge to use the asynchronous aRst signal to reset our circuitry -- and decrease the chance of metastability. The signal pRst can be used as -- asynchronous reset for any flip-flop in the PixelClkIn domain, since it will be de-asserted -- synchronously. LockLostReset: ResetBridge generic map ( kPolarity => '1') port map ( aRst => aRst, OutClk => PixelClkIn, oRst => pRst); PLL_LockSyncAsync: SyncAsync port map ( aReset => '0', aIn => aLocked_int, OutClk => PixelClkIn, oOut => pLocked); PLL_LockLostDetect: process(PixelClkIn) begin if (pRst = '1') then pLocked_q <= (others => '1'); pLockWasLost <= '1'; elsif Rising_Edge(PixelClkIn) then pLocked_q <= pLocked_q(pLocked_q'high-1 downto 0) & pLocked; pLockWasLost <= (not pLocked_q(0) or not pLocked_q(1)) and pLocked_q(2); --two-pulse end if; end process; -- The TMDS Clk channel carries a character-rate frequency reference -- In a single Clk period a whole character (10 bits) is transmitted -- on each data channel. For deserialization of data channel a faster, -- serial clock needs to be generated. In 7-series architecture an -- OSERDESE2 primitive doing a 10:1 deserialization in DDR mode needs -- a fast 5x clock and a slow 1x clock. These two clocks are generated -- below with an MMCME2_ADV/PLLE2_ADV. -- Caveats: -- 1. The primitive uses a multiply-by-5 and divide-by-1 to generate -- a 5x fast clock. -- While changes in the frequency of the TMDS Clk are tracked by the -- MMCM, for some TMDS Clk frequencies the datasheet specs for the VCO -- frequency limits are not met. In other words, there is no single -- set of MMCM multiply and divide values that can work for the whole -- range of resolutions and pixel clock frequencies. -- For example: MMCM_FVCOMIN = 600 MHz -- MMCM_FVCOMAX = 1200 MHz for Artix-7 -1 speed grade -- while FVCO = FIN * MULT_F -- The TMDS Clk for 720p resolution in 74.25 MHz -- FVCO = 74.25 * 10 = 742.5 MHz, which is between FVCOMIN and FVCOMAX -- However, the TMDS Clk for 1080p resolution in 148.5 MHz -- FVCO = 148.5 * 10 = 1480 MHZ, which is above FVCOMAX -- In the latter case, MULT_F = 5, DIVIDE_F = 5, DIVIDE = 1 would result -- in a correct VCO frequency, while still generating 5x and 1x clocks -- 2. The MMCM+BUFIO+BUFR combination results in the highest possible -- frequencies. PLLE2_ADV could work only with BUFGs, which limits -- the maximum achievable frequency. The reason is that only the MMCM -- has dedicated route to BUFIO. -- If a PLLE2_ADV with BUFGs are used a second CLKOUTx can be used to -- generate the 1x clock. GenMMCM: if kClkPrimitive = "MMCM" generate DVI_ClkGenerator: MMCME2_ADV generic map (BANDWIDTH => "OPTIMIZED", CLKOUT4_CASCADE => FALSE, COMPENSATION => "ZHOLD", STARTUP_WAIT => FALSE, DIVCLK_DIVIDE => 1, CLKFBOUT_MULT_F => real(kClkRange) * 5.0, CLKFBOUT_PHASE => 0.000, CLKFBOUT_USE_FINE_PS => FALSE, CLKOUT0_DIVIDE_F => real(kClkRange) * 1.0, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT0_USE_FINE_PS => FALSE, CLKOUT1_DIVIDE => kClkRange * 5, CLKOUT1_DUTY_CYCLE => 0.5, CLKOUT1_PHASE => 0.0, CLKOUT1_USE_FINE_PS => FALSE, CLKIN1_PERIOD => real(kClkRange) * 6.0, REF_JITTER1 => 0.010) port map -- Output clocks ( CLKFBOUT => FeedbackClk, CLKFBOUTB => open, CLKOUT0 => PixelClkInX5, CLKOUT0B => open, CLKOUT1 => PixelClkInX1, CLKOUT1B => open, CLKOUT2 => open, CLKOUT2B => open, CLKOUT3 => open, CLKOUT3B => open, CLKOUT4 => open, CLKOUT5 => open, CLKOUT6 => open, -- Input clock control CLKFBIN => FeedbackClk, CLKIN1 => PixelClkIn, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Ports for dynamic phase shift PSCLK => '0', PSEN => '0', PSINCDEC => '0', PSDONE => open, -- Other control and status signals LOCKED => aLocked_int, CLKINSTOPPED => open, CLKFBSTOPPED => open, PWRDWN => '0', RST => pLockWasLost); end generate; GenPLL: if kClkPrimitive /= "MMCM" generate DVI_ClkGenerator: PLLE2_ADV generic map ( BANDWIDTH => "OPTIMIZED", CLKFBOUT_MULT => (kClkRange + 1) * 5, CLKFBOUT_PHASE => 0.000, CLKIN1_PERIOD => real(kClkRange) * 6.25, COMPENSATION => "ZHOLD", DIVCLK_DIVIDE => 1, REF_JITTER1 => 0.010, STARTUP_WAIT => "FALSE", CLKOUT0_DIVIDE => (kClkRange + 1) * 1, CLKOUT0_PHASE => 0.000, CLKOUT0_DUTY_CYCLE => 0.500, CLKOUT1_DIVIDE => (kClkRange + 1) * 5, CLKOUT1_DUTY_CYCLE => 0.5, CLKOUT1_PHASE => 0.0) port map -- Output clocks ( CLKFBOUT => FeedbackClk, CLKOUT0 => PixelClkInX5, CLKOUT1 => PixelClkInX1, CLKOUT2 => open, CLKOUT3 => open, CLKOUT4 => open, CLKOUT5 => open, -- Input clock control CLKFBIN => FeedbackClk, CLKIN1 => PixelClkIn, CLKIN2 => '0', -- Tied to always select the primary input clock CLKINSEL => '1', -- Ports for dynamic reconfiguration DADDR => (others => '0'), DCLK => '0', DEN => '0', DI => (others => '0'), DO => open, DRDY => open, DWE => '0', -- Other control and status signals LOCKED => aLocked_int, PWRDWN => '0', RST => pLockWasLost); end generate; --No buffering used --These clocks will only drive the OSERDESE2 primitives SerialClk <= PixelClkInX5; PixelClkOut <= PixelClkInX1; aLocked <= aLocked_int; end Behavioral;
bsd-3-clause
2409f74ddbbcb2a14b83e0a1839bb433
0.557344
4.159644
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN46N4UJ5S.vhd
20
844
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN46N4UJ5S is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic; output : out std_logic_vector(0 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN46N4UJ5S is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 1 + 1 , width_inr=> 0, width_outl=> 1, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(0) => input, xin(1) => '0', yout => output ); end architecture;
mit
bd8d254d846bd7f839cb916c7ccd3d36
0.64455
2.982332
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_multiplier_GNEIWYOKUR.vhd
4
1,360
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_multiplier_GNEIWYOKUR is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "YES"; Signed : natural := 0; OutputMsb : integer := 47; aWidth : natural := 24; bWidth : natural := 24; OutputLsb : integer := 0; pipeline : integer := 0); port( aclr : in std_logic; clock : in std_logic; dataa : in std_logic_vector((aWidth)-1 downto 0); datab : in std_logic_vector((bWidth)-1 downto 0); ena : in std_logic; result : out std_logic_vector((OutputMsb-OutputLsb+1)-1 downto 0); user_aclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_multiplier_GNEIWYOKUR is signal reset: STD_LOGIC; Begin -- DSP Builder Block - Simulink Block "Multiplier" Multiplieri : alt_dspbuilder_sMultAltr Generic map ( pipeline => 0, lpm_representation => "UNSIGNED", OutputMsb => 47, OutputLsb => 0, lpm_widtha => 24, lpm_widthb => 24, lpm_hint => "DEDICATED_MULTIPLIER_CIRCUITRY=YES") port map ( clock => clock, aclr => aclr, user_aclr => user_aclr, ena => ena, dataa => dataa, datab => datab, result => result); end architecture;
mit
932edc1d4996116ea75f969cc2de11b9
0.660294
3.015521
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_AROUND.vhd
20
2,588
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_AROUND is generic ( widthin : natural :=8; widthout : natural :=4 ); port ( xin : in std_logic_vector(widthin-1 downto 0); yout : out std_logic_vector(widthout-1 downto 0) ); end alt_dspbuilder_AROUND; architecture AROUND_SYNTH of alt_dspbuilder_AROUND is signal ADDOFIVE : std_logic_vector(widthin downto 0) ; signal XINEXT : std_logic_vector(widthin downto 0) ; signal YOUTEXT : std_logic_vector(widthin downto 0); signal notsigned : std_logic :='0'; begin ev:if widthin=widthout generate yout <= xin; end generate ev; nev:if (widthin>widthout) generate ad5:if (widthin-widthout>1) generate lo:for i in 0 to widthin-widthout-2 generate ADDOFIVE(i) <= '1'; end generate lo; hi:for i in widthin-widthout-1 to widthin generate ADDOFIVE(i) <= '0'; end generate hi; end generate ad5; adn:if (widthin-widthout=1) generate hi:for i in 0 to widthin generate ADDOFIVE(i) <= '0'; end generate hi; end generate adn; XINEXT(widthin-1 downto 0) <= xin(widthin-1 downto 0); XINEXT(widthin) <= xin(widthin-1); notsigned <= not(XINEXT(widthin-1)); YOUTEXT <= XINEXT + ADDOFIVE + notsigned; gy:for i in 0 to widthout-1 generate yout(i) <= YOUTEXT(i+widthin-widthout) ; end generate gy; end generate ; end AROUND_SYNTH;
mit
7c35146141199d1068f0be46a17c62db
0.667697
3.665722
false
false
false
false
nulldozer/purisc
Global_memory/MAGIC_global/ROUTE_SIGNAL_global.vhd
2
4,370
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ROUTE_SIGNAL_global is PORT( ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); select_vector : IN STD_LOGIC_VECTOR (15 DOWNTO 0); hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OUTPUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end; architecture signal_routing of ROUTE_SIGNAL_global is component tristate_32 PORT( my_in : in std_logic_vector(31 downto 0); sel : in std_logic; my_out : out std_logic_vector(31 downto 0) ); end component; component HAZARD_RESOLVE_global PORT( select_signal : IN STD_LOGIC_VECTOR (15 DOWNTO 0); hazard : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(31 DOWNTO 0); CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); end component; signal data : std_logic_vector(31 downto 0); begin a_0 : tristate_32 PORT MAP ( my_in => ram_0_out_a, sel => select_vector(15), my_out => data ); b_0 : tristate_32 PORT MAP ( my_in => ram_0_out_b, sel => select_vector(14), my_out => data ); a_1 : tristate_32 PORT MAP ( my_in => ram_1_out_a, sel => select_vector(13), my_out => data ); b_1 : tristate_32 PORT MAP ( my_in => ram_1_out_b, sel => select_vector(12), my_out => data ); a_2 : tristate_32 PORT MAP ( my_in => ram_2_out_a, sel => select_vector(11), my_out => data ); b_2 : tristate_32 PORT MAP ( my_in => ram_2_out_b, sel => select_vector(10), my_out => data ); a_3 : tristate_32 PORT MAP ( my_in => ram_3_out_a, sel => select_vector(9), my_out => data ); b_3 : tristate_32 PORT MAP ( my_in => ram_3_out_b, sel => select_vector(8), my_out => data ); a_4 : tristate_32 PORT MAP ( my_in => ram_4_out_a, sel => select_vector(7), my_out => data ); b_4 : tristate_32 PORT MAP ( my_in => ram_4_out_b, sel => select_vector(6), my_out => data ); a_5 : tristate_32 PORT MAP ( my_in => ram_5_out_a, sel => select_vector(5), my_out => data ); b_5 : tristate_32 PORT MAP ( my_in => ram_5_out_b, sel => select_vector(4), my_out => data ); a_6 : tristate_32 PORT MAP ( my_in => ram_6_out_a, sel => select_vector(3), my_out => data ); b_6 : tristate_32 PORT MAP ( my_in => ram_6_out_b, sel => select_vector(2), my_out => data ); a_7 : tristate_32 PORT MAP ( my_in => ram_7_out_a, sel => select_vector(1), my_out => data ); b_7 : tristate_32 PORT MAP ( my_in => ram_7_out_b, sel => select_vector(0), my_out => data ); resolve_hazard : HAZARD_RESOLVE_global PORT MAP ( select_signal => select_vector, hazard => hazard, data => data, CLK => CLK, RESET_n => RESET_n, hazard_advanced => hazard_advanced, data_out => OUTPUT ); end;
gpl-2.0
828fd14e165249be1418a1f71db6c189
0.50389
2.964722
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/instruct_blk_mem_gen_v7_3/example_design/instruct_blk_mem_gen_v7_3_exdes.vhd
1
5,074
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7.1 Core - Top-level core wrapper -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: instruct_blk_mem_gen_v7_3_exdes.vhd -- -- Description: -- This is the actual BMG core wrapper. -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: August 31, 2005 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; LIBRARY UNISIM; USE UNISIM.VCOMPONENTS.ALL; -------------------------------------------------------------------------------- -- Entity Declaration -------------------------------------------------------------------------------- ENTITY instruct_blk_mem_gen_v7_3_exdes IS PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END instruct_blk_mem_gen_v7_3_exdes; ARCHITECTURE xilinx OF instruct_blk_mem_gen_v7_3_exdes IS COMPONENT BUFG IS PORT ( I : IN STD_ULOGIC; O : OUT STD_ULOGIC ); END COMPONENT; COMPONENT instruct_blk_mem_gen_v7_3 IS PORT ( --Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Port B ADDRB : IN STD_LOGIC_VECTOR(3 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA_buf : STD_LOGIC; SIGNAL CLKB_buf : STD_LOGIC; SIGNAL S_ACLK_buf : STD_LOGIC; BEGIN bufg_A : BUFG PORT MAP ( I => CLKA, O => CLKA_buf ); bufg_B : BUFG PORT MAP ( I => CLKB, O => CLKB_buf ); bmg0 : instruct_blk_mem_gen_v7_3 PORT MAP ( --Port A WEA => WEA, ADDRA => ADDRA, DINA => DINA, CLKA => CLKA_buf, --Port B ADDRB => ADDRB, DOUTB => DOUTB, CLKB => CLKB_buf ); END xilinx;
mit
b320bc07c2c7979379b24e55ecb8ea1a
0.56149
4.498227
false
false
false
false
nulldozer/purisc
Global_memory/MAGIC_global/MAGIC_tb.vhd
2
6,542
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity MAGIC_tb is end; architecture testing of MAGIC_tb is component MAGIC PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC ); end component; signal ADDRESS_A : STD_LOGIC_VECTOR (31 DOWNTO 0); signal ADDRESS_B : STD_LOGIC_VECTOR (31 DOWNTO 0); signal ADDRESS_C : STD_LOGIC_VECTOR (31 DOWNTO 0); signal ADDRESS_0 : STD_LOGIC_VECTOR (31 DOWNTO 0); signal ADDRESS_1 : STD_LOGIC_VECTOR (31 DOWNTO 0); signal ADDRESS_W : STD_LOGIC_VECTOR (31 DOWNTO 0); signal DATA_TO_W : STD_LOGIC_VECTOR (31 DOWNTO 0); signal W_EN : STD_LOGIC := '0'; signal CLK : STD_LOGIC := '1'; signal RESET_n : STD_LOGIC := '0'; signal DATA_OUT_A : STD_LOGIC_VECTOR (31 DOWNTO 0); signal DATA_OUT_B : STD_LOGIC_VECTOR (31 DOWNTO 0); signal DATA_OUT_C : STD_LOGIC_VECTOR (31 DOWNTO 0); signal DATA_OUT_0 : STD_LOGIC_VECTOR (31 DOWNTO 0); signal DATA_OUT_1 : STD_LOGIC_VECTOR (31 DOWNTO 0); signal C0_STALL : STD_LOGIC; signal C1_STALL : STD_LOGIC; signal CORE_IDENT : STD_LOGIC; constant clk_period : time := 10ns; begin uut : MAGIC PORT MAP ( ADDRESS_A, ADDRESS_B, ADDRESS_C, ADDRESS_0, ADDRESS_1, ADDRESS_W, DATA_TO_W, W_EN, CLK, RESET_n, DATA_OUT_A, DATA_OUT_B, DATA_OUT_C, DATA_OUT_0, DATA_OUT_1, C0_STALL, C1_STALL, CORE_IDENT ); clk_process : process begin CLK <= '1'; wait for clk_period/2; CLK <= '0'; wait for clk_period/2; end process; -- id_process : process begin -- CORE_ID <= '0'; -- wait for clk_period; -- CORE_ID <= '1'; -- wait for clk_period; -- end process; stim_process : process begin ADDRESS_A <= "00000000000000000000000000000000"; ADDRESS_B <= "00000000000000000000000000000001"; ADDRESS_C <= "00000000000000000000000000000010"; ADDRESS_0 <= "00000000000000000000000000000011"; ADDRESS_1 <= "00000000000000000000000000000100"; ADDRESS_W <= "00000000000000000000000000000000"; DATA_TO_W <= "00000000000000000000000000000000"; wait for clk_period; RESET_n <= '1'; W_EN <= '1'; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000001"; DATA_TO_W <= "00000000000000000000000000000001"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000010"; DATA_TO_W <= "00000000000000000000000000000010"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000011"; DATA_TO_W <= "00000000000000000000000000000011"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000100"; DATA_TO_W <= "00000000000000000000000000000100"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000101"; DATA_TO_W <= "00000000000000000000000000000101"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000110"; DATA_TO_W <= "00000000000000000000000000000110"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000000111"; DATA_TO_W <= "00000000000000000000000000000111"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001000"; DATA_TO_W <= "00000000000000000000000000001000"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001001"; DATA_TO_W <= "00000000000000000000000000001001"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001010"; DATA_TO_W <= "00000000000000000000000000001010"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001011"; DATA_TO_W <= "00000000000000000000000000001011"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001100"; DATA_TO_W <= "00000000000000000000000000001100"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001101"; DATA_TO_W <= "00000000000000000000000000001101"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000001110"; DATA_TO_W <= "00000000000000000000000000001110"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000011000"; DATA_TO_W <= "00000000000000000000000000011000"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000010000"; DATA_TO_W <= "00000000000000000000000000010000"; wait for clk_period; ADDRESS_W <= "00000000000000000000000000100000"; DATA_TO_W <= "00000000000000000000000000100000"; wait for clk_period; -------------------------- ADDRESS_A <= "00000000000000000000000000000110"; ADDRESS_B <= "00000000000000000000000000001100"; ADDRESS_C <= "00000000000000000000000000000111"; ADDRESS_0 <= "00000000000000000000000000001000"; ADDRESS_1 <= "00000000000000000000000000001001"; W_EN <= '0'; wait for clk_period; ADDRESS_A <= "00000000000000000000000000001000"; ADDRESS_B <= "00000000000000000000000000000000"; ADDRESS_C <= "00000000000000000000000000010000"; ADDRESS_0 <= "00000000000000000000000000011000"; ADDRESS_1 <= "00000000000000000000000000100000"; wait for clk_period*3; ADDRESS_A <= "00000000000000000000000000000000"; ADDRESS_B <= "00000000000000000000000000000001"; ADDRESS_C <= "00000000000000000000000000000010"; ADDRESS_0 <= "00000000000000000000000000000011"; ADDRESS_1 <= "00000000000000000000000000000100"; wait for clk_period*2; ADDRESS_A <= "00000000000000000000000000000000"; ADDRESS_B <= "00000000000000000000000000000000"; ADDRESS_C <= "00000000000000000000000000000000"; ADDRESS_0 <= "00000000000000000000000000000001"; ADDRESS_1 <= "00000000000000000000000000000010"; wait for clk_period*2; ADDRESS_A <= "00000000000000000000000000000000"; ADDRESS_B <= "00000000000000000000000000000001"; ADDRESS_C <= "00000000000000000000000000000010"; ADDRESS_0 <= "00000000000000000000000000000011"; ADDRESS_1 <= "00000000000000000000000000000100"; wait; end process; end;
gpl-2.0
ef8394a3dae4ef7113fb51be374b378e
0.698257
3.687711
false
false
false
false
michaelmiehling/A25_VME_TB
16x010-00_src/Source/conversions.vhd
1
44,870
-------------------------------------------------------------------------------- -- File Name: conversions.vhd -------------------------------------------------------------------------------- -- Copyright (C) 1997-2008 Free Model Foundry; http://www.FreeModelFoundry.com -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License version 2 as -- published by the Free Software Foundation. -- -- This package was originally written by SEVA Technologies, Inc. and donated -- to the FMF. -- www.seva.com -- -- MODIFICATION HISTORY: -- -- version: | author: | mod date: | changes made: -- V1.0 R. Steele 97 DEC 05 Added header and formatting to SEVA file -- V1.1 R. Munden 98 NOV 28 Corrected some comments -- Corrected function b -- V1.2 R. Munden 01 MAY 27 Corrected function to_nat for weak values -- and combined into a single file -- V1.3 M.Radmanovic 03 Aug 18 Added signed conversion function to_int -- V1.4 M.Radmanovic 03 Nov 10 Added signed conversion function -- int_to_slv -- V1.5 R. Munden 04 NOV 11 Added type conversion to t_hex_str -- V1.6 D. Rheault 07 MAY 21 Corrected int_to_slv for value of 0 -- V1.7 V.Markovic 08 Apr 24 Changed condition for variable int (in -- function int_to_slv) from > to >= -- V1.8 R. Munden 08 MAY 21 Fixed default base for x=0 in to_int_str -- -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.std_logic_1164.ALL; -------------------------------------------------------------------------------- -- CONVERSION FUNCTION SELECTION TABLES -------------------------------------------------------------------------------- -- -- FROM TO: std_logic_vector std_logic natural time string -- -----------------|---------------|---------|---------|---------|----------- -- std_logic_vector | N/A | N/A | to_nat | combine | see below -- std_logic | N/A | N/A | to_nat | combine | see below -- natural | to_slv | to_sl | N/A | to_time | see below -- integer | to_slv | N/A | N/A | N/A | N/A -- time | N/A | N/A | to_nat | N/A | to_time_str -- hex string | h | N/A | h | combine | N/A -- decimal string | d | N/A | d | combine | N/A -- octal string | o | N/A | o | combine | N/A -- binary string | b | N/A | b | combine | N/A -- -----------------|---------------|---------|---------|---------|----------- -- -- FROM TO: hex string decimal string octal string binary string -- -----------------|------------|-------------|------------|---------------- -- std_logic_vector | to_hex_str | to_int_str | to_oct_str | to_bin_str -- std_logic | N/A | N/A | N/A | to_bin_str -- natural | to_hex_str | to_int_str | to_oct_str | to_bin_str -- -----------------|------------|-------------|------------|---------------- -- -- FROM TO: integer -- -----------------|---------------| -- std_logic_vector | to_int | -------------------------------------------------------------------------------- PACKAGE conversions IS ---------------------------------------------------------------------------- -- the conversions in this package are not intended to be synthesizable. -- -- others functions available -- fill creates a variable length string of the fill character -- -- -- -- input parameters of type natural or integer can be in the form: -- normal -> 8, 99, 4_237 -- base#value# -> 2#0101#, 16#fa4C#, 8#6_734# -- with exponents(x10) -> 8e4, 16#2e#E4 -- -- input parameters of type string can be in the form: -- "99", "4_237", "0101", "1010_1010" -- -- for bit/bit_vector <-> std_logic/std_logic_vector conversions use -- package std_logic_1164 -- to_bit(std_logic) -- to_bitvector(std_logic_vector) -- to_stdlogic(bit) -- to_stdlogicvector(bit_vector) -- -- for "synthesizable" signed/unsigned/std_logic_vector/integer -- conversions use -- package std_logic_arith -- conv_integer(signed/unsigned) -- conv_unsigned(integer/signed,size) -- conv_signed(integer/unsigned,size) -- conv_std_logic_vector(integer/signed/unsigned,size) -- -- for "synthesizable" std_logic_vector -> integer conversions use -- package std_logic_unsigned/std_logic_signed -- <these packages are mutually exclusive> -- conv_integer(std_logic_vector) -- <except for this conversion, these packages are unnecessary) -- to minimize compile problems write: -- use std_logic_unsigned.conv_integer; -- use std_logic_signed.conv_integer; -- -- std_logic_vector, signed and unsigned types are "closely related" -- no type conversion functions are needed, use type casting or qualified -- expressions -- -- type1(object of type2) <type casting> -- type1'(expression of type2) <qualified expression> -- -- most conversions have 4 parmeters: -- x : value to be converted -- rtn_len : size of the return value -- justify : justify value 'left' or 'right', default is right -- basespec : print the base of the value - 'yes'/'no', default is yes -- -- Typical ways to call these functions: -- simple, all defaults used -- to_bin_str(x) -- x will be converted to a string of minimum size with a -- base specification appended for clarity -- if x is 10101 then return is b"10101" -- -- to control size of return string -- to_hex_str(x, -- 6) -- length of string returned will be 6 characters -- value will be right justified in the field -- if x is 10101 then return is ....h"15" -- where '.' represents a blank -- if 'rtn_len' parm defaults or is set to 0 then -- return string will always be minimum size -- -- to left justify and suppress base specification -- to_int_str(x, -- 6, -- justify => left, -- basespec => yes) -- length of return string will be 6 characters -- the base specification will be suppressed -- if x is 10101 then return is 21.... -- where '.' represents a blank -- -- other usage notes -- -- if rtn_len less than or equal to x'length then ignore -- rtn_len and return string of x'length -- the 'justify' parm is effectively ignored in this case -- -- if rtn_len greater than x'length then return string -- of rtn_len with blanks based on 'justify' parm -- -- these routines do not handle negative numbers ---------------------------------------------------------------------------- type justify_side is (left, right); type b_spec is (no , yes); -- std_logic_vector to binary string function to_bin_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- std_logic to binary string function to_bin_str(x : std_logic; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to binary string function to_bin_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to hex string function to_hex_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to hex string function to_hex_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to octal string function to_oct_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- natural to octal string function to_oct_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- natural to integer string function to_int_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- see note above regarding possible formats for x -- std_logic_vector to integer string function to_int_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string; -- time to string function to_time_str (x : time) return string; -- add characters to a string function fill (fill_char : character := '*'; rtn_len : integer := 1) return string; -- usage: -- fill -- returns * -- fill(' ',10) -- returns .......... when '.' represents a blank -- fill(lf) or fill(ht) -- returns line feed character or tab character respectively -- std_logic_vector to natural function to_nat (x : std_logic_vector) return natural; -- std_logic to natural function to_nat (x : std_logic) return natural; -- time to natural function to_nat (x : time) return natural; -- hex string to std_logic_vector function h (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or a,A to f,F -- or x,X,z,Z,u,U,-,w,W, result will be 0 -- decimal string to std_logic_vector function d (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- octal string to std_logic_vector function o (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 7 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- binary string to std_logic_vector function b (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W, -- result will be 0 -- hex string to natural function h (x : string) return natural; -- if x is other than characters 0 to 9 or a,A to f,F, result will be 0 -- decimal string to natural function d (x : string) return natural; -- if x is other than characters 0 to 9, result will be 0 -- octal string to natural function o (x : string) return natural; -- if x is other than characters 0 to 7, result will be 0 -- binary string to natural function b (x : string) return natural; -- if x is other than characters 0 to 1, result will be 0 -- natural to std_logic_vector function to_slv (x : natural; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than sizeof(x), result will be truncated on the left -- see note above regarding possible formats for x -- integer to std_logic_vector function int_to_slv (x : integer; rtn_len : positive range 1 to 32 := 32) return std_logic_vector; -- if rtn_len is < than sizeof(x), result will be truncated on the left -- see note above regarding possible formats for x -- natural to std_logic function to_sl (x : natural) return std_logic; -- natural to time function to_time (x : natural) return time; -- see note above regarding possible formats for x -- std_logic_vector to integer function to_int (x : std_logic_vector) return integer; END conversions; -- -------------------------------------------------------------------------------- -- PACKAGE BODY conversions IS -- private declarations for this package type basetype is (binary, octal, decimal, hex); function max(x,y: integer) return integer is begin if x > y then return x; else return y; end if; end max; function min(x,y: integer) return integer is begin if x < y then return x; else return y; end if; end min; -- consider function sizeof for string/slv/???, return natural -- function size(len: natural) return natural is -- begin -- if len=0 then -- return 31; -- else return len; -- end if; -- end size; function nextmultof (x : positive; size : positive) return positive is begin case x mod size is when 0 => return size * x/size; when others => return size * (x/size + 1); end case; end nextmultof; function rtn_base (base : basetype) return character is begin case base is when binary => return 'b'; when octal => return 'o'; when decimal => return 'd'; when hex => return 'h'; end case; end rtn_base; function format (r : string; base : basetype; rtn_len : natural ; justify : justify_side; basespec : b_spec) return string is variable int_rtn_len : integer; begin if basespec=yes then int_rtn_len := rtn_len - 3; else int_rtn_len := rtn_len; end if; if int_rtn_len <= r'length then case basespec is when no => return r ; when yes => return rtn_base(base) & '"' & r & '"'; end case; else case justify is when left => case basespec is when no => return r & fill(' ',int_rtn_len - r'length); when yes => return rtn_base(base) & '"' & r & '"' & fill(' ',int_rtn_len - r'length); end case; when right => case basespec is when no => return fill(' ',int_rtn_len - r'length) & r ; when yes => return fill(' ',int_rtn_len - r'length) & rtn_base(base) & '"' & r & '"'; end case; end case; end if; end format; -- convert numeric string of any base to natural function cnvt_base (x : string; inbase : natural range 2 to 16) return natural is -- assumes x is an unsigned number string of base 'inbase' -- values larger than natural'high are not supported variable r,t : natural := 0; variable place : positive := 1; begin for i in x'reverse_range loop case x(i) is when '0' => t := 0; when '1' => t := 1; when '2' => t := 2; when '3' => t := 3; when '4' => t := 4; when '5' => t := 5; when '6' => t := 6; when '7' => t := 7; when '8' => t := 8; when '9' => t := 9; when 'a'|'A' => t := 10; when 'b'|'B' => t := 11; when 'c'|'C' => t := 12; when 'd'|'D' => t := 13; when 'e'|'E' => t := 14; when 'f'|'F' => t := 15; when '_' => t := 0; -- ignore these characters place := place / inbase; when others => assert false report lf & "CNVT_BASE found input value larger than base: " & lf & "Input value: " & x(i) & " Base: " & to_int_str(inbase) & lf & "converting input to integer 0" severity warning; return 0; end case; if t / inbase > 1 then -- invalid value for base assert false report lf & "CNVT_BASE found input value larger than base: " & lf & "Input value: " & x(i) & " Base: " & to_int_str(inbase) & lf & "converting input to integer 0" severity warning; return 0; else r := r + (t * place); place := place * inbase; end if; end loop; return r; end cnvt_base; function extend (x : std_logic; len : positive) return std_logic_vector is variable v : std_logic_vector(1 to len) := (others => x); begin return v; end extend; -- implementation of public declarations function to_bin_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : std_logic_vector(1 to x'length):=x; variable r : string(1 to x'length):=(others=>'$'); begin for i in int'range loop r(i to i) := to_bin_str(int(i),basespec=>no); end loop; return format (r,binary,rtn_len,justify,basespec); end to_bin_str; function to_bin_str(x : std_logic; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable r : string(1 to 1); begin case x is when '0' => r(1) := '0'; when '1' => r(1) := '1'; when 'U' => r(1) := 'U'; when 'X' => r(1) := 'X'; when 'Z' => r(1) := 'Z'; when 'W' => r(1) := 'W'; when 'H' => r(1) := 'H'; when 'L' => r(1) := 'L'; when '-' => r(1) := '-'; end case; return format (r,binary,rtn_len,justify,basespec); end to_bin_str; function to_bin_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 2 to 32 := 32; variable r : string(2 to 32):=(others=>'$'); begin if int = 0 then return format ("0",binary,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & "TO_BIN_STR, shouldn't happen" severity failure; return "$"; null; end case; int := int / 2; ptr := ptr - 1; end loop; return format (r(ptr+1 to 32),binary,rtn_len,justify,basespec); end to_bin_str; function to_hex_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is -- will return x'length/4 variable nxt : positive := nextmultof(x'length,4); variable int : std_logic_vector(1 to nxt):= (others => '0'); variable ptr : positive range 1 to (nxt/4)+1 := 1; variable r : string(1 to nxt/4):=(others=>'$'); subtype slv4 is std_logic_vector(1 to 4); variable slv4_val : slv4; begin int(nxt-x'length+1 to nxt) := x; if nxt-x'length > 0 and x(x'left) /= '1' then int(1 to nxt-x'length) := extend(x(x'left),nxt-x'length); end if; for i in int'range loop next when i rem 4 /= 1; slv4_val := int(i to i+3); case slv4_val is when "0000" => r(ptr) := '0'; when "0001" => r(ptr) := '1'; when "0010" => r(ptr) := '2'; when "0011" => r(ptr) := '3'; when "0100" => r(ptr) := '4'; when "0101" => r(ptr) := '5'; when "0110" => r(ptr) := '6'; when "0111" => r(ptr) := '7'; when "1000" => r(ptr) := '8'; when "1001" => r(ptr) := '9'; when "1010" => r(ptr) := 'A'; when "1011" => r(ptr) := 'B'; when "1100" => r(ptr) := 'C'; when "1101" => r(ptr) := 'D'; when "1110" => r(ptr) := 'E'; when "1111" => r(ptr) := 'F'; when "ZZZZ" => r(ptr) := 'Z'; when "WWWW" => r(ptr) := 'W'; when "LLLL" => r(ptr) := 'L'; when "HHHH" => r(ptr) := 'H'; when "UUUU" => r(ptr) := 'U'; when "XXXX" => r(ptr) := 'X'; when "----" => r(ptr) := '-'; when others => assert false report lf & "TO_HEX_STR found illegal value: " & to_bin_str(int(i to i+3)) & lf & "converting input to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr + 1; end loop; return format (r,hex,rtn_len,justify,basespec); end to_hex_str; function to_hex_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 20 := 20; variable r : string(1 to 20):=(others=>'$'); begin if x=0 then return format ("0",hex,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 16 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when 8 => r(ptr) := '8'; when 9 => r(ptr) := '9'; when 10 => r(ptr) := 'A'; when 11 => r(ptr) := 'B'; when 12 => r(ptr) := 'C'; when 13 => r(ptr) := 'D'; when 14 => r(ptr) := 'E'; when 15 => r(ptr) := 'F'; when others => assert false report lf & "TO_HEX_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 16; ptr := ptr - 1; end loop; return format (r(ptr+1 to 20),hex,rtn_len,justify,basespec); end to_hex_str; function to_oct_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is -- will return x'length/3 variable nxt : positive := nextmultof(x'length,3); variable int : std_logic_vector(1 to nxt):= (others => '0'); variable ptr : positive range 1 to (nxt/3)+1 := 1; variable r : string(1 to nxt/3):=(others=>'$'); subtype slv3 is std_logic_vector(1 to 3); begin int(nxt-x'length+1 to nxt) := x; if nxt-x'length > 0 and x(x'left) /= '1' then int(1 to nxt-x'length) := extend(x(x'left),nxt-x'length); end if; for i in int'range loop next when i rem 3 /= 1; case slv3'(int(i to i+2)) is when "000" => r(ptr) := '0'; when "001" => r(ptr) := '1'; when "010" => r(ptr) := '2'; when "011" => r(ptr) := '3'; when "100" => r(ptr) := '4'; when "101" => r(ptr) := '5'; when "110" => r(ptr) := '6'; when "111" => r(ptr) := '7'; when "ZZZ" => r(ptr) := 'Z'; when "WWW" => r(ptr) := 'W'; when "LLL" => r(ptr) := 'L'; when "HHH" => r(ptr) := 'H'; when "UUU" => r(ptr) := 'U'; when "XXX" => r(ptr) := 'X'; when "---" => r(ptr) := '-'; when others => assert false report lf & "TO_OCT_STR found illegal value: " & to_bin_str(int(i to i+2)) & lf & "converting input to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr + 1; end loop; return format (r,octal,rtn_len,justify,basespec); end to_oct_str; function to_oct_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 20 := 20; variable r : string(1 to 20):=(others=>'$'); begin if x=0 then return format ("0",octal,rtn_len,justify,basespec); end if; while int > 0 loop case int rem 8 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when others => assert false report lf & "TO_OCT_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 8; ptr := ptr - 1; end loop; return format (r(ptr+1 to 20),octal,rtn_len,justify,basespec); end to_oct_str; function to_int_str(x : natural; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is variable int : natural := x; variable ptr : positive range 1 to 32 := 32; variable r : string(1 to 32):=(others=>'$'); begin if x=0 then return format ("0",decimal,rtn_len,justify,basespec); else while int > 0 loop case int rem 10 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when 2 => r(ptr) := '2'; when 3 => r(ptr) := '3'; when 4 => r(ptr) := '4'; when 5 => r(ptr) := '5'; when 6 => r(ptr) := '6'; when 7 => r(ptr) := '7'; when 8 => r(ptr) := '8'; when 9 => r(ptr) := '9'; when others => assert false report lf & "TO_INT_STR, shouldn't happen" severity failure; return "$"; end case; int := int / 10; ptr := ptr - 1; end loop; return format (r(ptr+1 to 32),decimal,rtn_len,justify,basespec); end if; end to_int_str; function to_int_str(x : std_logic_vector; rtn_len : natural := 0; justify : justify_side := right; basespec : b_spec := yes) return string is begin return to_int_str(to_nat(x),rtn_len,justify,basespec); end to_int_str; function to_time_str (x : time) return string is begin return to_int_str(to_nat(x),basespec=>no) & " ns"; end to_time_str; function fill (fill_char : character := '*'; rtn_len : integer := 1) return string is variable r : string(1 to max(rtn_len,1)) := (others => fill_char); variable len : integer; begin if rtn_len < 2 then -- always returns at least 1 fill char len := 1; else len := rtn_len; end if; return r(1 to len); end fill; function to_nat(x : std_logic_vector) return natural is -- assumes x is an unsigned number, lsb on right, -- more than 31 bits are truncated on left variable t : std_logic_vector(1 to x'length) := x; variable int : std_logic_vector(1 to 31) := (others => '0'); variable r : natural := 0; variable place : positive := 1; begin if x'length < 32 then int(max(32-x'length,1) to 31) := t(1 to x'length); else -- x'length >= 32 int(1 to 31) := t(x'length-30 to x'length); end if; for i in int'reverse_range loop case int(i) is when '1' | 'H' => r := r + place; when '0' | 'L' => null; when others => assert false report lf & "TO_NAT found illegal value: " & to_bin_str(int(i)) & lf & "converting input to integer 0" severity warning; return 0; end case; exit when i=1; place := place * 2; end loop; return r; end to_nat; function to_nat (x : std_logic) return natural is begin case x is when '0' => return 0 ; when '1' => return 1 ; when others => assert false report lf & "TO_NAT found illegal value: " & to_bin_str(x) & lf & "converting input to integer 0" severity warning; return 0; end case; end to_nat; function to_nat (x : time) return natural is begin return x / 1 ns; end to_nat; function h(x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length*4, result will be truncated on the left -- if x is other than characters 0 to 9 or a,A to f,F or -- x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length*4,rtn_len); variable ptr : integer range -3 to size := size; variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr-3 to ptr) := "0000"; when '1' => r(ptr-3 to ptr) := "0001"; when '2' => r(ptr-3 to ptr) := "0010"; when '3' => r(ptr-3 to ptr) := "0011"; when '4' => r(ptr-3 to ptr) := "0100"; when '5' => r(ptr-3 to ptr) := "0101"; when '6' => r(ptr-3 to ptr) := "0110"; when '7' => r(ptr-3 to ptr) := "0111"; when '8' => r(ptr-3 to ptr) := "1000"; when '9' => r(ptr-3 to ptr) := "1001"; when 'a'|'A' => r(ptr-3 to ptr) := "1010"; when 'b'|'B' => r(ptr-3 to ptr) := "1011"; when 'c'|'C' => r(ptr-3 to ptr) := "1100"; when 'd'|'D' => r(ptr-3 to ptr) := "1101"; when 'e'|'E' => r(ptr-3 to ptr) := "1110"; when 'f'|'F' => r(ptr-3 to ptr) := "1111"; when 'U' => r(ptr-3 to ptr) := "UUUU"; when 'X' => r(ptr-3 to ptr) := "XXXX"; when 'Z' => r(ptr-3 to ptr) := "ZZZZ"; when 'W' => r(ptr-3 to ptr) := "WWWW"; when 'H' => r(ptr-3 to ptr) := "HHHH"; when 'L' => r(ptr-3 to ptr) := "LLLL"; when '-' => r(ptr-3 to ptr) := "----"; when '_' => ptr := ptr + 4; when others => assert false report lf & "O conversion found illegal input character: " & int(i) & lf & "converting character to '----'" severity warning; r(ptr-3 to ptr) := "----"; end case; ptr := ptr - 4; end loop; return r(size-rtn_len+1 to size); end h; function d (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than binary length of x, result will be truncated on -- the left -- if x is other than characters 0 to 9, result will be 0 begin return to_slv(cnvt_base(x,10),rtn_len); end d; function o (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length*3, result will be truncated on the left -- if x is other than characters 0 to 7 or or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length*3,rtn_len); variable ptr : integer range -2 to size := size; variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr-2 to ptr) := "000"; when '1' => r(ptr-2 to ptr) := "001"; when '2' => r(ptr-2 to ptr) := "010"; when '3' => r(ptr-2 to ptr) := "011"; when '4' => r(ptr-2 to ptr) := "100"; when '5' => r(ptr-2 to ptr) := "101"; when '6' => r(ptr-2 to ptr) := "110"; when '7' => r(ptr-2 to ptr) := "111"; when 'U' => r(ptr-2 to ptr) := "UUU"; when 'X' => r(ptr-2 to ptr) := "XXX"; when 'Z' => r(ptr-2 to ptr) := "ZZZ"; when 'W' => r(ptr-2 to ptr) := "WWW"; when 'H' => r(ptr-2 to ptr) := "HHH"; when 'L' => r(ptr-2 to ptr) := "LLL"; when '-' => r(ptr-2 to ptr) := "---"; when '_' => ptr := ptr + 3; when others => assert false report lf & "O conversion found illegal input character: " & int(i) & lf & "converting character to '---'" severity warning; r(ptr-2 to ptr) := "---"; end case; ptr := ptr - 3; end loop; return r(size-rtn_len+1 to size); end o; function b (x : string; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than x'length, result will be truncated on the left -- if x is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W, -- those result bits will be set to 0 variable int : string(1 to x'length) := x; variable size: positive := max(x'length,rtn_len); variable ptr : integer range 0 to size+1 := size; -- csa variable r : std_logic_vector(1 to size) := (others=>'0'); begin for i in int'reverse_range loop case int(i) is when '0' => r(ptr) := '0'; when '1' => r(ptr) := '1'; when 'U' => r(ptr) := 'U'; when 'X' => r(ptr) := 'X'; when 'Z' => r(ptr) := 'Z'; when 'W' => r(ptr) := 'W'; when 'H' => r(ptr) := 'H'; when 'L' => r(ptr) := 'L'; when '-' => r(ptr) := '-'; when '_' => ptr := ptr + 1; when others => assert false report lf & "B conversion found illegal input character: " & int(i) & lf & "converting character to '-'" severity warning; r(ptr) := '-'; end case; ptr := ptr - 1; end loop; return r(size-rtn_len+1 to size); end b; function h (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 9 -- a,A to f,F -- blanks, underscore begin return cnvt_base(x,16); end h; function d (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 9 -- blanks, underscore begin return cnvt_base(x,10); end d; function o (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 7 -- blanks, underscore begin return cnvt_base(x,8); end o; function b (x : string) return natural is -- only following characters are allowed, otherwise result will be 0 -- 0 to 1 -- blanks, underscore begin return cnvt_base(x,2); end b; function to_slv(x : natural; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than sizeof(x), result will be truncated on the left variable int : natural := x; variable ptr : positive := 32; variable r : std_logic_vector(1 to 32) := (others=>'0'); begin while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & "TO_SLV, shouldn't happen" severity failure; return "0"; end case; int := int / 2; ptr := ptr - 1; end loop; return r(33-rtn_len to 32); end to_slv; function to_sl(x : natural) return std_logic is variable r : std_logic := '0'; begin case x is when 0 => null; when 1 => r := '1'; when others => assert false report lf & "TO_SL found illegal input character: " & to_int_str(x) & lf & "converting character to '-'" severity warning; return '-'; end case; return r; end to_sl; function int_to_slv(x : integer; rtn_len : positive range 1 to 32 := 32) return std_logic_vector is -- if rtn_len is < than sizeof(x), result will be truncated on the left variable int : integer := x; variable ptr : positive := 32; variable r : std_logic_vector(1 to 32) := (others=>'0'); begin if int >= 0 or int = 0 then while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & " shouldn't happen" severity failure; return "0"; end case; int := int / 2; ptr := ptr - 1; end loop; return r(33-rtn_len to 32); else int := 2**(rtn_len - 1) + int; while int > 0 loop case int rem 2 is when 0 => r(ptr) := '0'; when 1 => r(ptr) := '1'; when others => assert false report lf & " shouldn't happen" severity failure; return "0"; end case; int := int / 2; ptr := ptr - 1; end loop; r(33-rtn_len) := '1'; return r(33-rtn_len to 32); end if; end int_to_slv; function to_time (x: natural) return time is begin return x * 1 ns; end to_time; function to_int(x : std_logic_vector) return integer is -- assumes x is an signed number -- more than 32 bits are truncated on left variable t : std_logic_vector(x'length downto 1) := x; variable int : std_logic_vector(32 downto 1) := (others => '0'); variable sign : std_logic := '0'; variable size : integer := 0; variable inv : boolean := false; variable r : integer := 0; variable place : positive := 1; begin if x'length < 33 then sign := t(x'length); for i in t'reverse_range loop if sign = '1' then if inv = true then t(i) := not(t(i)); elsif t(i) = '1' then inv := true; end if; end if; size := size +1; end loop; inv := false; for i in 1 to size - 1 loop case t(i) is when '1' | 'H' => r := r + place; when '0' | 'L' => null; when others => assert false report lf & " TO_INT found illegal value " severity warning; return 0; end case; place := place * 2; end loop; if sign = '1' THEN return (- r); else return r; end if; else -- x'length >= 33 int := t(32 downto 1); sign := t(32); for i in 1 to 31 loop if sign = '1' then if inv = true then int(i) := not(int(i)); elsif int(i) = '1' then inv := true; end if; end if; end loop; inv := false; for i in 1 to 31 loop case int(i) is when '1' | 'H' => r := r + place; when '0' | 'L' => null; when others => assert false report lf & " TO_INT found illegal value " severity warning; return 0; end case; place := place * 2; end loop; if sign = '1' THEN return (- r); else return r; end if; end if; end to_int; END conversions;
gpl-3.0
71033cbc7139cf780d67a6fbbb2b7768
0.442166
3.98066
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_coef_reg_ext_function/_primary.vhd
5
7,119
library verilog; use verilog.vl_types.all; entity ama_coef_reg_ext_function is generic( width_coef : integer := 1; width_data_out : vl_notype; register_clock_0: string := "UNREGISTERED"; register_aclr_0 : string := "NONE"; register_clock_1: string := "UNREGISTERED"; register_aclr_1 : string := "NONE"; register_clock_2: string := "UNREGISTERED"; register_aclr_2 : string := "NONE"; register_clock_3: string := "UNREGISTERED"; register_aclr_3 : string := "NONE"; number_of_multipliers: integer := 1; port_sign : string := "PORT_UNUSED"; latency : integer := 0; latency_clock_0 : string := "UNREGISTERED"; latency_aclr_0 : string := "NONE"; latency_clock_1 : string := "UNREGISTERED"; latency_aclr_1 : string := "NONE"; latency_clock_2 : string := "UNREGISTERED"; latency_aclr_2 : string := "NONE"; latency_clock_3 : string := "UNREGISTERED"; latency_aclr_3 : string := "NONE"; width_coef_msb : vl_notype; width_data_out_msb: vl_notype; width_coef_ext : vl_notype; coef0_0 : vl_logic_vector; coef0_1 : vl_logic_vector; coef0_2 : vl_logic_vector; coef0_3 : vl_logic_vector; coef0_4 : vl_logic_vector; coef0_5 : vl_logic_vector; coef0_6 : vl_logic_vector; coef0_7 : vl_logic_vector; coef1_0 : vl_logic_vector; coef1_1 : vl_logic_vector; coef1_2 : vl_logic_vector; coef1_3 : vl_logic_vector; coef1_4 : vl_logic_vector; coef1_5 : vl_logic_vector; coef1_6 : vl_logic_vector; coef1_7 : vl_logic_vector; coef2_0 : vl_logic_vector; coef2_1 : vl_logic_vector; coef2_2 : vl_logic_vector; coef2_3 : vl_logic_vector; coef2_4 : vl_logic_vector; coef2_5 : vl_logic_vector; coef2_6 : vl_logic_vector; coef2_7 : vl_logic_vector; coef3_0 : vl_logic_vector; coef3_1 : vl_logic_vector; coef3_2 : vl_logic_vector; coef3_3 : vl_logic_vector; coef3_4 : vl_logic_vector; coef3_5 : vl_logic_vector; coef3_6 : vl_logic_vector; coef3_7 : vl_logic_vector ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); sign : in vl_logic; coefsel0 : in vl_logic_vector(2 downto 0); coefsel1 : in vl_logic_vector(2 downto 0); coefsel2 : in vl_logic_vector(2 downto 0); coefsel3 : in vl_logic_vector(2 downto 0); data_out_0 : out vl_logic_vector; data_out_1 : out vl_logic_vector; data_out_2 : out vl_logic_vector; data_out_3 : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_coef : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 3; attribute mti_svvh_generic_type of register_clock_0 : constant is 1; attribute mti_svvh_generic_type of register_aclr_0 : constant is 1; attribute mti_svvh_generic_type of register_clock_1 : constant is 1; attribute mti_svvh_generic_type of register_aclr_1 : constant is 1; attribute mti_svvh_generic_type of register_clock_2 : constant is 1; attribute mti_svvh_generic_type of register_aclr_2 : constant is 1; attribute mti_svvh_generic_type of register_clock_3 : constant is 1; attribute mti_svvh_generic_type of register_aclr_3 : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of port_sign : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of latency_clock_0 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_0 : constant is 1; attribute mti_svvh_generic_type of latency_clock_1 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_1 : constant is 1; attribute mti_svvh_generic_type of latency_clock_2 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_2 : constant is 1; attribute mti_svvh_generic_type of latency_clock_3 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_3 : constant is 1; attribute mti_svvh_generic_type of width_coef_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; attribute mti_svvh_generic_type of width_coef_ext : constant is 3; attribute mti_svvh_generic_type of coef0_0 : constant is 4; attribute mti_svvh_generic_type of coef0_1 : constant is 4; attribute mti_svvh_generic_type of coef0_2 : constant is 4; attribute mti_svvh_generic_type of coef0_3 : constant is 4; attribute mti_svvh_generic_type of coef0_4 : constant is 4; attribute mti_svvh_generic_type of coef0_5 : constant is 4; attribute mti_svvh_generic_type of coef0_6 : constant is 4; attribute mti_svvh_generic_type of coef0_7 : constant is 4; attribute mti_svvh_generic_type of coef1_0 : constant is 4; attribute mti_svvh_generic_type of coef1_1 : constant is 4; attribute mti_svvh_generic_type of coef1_2 : constant is 4; attribute mti_svvh_generic_type of coef1_3 : constant is 4; attribute mti_svvh_generic_type of coef1_4 : constant is 4; attribute mti_svvh_generic_type of coef1_5 : constant is 4; attribute mti_svvh_generic_type of coef1_6 : constant is 4; attribute mti_svvh_generic_type of coef1_7 : constant is 4; attribute mti_svvh_generic_type of coef2_0 : constant is 4; attribute mti_svvh_generic_type of coef2_1 : constant is 4; attribute mti_svvh_generic_type of coef2_2 : constant is 4; attribute mti_svvh_generic_type of coef2_3 : constant is 4; attribute mti_svvh_generic_type of coef2_4 : constant is 4; attribute mti_svvh_generic_type of coef2_5 : constant is 4; attribute mti_svvh_generic_type of coef2_6 : constant is 4; attribute mti_svvh_generic_type of coef2_7 : constant is 4; attribute mti_svvh_generic_type of coef3_0 : constant is 4; attribute mti_svvh_generic_type of coef3_1 : constant is 4; attribute mti_svvh_generic_type of coef3_2 : constant is 4; attribute mti_svvh_generic_type of coef3_3 : constant is 4; attribute mti_svvh_generic_type of coef3_4 : constant is 4; attribute mti_svvh_generic_type of coef3_5 : constant is 4; attribute mti_svvh_generic_type of coef3_6 : constant is 4; attribute mti_svvh_generic_type of coef3_7 : constant is 4; end ama_coef_reg_ext_function;
mit
1c0a0cd912eedd18919bde4f731391ba
0.616098
3.472683
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_multiplexer_GN6ODCX3D4.vhd
4
1,253
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_multiplexer_GN6ODCX3D4 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; use_one_hot_select_bus : natural := 1; width : positive := 25; pipeline : natural := 0; number_inputs : natural := 2); port( clock : in std_logic; aclr : in std_logic; sel : in std_logic_vector(1 downto 0); result : out std_logic_vector(24 downto 0); ena : in std_logic; user_aclr : in std_logic; in0 : in std_logic_vector(24 downto 0); in1 : in std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_multiplexer_GN6ODCX3D4 is signal data_muxin : std_logic_vector(49 downto 0); Begin data_muxin <= in1 & in0 ; nto1Multiplexeri : alt_dspbuilder_sMuxAltr generic map ( lpm_pipeline =>0, lpm_size => 2, lpm_widths => 2 , lpm_width => 25 , SelOneHot => 1 ) port map ( clock => clock, ena => ena, user_aclr => user_aclr, aclr => aclr, data => data_muxin, sel => sel, result => result); end architecture;
mit
d05d7a1c731bcdffb48e0ad12094a33c
0.639266
2.790646
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/reports/Test_Pattern_Generator/Test_Pattern_Generator_example.vhd
1
1,771
library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity Test_Pattern_Generator_example is port( Avalon_ST_Source_startofpacket : out STD_LOGIC; Avalon_MM_Slave_address : in STD_LOGIC_VECTOR(1 downto 0); Avalon_ST_Source_valid : out STD_LOGIC; Avalon_MM_Slave_writedata : in STD_LOGIC_VECTOR(31 downto 0); Clock : in STD_LOGIC; Avalon_ST_Source_ready : in STD_LOGIC; aclr : in STD_LOGIC; Avalon_MM_Slave_write : in STD_LOGIC; Avalon_ST_Source_data : out STD_LOGIC_VECTOR(23 downto 0); Avalon_ST_Source_endofpacket : out STD_LOGIC); end entity; architecture rtl of Test_Pattern_Generator_example is component Test_Pattern_Generator port( Avalon_ST_Source_startofpacket : out STD_LOGIC; Avalon_MM_Slave_address : in STD_LOGIC_VECTOR(1 downto 0); Avalon_ST_Source_valid : out STD_LOGIC; Avalon_MM_Slave_writedata : in STD_LOGIC_VECTOR(31 downto 0); Clock : in STD_LOGIC; Avalon_ST_Source_ready : in STD_LOGIC; aclr : in STD_LOGIC; Avalon_MM_Slave_write : in STD_LOGIC; Avalon_ST_Source_data : out STD_LOGIC_VECTOR(23 downto 0); Avalon_ST_Source_endofpacket : out STD_LOGIC); end component; begin Test_Pattern_Generator_instance : component Test_Pattern_Generator port map( Avalon_ST_Source_startofpacket => Avalon_ST_Source_startofpacket, Avalon_MM_Slave_address => Avalon_MM_Slave_address, Avalon_ST_Source_valid => Avalon_ST_Source_valid, Avalon_MM_Slave_writedata => Avalon_MM_Slave_writedata, Clock => Clock, Avalon_ST_Source_ready => Avalon_ST_Source_ready, aclr => aclr, Avalon_MM_Slave_write => Avalon_MM_Slave_write, Avalon_ST_Source_data => Avalon_ST_Source_data, Avalon_ST_Source_endofpacket => Avalon_ST_Source_endofpacket); end architecture rtl;
mit
d9479da42975982c612dae30ee2a81a7
0.724449
3.101576
false
true
false
false
freecores/t48
rtl/vhdl/t48_core_comp_pack-p.vhd
1
3,069
------------------------------------------------------------------------------- -- -- $Id: t48_core_comp_pack-p.vhd,v 1.5 2006-06-21 01:03:28 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; package t48_core_comp_pack is component t48_core generic ( xtal_div_3_g : integer := 1; register_mnemonic_g : integer := 1; include_port1_g : integer := 1; include_port2_g : integer := 1; include_bus_g : integer := 1; include_timer_g : integer := 1; sample_t1_state_g : integer := 4 ); port ( xtal_i : in std_logic; xtal_en_i : in std_logic; reset_i : in std_logic; t0_i : in std_logic; t0_o : out std_logic; t0_dir_o : out std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_i : in std_logic_vector( 7 downto 0); db_o : out std_logic_vector( 7 downto 0); db_dir_o : out std_logic; t1_i : in std_logic; p2_i : in std_logic_vector( 7 downto 0); p2_o : out std_logic_vector( 7 downto 0); p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic; p1_i : in std_logic_vector( 7 downto 0); p1_o : out std_logic_vector( 7 downto 0); p1_low_imp_o : out std_logic; prog_n_o : out std_logic; clk_i : in std_logic; en_clk_i : in std_logic; xtal3_o : out std_logic; dmem_addr_o : out std_logic_vector( 7 downto 0); dmem_we_o : out std_logic; dmem_data_i : in std_logic_vector( 7 downto 0); dmem_data_o : out std_logic_vector( 7 downto 0); pmem_addr_o : out std_logic_vector(11 downto 0); pmem_data_i : in std_logic_vector( 7 downto 0) ); end component; component generic_ram_ena generic ( addr_width_g : integer := 10; data_width_g : integer := 8 ); port ( clk_i : in std_logic; a_i : in std_logic_vector(addr_width_g-1 downto 0); we_i : in std_logic; ena_i : in std_logic; d_i : in std_logic_vector(data_width_g-1 downto 0); d_o : out std_logic_vector(data_width_g-1 downto 0) ); end component; component t48_rom port ( clk_i : in std_logic; rom_addr_i : in std_logic_vector(9 downto 0); rom_data_o : out std_logic_vector(7 downto 0) ); end component; component t49_rom port ( clk_i : in std_logic; rom_addr_i : in std_logic_vector(10 downto 0); rom_data_o : out std_logic_vector( 7 downto 0) ); end component; end t48_core_comp_pack;
gpl-2.0
505743a212148acea4ab83f4d00cd6c1
0.492343
3.09375
false
false
false
false
freecores/t48
bench/vhdl/if_timing.vhd
1
16,202
------------------------------------------------------------------------------- -- -- Interface Timing Checker. -- -- $Id: if_timing.vhd,v 1.6 2005-11-01 21:20:36 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity if_timing is port ( xtal_i : in std_logic; ale_i : in std_logic; psen_n_i : in std_logic; rd_n_i : in std_logic; wr_n_i : in std_logic; prog_n_i : in std_logic; db_bus_i : in std_logic_vector(7 downto 0); p2_i : in std_logic_vector(7 downto 0) ); end if_timing; architecture behav of if_timing is signal last_xtal_rise_s : time; signal period_s : time; signal last_ale_rise_s, last_ale_fall_s : time; signal last_psen_n_rise_s, last_psen_n_fall_s : time; signal last_rd_n_rise_s, last_rd_n_fall_s : time; signal last_wr_n_rise_s, last_wr_n_fall_s : time; signal last_prog_n_rise_s, last_prog_n_fall_s : time; signal last_bus_change_s, bus_change_ale_s : time; signal last_p2_change_s : time; signal t_CY : time; begin t_CY <= 15 * period_s; ----------------------------------------------------------------------------- -- Check RD -- rd_check: process (rd_n_i) begin case rd_n_i is -- RD active when '0' => -- tLAFC1: ALE to Control RD assert (now - last_ale_fall_s) > (t_CY / 5 - 75 ns) report "Timing violation of tLAFC1 on RD!" severity error; -- tAFC1: Addr Float to RD assert (now - last_bus_change_s) > (t_CY * 2/15 - 40 ns) report "Timing violation of tAFC1 on RD!" severity error; -- RD inactive when '1' => -- tCC1: Control Pulse Width RD assert (now - last_rd_n_fall_s) > (t_CY / 2 - 200 ns) report "Timing violation of tCC1 on RD!" severity error; when others => null; end case; end process rd_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check WR -- wr_check: process (wr_n_i) begin case wr_n_i is -- WR active when '0' => -- tLAFC1: ALE to Control WR assert (now - last_ale_fall_s) > (t_CY / 5 - 75 ns) report "Timing violation of tLAFC1 on WR!" severity error; -- tAW: Addr Setup to WR assert (now - bus_change_ale_s) > (t_CY / 3 - 150 ns) report "Timing violation of tAW on WR!" severity error; -- WR inactive when '1' => -- tCC1: Control Pulse Width WR assert (now - last_wr_n_fall_s) > (t_CY / 2 - 200 ns) report "Timing violation of tCC1 on WR!" severity error; -- tDW: Data Setup before WR assert (now - last_bus_change_s) > (t_CY * 13/30 - 200 ns) report "Timing violation of tDW on WR!" severity error; when others => null; end case; end process wr_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check BUS -- bus_check: process (db_bus_i) begin -- RD access -- tAD1 and tRD1 are not checked as they are constraints for the -- external memory, not the t48! -- WR access if wr_n_i = '0' then -- tDW: Data Hold after WR assert (now - last_wr_n_rise_s) > (t_CY / 15 - 50 ns) report "Timing violation of tDW on BUS vs. WR!" severity error; end if; -- Address strobe if ale_i = '0' then -- tLA: Addr Hold from ALE assert (now - last_ale_fall_s) > (t_CY / 15 - 40 ns) report "Timing violation of tLA on BUS vs. ALE!" severity error; end if; -- PSEN if psen_n_i = '0' then -- tRD2: PSEN to Data In assert (now - last_psen_n_fall_s) < (t_CY * 4/15 - 170 ns) report "Timing violation of tRD2 on BUS vs. PSEN!" severity error; end if; end process bus_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check ALE -- ale_check: process (ale_i) variable t_CA1 : time; variable t_AL : time; begin case ale_i is when '0' => t_AL := t_CY * 2/15 - 110 ns; -- tAL: Addr Setup to ALE assert (now - last_bus_change_s) > t_AL report "Timing violation of tAL on BUS vs. ALE!" severity error; assert (now - last_p2_change_s) > t_AL report "Timing violation of tAL on P2 vs. ALE!" severity error; when '1' => -- tCA1: Control to ALE (RD, WR, PROG) t_CA1 := t_CY / 15 - 40 ns; assert (now - last_rd_n_rise_s) > t_CA1 report "Timing violation of tCA1 on RD vs. ALE!" severity error; assert (now - last_wr_n_rise_s) > t_CA1 report "Timing violation of tCA1 on WR vs. ALE!" severity error; assert (now - last_prog_n_rise_s) > t_CA1 report "Timing violation of tCA1 on PROG vs. ALE!" severity error; -- tCA2: Control to ALE (PSEN) assert (now - last_psen_n_rise_s) > (t_CY * 4/15 - 40 ns) report "Timing violation of tCA2 on PSEN vs. ALE!" severity error; -- tPL: Port 2 I/O Setup to ALE assert (now - last_p2_change_s) > (t_CY * 4/15 - 200 ns) report "Timing violation of tPL on P2 vs. ALE!" severity error; when others => null; end case; end process ale_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check P2 -- p2_check: process (p2_i) begin case ale_i is when '0' => -- tLA: Addr Hold from ALE assert ((now - last_ale_fall_s) > (t_CY / 15 - 40 ns)) or now = 0 ns report "Timing violation of tLA on P2 vs. ALE!" severity error; if last_ale_fall_s < last_ale_rise_s then -- tPV: Port Output from ALE assert (now - last_ale_fall_s) < (t_CY * 3/10 + 100 ns) report "Timing violation of tPV on P2 vs. ALE!" severity error; end if; if prog_n_i = '1' then -- tPD: Output Data Hold assert ((now - last_prog_n_rise_s) > (t_CY / 10 - 50 ns)) or now = 0 ns report "Timing violation of tPD on P2 vs. PROG!" severity error; end if; when '1' => -- tLP: Port 2 I/O to ALE assert (now - last_ale_rise_s) > (t_CY / 30 - 30 ns) report "Timing violation of tLP on P2 vs. ALE!" severity error; when others => null; end case; end process p2_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check PROG -- prog_check: process (prog_n_i) begin case prog_n_i is when '0' => -- tCP: Port Control Setup to PROG' assert (now - last_p2_change_s) > (t_CY * 2/15 - 80 ns) report "Timing violation of tCP on P2 vs PROG'!" severity error; when '1' => -- tPP: PROG Pulse Width assert (now - last_prog_n_fall_s) > (t_CY * 7/10 - 250 ns) report "Timing violation of tPP!" severity error; -- tDP: Output Data Setup assert (now - last_p2_change_s) > (t_CY * 2/5 - 150 ns) report "Timing violation of tDP on P2 vs. PROG!" severity error; when others => null; end case; end process prog_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check PSEN -- psen_check: process (psen_n_i) begin case psen_n_i is when '1' => -- tCC2: Control Pulse Width PSEN assert (now - last_psen_n_fall_s) > (t_CY * 2/5 - 200 ns) report "Timing violation of tCC2 on PSEN!" severity error; when '0' => -- tLAFC2: ALE to Control PSEN assert (now - last_ale_fall_s) > (t_CY / 10 - 75 ns) report "Timing violation of tLAFC2 on PSEN vs. ALE!" severity error; when others => null; end case; end process psen_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Check cycle overlap -- cycle_overlap_check: process (psen_n_i, rd_n_i, wr_n_i) variable tmp_v : std_logic_vector(2 downto 0); begin tmp_v := psen_n_i & rd_n_i & wr_n_i; case tmp_v is when "001" | "010" | "100" | "000" => assert false report "Cycle overlap deteced on PSEN, RD and WR!" severity error; when others => null; end case; end process cycle_overlap_check; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor XTAL -- xtal_mon: process begin last_xtal_rise_s <= 0 ns; period_s <= 90 ns; while true loop wait on xtal_i; if xtal_i = '1' then period_s <= now - last_xtal_rise_s; last_xtal_rise_s <= now; end if; end loop; end process xtal_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor ALE -- ale_mon: process begin last_ale_rise_s <= 0 ns; last_ale_fall_s <= 0 ns; while true loop wait on ale_i; case ale_i is when '0' => last_ale_fall_s <= now; when '1' => last_ale_rise_s <= now; when others => null; end case; end loop; end process ale_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor PSEN -- psen_mon: process begin last_psen_n_rise_s <= 0 ns; last_psen_n_fall_s <= 0 ns; while true loop wait on psen_n_i; case psen_n_i is when '0' => last_psen_n_fall_s <= now; when '1' => last_psen_n_rise_s <= now; when others => null; end case; end loop; end process psen_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor RD -- rd_mon: process begin last_rd_n_rise_s <= 0 ns; last_rd_n_fall_s <= 0 ns; while true loop wait on rd_n_i; case rd_n_i is when '0' => last_rd_n_fall_s <= now; when '1' => last_rd_n_rise_s <= now; when others => null; end case; end loop; end process rd_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor WR -- wr_mon: process begin last_wr_n_rise_s <= 0 ns; last_wr_n_fall_s <= 0 ns; while true loop wait on wr_n_i; case wr_n_i is when '0' => last_wr_n_fall_s <= now; when '1' => last_wr_n_rise_s <= now; when others => null; end case; end loop; end process wr_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor PROG -- prog_mon: process begin last_prog_n_rise_s <= 0 ns; last_prog_n_fall_s <= 0 ns; while true loop wait on prog_n_i; case prog_n_i is when '0' => last_prog_n_fall_s <= now; when '1' => last_prog_n_rise_s <= now; when others => null; end case; end loop; end process prog_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor BUS -- bus_mon: process begin last_bus_change_s <= 0 ns; bus_change_ale_s <= 0 ns; while true loop wait on db_bus_i; last_bus_change_s <= now; if ale_i = '1' then bus_change_ale_s <= now; end if; end loop; end process bus_mon; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Monitor P2 -- p2_mon: process begin last_p2_change_s <= 0 ns; while true loop wait on p2_i; last_p2_change_s <= now; end loop; end process p2_mon; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.5 2004/12/03 19:58:55 arniml -- add others to case statement -- -- Revision 1.4 2004/10/25 19:33:13 arniml -- remove tAW sanity check -- conflicts with OUTL A, BUS -- -- Revision 1.3 2004/09/12 00:31:50 arniml -- add checks for PSEN -- -- Revision 1.2 2004/04/25 20:40:58 arniml -- check expander timings -- -- Revision 1.1 2004/04/25 16:24:10 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
8a0417858d0c112cc31b1ee5669547df
0.450315
4.051513
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_MultAdd.vhd
12
23,128
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_signed.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_MultAdd is generic ( width_a : positive :=8; width_r : positive :=17; direction : AddSubOperator := AddAdd; nMult : positive := 2; intended_device_family : string :="Stratix"; use_dedicated_circuitry : natural :=0; representation : string :="SIGNED"; regstruct : registerstructure :=NoRegister ); port ( dat1aa : in std_logic_vector (width_a-1 downto 0); dat1ab : in std_logic_vector (width_a-1 downto 0); dat2aa : in std_logic_vector (width_a-1 downto 0); dat2ab : in std_logic_vector (width_a-1 downto 0); dat3aa : in std_logic_vector (width_a-1 downto 0); dat3ab : in std_logic_vector (width_a-1 downto 0); dat4aa : in std_logic_vector (width_a-1 downto 0); dat4ab : in std_logic_vector (width_a-1 downto 0); clock : in std_logic ; ena : in std_logic ; part_sclr : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; result : out std_logic_vector (width_r-1 downto 0) ); end alt_dspbuilder_MultAdd; architecture MultAdd_synth of alt_dspbuilder_MultAdd is function RegStatus(r:registerstructure) return std_logic_vector is variable res : std_logic_vector(2 downto 0) :=(others=>'0'); begin if ((r=InputsMultiplierandAdder)or(r=InputsOnly)or(r=InputsandMultiplier)or(r=InputsandAdder)) then res(0) := '1'; else res(0) := '0'; end if; if ((r=InputsMultiplierandAdder) or (r=MultiplierOnly) or (r=InputsandMultiplier) or (r=MultiplierandAdder)) then res(1) := '1'; else res(1) := '0'; end if; if (r=InputsMultiplierandAdder) or (r=AdderOnly) or (r=InputsandAdder) or (r=MultiplierandAdder) then res(2) := '1'; else res(2) := '0'; end if; return res; end ; constant regstat : std_logic_vector(2 downto 0) := RegStatus(regstruct); signal regdat1aa : std_logic_vector (width_a-1 downto 0); signal regdat1ab : std_logic_vector (width_a-1 downto 0); signal regdat2aa : std_logic_vector (width_a-1 downto 0); signal regdat2ab : std_logic_vector (width_a-1 downto 0); signal regdat3aa : std_logic_vector (width_a-1 downto 0); signal regdat3ab : std_logic_vector (width_a-1 downto 0); signal regdat4aa : std_logic_vector (width_a-1 downto 0); signal regdat4ab : std_logic_vector (width_a-1 downto 0); signal A1xB : std_logic_vector (2*width_a-1 downto 0); signal A2xB : std_logic_vector (2*width_a-1 downto 0); signal A3xB : std_logic_vector (2*width_a-1 downto 0); signal A4xB : std_logic_vector (2*width_a-1 downto 0); signal A1xBSExt : std_logic_vector (2*width_a downto 0); signal A2xBSExt : std_logic_vector (2*width_a downto 0); signal A3xBSExt : std_logic_vector (2*width_a downto 0); signal A4xBSExt : std_logic_vector (2*width_a downto 0); signal FirstAdd : std_logic_vector (2*width_a downto 0); signal SecondAdd : std_logic_vector (2*width_a downto 0); signal FirstAddSExt : std_logic_vector (2*width_a+1 downto 0); signal SecondAddSExt : std_logic_vector (2*width_a+1 downto 0); signal AllZero : std_logic_vector (2*width_a downto 0); signal AddAll : std_logic_vector (width_r-1 downto 0); signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; geab: if use_dedicated_circuitry>0 or representation = "UNSIGNED" generate U0:alt_dspbuilder_MultAddMF generic map ( width_a => width_a , width_r => width_r , direction => direction , nMult => nMult , intended_device_family => intended_device_family, representation => representation , regstruct => regstruct ) port map ( clock => clock , ena => ena , aclr => aclr_i , dat1aa => dat1aa , dat1ab => dat1ab , dat2aa => dat2aa , dat2ab => dat2ab , dat3aa => dat3aa , dat3ab => dat3ab , dat4aa => dat4aa , dat4ab => dat4ab , result => result ); end generate geab; gneab: if use_dedicated_circuitry=0 and representation /= "UNSIGNED" generate AllZero <= (others=>'0'); gc:if (regstruct=NoRegister) generate regdat1aa <= dat1aa; regdat1ab <= dat1ab; regdat2aa <= dat2aa; regdat2ab <= dat2ab; A1xB <= regdat1aa*regdat1ab; A1xBSExt(2*width_a-1 downto 0) <= A1xB(2*width_a-1 downto 0); A1xBSExt(2*width_a) <= A1xBSExt(2*width_a-1); A2xB <= regdat2aa*regdat2ab; A2xBSExt(2*width_a-1 downto 0) <= A2xB(2*width_a-1 downto 0); A2xBSExt(2*width_a) <= A2xBSExt(2*width_a-1); result <= AddAll; g2x:if (nMult=2) generate ga:if (direction=AddAdd) or (direction=AddSub) generate AddAll <= A1xBSExt+A2xBSExt; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate AddAll <= A1xBSExt-A2xBSExt; end generate gs; end generate g2x; g3x:if (nMult=3) generate regdat3aa <= dat3aa; regdat3ab <= dat3ab; A3xB <= regdat3aa*regdat3ab; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); ga:if (direction=AddAdd) or (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate FirstAdd <= A1xBSExt-A2xBSExt; end generate gs; SecondAdd <= AllZero+A3xBSExt; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); AddAll <= FirstAddSExt+SecondAddSExt; end generate g3x; g4x:if (nMult=4) generate regdat3aa <= dat3aa; regdat3ab <= dat3ab; regdat4aa <= dat4aa; regdat4ab <= dat4ab; A3xB <= regdat3aa*regdat3ab; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); A4xB <= regdat4aa*regdat4ab; A4xBSExt(2*width_a-1 downto 0) <= A4xB(2*width_a-1 downto 0); A4xBSExt(2*width_a) <= A4xBSExt(2*width_a-1); gaa:if (direction=AddAdd) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gaa; gax:if (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gax; gss:if (direction=SubSub) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gss; gsa:if (direction=SubAdd) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gsa; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); AddAll <= FirstAddSExt+SecondAddSExt; end generate g4x; end generate gc; gcr:if (regstruct/=NoRegister)and(regstruct/=InputsMultiplierandAdder) generate result <= AddAll; gci:if regstat(0)='0' generate regdat1aa <= dat1aa; regdat1ab <= dat1ab; regdat2aa <= dat2aa; regdat2ab <= dat2ab; end generate gci; gcr:if regstat(0)='1' generate process(clock,aclr_i) begin if aclr_i='1' then regdat1aa <= (others=>'0'); regdat1ab <= (others=>'0'); regdat2aa <= (others=>'0'); regdat2ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat1aa <= (others=>'0'); regdat1ab <= (others=>'0'); regdat2aa <= (others=>'0'); regdat2ab <= (others=>'0'); elsif (ena='1') then regdat1aa <= dat1aa; regdat1ab <= dat1ab; regdat2aa <= dat2aa; regdat2ab <= dat2ab; end if ; end if ; end process ; end generate gcr; gmc: if regstat(1)='0' generate A1xB <= regdat1aa*regdat1ab; A2xB <= regdat2aa*regdat2ab; end generate gmc; gmr: if regstat(1)='1' generate process(clock,aclr_i) begin if aclr_i='1' then A1xB <= (others=>'0'); A2xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A1xB <= (others=>'0'); A2xB <= (others=>'0'); elsif (ena='1') then A1xB <= regdat1aa*regdat1ab; A2xB <= regdat2aa*regdat2ab; end if ; end if ; end process ; end generate gmr; A1xBSExt(2*width_a-1 downto 0) <= A1xB(2*width_a-1 downto 0); A1xBSExt(2*width_a) <= A1xBSExt(2*width_a-1); A2xBSExt(2*width_a-1 downto 0) <= A2xB(2*width_a-1 downto 0); A2xBSExt(2*width_a) <= A2xBSExt(2*width_a-1); g2x:if (nMult=2) generate ga:if (direction=AddAdd) or (direction=AddSub) generate gac:if regstat(2)='0' generate AddAll <= A1xBSExt+A2xBSExt; end generate gac; gar:if regstat(2)='1' generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= A1xBSExt+A2xBSExt; end if ; end if ; end process ; end generate gar; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate gac:if regstat(2)='0' generate AddAll <= A1xBSExt-A2xBSExt; end generate gac; gar:if regstat(2)='1' generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= A1xBSExt-A2xBSExt; end if ; end if ; end process ; end generate gar; end generate gs; end generate g2x; g3x:if (nMult=3) generate gci:if regstat(0)='0' generate regdat3aa <= dat3aa; regdat3ab <= dat3ab; end generate gci; gri:if regstat(0)='1' generate process(clock,aclr_i) begin if aclr_i='1' then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); elsif (ena='1') then regdat3aa <= dat3aa; regdat3ab <= dat3ab; end if ; end if ; end process ; end generate gri; gmc: if regstat(1)='0' generate A3xB <= regdat3aa*regdat3ab; end generate gmc; gmr: if regstat(1)='1' generate process(clock,aclr_i) begin if aclr_i='1' then A3xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A3xB <= (others=>'0'); elsif (ena='1') then A3xB <= regdat3aa*regdat3ab; end if ; end if ; end process ; end generate gmr; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); SecondAdd <= AllZero+A3xBSExt; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); ga:if (direction=AddAdd) or (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate FirstAdd <= A1xBSExt-A2xBSExt; end generate gs; gac:if regstat(2)='0' generate AddAll <= FirstAddSExt+SecondAddSExt; end generate gac; gar:if regstat(2)='1' generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= FirstAddSExt+SecondAddSExt; end if ; end if ; end process ; end generate gar; end generate g3x; g4x:if (nMult=4) generate gci:if regstat(0)='0' generate regdat3aa <= dat3aa; regdat3ab <= dat3ab; regdat4aa <= dat4aa; regdat4ab <= dat4ab; end generate gci; gri:if regstat(0)='1' generate process(clock,aclr_i) begin if aclr_i='1' then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); regdat4aa <= (others=>'0'); regdat4ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); regdat4aa <= (others=>'0'); regdat4ab <= (others=>'0'); elsif (ena='1') then regdat3aa <= dat3aa; regdat3ab <= dat3ab; regdat4aa <= dat4aa; regdat4ab <= dat4ab; end if ; end if ; end process ; end generate gri; gmc: if regstat(1)='0' generate A3xB <= regdat3aa*regdat3ab; A4xB <= regdat4aa*regdat4ab; end generate gmc; gmr: if regstat(1)='1' generate process(clock,aclr_i) begin if aclr_i='1' then A3xB <= (others=>'0'); A4xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A3xB <= (others=>'0'); A4xB <= (others=>'0'); elsif (ena='1') then A3xB <= regdat3aa*regdat3ab; A4xB <= regdat4aa*regdat4ab; end if ; end if ; end process ; end generate gmr; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); A4xBSExt(2*width_a-1 downto 0) <= A4xB(2*width_a-1 downto 0); A4xBSExt(2*width_a) <= A4xBSExt(2*width_a-1); gaa:if (direction=AddAdd) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gaa; gax:if (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gax; gss:if (direction=SubSub) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gss; gsa:if (direction=SubAdd) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gsa; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); gac:if regstat(2)='0' generate AddAll <= FirstAddSExt+SecondAddSExt; end generate gac; gar:if regstat(2)='1' generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= FirstAddSExt+SecondAddSExt; end if ; end if ; end process ; end generate gar; end generate g4x; end generate gcr; gr:if (regstruct=InputsMultiplierandAdder) generate result <= AddAll; process(clock,aclr_i) begin if aclr_i='1' then regdat1aa <= (others=>'0'); regdat1ab <= (others=>'0'); regdat2aa <= (others=>'0'); regdat2ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat1aa <= (others=>'0'); regdat1ab <= (others=>'0'); regdat2aa <= (others=>'0'); regdat2ab <= (others=>'0'); elsif (ena='1') then regdat1aa <= dat1aa; regdat1ab <= dat1ab; regdat2aa <= dat2aa; regdat2ab <= dat2ab; end if ; end if ; end process ; process(clock,aclr_i) begin if aclr_i='1' then A1xB <= (others=>'0'); A2xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A1xB <= (others=>'0'); A2xB <= (others=>'0'); elsif (ena='1') then A1xB <= regdat1aa*regdat1ab; A2xB <= regdat2aa*regdat2ab; end if ; end if ; end process ; A1xBSExt(2*width_a-1 downto 0) <= A1xB(2*width_a-1 downto 0); A1xBSExt(2*width_a) <= A1xBSExt(2*width_a-1); A2xBSExt(2*width_a-1 downto 0) <= A2xB(2*width_a-1 downto 0); A2xBSExt(2*width_a) <= A2xBSExt(2*width_a-1); g2x:if (nMult=2) generate ga:if (direction=AddAdd) or (direction=AddSub) generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= A1xBSExt+A2xBSExt; end if ; end if ; end process ; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= A1xBSExt-A2xBSExt; end if ; end if ; end process ; end generate gs; end generate g2x; g3x:if (nMult=3) generate process(clock,aclr_i) begin if aclr_i='1' then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); elsif (ena='1') then regdat3aa <= dat3aa; regdat3ab <= dat3ab; end if ; end if ; end process ; process(clock,aclr_i) begin if aclr_i='1' then A3xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A3xB <= (others=>'0'); elsif (ena='1') then A3xB <= regdat3aa*regdat3ab; end if ; end if ; end process ; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); SecondAdd <= AllZero+A3xBSExt; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); ga:if (direction=AddAdd) or (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; end generate ga; gs:if (direction=SubSub) or (direction=SubAdd)generate FirstAdd <= A1xBSExt-A2xBSExt; end generate gs; process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= FirstAddSExt+SecondAddSExt; end if ; end if ; end process ; end generate g3x; g4x:if (nMult=4) generate process(clock,aclr_i) begin if aclr_i='1' then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); regdat4aa <= (others=>'0'); regdat4ab <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then regdat3aa <= (others=>'0'); regdat3ab <= (others=>'0'); regdat4aa <= (others=>'0'); regdat4ab <= (others=>'0'); elsif (ena='1') then regdat3aa <= dat3aa; regdat3ab <= dat3ab; regdat4aa <= dat4aa; regdat4ab <= dat4ab; end if ; end if ; end process ; process(clock,aclr_i) begin if aclr_i='1' then A3xB <= (others=>'0'); A4xB <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then A3xB <= (others=>'0'); A4xB <= (others=>'0'); elsif (ena='1') then A3xB <= regdat3aa*regdat3ab; A4xB <= regdat4aa*regdat4ab; end if ; end if ; end process ; A3xBSExt(2*width_a-1 downto 0) <= A3xB(2*width_a-1 downto 0); A3xBSExt(2*width_a) <= A3xBSExt(2*width_a-1); A4xBSExt(2*width_a-1 downto 0) <= A4xB(2*width_a-1 downto 0); A4xBSExt(2*width_a) <= A4xBSExt(2*width_a-1); gaa:if (direction=AddAdd) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gaa; gax:if (direction=AddSub) generate FirstAdd <= A1xBSExt+A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gax; gss:if (direction=SubSub) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt-A4xBSExt; end generate gss; gsa:if (direction=SubAdd) generate FirstAdd <= A1xBSExt-A2xBSExt; SecondAdd <= A3xBSExt+A4xBSExt; end generate gsa; FirstAddSExt(2*width_a downto 0) <= FirstAdd(2*width_a downto 0); FirstAddSExt(2*width_a+1) <= FirstAddSExt(2*width_a); SecondAddSExt(2*width_a downto 0) <= SecondAdd(2*width_a downto 0); SecondAddSExt(2*width_a+1) <= SecondAddSExt(2*width_a); process(clock,aclr_i) begin if aclr_i='1' then AddAll <= (others=>'0'); elsif clock'event and clock='1' then if (part_sclr='1') then AddAll <= (others=>'0'); elsif (ena='1') then AddAll <= FirstAddSExt+SecondAddSExt; end if ; end if ; end process ; end generate g4x; end generate gr; end generate gneab; end MultAdd_synth;
mit
1319924cbbcc7b5556c52c1c02f8ee25
0.588248
2.925743
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_counter_GNZKRIGTBB.vhd
4
1,649
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_counter_GNZKRIGTBB is generic ( use_usr_aclr : string := "false"; use_ena : string := "false"; use_cin : string := "false"; use_sset : string := "false"; ndirection : natural := 1; svalue : string := "1"; use_sload : string := "true"; use_sclr : string := "false"; use_cout : string := "false"; modulus : integer := 65536; use_cnt_ena : string := "true"; width : natural := 24; use_aset : string := "false"; use_aload : string := "false"; avalue : string := "0"); port( aclr : in std_logic; aload : in std_logic; aset : in std_logic; cin : in std_logic; clock : in std_logic; cnt_ena : in std_logic; cout : out std_logic; data : in std_logic_vector((width)-1 downto 0); direction : in std_logic; ena : in std_logic; q : out std_logic_vector((width)-1 downto 0); sclr : in std_logic; sload : in std_logic; sset : in std_logic; user_aclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_counter_GNZKRIGTBB is Begin -- DSP Builder Block - Simulink Block "Counter" Counteri : lpm_counter Generic map ( LPM_WIDTH => 24, LPM_DIRECTION => "UP", LPM_MODULUS => 65536, LPM_AVALUE => "0", LPM_SVALUE => "1", LPM_TYPE => "LPM_COUNTER" ) port map ( data => data, clock => clock, cnt_en => cnt_ena, aclr => aclr, sload => sload, q => q); end architecture;
mit
bb6fcdcfa1125b243f5832c77401d440
0.606428
2.852941
false
false
false
false
straywarrior/MadeCPUin21days
DataMemoryControl.vhd
1
11,161
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 21:17:00 11/15/2015 -- Design Name: -- Module Name: DataMemoryControl - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity DataMemoryControl is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; MemRead : in STD_LOGIC; MemWrite: in STD_LOGIC; MemAddr : in STD_LOGIC_VECTOR (15 downto 0); MemData : in STD_LOGIC_VECTOR (15 downto 0); MemOut : out STD_LOGIC_VECTOR (15 downto 0); SerialFinish : out STD_LOGIC; RAM1Addr : out STD_LOGIC_VECTOR (17 downto 0); RAM1Data : inout STD_LOGIC_VECTOR (15 downto 0); RAM1EN : out STD_LOGIC; RAM1OE : out STD_LOGIC; RAM1RW : out STD_LOGIC; Serial_dataready : in STD_LOGIC; Serial_rdn : out STD_LOGIC; Serial_tbre : in STD_LOGIC; Serial_tsre : in STD_LOGIC; Serial_wrn : out STD_LOGIC; DLED_Right : out STD_LOGIC_VECTOR (6 downto 0) ); end DataMemoryControl; architecture Behavioral of DataMemoryControl is type state_type is (s0, s1, s2, s3, sr0, sr1, sr2, sr3, sr4, sr5, sr6, sr7, sr8, sr9, sr10, sr11); -- sr1 - sr11 is state for serial write. 50 MHz is too fast for serial port. signal state : state_type; begin RAM1Addr(17 downto 16) <= (others => '0'); RAM1Addr(15 downto 0) <= MemAddr - x"8000"; RAM1Data <= (others => 'Z') when MemRead = '1' else MemData when MemWrite = '1' else (others => 'Z'); process (clk, reset) begin if (reset = '0') then state <= s0; elsif (clk'event and clk = '1') then case state is when s0 => state <= s1; when s1 => state <= s2; when s2 => state <= s3; when s3 => if (MemAddr = x"BF00" and MemWrite = '1') then state <= sr0; else state <= s0; end if; when sr0 => state <= sr1; when sr1 => state <= sr2; when sr2 => state <= sr3; when sr3 => state <= sr4; when sr4 => state <= sr5; when sr5 => state <= sr6; when sr6 => state <= sr7; when sr7 => state <= sr8; when sr8 => state <= sr9; when sr9 => state <= sr10; when sr10 => state <= sr11; when sr11 => if (Serial_tbre = '1' and Serial_tsre = '1') then state <= s0; else state <= sr0; end if; when others => state <= s0; end case; end if; end process; process (clk, reset) begin if (reset = '0') then RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; elsif (clk'event and clk = '1' and MemAddr >= x"8000" and MemAddr <= x"FFFF") then case state is when s0 => -- prepare for the control, data and address RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; when s1 => if (MemRead = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_rdn <= '0'; Serial_wrn <= '1'; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_wrn <= '1'; Serial_rdn <= '1'; when others => RAM1EN <= '0'; RAM1OE <= '0'; RAM1RW <= '1'; SerialFinish <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; end case; end if; if (MemWrite = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_rdn <= '1'; Serial_wrn <= '0'; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_wrn <= '1'; Serial_rdn <= '1'; when others => RAM1EN <= '0'; RAM1OE <= '1'; RAM1RW <= '0'; SerialFinish <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; end case; end if; when s2 => if (MemRead = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_rdn <= '1'; Serial_wrn <= '1'; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; MemOut <= (1 => Serial_dataready, 0 => (Serial_tsre and Serial_tbre), others => '0'); SerialFinish <= '1'; when others => RAM1EN <= '0'; RAM1OE <= '0'; RAM1RW <= '1'; SerialFinish <= '1'; MemOut <= RAM1Data; end case; end if; if (MemWrite = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '0'; Serial_rdn <= '1'; Serial_wrn <= '1'; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '1'; Serial_wrn <= '1'; Serial_rdn <= '1'; when others => RAM1EN <= '0'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '1'; Serial_wrn <= '1'; Serial_rdn <= '1'; end case; end if; when s3 => if (MemRead = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; MemOut <= RAM1Data; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; SerialFinish <= '1'; MemOut <= (1 => Serial_dataready, 0 => (Serial_tsre and Serial_tbre), others => '0'); when others => RAM1EN <= '0'; RAM1OE <= '0'; RAM1RW <= '1'; SerialFinish <= '1'; MemOut <= RAM1Data; end case; end if; if (MemWrite = '1') then case MemAddr is when x"BF00" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when x"BF01" => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; when others => RAM1EN <= '0'; RAM1OE <= '1'; RAM1RW <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; end case; end if; when sr0 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr1 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr2 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr3 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr4 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr5 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr6 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr7 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr8 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr9 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '0'; when sr10 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; when sr11 => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1'; Serial_rdn <= '1'; Serial_wrn <= '1'; if (Serial_tsre = '1' and Serial_tbre = '1') then SerialFinish <= '1'; else SerialFinish <= '0'; end if; when others => RAM1EN <= '1'; RAM1OE <= '1'; RAM1RW <= '1';Serial_rdn <= '1'; Serial_wrn <= '1'; SerialFinish <= '1'; end case; end if; end process; DLED_Right <= "1111110" when state = s0 else "0110000" when state = s1 else "1101101" when state = s2 else "1111001" when state = s3 else "0110011" when state = sr0 else "1011011" when state = sr1 else "0000000"; end Behavioral;
gpl-2.0
d1fd071eeb5abe869f4103516afd5b0b
0.400681
3.998925
false
false
false
false
Ttl/bf_cpu
testbenches/cpu_rot13_tb.vhd
1
2,610
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE std.textio.all; ENTITY cpu_rot13_tb IS END cpu_rot13_tb; ARCHITECTURE behavior OF cpu_rot13_tb IS signal clk, reset, tx, rx : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; signal uart_tx_req, uart_tx_end, uart_rx_ready : std_logic; signal uart_tx_data, uart_rx_data : std_logic_vector(7 downto 0); BEGIN -- Component Instantiation uut: entity work.cpu Generic map ( INSTRUCTIONS => "scripts/rot13.mif" ) Port map(clk => clk, reset => reset, tx => rx, rx => tx ); uart1 : entity work.uart Generic map( CLK_FREQ => 100, SER_FREQ => 1000000, PARITY_BIT => false ) Port map ( clk => clk, rst => reset, rx => rx, tx => tx, tx_req => uart_tx_req, tx_end => uart_tx_end, tx_data => uart_tx_data, rx_ready => uart_rx_ready, rx_data => uart_rx_data ); -- Print received bytes uart_process : process begin wait until uart_rx_ready = '1'; wait for clk_period; if to_integer(unsigned(uart_rx_data)) > 31 and to_integer(unsigned(uart_rx_data)) < 127 then report "Received ASCII: "&character'image(character'val(to_integer(unsigned(uart_rx_data)))); else report "Received Dec: "&integer'image(to_integer(unsigned(uart_rx_data))); end if; end process; -- Test received bytes test_process : process begin wait until uart_rx_ready = '1'; wait for clk_period; assert uart_rx_data = x"4E" report "First msg incorrect" severity failure; wait until uart_rx_ready = '1'; assert false report "Received too many messages" severity failure; end process; -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Test Bench Statements tb : PROCESS BEGIN reset <= '1'; uart_tx_req <= '0'; wait for 100 ns; -- wait until global set/reset completes reset <= '0'; -- Send character uart_tx_req <= '1'; uart_tx_data <= x"41"; -- A wait for clk_period; uart_tx_req <= '0'; wait until uart_tx_end = '1'; wait for 1999us; assert uart_rx_data /= x"4E" report "Completed succesfully" severity failure; assert false report "Invalid rx_data" severity failure; wait; -- will wait forever END PROCESS tb; -- End Test Bench END;
lgpl-3.0
bf2a9e3dec6b468b43a2348cc72b963e
0.588889
3.508065
false
false
false
false
freecores/t48
rtl/vhdl/system/wb_master.vhd
1
8,909
------------------------------------------------------------------------------- -- -- The Wishbone master module. -- -- $Id: wb_master.vhd,v 1.5 2005-06-11 10:16:05 arniml Exp $ -- -- Copyright (c) 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- -- -- Short description: -- This design implements a simple Wishbone bus master. It connects to the -- BUS interface of the T48 uController core. -- -- The CPU clock is suppressed with en_clk_o to stall the CPU until the -- acknowledge signal from the peripheral is detected. -- -- The adr_i input selects between configuration and Wishbone address range: -- 1 - configuration range -- 0 - Wishbone range -- -- When configuration range is selected, two address register are accessible. -- 000h -> adr1 -- 001h -> adr2 -- These registers can be read and written with movx to their addresses. -- -- When Wishbone range is selected, all movx generate Wishbone bus cycles -- (either read or write) at following address: -- Wishbone address = adr2 & adr1 & address of movx -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.all; entity t48_wb_master is port ( xtal_i : in std_logic; res_i : in std_logic; en_clk_o : out std_logic; -- T48 Interface ---------------------------------------------------------- ale_i : in std_logic; rd_n_i : in std_logic; wr_n_i : in std_logic; adr_i : in std_logic; db_bus_i : in std_logic_vector( 7 downto 0); db_bus_o : out std_logic_vector( 7 downto 0); -- Wishbone Interface ----------------------------------------------------- wb_cyc_o : out std_logic; wb_stb_o : out std_logic; wb_we_o : out std_logic; wb_adr_o : out std_logic_vector(23 downto 0); wb_ack_i : in std_logic; wb_dat_i : in std_logic_vector( 7 downto 0); wb_dat_o : out std_logic_vector( 7 downto 0) ); end t48_wb_master; architecture rtl of t48_wb_master is ----------------------------------------------------------------------------- -- Controller FSM ----------------------------------------------------------------------------- type state_t is (IDLE, CYC, WAIT_INACT); signal state_s, state_q : state_t; ----------------------------------------------------------------------------- -- Select signals for each range ----------------------------------------------------------------------------- signal sel_adr1_s, sel_adr2_s, sel_wb_s : boolean; signal wr_s, rd_s : boolean; signal adr_q : std_logic_vector(23 downto 0); signal wb_dat_q : std_logic_vector( 7 downto 0); begin ----------------------------------------------------------------------------- -- Select signal generation ----------------------------------------------------------------------------- sel_adr1_s <= adr_i = '1' and adr_q(word_t'range) = "00000000"; sel_adr2_s <= adr_i = '1' and adr_q(word_t'range) = "00000001"; sel_wb_s <= adr_i = '0'; wr_s <= wr_n_i = '0'; rd_s <= rd_n_i = '0'; ----------------------------------------------------------------------------- -- Process seq -- -- Purpose: -- Implements the sequential elements. -- seq: process (res_i, xtal_i) begin if res_i = res_active_c then adr_q <= (others => '0'); wb_dat_q <= (others => '0'); state_q <= IDLE; elsif xtal_i'event and xtal_i = clk_active_c then -- Address register ----------------------------------------------------- -- update lowest address byte if ale_i = '1' then adr_q(word_t'range) <= db_bus_i; end if; -- set adr1 part if wr_s and sel_adr1_s then adr_q(word_t'length*2 - 1 downto word_t'length) <= db_bus_i; end if; -- set adr2 part if wr_s and sel_adr2_s then adr_q(word_t'length*3 - 1 downto word_t'length*2) <= db_bus_i; end if; -- Data from peripheral has to be saved --------------------------------- if wb_ack_i = '1' then wb_dat_q <= wb_dat_i; end if; -- FSM state ------------------------------------------------------------ state_q <= state_s; end if; end process seq; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process fsm -- -- Purpose: -- Implements the state transitions of the controller FSM. -- fsm: process (state_q, wr_s, rd_s, sel_wb_s, wb_ack_i) begin -- default assignments wb_cyc_o <= '0'; wb_stb_o <= '0'; en_clk_o <= '1'; state_s <= IDLE; case state_q is -- Idle State: Wait for read or write access ---------------------------- when IDLE => if sel_wb_s and (wr_s or rd_s) then state_s <= CYC; end if; -- WB Cycle State: Start Wishbone cycle and wait for ack ---------------- when CYC => wb_cyc_o <= '1'; wb_stb_o <= '1'; en_clk_o <= '0'; if wb_ack_i = '1' then state_s <= WAIT_INACT; else state_s <= CYC; end if; -- Wait inact State: Wait for end of T48 access ------------------------- when WAIT_INACT => if not wr_s and not rd_s then state_s <= IDLE; else state_s <= WAIT_INACT; end if; when others => null; end case; end process fsm; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output multiplexer ----------------------------------------------------------------------------- db_bus_o <= adr_q(word_t'length*2 - 1 downto word_t'length) when sel_adr1_s else adr_q(word_t'length*3 - 1 downto word_t'length*2) when sel_adr2_s else wb_dat_q; ----------------------------------------------------------------------------- -- Output mapping ----------------------------------------------------------------------------- wb_adr_o <= adr_q; wb_dat_o <= db_bus_i; wb_we_o <= '1' when wr_s and sel_wb_s else '0'; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2005/05/10 22:36:23 arniml -- save data from wishbone bus in register bank with wb_ack -- necessary to hold data from peripheral/memory until it is read by T48 -- -- Revision 1.3 2005/05/08 10:36:07 arniml -- simplify address range: -- - configuration range -- - Wishbone range -- -- Revision 1.2 2005/05/06 18:54:03 arniml -- assign default for state_s -- -- Revision 1.1 2005/05/05 19:49:03 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
4ddd3a6b51ed580dfccc2b475e8d30b4
0.492199
4.155317
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN3ODVPHOL.vhd
4
879
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN3ODVPHOL is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(15 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN3ODVPHOL is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 + 1 , width_inr=> 0, width_outl=> 16, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, xin(24) => '0', yout => output ); end architecture;
mit
896775e53bd0216aa7e1ae445d24e40f
0.649602
3.09507
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/Instruct_Memory.vhd
1
5,846
-------------------------------------------------------------------------------- -- This file is owned and controlled by Xilinx and must be used solely -- -- for design, simulation, implementation and creation of design files -- -- limited to Xilinx devices or technologies. Use with non-Xilinx -- -- devices or technologies is expressly prohibited and immediately -- -- terminates your license. -- -- -- -- XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION "AS IS" SOLELY -- -- FOR USE IN DEVELOPING PROGRAMS AND SOLUTIONS FOR XILINX DEVICES. BY -- -- PROVIDING THIS DESIGN, CODE, OR INFORMATION AS ONE POSSIBLE -- -- IMPLEMENTATION OF THIS FEATURE, APPLICATION OR STANDARD, XILINX IS -- -- MAKING NO REPRESENTATION THAT THIS IMPLEMENTATION IS FREE FROM ANY -- -- CLAIMS OF INFRINGEMENT, AND YOU ARE RESPONSIBLE FOR OBTAINING ANY -- -- RIGHTS YOU MAY REQUIRE FOR YOUR IMPLEMENTATION. XILINX EXPRESSLY -- -- DISCLAIMS ANY WARRANTY WHATSOEVER WITH RESPECT TO THE ADEQUACY OF THE -- -- IMPLEMENTATION, INCLUDING BUT NOT LIMITED TO ANY WARRANTIES OR -- -- REPRESENTATIONS THAT THIS IMPLEMENTATION IS FREE FROM CLAIMS OF -- -- INFRINGEMENT, IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A -- -- PARTICULAR PURPOSE. -- -- -- -- Xilinx products are not intended for use in life support appliances, -- -- devices, or systems. Use in such applications are expressly -- -- prohibited. -- -- -- -- (c) Copyright 1995-2015 Xilinx, Inc. -- -- All rights reserved. -- -------------------------------------------------------------------------------- -------------------------------------------------------------------------------- -- You must compile the wrapper file Instruct_Memory.vhd when simulating -- the core, Instruct_Memory. When compiling the wrapper file, be sure to -- reference the XilinxCoreLib VHDL simulation library. For detailed -- instructions, please refer to the "CORE Generator Help". -- The synthesis directives "translate_off/translate_on" specified -- below are supported by Xilinx, Mentor Graphics and Synplicity -- synthesis tools. Ensure they are correct for your synthesis tool(s). LIBRARY ieee; USE ieee.std_logic_1164.ALL; -- synthesis translate_off LIBRARY XilinxCoreLib; -- synthesis translate_on ENTITY Instruct_Memory IS PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END Instruct_Memory; ARCHITECTURE Instruct_Memory_a OF Instruct_Memory IS -- synthesis translate_off COMPONENT wrapped_Instruct_Memory PORT ( clka : IN STD_LOGIC; wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0); addra : IN STD_LOGIC_VECTOR(4 DOWNTO 0); dina : IN STD_LOGIC_VECTOR(15 DOWNTO 0); clkb : IN STD_LOGIC; addrb : IN STD_LOGIC_VECTOR(4 DOWNTO 0); doutb : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) ); END COMPONENT; -- Configuration specification FOR ALL : wrapped_Instruct_Memory USE ENTITY XilinxCoreLib.blk_mem_gen_v7_3(behavioral) GENERIC MAP ( c_addra_width => 5, c_addrb_width => 5, c_algorithm => 1, c_axi_id_width => 4, c_axi_slave_type => 0, c_axi_type => 1, c_byte_size => 9, c_common_clk => 0, c_default_data => "0", c_disable_warn_bhv_coll => 0, c_disable_warn_bhv_range => 0, c_enable_32bit_address => 0, c_family => "spartan3", c_has_axi_id => 0, c_has_ena => 0, c_has_enb => 0, c_has_injecterr => 0, c_has_mem_output_regs_a => 0, c_has_mem_output_regs_b => 0, c_has_mux_output_regs_a => 0, c_has_mux_output_regs_b => 0, c_has_regcea => 0, c_has_regceb => 0, c_has_rsta => 0, c_has_rstb => 0, c_has_softecc_input_regs_a => 0, c_has_softecc_output_regs_b => 0, c_init_file => "BlankString", c_init_file_name => "Instruct_Memory.mif", c_inita_val => "0", c_initb_val => "0", c_interface_type => 0, c_load_init_file => 1, c_mem_type => 1, c_mux_pipeline_stages => 0, c_prim_type => 1, c_read_depth_a => 32, c_read_depth_b => 32, c_read_width_a => 16, c_read_width_b => 16, c_rst_priority_a => "CE", c_rst_priority_b => "CE", c_rst_type => "SYNC", c_rstram_a => 0, c_rstram_b => 0, c_sim_collision_check => "ALL", c_use_bram_block => 0, c_use_byte_wea => 0, c_use_byte_web => 0, c_use_default_data => 0, c_use_ecc => 0, c_use_softecc => 0, c_wea_width => 1, c_web_width => 1, c_write_depth_a => 32, c_write_depth_b => 32, c_write_mode_a => "WRITE_FIRST", c_write_mode_b => "WRITE_FIRST", c_write_width_a => 16, c_write_width_b => 16, c_xdevicefamily => "spartan3e" ); -- synthesis translate_on BEGIN -- synthesis translate_off U0 : wrapped_Instruct_Memory PORT MAP ( clka => clka, wea => wea, addra => addra, dina => dina, clkb => clkb, addrb => addrb, doutb => doutb ); -- synthesis translate_on END Instruct_Memory_a;
mit
e1b97d5c74ec66110c1afdf41fefb27c
0.538317
3.96339
false
false
false
false
michel-castan/LILASHOME
doc/index_168.vhd
1
1,453
-------------------------------------------- -- généré par LILASV4 -- -------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.Numeric_Std.all; use IEEE.std_logic_unsigned.all; entity tst_code_logic_Memoire is port( adr : IN std_logic_vector(3 downto 0) := "UUUU"; we : IN std_logic := 'U'; clk : IN std_logic := 'U'; din : IN std_logic_vector(7 downto 0) := "UUUUUUUU"; dout : OUT std_logic_vector(7 downto 0) := "UUUUUUUU"); end entity tst_code_logic_Memoire; architecture a_tst_code_logic_Memoire of tst_code_logic_Memoire is -- déclaration des variables modules -- déclaration des signaux internes type mem_type is array (0 to 16-1) of std_logic_vector(8-1 downto 0); signal mem : mem_type := ( 0 => "11111111", 1 => "11111110", 2 => "11111101", 3 => "11111100", 4 => "11111011", 5 => "11111010", 6 => "11111001", 7 => "11111000", 8 => "11110111", 9 => "11110110", 10 => "11110101", 11 => "11110100", 12 => "11110011", 13 => "11110010", 14 => "11110001", 15 => "11110000", others => (others => 'U')); -- déclaration des variables locales signal ldl1375940957581 : std_logic := '1'; begin process (clk, we, adr) begin if (clk'EVENT and clk='1' and we=ldl1375940957581) then mem(conv_integer(adr)) <= din; end if; dout <= mem(conv_integer(adr)); end process; end architecture a_tst_code_logic_Memoire;
apache-2.0
4b3a8200cc2fcc4acda7ab33e158ca70
0.583967
3.027197
false
false
false
false
Given-Jiang/Test_Pattern_Generator
DSPBuilder_Test_Pattern_Generator_import/StateMachineEditor.vhd
1
4,038
-- Copyright (C) 1991-2013 Altera Corporation -- Your use of Altera Corporation's design tools, logic functions -- and other software and tools, and its AMPP partner logic -- functions, and any output files from any of the foregoing -- (including device programming or simulation files), and any -- associated documentation or information are expressly subject -- to the terms and conditions of the Altera Program License -- Subscription Agreement, Altera MegaCore Function License -- Agreement, or other applicable license agreement, including, -- without limitation, that your use is for the sole purpose of -- programming logic devices manufactured by Altera and sold by -- Altera or its authorized distributors. Please refer to the -- applicable agreement for further details. -- Generated by Quartus II Version 13.1.0 Build 162 10/23/2013 SJ Full Version -- Created on Wed Feb 11 10:17:50 2015 LIBRARY ieee; USE ieee.std_logic_1164.all; ENTITY StateMachineEditor IS PORT ( clock : IN STD_LOGIC; reset : IN STD_LOGIC := '0'; ready : IN STD_LOGIC := '0'; counter : IN STD_LOGIC_VECTOR(23 DOWNTO 0) := "000000000000000000000000"; data_end : IN STD_LOGIC := '0'; state : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); END StateMachineEditor; ARCHITECTURE BEHAVIOR OF StateMachineEditor IS TYPE type_fstate IS (CTRL,DATA,IDLE); SIGNAL fstate : type_fstate; SIGNAL reg_fstate : type_fstate; BEGIN PROCESS (clock,reg_fstate) BEGIN IF (clock='1' AND clock'event) THEN fstate <= reg_fstate; END IF; END PROCESS; PROCESS (fstate,reset,ready,counter,data_end) BEGIN IF (reset='1') THEN reg_fstate <= IDLE; state <= "000"; ELSE state <= "000"; CASE fstate IS WHEN CTRL => IF (((ready = '0') OR (counter(23 DOWNTO 0) = "000000000000000000000011"))) THEN reg_fstate <= IDLE; ELSIF (((ready = '1') AND (counter(23 DOWNTO 0) < "000000000000000000000011"))) THEN reg_fstate <= CTRL; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= CTRL; END IF; state <= "001"; WHEN DATA => IF (((ready = '0') OR ((ready = '1') AND (data_end = '1')))) THEN reg_fstate <= IDLE; ELSIF (((ready = '1') AND (data_end = '0'))) THEN reg_fstate <= DATA; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= DATA; END IF; state <= "010"; WHEN IDLE => IF (((ready = '1') AND (counter(23 DOWNTO 0) > "000000000000000000000011"))) THEN reg_fstate <= DATA; ELSIF (((ready = '1') AND (counter(23 DOWNTO 0) <= "000000000000000000000011"))) THEN reg_fstate <= CTRL; ELSIF ((ready = '0')) THEN reg_fstate <= IDLE; -- Inserting 'else' block to prevent latch inference ELSE reg_fstate <= IDLE; END IF; IF ((counter(23 DOWNTO 0) /= "000000000000000000000000")) THEN state <= "000"; ELSIF ((counter(23 DOWNTO 0) = "000000000000000000000000")) THEN state <= "100"; -- Inserting 'else' block to prevent latch inference ELSE state <= "000"; END IF; WHEN OTHERS => state <= "XXX"; report "Reach undefined state"; END CASE; END IF; END PROCESS; END BEHAVIOR;
mit
067dedde300579c0d80df432b5b1be8e
0.521298
4.985185
false
false
false
false
nulldozer/purisc
top_level_tb.vhd
2
1,450
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity top_level_tb is end; architecture test of top_level_tb is component top_level PORT( --ONLY PHY CONNECTIONS IN TOP LEVEL CLOCK_50 : IN STD_LOGIC; SW : IN STD_LOGIC_VECTOR(17 downto 0); HEX0, HEX1, HEX2, HEX3, HEX4, HEX5, HEX6, HEX7 : OUT std_logic_vector(6 downto 0) ); end component; constant clk_period : time := 20ns; signal CLOCK_50 : std_logic; signal SW : std_logic_vector(17 downto 0); signal HEX0 : std_logic_vector(6 downto 0); signal HEX1 : std_logic_vector(6 downto 0); signal HEX2 : std_logic_vector(6 downto 0); signal HEX3 : std_logic_vector(6 downto 0); signal HEX4 : std_logic_vector(6 downto 0); signal HEX5 : std_logic_vector(6 downto 0); signal HEX6 : std_logic_vector(6 downto 0); signal HEX7 : std_logic_vector(6 downto 0); begin uut : top_level PORT MAP( CLOCK_50 => CLOCK_50, SW => SW, HEX0 => HEX0, HEX1 => HEX1, HEX2 => HEX2, HEX3 => HEX3, HEX4 => HEX4, HEX5 => HEX5, HEX6 => HEX6, HEX7 => HEX7 ); clk_process : process begin CLOCK_50 <= '1'; wait for clk_period/2; CLOCK_50 <= '0'; wait for clk_period/2; end process; stim_process : process begin SW(17) <= '0'; wait for clk_period*2; SW(17) <= '1'; wait; end process; end;
gpl-2.0
8b59bfe783064139cdfe77d34739480c
0.588276
2.859961
false
false
false
false
straywarrior/MadeCPUin21days
ALU.vhd
1
2,250
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 11:18:33 11/14/2015 -- Design Name: -- Module Name: ALU - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ALU is Port ( op : in STD_LOGIC_VECTOR (3 downto 0); A : in STD_LOGIC_VECTOR (15 downto 0); B : in STD_LOGIC_VECTOR (15 downto 0); result : out STD_LOGIC_VECTOR (15 downto 0)); end ALU; architecture Behavioral of ALU is begin process (A, B, op) begin case op is when "0000" => --add result <= A + B; when "0001" => --sub result <= A - B; when "0100" => --AND result <= A and B; when "0101" => --OR result <= A or B; when "0110" => --XOR result <= A xor B; when "0111" => --NOT result <= not B; when "1000" => --SLL result <= TO_STDLOGICVECTOR(TO_BITVECTOR(A) SLL CONV_INTEGER(unsigned(B))); when "1010" => --SRL result <= TO_STDLOGICVECTOR(TO_BITVECTOR(A) SRL CONV_INTEGER(unsigned(B))); when "1011" => --SRA result <= TO_STDLOGICVECTOR(TO_BITVECTOR(A) SRA CONV_INTEGER(unsigned(B))); --when "1100" => --ROL --result <= TO_STDLOGICVECTOR(TO_BITVECTOR(A) ROL CONV_INTEGER(unsigned(B))); when others => result <= A; end case; end process; end Behavioral;
gpl-2.0
d6c97c48ed810c60e04c59c8cd67db13
0.512889
4.105839
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_cresample_0_0/sim/tutorial_v_cresample_0_0.vhd
1
8,730
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_cresample:4.0 -- IP Revision: 5 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_cresample_v4_0; USE v_cresample_v4_0.v_cresample; ENTITY tutorial_v_cresample_0_0 IS PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC ); END tutorial_v_cresample_0_0; ARCHITECTURE tutorial_v_cresample_0_0_arch OF tutorial_v_cresample_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_cresample_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_cresample IS GENERIC ( C_S_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_DATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_M_AXIS_VIDEO_TDATA_WIDTH : INTEGER; C_S_AXIS_VIDEO_FORMAT : INTEGER; C_M_AXIS_VIDEO_FORMAT : INTEGER; C_S_AXI_CLK_FREQ_HZ : INTEGER; C_HAS_AXI4_LITE : INTEGER; C_HAS_INTC_IF : INTEGER; C_HAS_DEBUG : INTEGER; C_FAMILY : STRING; C_MAX_COLS : INTEGER; C_ACTIVE_COLS : INTEGER; C_ACTIVE_ROWS : INTEGER; C_CHROMA_PARITY : INTEGER; C_FIELD_PARITY : INTEGER; C_INTERLACED : INTEGER; C_NUM_H_TAPS : INTEGER; C_NUM_V_TAPS : INTEGER; C_CONVERT_TYPE : INTEGER; C_COEF_WIDTH : INTEGER ); PORT ( aclk : IN STD_LOGIC; aclken : IN STD_LOGIC; aresetn : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(8 DOWNTO 0); irq : OUT STD_LOGIC; s_axis_video_tdata : IN STD_LOGIC_VECTOR(23 DOWNTO 0); s_axis_video_tvalid : IN STD_LOGIC; s_axis_video_tready : OUT STD_LOGIC; s_axis_video_tuser : IN STD_LOGIC; s_axis_video_tlast : IN STD_LOGIC; m_axis_video_tdata : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); m_axis_video_tvalid : OUT STD_LOGIC; m_axis_video_tready : IN STD_LOGIC; m_axis_video_tuser : OUT STD_LOGIC; m_axis_video_tlast : OUT STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC ); END COMPONENT v_cresample; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TUSER"; ATTRIBUTE X_INTERFACE_INFO OF s_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_in TLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_video_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 video_out TLAST"; BEGIN U0 : v_cresample GENERIC MAP ( C_S_AXIS_VIDEO_DATA_WIDTH => 8, C_M_AXIS_VIDEO_DATA_WIDTH => 8, C_S_AXIS_VIDEO_TDATA_WIDTH => 24, C_M_AXIS_VIDEO_TDATA_WIDTH => 16, C_S_AXIS_VIDEO_FORMAT => 1, C_M_AXIS_VIDEO_FORMAT => 0, C_S_AXI_CLK_FREQ_HZ => 100000000, C_HAS_AXI4_LITE => 0, C_HAS_INTC_IF => 0, C_HAS_DEBUG => 0, C_FAMILY => "zynq", C_MAX_COLS => 1920, C_ACTIVE_COLS => 1920, C_ACTIVE_ROWS => 1080, C_CHROMA_PARITY => 1, C_FIELD_PARITY => 1, C_INTERLACED => 0, C_NUM_H_TAPS => 3, C_NUM_V_TAPS => 0, C_CONVERT_TYPE => 2, C_COEF_WIDTH => 16 ) PORT MAP ( aclk => aclk, aclken => aclken, aresetn => aresetn, s_axi_aclk => '0', s_axi_aclken => '1', s_axi_aresetn => '1', s_axis_video_tdata => s_axis_video_tdata, s_axis_video_tvalid => s_axis_video_tvalid, s_axis_video_tready => s_axis_video_tready, s_axis_video_tuser => s_axis_video_tuser, s_axis_video_tlast => s_axis_video_tlast, m_axis_video_tdata => m_axis_video_tdata, m_axis_video_tvalid => m_axis_video_tvalid, m_axis_video_tready => m_axis_video_tready, m_axis_video_tuser => m_axis_video_tuser, m_axis_video_tlast => m_axis_video_tlast, s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_awvalid => '0', s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)), s_axi_wvalid => '0', s_axi_bready => '0', s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 9)), s_axi_arvalid => '0', s_axi_rready => '0' ); END tutorial_v_cresample_0_0_arch;
gpl-2.0
c15c9d8d670b192011a86da56e6c2755
0.669759
3.324448
false
false
false
false
pc2/PivPav
pivpav/misc/vhdl/test.vhdl
1
36,302
-------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32_DualSubClose -- (IntDualSub_35) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Bogdan Pasca (2008) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32_DualSubClose is port ( clk, rst : in std_logic; X : in std_logic_vector(34 downto 0); Y : in std_logic_vector(34 downto 0); RxMy : out std_logic_vector(34 downto 0); RyMx : out std_logic_vector(34 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32_DualSubClose is signal X0 : std_logic_vector(29 downto 0); signal Y0 : std_logic_vector(29 downto 0); signal X1 : std_logic_vector(4 downto 0); signal Y1 : std_logic_vector(4 downto 0); signal xMycin1r0 : std_logic_vector(30 downto 0); signal xMycin1r0_d : std_logic_vector(30 downto 0); signal yMxcin1r0 : std_logic_vector(30 downto 0); signal yMxcin1r0_d : std_logic_vector(30 downto 0); signal xMyr0 : std_logic_vector(29 downto 0); signal yMxr0 : std_logic_vector(29 downto 0); signal xMyr1 : std_logic_vector(4 downto 0); signal yMxr1 : std_logic_vector(4 downto 0); signal sX0 : std_logic_vector(29 downto 0); signal sY0 : std_logic_vector(29 downto 0); signal cin0 : std_logic; signal sX1 : std_logic_vector(4 downto 0); signal sX1_d : std_logic_vector(4 downto 0); signal sY1 : std_logic_vector(4 downto 0); signal sY1_d : std_logic_vector(4 downto 0); begin X0 <= X(29 downto 0); Y0 <= Y(29 downto 0); X1 <= X(34 downto 30); Y1 <= Y(34 downto 30); sX0 <= X0; sY0 <= Y0; cin0 <= '1'; sX1 <= X1; sY1 <= Y1; xMycin1r0 <= ("0" & sX0) + ("0" & not(sY0)) + cin0; yMxcin1r0 <= ("0" & not(sX0)) + ("0" & sY0) + cin0; xMyr0 <= xMycin1r0_d(29 downto 0); xMyr1 <= sX1_d + not(sY1_d) + xMycin1r0_d(30); yMxr0 <= yMxcin1r0_d(29 downto 0); yMxr1 <= not(sX1_d) + sY1_d + yMxcin1r0_d(30); RxMy <= xMyr1 & xMyr0; RyMx <= yMxr1 & yMxr0; process(clk) begin if clk'event and clk = '1' then xMycin1r0_d <= xMycin1r0; yMxcin1r0_d <= yMxcin1r0; sX1_d <= sX1; sY1_d <= sY1; end if; end process; end architecture; -------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32_LZCShifter -- (LZCShifter_34_to_34_counting_64) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Florent de Dinechin, Bogdan Pasca (2007) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32_LZCShifter is port ( clk, rst : in std_logic; I : in std_logic_vector(33 downto 0); Count : out std_logic_vector(5 downto 0); O : out std_logic_vector(33 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32_LZCShifter is signal level6, level6_d1 : std_logic_vector(33 downto 0); signal count5, count5_d1, count5_d2, count5_d3, count5_d4, count5_d5, count5_d6 : std_logic; signal level5, level5_d1 : std_logic_vector(33 downto 0); signal count4, count4_d1, count4_d2, count4_d3, count4_d4, count4_d5 : std_logic; signal level4, level4_d1 : std_logic_vector(33 downto 0); signal count3, count3_d1, count3_d2, count3_d3, count3_d4 : std_logic; signal level3, level3_d1 : std_logic_vector(33 downto 0); signal count2, count2_d1, count2_d2, count2_d3 : std_logic; signal level2, level2_d1 : std_logic_vector(33 downto 0); signal count1, count1_d1, count1_d2 : std_logic; signal level1, level1_d1 : std_logic_vector(33 downto 0); signal count0, count0_d1 : std_logic; signal level0 : std_logic_vector(33 downto 0); signal sCount : std_logic_vector(5 downto 0); begin process(clk) begin if clk'event and clk = '1' then level6_d1 <= level6; count5_d1 <= count5; count5_d2 <= count5_d1; count5_d3 <= count5_d2; count5_d4 <= count5_d3; count5_d5 <= count5_d4; count5_d6 <= count5_d5; level5_d1 <= level5; count4_d1 <= count4; count4_d2 <= count4_d1; count4_d3 <= count4_d2; count4_d4 <= count4_d3; count4_d5 <= count4_d4; level4_d1 <= level4; count3_d1 <= count3; count3_d2 <= count3_d1; count3_d3 <= count3_d2; count3_d4 <= count3_d3; level3_d1 <= level3; count2_d1 <= count2; count2_d2 <= count2_d1; count2_d3 <= count2_d2; level2_d1 <= level2; count1_d1 <= count1; count1_d2 <= count1_d1; level1_d1 <= level1; count0_d1 <= count0; end if; end process; level6 <= I ; count5<= '1' when level6(33 downto 2) = (33 downto 2=>'0') else '0'; ----------------Synchro barrier, entering cycle 1---------------- level5<= level6_d1(33 downto 0) when count5_d1='0' else level6_d1(1 downto 0) & (31 downto 0 => '0'); count4<= '1' when level5(33 downto 18) = (33 downto 18=>'0') else '0'; ----------------Synchro barrier, entering cycle 2---------------- level4<= level5_d1(33 downto 0) when count4_d1='0' else level5_d1(17 downto 0) & (15 downto 0 => '0'); count3<= '1' when level4(33 downto 26) = (33 downto 26=>'0') else '0'; ----------------Synchro barrier, entering cycle 3---------------- level3<= level4_d1(33 downto 0) when count3_d1='0' else level4_d1(25 downto 0) & (7 downto 0 => '0'); count2<= '1' when level3(33 downto 30) = (33 downto 30=>'0') else '0'; ----------------Synchro barrier, entering cycle 4---------------- level2<= level3_d1(33 downto 0) when count2_d1='0' else level3_d1(29 downto 0) & (3 downto 0 => '0'); count1<= '1' when level2(33 downto 32) = (33 downto 32=>'0') else '0'; ----------------Synchro barrier, entering cycle 5---------------- level1<= level2_d1(33 downto 0) when count1_d1='0' else level2_d1(31 downto 0) & (1 downto 0 => '0'); count0<= '1' when level1(33 downto 33) = (33 downto 33=>'0') else '0'; ----------------Synchro barrier, entering cycle 6---------------- level0<= level1_d1(33 downto 0) when count0_d1='0' else level1_d1(32 downto 0) & (0 downto 0 => '0'); O <= level0; sCount <= count5_d6 & count4_d5 & count3_d4 & count2_d3 & count1_d2 & count0_d1; Count <= CONV_STD_LOGIC_VECTOR(34,6) when sCount=CONV_STD_LOGIC_VECTOR(63,6) else sCount; end architecture; -------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32_RightShifter -- (RightShifter_33_by_max_35) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Florent de Dinechin, Bogdan Pasca (2007,2008,2009) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32_RightShifter is port ( clk, rst : in std_logic; X : in std_logic_vector(32 downto 0); S : in std_logic_vector(5 downto 0); R : out std_logic_vector(67 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32_RightShifter is signal level0 : std_logic_vector(32 downto 0); signal ps, ps_d1, ps_d2 : std_logic_vector(5 downto 0); signal level1 : std_logic_vector(33 downto 0); signal level2, level2_d1 : std_logic_vector(35 downto 0); signal level3 : std_logic_vector(39 downto 0); signal level4 : std_logic_vector(47 downto 0); signal level5, level5_d1 : std_logic_vector(63 downto 0); signal level6 : std_logic_vector(95 downto 0); begin process(clk) begin if clk'event and clk = '1' then ps_d1 <= ps; ps_d2 <= ps_d1; level2_d1 <= level2; level5_d1 <= level5; end if; end process; level0<= X; ps<= S; level1<= (0 downto 0 => '0') & level0 when ps(0) = '1' else level0 & (0 downto 0 => '0'); level2<= (1 downto 0 => '0') & level1 when ps(1) = '1' else level1 & (1 downto 0 => '0'); ----------------Synchro barrier, entering cycle 1---------------- level3<= (3 downto 0 => '0') & level2_d1 when ps_d1(2) = '1' else level2_d1 & (3 downto 0 => '0'); level4<= (7 downto 0 => '0') & level3 when ps_d1(3) = '1' else level3 & (7 downto 0 => '0'); level5<= (15 downto 0 => '0') & level4 when ps_d1(4) = '1' else level4 & (15 downto 0 => '0'); ----------------Synchro barrier, entering cycle 2---------------- level6<= (31 downto 0 => '0') & level5_d1 when ps_d2(5) = '1' else level5_d1 & (31 downto 0 => '0'); R <= level6(95 downto 28); end architecture; -------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32_fracAddFar -- (IntAdder_36) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Florent de Dinechin, Bogdan Pasca (2007, 2008) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32_fracAddFar is port ( clk, rst : in std_logic; X : in std_logic_vector(35 downto 0); Y : in std_logic_vector(35 downto 0); Cin : in std_logic; R : out std_logic_vector(35 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32_fracAddFar is signal X0 : std_logic_vector(29 downto 0); signal Y0 : std_logic_vector(29 downto 0); signal Carry : std_logic; signal X1 : std_logic_vector(5 downto 0); signal Y1 : std_logic_vector(5 downto 0); signal cin1R0 : std_logic_vector(30 downto 0); signal cin1R0_d : std_logic_vector(30 downto 0); signal R0 : std_logic_vector(29 downto 0); signal R1 : std_logic_vector(5 downto 0); signal sX0 : std_logic_vector(29 downto 0); signal sY0 : std_logic_vector(29 downto 0); signal cin0 : std_logic; signal sX1 : std_logic_vector(5 downto 0); signal sX1_d : std_logic_vector(5 downto 0); signal sY1 : std_logic_vector(5 downto 0); signal sY1_d : std_logic_vector(5 downto 0); begin Carry <= Cin; X0 <= X(29 downto 0); Y0 <= Y(29 downto 0); X1 <= X(35 downto 30); Y1 <= Y(35 downto 30); sX0 <= X0; sY0 <= Y0; cin0 <= Carry; sX1 <= X1; sY1 <= Y1; cin1R0 <= ("0" & sX0) + ("0" & sY0) + cin0; R0 <= cin1R0_d(29 downto 0); R1 <= sX1_d + sY1_d + cin1R0_d(30); R <= R1 & R0; process(clk) begin if clk'event and clk = '1' then cin1R0_d <= cin1R0; sX1_d <= sX1; sY1_d <= sY1; end if; end process; end architecture; -------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32_finalRoundAdd -- (IntAdder_66) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Florent de Dinechin, Bogdan Pasca (2007, 2008) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32_finalRoundAdd is port ( clk, rst : in std_logic; X : in std_logic_vector(65 downto 0); Y : in std_logic_vector(65 downto 0); Cin : in std_logic; R : out std_logic_vector(65 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32_finalRoundAdd is signal X0 : std_logic_vector(29 downto 0); signal Y0 : std_logic_vector(29 downto 0); signal Carry : std_logic; signal X1 : std_logic_vector(28 downto 0); signal Y1 : std_logic_vector(28 downto 0); signal X2 : std_logic_vector(6 downto 0); signal Y2 : std_logic_vector(6 downto 0); signal cin1R0 : std_logic_vector(30 downto 0); signal cin1R0_d : std_logic_vector(30 downto 0); signal cin2R1 : std_logic_vector(29 downto 0); signal cin2R1_d : std_logic_vector(29 downto 0); signal R0 : std_logic_vector(29 downto 0); signal R0_d : std_logic_vector(29 downto 0); signal R1 : std_logic_vector(28 downto 0); signal R2 : std_logic_vector(6 downto 0); signal sX0 : std_logic_vector(29 downto 0); signal sY0 : std_logic_vector(29 downto 0); signal cin0 : std_logic; signal sX1 : std_logic_vector(28 downto 0); signal sX1_d : std_logic_vector(28 downto 0); signal sY1 : std_logic_vector(28 downto 0); signal sY1_d : std_logic_vector(28 downto 0); signal sX2 : std_logic_vector(6 downto 0); signal sX2_d : std_logic_vector(6 downto 0); signal sX2_d_d : std_logic_vector(6 downto 0); signal sY2 : std_logic_vector(6 downto 0); signal sY2_d : std_logic_vector(6 downto 0); signal sY2_d_d : std_logic_vector(6 downto 0); begin Carry <= Cin; X0 <= X(29 downto 0); Y0 <= Y(29 downto 0); X1 <= X(58 downto 30); Y1 <= Y(58 downto 30); X2 <= X(65 downto 59); Y2 <= Y(65 downto 59); sX0 <= X0; sY0 <= Y0; cin0 <= Carry; sX1 <= X1; sY1 <= Y1; sX2 <= X2; sY2 <= Y2; cin1R0 <= ("0" & sX0) + ("0" & sY0) + cin0; cin2R1 <= ( "0" & sX1_d) + ( "0" & sY1_d) + cin1R0_d(30); R0 <= cin1R0_d(29 downto 0); R1 <= cin2R1_d(28 downto 0); R2 <= sX2_d_d + sY2_d_d + cin2R1_d(29); R <= R2 & R1 & R0_d; process(clk) begin if clk'event and clk = '1' then cin1R0_d <= cin1R0; cin2R1_d <= cin2R1; R0_d <= R0; sX1_d <= sX1; sY1_d <= sY1; sX2_d <= sX2; sX2_d_d <= sX2_d; sY2_d <= sY2; sY2_d_d <= sY2_d; end if; end process; end architecture; -------------------------------------------------------------------------------- -- FPAdder_32_32_32_32_32_32 -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Bogdan Pasca, Florent de Dinechin (2008) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity FPAdder_32_32_32_32_32_32 is port ( clk, rst : in std_logic; X : in std_logic_vector(32+32+2 downto 0); Y : in std_logic_vector(32+32+2 downto 0); R : out std_logic_vector(32+32+2 downto 0) ); end entity; architecture arch of FPAdder_32_32_32_32_32_32 is component FPAdder_32_32_32_32_32_32_DualSubClose is port ( clk, rst : in std_logic; X : in std_logic_vector(34 downto 0); Y : in std_logic_vector(34 downto 0); RxMy : out std_logic_vector(34 downto 0); RyMx : out std_logic_vector(34 downto 0) ); end component; component FPAdder_32_32_32_32_32_32_LZCShifter is port ( clk, rst : in std_logic; I : in std_logic_vector(33 downto 0); Count : out std_logic_vector(5 downto 0); O : out std_logic_vector(33 downto 0) ); end component; component FPAdder_32_32_32_32_32_32_RightShifter is port ( clk, rst : in std_logic; X : in std_logic_vector(32 downto 0); S : in std_logic_vector(5 downto 0); R : out std_logic_vector(67 downto 0) ); end component; component FPAdder_32_32_32_32_32_32_finalRoundAdd is port ( clk, rst : in std_logic; X : in std_logic_vector(65 downto 0); Y : in std_logic_vector(65 downto 0); Cin : in std_logic; R : out std_logic_vector(65 downto 0) ); end component; component FPAdder_32_32_32_32_32_32_fracAddFar is port ( clk, rst : in std_logic; X : in std_logic_vector(35 downto 0); Y : in std_logic_vector(35 downto 0); Cin : in std_logic; R : out std_logic_vector(35 downto 0) ); end component; signal inX : std_logic_vector(66 downto 0); signal inY : std_logic_vector(66 downto 0); signal exceptionXSuperiorY : std_logic; signal exceptionXEqualY : std_logic; signal signedExponentX : std_logic_vector(32 downto 0); signal signedExponentY : std_logic_vector(32 downto 0); signal exponentDifferenceXY : std_logic_vector(32 downto 0); signal exponentDifferenceYX : std_logic_vector(31 downto 0); signal swap : std_logic; signal newX, newX_d1, newX_d2, newX_d3, newX_d4, newX_d5, newX_d6, newX_d7, newX_d8, newX_d9, newX_d10, newX_d11, newX_d12, newX_d13, newX_d14 : std_logic_vector(66 downto 0); signal newY, newY_d1 : std_logic_vector(66 downto 0); signal exponentDifference, exponentDifference_d1 : std_logic_vector(31 downto 0); signal shiftedOut : std_logic; signal shiftVal, shiftVal_d1 : std_logic_vector(5 downto 0); signal EffSub, EffSub_d1, EffSub_d2, EffSub_d3, EffSub_d4, EffSub_d5, EffSub_d6, EffSub_d7, EffSub_d8, EffSub_d9, EffSub_d10, EffSub_d11, EffSub_d12, EffSub_d13 : std_logic; signal selectClosePath, selectClosePath_d1, selectClosePath_d2, selectClosePath_d3, selectClosePath_d4, selectClosePath_d5, selectClosePath_d6, selectClosePath_d7, selectClosePath_d8, selectClosePath_d9, selectClosePath_d10 : std_logic; signal sdExnXY, sdExnXY_d1, sdExnXY_d2, sdExnXY_d3, sdExnXY_d4, sdExnXY_d5, sdExnXY_d6, sdExnXY_d7, sdExnXY_d8, sdExnXY_d9, sdExnXY_d10, sdExnXY_d11, sdExnXY_d12, sdExnXY_d13 : std_logic_vector(3 downto 0); signal pipeSignY, pipeSignY_d1, pipeSignY_d2, pipeSignY_d3, pipeSignY_d4, pipeSignY_d5, pipeSignY_d6, pipeSignY_d7, pipeSignY_d8, pipeSignY_d9, pipeSignY_d10, pipeSignY_d11, pipeSignY_d12, pipeSignY_d13 : std_logic; signal fracXClose1 : std_logic_vector(34 downto 0); signal fracYClose1 : std_logic_vector(34 downto 0); signal fracRClosexMy, fracRClosexMy_d1 : std_logic_vector(34 downto 0); signal fracRCloseyMx, fracRCloseyMx_d1 : std_logic_vector(34 downto 0); signal fracSignClose : std_logic; signal fracRClose1 : std_logic_vector(33 downto 0); signal resSign, resSign_d1, resSign_d2, resSign_d3, resSign_d4, resSign_d5, resSign_d6, resSign_d7, resSign_d8, resSign_d9, resSign_d10, resSign_d11 : std_logic; signal nZerosNew, nZerosNew_d1 : std_logic_vector(5 downto 0); signal shiftedFrac, shiftedFrac_d1, shiftedFrac_d2 : std_logic_vector(33 downto 0); signal roundClose0, roundClose0_d1 : std_logic; signal resultCloseIsZero0, resultCloseIsZero0_d1 : std_logic; signal exponentResultClose, exponentResultClose_d1 : std_logic_vector(33 downto 0); signal resultBeforeRoundClose : std_logic_vector(65 downto 0); signal roundClose : std_logic; signal resultCloseIsZero : std_logic; signal fracNewY : std_logic_vector(32 downto 0); signal shiftedFracY, shiftedFracY_d1, shiftedFracY_d2 : std_logic_vector(67 downto 0); signal sticky, sticky_d1, sticky_d2, sticky_d3 : std_logic; signal fracYfar : std_logic_vector(35 downto 0); signal fracYfarXorOp : std_logic_vector(35 downto 0); signal fracXfar : std_logic_vector(35 downto 0); signal cInAddFar : std_logic; signal fracResultfar0, fracResultfar0_d1 : std_logic_vector(35 downto 0); signal fracResultFarNormStage : std_logic_vector(35 downto 0); signal fracLeadingBits : std_logic_vector(1 downto 0); signal fracResultFar1, fracResultFar1_d1 : std_logic_vector(31 downto 0); signal fracResultRoundBit : std_logic; signal fracResultStickyBit : std_logic; signal roundFar1, roundFar1_d1 : std_logic; signal expOperationSel : std_logic_vector(1 downto 0); signal exponentUpdate : std_logic_vector(33 downto 0); signal exponentResultfar0 : std_logic_vector(33 downto 0); signal exponentResultFar1, exponentResultFar1_d1 : std_logic_vector(33 downto 0); signal resultBeforeRoundFar, resultBeforeRoundFar_d1, resultBeforeRoundFar_d2, resultBeforeRoundFar_d3 : std_logic_vector(65 downto 0); signal roundFar, roundFar_d1, roundFar_d2, roundFar_d3 : std_logic; signal syncClose : std_logic; signal resultBeforeRound : std_logic_vector(65 downto 0); signal round : std_logic; signal zeroFromClose, zeroFromClose_d1, zeroFromClose_d2, zeroFromClose_d3 : std_logic; signal resultRounded, resultRounded_d1 : std_logic_vector(65 downto 0); signal syncEffSub : std_logic; signal syncX : std_logic_vector(66 downto 0); signal syncSignY : std_logic; signal syncResSign : std_logic; signal UnderflowOverflow : std_logic_vector(1 downto 0); signal resultNoExn : std_logic_vector(66 downto 0); signal syncExnXY : std_logic_vector(3 downto 0); signal exnR : std_logic_vector(1 downto 0); signal sgnR : std_logic; signal expsigR : std_logic_vector(63 downto 0); begin process(clk) begin if clk'event and clk = '1' then newX_d1 <= newX; newX_d2 <= newX_d1; newX_d3 <= newX_d2; newX_d4 <= newX_d3; newX_d5 <= newX_d4; newX_d6 <= newX_d5; newX_d7 <= newX_d6; newX_d8 <= newX_d7; newX_d9 <= newX_d8; newX_d10 <= newX_d9; newX_d11 <= newX_d10; newX_d12 <= newX_d11; newX_d13 <= newX_d12; newX_d14 <= newX_d13; newY_d1 <= newY; exponentDifference_d1 <= exponentDifference; shiftVal_d1 <= shiftVal; EffSub_d1 <= EffSub; EffSub_d2 <= EffSub_d1; EffSub_d3 <= EffSub_d2; EffSub_d4 <= EffSub_d3; EffSub_d5 <= EffSub_d4; EffSub_d6 <= EffSub_d5; EffSub_d7 <= EffSub_d6; EffSub_d8 <= EffSub_d7; EffSub_d9 <= EffSub_d8; EffSub_d10 <= EffSub_d9; EffSub_d11 <= EffSub_d10; EffSub_d12 <= EffSub_d11; EffSub_d13 <= EffSub_d12; selectClosePath_d1 <= selectClosePath; selectClosePath_d2 <= selectClosePath_d1; selectClosePath_d3 <= selectClosePath_d2; selectClosePath_d4 <= selectClosePath_d3; selectClosePath_d5 <= selectClosePath_d4; selectClosePath_d6 <= selectClosePath_d5; selectClosePath_d7 <= selectClosePath_d6; selectClosePath_d8 <= selectClosePath_d7; selectClosePath_d9 <= selectClosePath_d8; selectClosePath_d10 <= selectClosePath_d9; sdExnXY_d1 <= sdExnXY; sdExnXY_d2 <= sdExnXY_d1; sdExnXY_d3 <= sdExnXY_d2; sdExnXY_d4 <= sdExnXY_d3; sdExnXY_d5 <= sdExnXY_d4; sdExnXY_d6 <= sdExnXY_d5; sdExnXY_d7 <= sdExnXY_d6; sdExnXY_d8 <= sdExnXY_d7; sdExnXY_d9 <= sdExnXY_d8; sdExnXY_d10 <= sdExnXY_d9; sdExnXY_d11 <= sdExnXY_d10; sdExnXY_d12 <= sdExnXY_d11; sdExnXY_d13 <= sdExnXY_d12; pipeSignY_d1 <= pipeSignY; pipeSignY_d2 <= pipeSignY_d1; pipeSignY_d3 <= pipeSignY_d2; pipeSignY_d4 <= pipeSignY_d3; pipeSignY_d5 <= pipeSignY_d4; pipeSignY_d6 <= pipeSignY_d5; pipeSignY_d7 <= pipeSignY_d6; pipeSignY_d8 <= pipeSignY_d7; pipeSignY_d9 <= pipeSignY_d8; pipeSignY_d10 <= pipeSignY_d9; pipeSignY_d11 <= pipeSignY_d10; pipeSignY_d12 <= pipeSignY_d11; pipeSignY_d13 <= pipeSignY_d12; fracRClosexMy_d1 <= fracRClosexMy; fracRCloseyMx_d1 <= fracRCloseyMx; resSign_d1 <= resSign; resSign_d2 <= resSign_d1; resSign_d3 <= resSign_d2; resSign_d4 <= resSign_d3; resSign_d5 <= resSign_d4; resSign_d6 <= resSign_d5; resSign_d7 <= resSign_d6; resSign_d8 <= resSign_d7; resSign_d9 <= resSign_d8; resSign_d10 <= resSign_d9; resSign_d11 <= resSign_d10; nZerosNew_d1 <= nZerosNew; shiftedFrac_d1 <= shiftedFrac; shiftedFrac_d2 <= shiftedFrac_d1; roundClose0_d1 <= roundClose0; resultCloseIsZero0_d1 <= resultCloseIsZero0; exponentResultClose_d1 <= exponentResultClose; shiftedFracY_d1 <= shiftedFracY; shiftedFracY_d2 <= shiftedFracY_d1; sticky_d1 <= sticky; sticky_d2 <= sticky_d1; sticky_d3 <= sticky_d2; fracResultfar0_d1 <= fracResultfar0; fracResultFar1_d1 <= fracResultFar1; roundFar1_d1 <= roundFar1; exponentResultFar1_d1 <= exponentResultFar1; resultBeforeRoundFar_d1 <= resultBeforeRoundFar; resultBeforeRoundFar_d2 <= resultBeforeRoundFar_d1; resultBeforeRoundFar_d3 <= resultBeforeRoundFar_d2; roundFar_d1 <= roundFar; roundFar_d2 <= roundFar_d1; roundFar_d3 <= roundFar_d2; zeroFromClose_d1 <= zeroFromClose; zeroFromClose_d2 <= zeroFromClose_d1; zeroFromClose_d3 <= zeroFromClose_d2; resultRounded_d1 <= resultRounded; end if; end process; -- Exponent difference and swap -- inX <= X; inY <= Y; exceptionXSuperiorY <= '1' when inX(66 downto 65) >= inY(66 downto 65) else '0'; exceptionXEqualY <= '1' when inX(66 downto 65) = inY(66 downto 65) else '0'; signedExponentX <= "0" & inX(63 downto 32); signedExponentY <= "0" & inY(63 downto 32); exponentDifferenceXY <= signedExponentX - signedExponentY ; exponentDifferenceYX <= signedExponentY(31 downto 0) - signedExponentX(31 downto 0); swap <= (exceptionXEqualY and exponentDifferenceXY(32)) or (not(exceptionXSuperiorY)); newX <= inY when swap = '1' else inX; newY <= inX when swap = '1' else inY; exponentDifference <= exponentDifferenceYX when swap = '1' else exponentDifferenceXY(31 downto 0); shiftedOut <= exponentDifference(31) or exponentDifference(30) or exponentDifference(29) or exponentDifference(28) or exponentDifference(27) or exponentDifference(26) or exponentDifference(25) or exponentDifference(24) or exponentDifference(23) or exponentDifference(22) or exponentDifference(21) or exponentDifference(20) or exponentDifference(19) or exponentDifference(18) or exponentDifference(17) or exponentDifference(16) or exponentDifference(15) or exponentDifference(14) or exponentDifference(13) or exponentDifference(12) or exponentDifference(11) or exponentDifference(10) or exponentDifference(9) or exponentDifference(8) or exponentDifference(7) or exponentDifference(6); shiftVal <= exponentDifference(5 downto 0) when shiftedOut='0' else CONV_STD_LOGIC_VECTOR(35,6) ; ----------------Synchro barrier, entering cycle 1---------------- EffSub <= newX_d1(64) xor newY_d1(64); selectClosePath <= EffSub when exponentDifference_d1(31 downto 1) = (31 downto 1 => '0') else '0'; sdExnXY <= newX_d1(66 downto 65) & newY_d1(66 downto 65); pipeSignY <= newY_d1(64); -- Close Path -- fracXClose1 <= "01" & newX_d1(31 downto 0) & '0'; with exponentDifference_d1(0) select fracYClose1 <= "01" & newY_d1(31 downto 0) & '0' when '0', "001" & newY_d1(31 downto 0) when others; DualSubO: FPAdder_32_32_32_32_32_32_DualSubClose -- pipelineDepth=1 port map ( clk => clk, rst => rst, RxMy => fracRClosexMy, RyMx => fracRCloseyMx, X => fracXClose1, Y => fracYClose1); ----------------Synchro barrier, entering cycle 2---------------- ----------------Synchro barrier, entering cycle 3---------------- fracSignClose <= fracRClosexMy_d1(34); fracRClose1 <= fracRClosexMy_d1(33 downto 0) when fracSignClose='0' else fracRCloseyMx_d1(33 downto 0); resSign <= '0' when selectClosePath_d2='1' and fracRClose1 = (33 downto 0 => '0') else newX_d3(64) xor (selectClosePath_d2 and fracSignClose); LZC_component: FPAdder_32_32_32_32_32_32_LZCShifter -- pipelineDepth=6 port map ( clk => clk, rst => rst, Count => nZerosNew, I => fracRClose1, O => shiftedFrac); ----------------Synchro barrier, entering cycle 9---------------- ----------------Synchro barrier, entering cycle 10---------------- roundClose0 <= shiftedFrac_d1(0) and shiftedFrac_d1(1); resultCloseIsZero0 <= '1' when nZerosNew_d1 = CONV_STD_LOGIC_VECTOR(34, 6) else '0'; exponentResultClose <= ("00" & newX_d10(63 downto 32)) - (CONV_STD_LOGIC_VECTOR(0,28) & nZerosNew_d1); ----------------Synchro barrier, entering cycle 11---------------- resultBeforeRoundClose <= exponentResultClose_d1(33 downto 0) & shiftedFrac_d2(32 downto 1); roundClose <= roundClose0_d1; resultCloseIsZero <= resultCloseIsZero0_d1; -- Far Path -- fracNewY <= '1' & newY_d1(31 downto 0); RightShifterComponent: FPAdder_32_32_32_32_32_32_RightShifter -- pipelineDepth=2 port map ( clk => clk, rst => rst, R => shiftedFracY, S => shiftVal_d1, X => fracNewY); ----------------Synchro barrier, entering cycle 3---------------- ----------------Synchro barrier, entering cycle 4---------------- sticky <= '0' when (shiftedFracY_d1(32 downto 0)=CONV_STD_LOGIC_VECTOR(0,32)) else '1'; ----------------Synchro barrier, entering cycle 5---------------- fracYfar <= "0" & shiftedFracY_d2(67 downto 33); fracYfarXorOp <= fracYfar xor (35 downto 0 => EffSub_d4); fracXfar <= "01" & (newX_d5(31 downto 0)) & "00"; cInAddFar <= EffSub_d4 and not sticky_d1; fracAdderFar: FPAdder_32_32_32_32_32_32_fracAddFar -- pipelineDepth=1 port map ( clk => clk, rst => rst, Cin => cInAddFar, R => fracResultfar0, X => fracXfar, Y => fracYfarXorOp); ----------------Synchro barrier, entering cycle 6---------------- ----------------Synchro barrier, entering cycle 7---------------- -- 2-bit normalisation fracResultFarNormStage <= fracResultfar0_d1; fracLeadingBits <= fracResultFarNormStage(35 downto 34) ; fracResultFar1 <= fracResultFarNormStage(32 downto 1) when fracLeadingBits = "00" else fracResultFarNormStage(33 downto 2) when fracLeadingBits = "01" else fracResultFarNormStage(34 downto 3); fracResultRoundBit <= fracResultFarNormStage(0) when fracLeadingBits = "00" else fracResultFarNormStage(1) when fracLeadingBits = "01" else fracResultFarNormStage(2) ; fracResultStickyBit <= sticky_d3 when fracLeadingBits = "00" else fracResultFarNormStage(0) or sticky_d3 when fracLeadingBits = "01" else fracResultFarNormStage(1) or fracResultFarNormStage(0) or sticky_d3; roundFar1 <= fracResultRoundBit and (fracResultStickyBit or fracResultFar1(0)); expOperationSel <= "11" when fracLeadingBits = "00" -- add -1 to exponent else "00" when fracLeadingBits = "01" -- add 0 else "01"; -- add 1 exponentUpdate <= (33 downto 1 => expOperationSel(1)) & expOperationSel(0); exponentResultfar0<="00" & (newX_d7(63 downto 32)); exponentResultFar1 <= exponentResultfar0 + exponentUpdate; ----------------Synchro barrier, entering cycle 8---------------- resultBeforeRoundFar <= exponentResultFar1_d1 & fracResultFar1_d1; roundFar <= roundFar1_d1; -- Synchronization of both paths -- ----------------Synchro barrier, entering cycle 11---------------- syncClose <= selectClosePath_d10; with syncClose select resultBeforeRound <= resultBeforeRoundClose when '1', resultBeforeRoundFar_d3 when others; with syncClose select round <= roundClose when '1', roundFar_d3 when others; zeroFromClose <= syncClose and resultCloseIsZero; -- Rounding -- finalRoundAdder: FPAdder_32_32_32_32_32_32_finalRoundAdd -- pipelineDepth=2 port map ( clk => clk, rst => rst, Cin => round, R => resultRounded, X => resultBeforeRound, Y => (65 downto 0 => '0') ); ----------------Synchro barrier, entering cycle 13---------------- ----------------Synchro barrier, entering cycle 14---------------- syncEffSub <= EffSub_d13; syncX <= newX_d14; syncSignY <= pipeSignY_d13; syncResSign <= resSign_d11; UnderflowOverflow <= resultRounded_d1(65 downto 64); with UnderflowOverflow select resultNoExn(66 downto 65) <= (not zeroFromClose_d3) & "0" when "01", -- overflow "00" when "10" | "11", -- underflow "0" & not zeroFromClose_d3 when others; -- normal resultNoExn(64 downto 0) <= syncResSign & resultRounded_d1(63 downto 0); syncExnXY <= sdExnXY_d13; -- Exception bits of the result with syncExnXY select -- remember that ExnX > ExnY exnR <= resultNoExn(66 downto 65) when "0101", "1" & syncEffSub when "1010", "11" when "1110", syncExnXY(3 downto 2) when others; -- Sign bit of the result with syncExnXY select sgnR <= resultNoExn(64) when "0101", syncX(64) and syncSignY when "0000", syncX(64) when others; -- Exponent and significand of the result with syncExnXY select expsigR <= resultNoExn(63 downto 0) when "0101" , syncX(63 downto 0) when others; -- 0100, or at least one NaN or one infty R <= exnR & sgnR & expsigR; end architecture; -------------------------------------------------------------------------------- -- dupa -- (FPAdder_32_32_32_32_32_32_Wrapper) -- This operator is part of the Infinite Virtual Library FloPoCoLib -- and is distributed under the terms of the GNU Lesser General Public Licence. -- Authors: Florent de Dinechin (2007) -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; library work; entity dupa is port ( clk, rst : in std_logic; X : in std_logic_vector(32+32+2 downto 0); Y : in std_logic_vector(32+32+2 downto 0); R : out std_logic_vector(32+32+2 downto 0) ); end entity; architecture arch of dupa is component FPAdder_32_32_32_32_32_32 is port ( clk, rst : in std_logic; X : in std_logic_vector(32+32+2 downto 0); Y : in std_logic_vector(32+32+2 downto 0); R : out std_logic_vector(32+32+2 downto 0) ); end component; signal i_X : std_logic_vector(66 downto 0); signal i_X_d : std_logic_vector(66 downto 0); signal i_Y : std_logic_vector(66 downto 0); signal i_Y_d : std_logic_vector(66 downto 0); signal i_R : std_logic_vector(66 downto 0); signal i_R_d : std_logic_vector(66 downto 0); begin --wrapper operator i_X <= X; i_Y <= Y; test:FPAdder_32_32_32_32_32_32 port map ( clk => clk, rst => rst, X => i_X_d, Y => i_Y_d, R => i_R); process(clk) begin if clk'event and clk = '1' then i_X_d <= i_X; i_Y_d <= i_Y; i_R_d <= i_R; end if; end process; R <= i_R_d; end architecture;
gpl-3.0
a26a4247ea3ddc273df59838e754dea1
0.594953
3.328321
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Practica/testseg.vhd
1
3,167
-------------------------------------------------------------------------------- -- Company: Universidad de Valencia -- Engineer: Alfredo Rosado -- -- Create Date: 10:58:12 10/16/2006 -- Design Name: segmentos -- Module Name: C:/Xilinx/prac1/prac1b/testseg.vhd -- Project Name: prac1b -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: segmentos -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.all; USE ieee.numeric_std.ALL; ENTITY testseg_vhd IS END testseg_vhd; ARCHITECTURE behavior OF testseg_vhd IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT segmentos PORT( Reloj : IN std_logic; Reset : IN std_logic; interruptor : IN std_logic_vector(3 downto 0); abcdefgdp : OUT std_logic_vector(7 downto 0); anodos : BUFFER std_logic_vector(3 downto 0) ); END COMPONENT; --Inputs SIGNAL Reloj : std_logic := '0'; SIGNAL Reset : std_logic := '0'; SIGNAL interruptor : std_logic_vector(3 downto 0) := (others=>'0'); --Outputs SIGNAL abcdefgdp : std_logic_vector(7 downto 0); SIGNAL anodos : std_logic_vector(3 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: segmentos PORT MAP( Reloj => Reloj, Reset => Reset, interruptor => interruptor, abcdefgdp => abcdefgdp, anodos => anodos ); tb : PROCESS BEGIN -- Wait 100 ns for global reset to finish wait for 100 ns; Reset <= '1'; wait for 15 ns; reset <= '0'; wait; -- will wait forever END PROCESS; tb2 : PROCESS BEGIN Reloj <= '1'; wait for 10 ns; Reloj <= '0'; wait for 10 ns; END PROCESS; tb3 : PROCESS BEGIN interruptor <= "0000"; wait for 1000 ns; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait for 1000 ns; interruptor(0) <= '0'; interruptor(1) <= '1'; wait for 1000 ns; interruptor(1) <= '0'; interruptor(2) <= '1'; wait for 1000 ns; interruptor(2) <= '0'; interruptor(3) <= '1'; wait for 1000 ns; interruptor(3) <= '0'; interruptor(0) <= '1'; wait; END PROCESS; END;
gpl-3.0
5cd4b30ed59383f06ced386a86764bf8
0.603094
3.151244
false
true
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_ftch_pntr.vhd
1
21,428
------------------------------------------------------------------------------- -- axi_sg_ftch_pntr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_ftch_pntr.vhd -- Description: This entity manages descriptor pointers and determine scatter -- gather idle mode. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 7/20/10 v1_00_a -- ^^^^^^ -- CR568950 -- Qualified reseting of sg_idle from axi_sg_ftch_pntr with associated channel's -- flush control. -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_ftch_pntr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32 ; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1 ; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1 -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- nxtdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- ------------------------------- -- -- CHANNEL 1 -- ------------------------------- -- ch1_run_stop : in std_logic ; -- ch1_desc_flush : in std_logic ; --CR568950 -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch1_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch1_tailpntr_enabled : in std_logic ; -- ch1_taildesc_wren : in std_logic ; -- ch1_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch1_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch1_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_sg_idle : out std_logic ; -- -- ------------------------------- -- -- CHANNEL 2 -- ------------------------------- -- ch2_run_stop : in std_logic ; -- ch2_desc_flush : in std_logic ;--CR568950 -- -- -- CURDESC update to fetch pointer on run/stop assertion -- ch2_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- TAILDESC update on CPU write (from axi_dma_reg_module) -- ch2_tailpntr_enabled : in std_logic ; -- ch2_taildesc_wren : in std_logic ; -- ch2_taildesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- -- -- NXTDESC update on descriptor fetch (from axi_sg_ftchq_if) -- ch2_nxtdesc_wren : in std_logic ; -- -- -- Current address of descriptor to fetch -- ch2_fetch_address : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_sg_idle : out std_logic -- ); end axi_sg_ftch_pntr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_ftch_pntr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- No Constants Declared ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal ch1_run_stop_d1 : std_logic := '0'; signal ch1_run_stop_re : std_logic := '0'; signal ch1_use_crntdesc : std_logic := '0'; signal ch1_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal ch2_run_stop_d1 : std_logic := '0'; signal ch2_run_stop_re : std_logic := '0'; signal ch2_use_crntdesc : std_logic := '0'; signal ch2_fetch_address_i : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin -- Channel 1 is included therefore generate pointer logic GEN_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 1 generate begin GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_run_stop_d1 <= '0'; else ch1_run_stop_d1 <= ch1_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch1_run_stop_re <= ch1_run_stop and not ch1_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch1_nxtdesc_wren = '1')then ch1_use_crntdesc <= '0'; elsif(ch1_run_stop_re = '1')then ch1_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif(ch1_use_crntdesc = '1' and ch1_nxtdesc_wren = '0')then ch1_fetch_address_i <= ch1_curdesc; -- On desriptor fetch capture next pointer elsif(ch1_nxtdesc_wren = '1')then ch1_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module ch1_fetch_address <= ch1_fetch_address_i; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch1_run_stop = '0' or ch1_desc_flush = '1')then ch1_sg_idle <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch1_taildesc_wren = '1' or ch1_tailpntr_enabled = '0')then ch1_sg_idle <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch1_nxtdesc_wren = '1' and ch1_taildesc = ch1_fetch_address_i)then ch1_sg_idle <= '1'; end if; end if; end process COMPARE_ADDRESS; end generate GEN_PNTR_FOR_CH1; -- Channel 1 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH1 : if C_INCLUDE_CH1 = 0 generate begin ch1_fetch_address <= (others =>'0'); ch1_sg_idle <= '0'; end generate GEN_NO_PNTR_FOR_CH1; -- Channel 2 is included therefore generate pointer logic GEN_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 1 generate begin --------------------------------------------------------------------------- -- Create clock delay of run_stop in order to generate a rising edge pulse --------------------------------------------------------------------------- GEN_RUNSTOP_RE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_run_stop_d1 <= '0'; else ch2_run_stop_d1 <= ch2_run_stop; end if; end if; end process GEN_RUNSTOP_RE; ch2_run_stop_re <= ch2_run_stop and not ch2_run_stop_d1; --------------------------------------------------------------------------- -- At setting of run/stop need to use current descriptor pointer therefor -- flag for use --------------------------------------------------------------------------- GEN_INIT_PNTR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or ch2_nxtdesc_wren = '1')then ch2_use_crntdesc <= '0'; elsif(ch2_run_stop_re = '1')then ch2_use_crntdesc <= '1'; end if; end if; end process GEN_INIT_PNTR; --------------------------------------------------------------------------- -- Register Current Fetch Address. During start (run/stop asserts) reg -- curdesc pointer from register module. Once running use nxtdesc pointer. --------------------------------------------------------------------------- REG_FETCH_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_fetch_address_i <= (others => '0'); -- On initial tail pointer write use current desc pointer elsif(ch2_use_crntdesc = '1' and ch2_nxtdesc_wren = '0')then ch2_fetch_address_i <= ch2_curdesc; -- On descirptor fetch capture next pointer elsif(ch2_nxtdesc_wren = '1')then ch2_fetch_address_i <= nxtdesc; end if; end if; end process REG_FETCH_ADDRESS; -- Pass address out of module ch2_fetch_address <= ch2_fetch_address_i; --------------------------------------------------------------------------- -- Compair tail descriptor pointer to scatter gather engine current -- descriptor pointer. Set idle if matched. Only check if DMA engine -- is running and current descriptor is in process of being fetched. This -- forces at least 1 descriptor fetch before checking for IDLE condition. --------------------------------------------------------------------------- COMPARE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then -- SG is IDLE on reset and on stop. --CR568950 - reset idlag on descriptor flush --if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0')then if(m_axi_sg_aresetn = '0' or ch2_run_stop = '0' or ch2_desc_flush = '1')then ch2_sg_idle <= '1'; -- taildesc_wren must be in this 'if' to force a minimum -- of 1 clock of sg_idle = '0'. elsif(ch2_taildesc_wren = '1' or ch2_tailpntr_enabled = '0')then ch2_sg_idle <= '0'; -- Descriptor at fetch_address is being fetched (wren=1) -- therefore safe to check if tail matches the fetch address elsif(ch2_nxtdesc_wren = '1' and ch2_taildesc = ch2_fetch_address_i)then ch2_sg_idle <= '1'; end if; end if; end process COMPARE_ADDRESS; end generate GEN_PNTR_FOR_CH2; -- Channel 2 is NOT included therefore tie off pointer logic GEN_NO_PNTR_FOR_CH2 : if C_INCLUDE_CH2 = 0 generate begin ch2_fetch_address <= (others =>'0'); ch2_sg_idle <= '0'; end generate GEN_NO_PNTR_FOR_CH2; end implementation;
gpl-2.0
532690a0e7bf6bfd77b65ddbed58ab60
0.408204
4.912425
false
false
false
false
piliguori/Linear-Regression
Src/testbench/tb_LinearRegression.vhd
1
3,590
--! @file tb_LinearRegression.vhd --! --! @authors Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! @date 03 07 2017 --! --! @copyright --! Copyright 2017 Salvatore Barone <[email protected]> <br> --! Alfonso Di Martino <[email protected]> <br> --! Sossio Fiorillo <[email protected]> <br> --! Pietro Liguori <[email protected]> <br> --! --! --! This file is part of Linear-Regression. --! --! Linear-Regression is free software; you can redistribute it and/or modify it under the terms of --! the GNU General Public License as published by the Free Software Foundation; either version 3 of --! the License, or any later version. --! --! Linear-Regression is distributed in the hope that it will be useful, but WITHOUT ANY WARRANTY; --! without even the implied warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the --! GNU General Public License for more details. --! --! You should have received a copy of the GNU General Public License along with this program; if not, --! write to the Free Software Foundation, Inc., 51 Franklin Street, Fifth Floor, Boston, MA 02110-1301, --! USA. --! library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity tb_LinearRegression is end tb_LinearRegression; architecture Behavioral of tb_LinearRegression is component LinearRegression Port ( clk : in std_logic; load : in std_logic; reset_n : in std_logic; prim : in STD_LOGIC_VECTOR (5 downto 0); Sum2 : in STD_LOGIC_VECTOR (23 downto 0); B : in STD_LOGIC_VECTOR (23 downto 0); Sum1 : in STD_LOGIC_VECTOR (23 downto 0); C : in STD_LOGIC_VECTOR (23 downto 0); A : in STD_LOGIC_VECTOR (23 downto 0); m : out STD_LOGIC_VECTOR (23 downto 0); q : out STD_LOGIC_VECTOR (23 downto 0)); end component; constant clock_period : time := 10ns; signal clk : std_logic := '0'; signal load : std_logic := '0'; signal reset_n : std_logic := '0'; signal prim : STD_LOGIC_VECTOR (5 downto 0) := (others => '0'); signal Sum2 : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal B : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal Sum1 : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal C : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal A : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal m : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); signal q : STD_LOGIC_VECTOR (23 downto 0):= (others => '0'); begin clock_process : process begin clk <= not clk; wait for clock_period / 2; end process clock_process; uut: LinearRegression Port map ( clk => clk, load => load, reset_n => reset_n, prim => prim, Sum2 => Sum2, B => B, Sum1 => Sum1, C => C, A => A, m => m, q => q); stim_proc: process begin wait for 10*clock_period; reset_n <= '1'; load <= '1'; -- Test 1 prim <= b"011001"; -- 25 Sum2 <= b"001101011110110111001111"; -- 1.685279688780849 Sum1 <= b"001101000111011100011001"; -- 1.049304719064735e+02 B <= b"010011001100110011001100"; -- 0.3 C <= b"010100000100100000010110"; -- 0.0049 A <= b"011110110001001110110001"; -- 30.769230769230795 -- m 327.779907226562 -- 3.277800199255130e+02 -- q 0.263859272003174 -- 0.263858637152785 wait; end process; end Behavioral;
gpl-3.0
e73fd495f1670229b84c3ff0afb8cb34
0.640947
3.019344
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN7PRGDOVA.vhd
9
879
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN7PRGDOVA is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(31 downto 0); output : out std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN7PRGDOVA is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 32 + 1 , width_inr=> 0, width_outl=> 24, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(31 downto 0) => input, xin(32) => '0', yout => output ); end architecture;
mit
9fea869b6f72b3c0d1ee5134840e54da
0.649602
3.09507
false
false
false
false
nulldozer/purisc
Global_memory/global_memory.vhd
2
31,843
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity global_memory is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; --compute group 0 ADDRESS_A_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG0 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG0 : IN STD_LOGIC; ENABLE_CG0 : IN STD_LOGIC; DATA_A_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG0 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG0 : OUT STD_LOGIC; --compute group 1 ADDRESS_A_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG1 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG1 : IN STD_LOGIC; ENABLE_CG1 : IN STD_LOGIC; DATA_A_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG1 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG1 : OUT STD_LOGIC; --compute group 2 ADDRESS_A_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG2 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG2 : IN STD_LOGIC; ENABLE_CG2 : IN STD_LOGIC; DATA_A_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG2 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG2 : OUT STD_LOGIC; --compute group 3 ADDRESS_A_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_B_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_C_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_0_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_1_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ADDRESS_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_CG3 : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_CG3 : IN STD_LOGIC; ENABLE_CG3 : IN STD_LOGIC; DATA_A_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_B_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_C_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_0_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_1_TO_CG3 : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); STALL_CG3 : OUT STD_LOGIC; --IO controller ADDRESS_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); DATA_TO_W_IO : IN STD_LOGIC_VECTOR(31 DOWNTO 0); W_EN_IO : IN STD_LOGIC; ENABLE_IO : IN STD_LOGIC; DATA_RET_IO : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); --DONE signals DONE_C0 : OUT STD_LOGIC; DONE_C1 : OUT STD_LOGIC; DONE_C2 : OUT STD_LOGIC; DONE_C3 : OUT STD_LOGIC; DONE_C4 : OUT STD_LOGIC; DONE_C5 : OUT STD_LOGIC; DONE_C6 : OUT STD_LOGIC; DONE_C7 : OUT STD_LOGIC; RCC : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) ); end; architecture global of global_memory is component MAGIC_global PORT ( ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_TO_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; DATA_OUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); DATA_OUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); C0_STALL : OUT STD_LOGIC; C1_STALL : OUT STD_LOGIC; CORE_IDENT : OUT STD_LOGIC; IO_ENABLE : IN STD_LOGIC ); end component; type state_type is (cg0, cg1, cg2, cg3); signal state : state_type; signal address_a_cg0_buffer : std_logic_vector(31 downto 0); signal address_b_cg0_buffer : std_logic_vector(31 downto 0); signal address_c_cg0_buffer : std_logic_vector(31 downto 0); signal address_0_cg0_buffer : std_logic_vector(31 downto 0); signal address_1_cg0_buffer : std_logic_vector(31 downto 0); signal address_w_cg0_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg0_buffer : std_logic_vector(31 downto 0); signal w_en_cg0_buffer : std_logic; signal access_request_cg0 : std_logic; signal data_a_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg0_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg0_buffer : std_logic_vector (31 downto 0); signal address_a_cg1_buffer : std_logic_vector(31 downto 0); signal address_b_cg1_buffer : std_logic_vector(31 downto 0); signal address_c_cg1_buffer : std_logic_vector(31 downto 0); signal address_0_cg1_buffer : std_logic_vector(31 downto 0); signal address_1_cg1_buffer : std_logic_vector(31 downto 0); signal address_w_cg1_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg1_buffer : std_logic_vector(31 downto 0); signal w_en_cg1_buffer : std_logic; signal access_request_cg1 : std_logic; signal data_a_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg1_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg1_buffer : std_logic_vector (31 downto 0); signal address_a_cg2_buffer : std_logic_vector(31 downto 0); signal address_b_cg2_buffer : std_logic_vector(31 downto 0); signal address_c_cg2_buffer : std_logic_vector(31 downto 0); signal address_0_cg2_buffer : std_logic_vector(31 downto 0); signal address_1_cg2_buffer : std_logic_vector(31 downto 0); signal address_w_cg2_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg2_buffer : std_logic_vector(31 downto 0); signal w_en_cg2_buffer : std_logic; signal access_request_cg2 : std_logic; signal data_a_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg2_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg2_buffer : std_logic_vector (31 downto 0); signal address_a_cg3_buffer : std_logic_vector(31 downto 0); signal address_b_cg3_buffer : std_logic_vector(31 downto 0); signal address_c_cg3_buffer : std_logic_vector(31 downto 0); signal address_0_cg3_buffer : std_logic_vector(31 downto 0); signal address_1_cg3_buffer : std_logic_vector(31 downto 0); signal address_w_cg3_buffer : std_logic_vector(31 downto 0); signal data_to_w_cg3_buffer : std_logic_vector(31 downto 0); signal w_en_cg3_buffer : std_logic; signal access_request_cg3 : std_logic; signal data_a_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_b_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_c_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_0_to_cg3_buffer : std_logic_vector (31 downto 0); signal data_1_to_cg3_buffer : std_logic_vector (31 downto 0); signal address_a_to_mem : std_logic_vector(31 downto 0); signal address_b_to_mem : std_logic_vector(31 downto 0); signal address_c_to_mem : std_logic_vector(31 downto 0); signal address_0_to_mem : std_logic_vector(31 downto 0); signal address_1_to_mem : std_logic_vector(31 downto 0); signal address_w_to_mem : std_logic_vector(31 downto 0); signal data_to_w_to_mem : std_logic_vector(31 downto 0); signal w_en_to_mem : std_logic; signal address_a_mem : std_logic_vector(31 downto 0); signal address_b_mem : std_logic_vector(31 downto 0); signal address_c_mem : std_logic_vector(31 downto 0); signal address_0_mem : std_logic_vector(31 downto 0); signal address_1_mem : std_logic_vector(31 downto 0); signal address_w_mem : std_logic_vector(31 downto 0); signal data_to_w_mem : std_logic_vector(31 downto 0); signal w_en_mem : std_logic; signal data_a : std_logic_vector(31 downto 0); signal data_b : std_logic_vector(31 downto 0); signal data_c : std_logic_vector(31 downto 0); signal data_0 : std_logic_vector(31 downto 0); signal data_1 : std_logic_vector(31 downto 0); signal core_id : std_logic; signal gnd : std_logic; signal stall_c0 : std_logic; signal stall_c1 : std_logic; signal stall_c0_raw : std_logic; signal stall_c1_raw : std_logic; signal done_cg0 : std_logic; signal done_cg1 : std_logic; signal done_cg2 : std_logic; signal done_cg3 : std_logic; signal clear_stall_cg0 : std_logic; signal clear_stall_cg1 : std_logic; signal clear_stall_cg2 : std_logic; signal clear_stall_cg3 : std_logic; signal buffer_cg0 : std_logic; signal buffer_cg1 : std_logic; signal buffer_cg2 : std_logic; signal buffer_cg3 : std_logic; signal done_reg_c0 : std_logic; signal done_reg_c1 : std_logic; signal done_reg_c2 : std_logic; signal done_reg_c3 : std_logic; signal done_reg_c4 : std_logic; signal done_reg_c5 : std_logic; signal done_reg_c6 : std_logic; signal done_reg_c7 : std_logic; signal ready_clear_count : std_logic_vector(3 downto 0); begin gnd <= '0'; --immidiately stall the requesting core STALL_CG0 <= ENABLE_CG0 and clear_stall_cg0; STALL_CG1 <= ENABLE_CG1 and clear_stall_cg1; STALL_CG2 <= ENABLE_CG2 and clear_stall_cg2; STALL_CG3 <= ENABLE_CG3 and clear_stall_cg3; --pass buffered values back to compute groups DATA_A_TO_CG0 <= data_a_to_cg0_buffer; DATA_B_TO_CG0 <= data_b_to_cg0_buffer; DATA_C_TO_CG0 <= data_c_to_cg0_buffer; DATA_0_TO_CG0 <= data_0_to_cg0_buffer; DATA_1_TO_CG0 <= data_1_to_cg0_buffer; DATA_A_TO_CG1 <= data_a_to_cg1_buffer; DATA_B_TO_CG1 <= data_b_to_cg1_buffer; DATA_C_TO_CG1 <= data_c_to_cg1_buffer; DATA_0_TO_CG1 <= data_0_to_cg1_buffer; DATA_1_TO_CG1 <= data_1_to_cg1_buffer; DATA_A_TO_CG2 <= data_a_to_cg2_buffer; DATA_B_TO_CG2 <= data_b_to_cg2_buffer; DATA_C_TO_CG2 <= data_c_to_cg2_buffer; DATA_0_TO_CG2 <= data_0_to_cg2_buffer; DATA_1_TO_CG2 <= data_1_to_cg2_buffer; DATA_A_TO_CG3 <= data_a_to_cg3_buffer; DATA_B_TO_CG3 <= data_b_to_cg3_buffer; DATA_C_TO_CG3 <= data_c_to_cg3_buffer; DATA_0_TO_CG3 <= data_0_to_cg3_buffer; DATA_1_TO_CG3 <= data_1_to_cg3_buffer; buffer_input_lines : process (CLK, RESET_n) begin if (RESET_n = '0') then address_a_cg0_buffer <= "00000000000000000000000000000000"; address_b_cg0_buffer <= "00000000000000000000000000000001"; address_c_cg0_buffer <= "00000000000000000000000000000010"; address_0_cg0_buffer <= "00000000000000000000000000000011"; address_1_cg0_buffer <= "00000000000000000000000000000100"; address_w_cg0_buffer <= "00000000000000000000000000000101"; data_to_w_cg0_buffer <= "00000000000000000000000000000110"; w_en_cg0_buffer <= '0'; access_request_cg0 <= '0'; address_a_cg1_buffer <= "00000000000000000000000000000000"; address_b_cg1_buffer <= "00000000000000000000000000000001"; address_c_cg1_buffer <= "00000000000000000000000000000010"; address_0_cg1_buffer <= "00000000000000000000000000000011"; address_1_cg1_buffer <= "00000000000000000000000000000100"; address_w_cg1_buffer <= "00000000000000000000000000000101"; data_to_w_cg1_buffer <= "00000000000000000000000000000110"; w_en_cg1_buffer <= '0'; access_request_cg1 <= '0'; address_a_cg2_buffer <= "00000000000000000000000000000000"; address_b_cg2_buffer <= "00000000000000000000000000000001"; address_c_cg2_buffer <= "00000000000000000000000000000010"; address_0_cg2_buffer <= "00000000000000000000000000000011"; address_1_cg2_buffer <= "00000000000000000000000000000100"; address_w_cg2_buffer <= "00000000000000000000000000000101"; data_to_w_cg2_buffer <= "00000000000000000000000000000110"; w_en_cg2_buffer <= '0'; access_request_cg2 <= '0'; address_a_cg3_buffer <= "00000000000000000000000000000000"; address_b_cg3_buffer <= "00000000000000000000000000000001"; address_c_cg3_buffer <= "00000000000000000000000000000010"; address_0_cg3_buffer <= "00000000000000000000000000000011"; address_1_cg3_buffer <= "00000000000000000000000000000100"; address_w_cg3_buffer <= "00000000000000000000000000000101"; data_to_w_cg3_buffer <= "00000000000000000000000000000110"; w_en_cg3_buffer <= '0'; access_request_cg3 <= '0'; elsif (rising_edge(CLK)) then address_a_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG0(14 downto 13)) - 1) & ADDRESS_A_CG0(12 downto 0); address_b_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG0(14 downto 13)) - 1) & ADDRESS_B_CG0(12 downto 0); address_c_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG0(14 downto 13)) - 1) & ADDRESS_C_CG0(12 downto 0); address_0_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG0(14 downto 13)) - 1) & ADDRESS_0_CG0(12 downto 0); address_1_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG0(14 downto 13)) - 1) & ADDRESS_1_CG0(12 downto 0); address_w_cg0_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG0(14 downto 13)) - 1) & ADDRESS_W_CG0(12 downto 0); data_to_w_cg0_buffer <= DATA_TO_W_CG0; w_en_cg0_buffer <= W_EN_CG0; access_request_cg0 <= ENABLE_CG0; address_a_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG1(14 downto 13)) - 1) & ADDRESS_A_CG1(12 downto 0); address_b_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG1(14 downto 13)) - 1) & ADDRESS_B_CG1(12 downto 0); address_c_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG1(14 downto 13)) - 1) & ADDRESS_C_CG1(12 downto 0); address_0_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG1(14 downto 13)) - 1) & ADDRESS_0_CG1(12 downto 0); address_1_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG1(14 downto 13)) - 1) & ADDRESS_1_CG1(12 downto 0); address_w_cg1_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG1(14 downto 13)) - 1) & ADDRESS_W_CG1(12 downto 0); data_to_w_cg1_buffer <= DATA_TO_W_CG1; w_en_cg1_buffer <= W_EN_CG1; access_request_cg1 <= ENABLE_CG1; address_a_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG2(14 downto 13)) - 1) & ADDRESS_A_CG2(12 downto 0); address_b_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG2(14 downto 13)) - 1) & ADDRESS_B_CG2(12 downto 0); address_c_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG2(14 downto 13)) - 1) & ADDRESS_C_CG2(12 downto 0); address_0_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG2(14 downto 13)) - 1) & ADDRESS_0_CG2(12 downto 0); address_1_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG2(14 downto 13)) - 1) & ADDRESS_1_CG2(12 downto 0); address_w_cg2_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG2(14 downto 13)) - 1) & ADDRESS_W_CG2(12 downto 0); data_to_w_cg2_buffer <= DATA_TO_W_CG2; w_en_cg2_buffer <= W_EN_CG2; access_request_cg2 <= ENABLE_CG2; address_a_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_A_CG3(14 downto 13)) - 1) & ADDRESS_A_CG3(12 downto 0); address_b_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_B_CG3(14 downto 13)) - 1) & ADDRESS_B_CG3(12 downto 0); address_c_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_C_CG3(14 downto 13)) - 1) & ADDRESS_C_CG3(12 downto 0); address_0_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_0_CG3(14 downto 13)) - 1) & ADDRESS_0_CG3(12 downto 0); address_1_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_1_CG3(14 downto 13)) - 1) & ADDRESS_1_CG3(12 downto 0); address_w_cg3_buffer <= "00000000000000000" & std_logic_vector(unsigned(ADDRESS_W_CG3(14 downto 13)) - 1) & ADDRESS_W_CG3(12 downto 0); data_to_w_cg3_buffer <= DATA_TO_W_CG3; w_en_cg3_buffer <= W_EN_CG3; access_request_cg3 <= ENABLE_CG3; end if; end process; buffer_enables: process (RESET_n, CLK) begin if (RESET_n = '0') then buffer_cg0 <= '0'; buffer_cg1 <= '0'; buffer_cg2 <= '0'; buffer_cg3 <= '0'; elsif (rising_edge(CLK)) then clear_stall_cg0 <= not buffer_cg0; clear_stall_cg1 <= not buffer_cg1; clear_stall_cg2 <= not buffer_cg2; clear_stall_cg3 <= not buffer_cg3; buffer_cg0 <= done_cg0 and access_request_cg0; buffer_cg1 <= done_cg1 and access_request_cg1; buffer_cg2 <= done_cg2 and access_request_cg2; buffer_cg3 <= done_cg3 and access_request_cg3; end if; end process; buffer_data: process (RESET_n, CLK) begin if (RESET_n = '0') then data_a_to_cg0_buffer <= "00000000000000000000000000000000"; data_b_to_cg0_buffer <= "00000000000000000000000000000000"; data_c_to_cg0_buffer <= "00000000000000000000000000000000"; data_0_to_cg0_buffer <= "00000000000000000000000000000000"; data_1_to_cg0_buffer <= "00000000000000000000000000000000"; data_a_to_cg1_buffer <= "00000000000000000000000000000000"; data_b_to_cg1_buffer <= "00000000000000000000000000000000"; data_c_to_cg1_buffer <= "00000000000000000000000000000000"; data_0_to_cg1_buffer <= "00000000000000000000000000000000"; data_1_to_cg1_buffer <= "00000000000000000000000000000000"; data_a_to_cg2_buffer <= "00000000000000000000000000000000"; data_b_to_cg2_buffer <= "00000000000000000000000000000000"; data_c_to_cg2_buffer <= "00000000000000000000000000000000"; data_0_to_cg2_buffer <= "00000000000000000000000000000000"; data_1_to_cg2_buffer <= "00000000000000000000000000000000"; data_a_to_cg3_buffer <= "00000000000000000000000000000000"; data_b_to_cg3_buffer <= "00000000000000000000000000000000"; data_c_to_cg3_buffer <= "00000000000000000000000000000000"; data_0_to_cg3_buffer <= "00000000000000000000000000000000"; data_1_to_cg3_buffer <= "00000000000000000000000000000000"; elsif (rising_edge(CLK)) then if (buffer_cg0 = '1') then data_a_to_cg0_buffer <= data_a; data_b_to_cg0_buffer <= data_b; data_c_to_cg0_buffer <= data_c; data_0_to_cg0_buffer <= data_0; data_1_to_cg0_buffer <= data_1; end if; if (buffer_cg1 = '1') then data_a_to_cg1_buffer <= data_a; data_b_to_cg1_buffer <= data_b; data_c_to_cg1_buffer <= data_c; data_0_to_cg1_buffer <= data_0; data_1_to_cg1_buffer <= data_1; end if; if (buffer_cg2 = '1') then data_a_to_cg2_buffer <= data_a; data_b_to_cg2_buffer <= data_b; data_c_to_cg2_buffer <= data_c; data_0_to_cg2_buffer <= data_0; data_1_to_cg2_buffer <= data_1; end if; if (buffer_cg3 = '1') then data_a_to_cg3_buffer <= data_a; data_b_to_cg3_buffer <= data_b; data_c_to_cg3_buffer <= data_c; data_0_to_cg3_buffer <= data_0; data_1_to_cg3_buffer <= data_1; end if; end if; end process; state_machine : process (RESET_n, CLK, done_cg0, done_cg1, done_cg2, done_cg3) begin if (RESET_n = '0') then state <= cg0; elsif (rising_edge(CLK)) then case state is when cg0 => if (done_cg0 = '0') then state <= cg0; else state <= cg1; end if; when cg1 => if (done_cg1 = '0') then state <= cg1; else state <= cg2; end if; when cg2 => if (done_cg2 = '0') then state <= cg2; else state <= cg3; end if; when cg3 => if (done_cg3 = '0') then state <= cg3; else state <= cg0; end if; end case; end if; end process; passing_adresses : process (state, stall_c0, stall_c1, access_request_cg0, access_request_cg1, access_request_cg2, access_request_cg3, address_a_cg0_buffer, address_b_cg0_buffer, address_c_cg0_buffer, address_0_cg0_buffer, address_1_cg0_buffer, address_a_cg1_buffer, address_b_cg1_buffer, address_c_cg1_buffer, address_0_cg1_buffer, address_1_cg1_buffer, address_a_cg2_buffer, address_b_cg2_buffer, address_c_cg2_buffer, address_0_cg2_buffer, address_1_cg2_buffer, address_a_cg3_buffer, address_b_cg3_buffer, address_c_cg3_buffer, address_0_cg3_buffer, address_1_cg3_buffer, address_w_cg0_buffer, address_w_cg1_buffer, address_w_cg2_buffer, address_w_cg3_buffer, data_to_w_cg0_buffer, data_to_w_cg1_buffer, data_to_w_cg2_buffer, data_to_w_cg3_buffer, w_en_cg0_buffer, w_en_cg1_buffer, w_en_cg2_buffer, w_en_cg3_buffer) begin case state is when cg0 => --if access requested, pass adresses if (access_request_cg0 = '1') then address_a_to_mem <= address_a_cg0_buffer; address_b_to_mem <= address_b_cg0_buffer; address_c_to_mem <= address_c_cg0_buffer; address_0_to_mem <= address_0_cg0_buffer; address_1_to_mem <= address_1_cg0_buffer; address_w_to_mem <= address_w_cg0_buffer; data_to_w_to_mem <= data_to_w_cg0_buffer; w_en_to_mem <= w_en_cg0_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg0 <= '0'; else done_cg0 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg0 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg1 => --if access requested, pass adresses if (access_request_cg1 = '1') then address_a_to_mem <= address_a_cg1_buffer; address_b_to_mem <= address_b_cg1_buffer; address_c_to_mem <= address_c_cg1_buffer; address_0_to_mem <= address_0_cg1_buffer; address_1_to_mem <= address_1_cg1_buffer; address_w_to_mem <= address_w_cg1_buffer; data_to_w_to_mem <= data_to_w_cg1_buffer; w_en_to_mem <= w_en_cg1_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg1 <= '0'; else done_cg1 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg1 <= '1'; end if; --all other compute groups not getting service yet done_cg0 <= '0'; done_cg2 <= '0'; done_cg3 <= '0'; when cg2 => --if access requested, pass adresses if (access_request_cg2 = '1') then address_a_to_mem <= address_a_cg2_buffer; address_b_to_mem <= address_b_cg2_buffer; address_c_to_mem <= address_c_cg2_buffer; address_0_to_mem <= address_0_cg2_buffer; address_1_to_mem <= address_1_cg2_buffer; address_w_to_mem <= address_w_cg2_buffer; data_to_w_to_mem <= data_to_w_cg2_buffer; w_en_to_mem <= w_en_cg2_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg2 <= '0'; else done_cg2 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg2 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg0 <= '0'; done_cg3 <= '0'; when cg3 => --if access requested, pass adresses if (access_request_cg3 = '1') then address_a_to_mem <= address_a_cg3_buffer; address_b_to_mem <= address_b_cg3_buffer; address_c_to_mem <= address_c_cg3_buffer; address_0_to_mem <= address_0_cg3_buffer; address_1_to_mem <= address_1_cg3_buffer; address_w_to_mem <= address_w_cg3_buffer; data_to_w_to_mem <= data_to_w_cg3_buffer; w_en_to_mem <= w_en_cg3_buffer; --wait for memory to respond if ((stall_c0 and stall_c1) = '1') then done_cg3 <= '0'; else done_cg3 <= '1'; end if; --if access not requested, supply dummy adresses else address_a_to_mem <= "00000000000000000000000000000000"; address_b_to_mem <= "00000000000000000000000000000001"; address_c_to_mem <= "00000000000000000000000000000010"; address_0_to_mem <= "00000000000000000000000000000011"; address_1_to_mem <= "00000000000000000000000000000100"; address_w_to_mem <= "00000000000000000000000000000101"; data_to_w_to_mem <= "00000000000000000000000000000110"; w_en_to_mem <= '0'; --move on to next group done_cg3 <= '1'; end if; --all other compute groups not getting service yet done_cg1 <= '0'; done_cg2 <= '0'; done_cg0 <= '0'; end case; end process; global_cache : MAGIC_global PORT MAP ( ADDRESS_A => address_a_mem, ADDRESS_B => address_b_mem, ADDRESS_C => address_c_mem, ADDRESS_0 => address_0_mem, ADDRESS_1 => address_1_mem, ADDRESS_W => address_w_mem, DATA_TO_W => data_to_w_mem, W_EN => w_en_mem, CLK => CLK, RESET_n => RESET_n, DATA_OUT_A => data_a, DATA_OUT_B => data_b, DATA_OUT_C => data_c, DATA_OUT_0 => data_0, DATA_OUT_1 => data_1, C0_STALL => stall_c0_raw, C1_STALL => stall_c1_raw, CORE_IDENT => core_id, IO_ENABLE => gnd ); --io override stall_c0 <= stall_c0_raw or ENABLE_IO; stall_c1 <= stall_c1_raw or ENABLE_IO; DATA_RET_IO <= data_a; process (ENABLE_IO, ADDRESS_IO, DATA_TO_W_IO, W_EN_IO, data_a, address_a_to_mem, address_b_to_mem, address_c_to_mem, address_0_to_mem, address_1_to_mem, data_to_w_to_mem, w_en_to_mem, address_w_to_mem) begin if (ENABLE_IO = '1') then if (W_EN_IO = '1') then address_a_mem <= "00000000000000000000000000000000"; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= ADDRESS_IO; data_to_w_mem <= DATA_TO_W_IO; w_en_mem <= '1'; else address_a_mem <= ADDRESS_IO; address_b_mem <= "00000000000000000000000000000001"; address_c_mem <= "00000000000000000000000000000010"; address_0_mem <= "00000000000000000000000000000011"; address_1_mem <= "00000000000000000000000000000100"; address_w_mem <= "00000000000000000000000000000100"; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= '0'; end if; else address_a_mem <= address_a_to_mem; address_b_mem <= address_b_to_mem; address_c_mem <= address_c_to_mem; address_0_mem <= address_0_to_mem; address_1_mem <= address_1_to_mem; address_w_mem <= address_w_to_mem; data_to_w_mem <= data_to_w_to_mem; w_en_mem <= w_en_to_mem; end if; end process; process (address_w_mem, w_en_mem, data_to_w_mem, CLK, RESET_n) begin if (RESET_n = '0') then done_reg_c0 <= '0'; done_reg_c1 <= '0'; done_reg_c2 <= '0'; done_reg_c3 <= '0'; done_reg_c4 <= '0'; done_reg_c5 <= '0'; done_reg_c6 <= '0'; done_reg_c7 <= '0'; ready_clear_count <= "0000"; elsif (rising_edge(CLK)) then if ((address_w_mem = "00000000000000000010000000000000") and (w_en_mem = '1')) then done_reg_c0 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000001") and (w_en_mem = '1')) then done_reg_c1 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000010") and (w_en_mem = '1')) then done_reg_c2 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000011") and (w_en_mem = '1')) then done_reg_c3 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000100") and (w_en_mem = '1')) then done_reg_c4 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000101") and (w_en_mem = '1')) then done_reg_c5 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000110") and (w_en_mem = '1')) then done_reg_c6 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000000111") and (w_en_mem = '1')) then done_reg_c7 <= data_to_w_mem(0); end if; if ((address_w_mem = "00000000000000000010000000001000") and (w_en_mem = '1')) then ready_clear_count <= std_logic_vector(unsigned(ready_clear_count) + 1); end if; end if; end process; DONE_C0 <= done_reg_c0; DONE_C1 <= done_reg_c1; DONE_C2 <= done_reg_c2; DONE_C3 <= done_reg_c3; DONE_C4 <= done_reg_c4; DONE_C5 <= done_reg_c5; DONE_C6 <= done_reg_c6; DONE_C7 <= done_reg_c7; RCC <= ready_clear_count; end;
gpl-2.0
169131a595fd14abcb428ba080537f61
0.640894
2.865125
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/registers.vhd
1
1,955
library IEEE; use IEEE.STD_LOGIC_1164.all; entity registers is port( voted_data_bit : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; status : in STD_LOGIC_VECTOR(2 downto 0); control_signals : in STD_LOGIC_VECTOR(9 downto 0); ECC_signal : in STD_LOGIC_VECTOR(3 downto 0); voted_data_out : out STD_LOGIC_VECTOR(7 downto 0); status_out : out STD_LOGIC_VECTOR(2 downto 0); ECC_out : out STD_LOGIC_VECTOR(3 downto 0) ); end registers; architecture registers of registers is signal voted_data_reg: STD_LOGIC_VECTOR (7 downto 0); signal status_reg: STD_LOGIC_VECTOR (2 downto 0); signal ECC_reg: STD_LOGIC_VECTOR (3 downto 0); begin -- Connect the registers to the outputs process(voted_data_reg, status_reg, ECC_reg) begin voted_data_out <= voted_data_reg; status_out <= status_reg; ECC_out <= ECC_reg; end process; -- Add registers for storage of data process(clk) begin if rising_edge(clk) then if(reset='1') then voted_data_reg <= "00000000"; status_reg <= "000"; ECC_reg <= "0000"; else if control_signals(0) = '1' then voted_data_reg(0) <= voted_data_bit; end if; if control_signals(1) = '1' then voted_data_reg(1) <= voted_data_bit; end if; if control_signals(2) = '1' then voted_data_reg(2) <= voted_data_bit; end if; if control_signals(3) = '1' then voted_data_reg(3) <= voted_data_bit; end if; if control_signals(4) = '1' then voted_data_reg(4) <= voted_data_bit; end if; if control_signals(5) = '1' then voted_data_reg(5) <= voted_data_bit; end if; if control_signals(6) = '1' then voted_data_reg(6) <= voted_data_bit; end if; if control_signals(7) = '1' then voted_data_reg(7) <= voted_data_bit; end if; if control_signals(8) = '1' then status_reg <= status; end if; if control_signals(9) = '1' then ECC_reg <= ECC_signal; end if; end if; end if; end process; end registers;
apache-2.0
b4840a0c3efdb80f9ff3841fbff0f0ba
0.641944
2.749648
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_tpg_v6_0/69e065b5/hdl/v_tpg_v6_0_vh_rfs.vhd
1
368,104
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block NA4o2xX7P/R4QmJh7GAwHfAfp0pHKgbDLBSNGIOrBPvyUJB/SzOVFCf4LyIeLuMFAN3+UWQqsEpq Ser6/JCl9Q== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block k1sNGmGH33nP7/EA+ghTnPlzVDtjQbVH3xt3ybXDwZ3wYQolSegK2OxbpYcgprJKlGpU+ihXBCkv T0jbW1h8JZiu28eHkDQbdo3aOgwihaukWSkB2Ylwic+94ED/quM1DyO4tO9Nd8IHiar4rSsDG39W CH5A89AdycAgZdnzYfY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UHQ+5Kq0evsreMZPueXbCc3xu7U+7Tyi58INMpjSo8N78xY1uh15nSfUho4GWISq6Q31sxHMIXvK hcUQm3nJwBlRLLy82pk6kwVUNr0ENvpJT4ISoVk6WO8Pl+Y8B0dbkMypMNCaHKA3mPWQjoeiwjkz C0nhACTplwg+gCz8f3CmNf+PeDxEBJ4/zKz+P0X/TJIUc7AFdvf6bLG2iGvOkpdOctPnSoNdMKMI 0MrKl6uPQlsl1YWDR87PD+pC7uioWVj6Zt3oglwjFDH5GhRU1rh5IgphNFSwJj2Eh6Nc738qQY71 ek8AVyhesLnBoRMw/xDi5WivoHmLX9fzZpvReA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bKu3YoRbmy2Qdk9YwVtFqA7T1mAmH78QOhtmghUwnO+XuVjgTRh2W9is+EZeAPeC1ttOjJ/Q7zO1 rAfyLGUriUPgtM/XnY/l3lx7FpDeYJhRbmxYL3AyOiu3SWsEDIxcthA9fI6vRQ+6zf9+63k/bGCd iic8+lkptwNqVr5HGmc= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eXGpZaFWzPVumtAMp4IHPlkQiO1y3581ZPLiCJhTi7VjyjMXw64PPItEEieqcQYhr3Pz9R9KXbgA gRlZvFwn7mHQMPs8s8uprO2mFg+vVSSgRPBXFwAc50YQrsQKheK6d7TwznRbDpweUSt00BKuLB3J dqJQZ16RjkJKVmj+8veYhRPJLlaDiUnRuMnliwcSiH9n4jzrFkDdnR3Q47SeTKy2jWFJYFuMC383 PgjAExhQuGhY1NsE8mOVqFGzpeM55wbvUKZB3sq3khmBUHkDRbMYx+C3mW+I1p2XrDCzvUSFuHS5 EZA+DgL9hZ8ERy6D3GzOBkUdth3PH9DehAwpOw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 270752) `protect data_block Gh7u9uGgUBI9rMYtNdJEhZwga+ot949+btkBRApKHw7Ctz/x2rfm7t+nRs8xNbllP7C7e/S/p5oS YZM/TUk6xE7KmdK/SCRzVtFISXVhZMFIT9pY4WFhDnlyNiisiepoi0v+t9bo+Oj42/pCA4vNXBwh A+rxgBGK0s5IRYFBvcsA8z4sC8h9f9Mg/sOnwDp2c43v1vgEstAogeU4qOIsj4pGu4I2u1GNaOJe /p5S4AN/MhVV41phSBm8t2YDXKE1DzcW/YvEFXshUz3ehlIn2Ga0wWwESmT6/dtaDHN21fU9OTPA F6nsIZxPPHCOy4xCx/pUn5iQypimCEjMyOPUoLBGSVidMbfxC8jIJZV0aecaaSOxAaZtsgRyn8uE 9tYh12yi09VesCT9tFMsTuCk/aOm0bo0Yzqqe0WjlTfVOrzYyaJNnKH3CfVzqD465vgknwq8uUuq mp14glAZEIkGAKTqQ2Bf+E8iLsjKkSO5abv/RIUjBWvHwcvio2d0HvTNUWka9r8ETibFKUPacLru 0q5u+n0v9FEZTp8oAWwxhTfD/+rzIprQKV0RlzeH9radAmutDc35djOnKq6M/yzQLh2UBXtrre/W JwcP58fWupE6zX+WX3T+exu+I19nYbPZgORwJuxF/9NEdv/lk4IoAJWUAMIEgW/PVeJlHLpIBd/i +3nl8DObU6lUQym8EM6blP1ittRYZ9W1EQFk6FkUm6VCUMGo7DM1WK6Hs8Wb+6F10QWIkLJU+B4m jISSgRI0613zx5B/eHX7k4PbihEH1Zj9YhXxwHe9iegM99sz1/JMB9Qxfluah0TPsy54TTYww4ZF qHHlK/ifbiPjYy5K2rikwN2JDz1Pghpqsg1LZd13CNy3D+6h+HmytU5hl9kjMqbyyOJhdgEAH9IC YA9O6eMokWAyJC9G5I0nSLqvhCHsOkwveibMANfUWRIpXLiqYPTpQmlblx5L/iEQTtjQfBS9aQ0z uygEQB36ozDbqGGmb0ioDi5Ys7vvvvLBlFmIY1psebJtCE5E/LamdBJdIQTMb7lXZCKcP2L5/6T4 Y7vzhS2W0JIWNp05bYNdUk64H6jIGBjhjefgftComIU/BoJdySerkNKZmUxkf4RgmuI0lvJJ+bwB ZjaiCCzXm8PuNCptDBG9BazLaPukv3Qehgk+QW2qoctWOqn/8mEfdmljYzL5hTfRaGWG0FQlmhpW 01NYiMpQLPmr91MR1KJPsja6ZaUbdMX+z6gWN25OvQ3r0MuAc6V27N7MFTFqCyeWP9kqt+f7TYaW eSsIqpdLJWdTvil0OQ5iHLbigQ4JLcwbv4J7/wG23f6kvhj/FA10TJ7AwyoMuBrVGXMwgfzokayw 8EJ/XuPErV5TcEVO4fopbDhvv3F3nSEcrSX0Ndor7VZGctjyOd2Hrw2iM5GZuXtd//IiL3ViBg1I RS5Iv82c3H3GxKUKMN1tKtlmpnJGUExTkaz5m3WFT8QI4VggV4hpjmwKJWxmFXOesuDIk5fq9PLJ IlFQTKOrj4VofgV+ZOng8hVJv0YLjmL42L71WKnxRbuXxwl+ItqtdcbdyBMb2/b8q/wMXyqbdvrN vEmPaxSPYwMp253poCZI8WKKLp9Gafw7TA5jyqXy4s2s52Od+rBokHvRqir503B0qcxaUEbofa7Y K9KdWxk7U+TvKNd/XOMFu0CO3Q1dIYRN65eDXsDf9vNXqrk9hiielB42hHXidap5fGBUqlWEjrQA N4pjtkD1bQ8euHh8t7ndF1Nc2LftaV6kgF+qhKwP/hVhhZmpQwO57xUSO/Fs9Hq/2u027r0hEn70 XHJ3XScwoscJJ4c3lcowsOJw0M8/2kwLV+KcgapYkOB3AJTcP/zxIxzxJadxe/BUXfjMcL/2Iawa J9oq14y1Nip2sqOOBKZLxKSznxi7GQF3Qe9RU3I5dkBBd5TBtp0wRJ9GYkMgEb36Cj+8L8KkhHlz iXuWslABAHA59aTOWqRuOg1KvIgvvgMMPNXIZnugBIBrrbfYV7pCBF5iGYz7zqv5k2ZovToXdzlv bM81n2Q58LAgdTUZOMQwF6rkmUEYgMtvwfsAOELwi7zXbw1UXi2ttroHBhhpzUO98EhgGEnaYJnd GkNBl8gZgroQmu2Muo+vXlkvMl2YpL4S5wHm2xGkLtk2nrLNP5+jmxojYuDmckvfLcS22w6xOhdd cus4I6BtTQ6wBsJQnUzxBO6QP2uKC/ZJUCTJG2OG+edbCrNmKS5W7AZr1xeRAzylzn7DdJvoTH3Z VFcxBZHFgCDNb0+6uC0Huckft2JL7pwX8qITcMmvVV2LEHz/kRPzZDbAHjuX689S7jbhJqT5EizT qxs8cmhvMXPvWBzMQRpbuewmNL5f4v1R4p+eWsNFBR0B0h1kgQzZsBT8ZXx5CfRiylDsZUTQKjXL jDyLvXA2ohquRBXk8nWKZo4P8MZUjalG0DNfmfX/dPZbQdIL4AsNfbZrsZzMj5m80Bx47Q4o7XAF asQZgH96OOo4k2yoKMmDhDaBiEePP2DanqylR2qc1NotNqRwt5wZj+opH9xxgty5kD5Un1kv7WZb 0KLDupZaizKVu3JV2xedk43DkhMLUM85WJW66nHvxJm+uicpQCCcVCQ2NCay4lhm1UNkob9Wkhzs zGnNxcsztoUOkkQS8LUEEliMyvEpcgBfam6/ScbBwDb8WYNDHLqYKnMV4gITFIF8Vh1ZzapBcIn8 DcY3kgZB9KXx/F8TjMeFqEx2zsn0sKMlQPGSkvReiJ1OuqQyY93+lYH0y16d8I2Ru4WriHVTQmo5 WBoeFlVh8+KF/ebls7MUA/PGyg02NoMh7j4FZCfOuU+UB6tBiFlQg3k4GAzXofEe3p6fhIWvCfBA M/W2u40Z9iIUWFL4MGXmCAN0XssXbmU7Fz7yp9jhBmbcO9OqupDqR5tFViF2k75csQ6JjYoVjay6 U/xK0wqmMfcmTbirgOGA3jkMOI4bMnZbLKhpDmmESpjCHFg9Ax2lVq83NQJZB7OFAHaY8WzZ+2Yb su69XVogATUNIEmCNB4LjYgS6zHGpUGvcNjDOMDjtOR0tDjtwCATRp5rFbNu9Cuh7cI0urEaujiU +caZRKQWN6GxC6wFe4aFU48l8RQey8Xi7FP6Sz4SFbFQhPW4jpIvujAFZGATrTCGMzh7WlO9AkAj OjIxlVALEVd68RC0xPCl4cfYVRzJAoLK7dTNe4N+cDXt883J/aCz3C/5ie+UlO+8J9ZvlRTcRJIn BmI38N2OGCAqZtlXGiIlMnTtw9vvCPu7Qpd+FIFeRS5yr7xn+a9Vyy8MoXc9PnKHi7tDub/QRXxr PIeJfh/xIFMfQ9zmeF1lddsA9qilgiAaxZQTcVgVoC4wxveuROGLC/6FLBIIQFXT52VNgInfO/pj v69v3mG9QuW81zJj/IERlzGOb7mPgT4wFU/Y04zQFprZbYXNBbPdYjcmAyitOl0r7PuYGyQ2Pebv EQ6pAMQukfScD7BgC6hCk2aN4FWh2Nz4JeTRB+7kTPVQuwVrpVwhzouh0cxZ9LN6fUOXHQ/HrqlX lb6PU+PMW4Sna805wJ59Q8pF2/xhCAxLYEsizk9dClW5kpCPeA4QInGYcUeQNfuchxdFcCxUW+QV pWOLufe2OrAf78kGafQKK6kGAPjsylKub9t78KGKfZqhHEnrEv0JXKYKwTO28WsHqbYH7IDh1l9d T1Z+YTzRtBUIVmxPU8XQYsp1hVXs7QsUuItXMnlNFc9XGK+Xpv21lJHx7OlWN12PF1JGKT1bZuCF ZUs3L42CthyWQEpgqOXtwuqi1cRyzNfcTkZ2DsCVZxbzGU4ghb93XnB48m03y5GEp/x0ZUQoInJi vVyPDhjZW37a5DLjoXvpNFDzzaR0zrapWQObc4W0o8yCC88OC8J1Y3NDDpev+WPZcr8WQcZeZbmN 6Mi5im7waSU3tqcQ+0E31OglA2LhoHlxgBDkJ5ZJ38NUv1MhCMXlH1rQj2/Dgg2KvYXLKXz1y9jr oPSjbxXFAl5rGroaI/HvelMzHNN4ecrkEtl/41kNLl+pbH61htv5dCwff7peQzMg0+adC7xz4Mtc l3JEFORulXCshYkSXLeTNXZ2vr7V+eyLy6rMlfum3nULZbkJxDp2O5EMr9fvrlVpZARbSDImZO+f FrW8wST2TQE1a+vK5pFNhtrDUMM57WrEiA4CtZwGx/Ff3kQ3kIDxEMUiAclXVaw5K6gOJ2anNvSi PbxbBqdky+gTPB1x3biKjCyqqyuejcH5KQ/0Yv+LNSey7RJSQNXyMzIBqb1JnKbHz1CKBHJD8hk/ I9IUrtA+I5t570hRwfRJHzPrhocpMJB7faYOWIDAw1VG19yAScYN2I9JNpB1qNHrjwH7O/i8E3on dZgy7UF2p1N/E/v2kOxm5QJtIdd3CRfA2Fe2JO7hPzwpqKZJ/KTplPeAk87hHN2seQqByqnZ53xa vRm16TtrO15djGfYtvSXmxk/1PIMBy7PWfEmpuZcYNBRHaB2PfHD8vlzrF806MWCaus0qqtOW6ZR idqTO7KzF/ofOkAF4Go8J525cWUOZAztC5CZysoL79AhtzcBtUaQv9biDhjjPaSOX+s/DqrToFM1 cmgT1NnWC2QwDFe+8kE9GZKntMthIc8JGsUI0Ha2Qof5epgCjgrP/HgZuLJglG0OMiCp0+LFwbF5 FIqfiZ6U9Ev2xRVwr3tMOI9YhvWefl8jooWmSmAVdVDd0MBDvG5ZxLnPq1DL41moFxONDU2d0gUL lTGJm6o0yMMq/xIA0bWv+YPmYPOgBPvvdZpv7zDGyfkqdl75Iin3p4pj16KcxR2wUpF8yBIIhhdQ cFwxaWzhlLKWeoV8hkoGivzsu2Kp0DdbEJZ3SVXaFu0CIrQn4MszEI8nq4lkeBzsZGGNAqTMw4PV uzlNYdukg4m67cdOjqR1tl5WQqWoiE35whzPCsu9e33WoiKk7MzrC7+nLOfJo3zPkfoNZ9YENKRL OMR52jKKgCopaSFA0LGGaCPyA39jPWBxXdOvG+zjFCQLESNDNdM/AwwKugeY1WS701I1jQ0zXmbJ 9dcqufvSWskLlX91kvjS9sj8fL/ojgliOrBUsNC+JRfgyasxJ6hgkFQdnFqLFDWNv0rFusd3GFVe bakgmpHRiAUeWWJRAlDKFARcIZG1PsE5fH2iTp95wEqUo9quyQ7td22yFnMST3ToriLZdbflt0On dJIifffzfdIDjFc/QMmum/YXKFcuILjga8KKNd2RIiLYwUOD23p5oI67WBPfjySy6Vx8wsrCWp0m h1gZFiObdl3nmKFBpZqf6t2x65JITvGVMwxO3ZXw0rEhENZ9SUPGHY3oeJqi2vlNklrT9WFV03Po SvgIh/yd9DbCwpzSW0evhO7o8GZgBW/lP1iwK2ccp8+FXpW9St41f/NkNj8sTkVzzu4XklhwAkEF aY6jxKbygf1x/Q5nEX4UAx2PjOUZtAMVHa0Qp9TfKNlCtgRtsZgD6lYK0GSQclUtQ7U1/qwDLUUN vcj/4r6USwgxDjqruRr8d7h/SEeJd4Hcay+UlXzCrwZlThOCd5pRsnrYEYZPv3CwzcXuaMI0Knv3 dMpqRUJffergdGQA7Aj2QubZ+JFjEePrzxIpwGvweveXBAeOAyQCjMJqUiuvsnoMEhqoAd5Jd1eN oJYV0ZSz3USqJNTS+04+eFHm+O+HZr/y/aGDYZuRjLCUMdQBA9COsox8C2GjsOwBvMzf2feJai/x KvEkwMDocpLEe9GipR5RvpWobiiDkr1PEl/Cgxu1Wm6m5PQ6jY+DtzkTDCPQzoFWd8UTTW4GKg/9 cdF60T1Hdos6JH9TTsvFD4CdvPHiOZ/CThC6ntGZtb13HX5oFHA/Dg9l/Abia3dxCxC4b+I20jVM Kn0jepukCmTru1BOSnTGrXuenAMkfrjT6Hi4vq2diZLVVE40fUtvzkrlPR9/mqUMQDu0mPyVIPFx n6yYee3KttuoXOVadFYBmcOMFY55QhWla45mg10V+1CdhFQS0R7Euhk3bh8Ce901QZjnHqH7ZEj8 Qs/hg5GK07N8QqBQPxXg97yr1+AJbJKB8Y0UwLQ0nrsLDl30WDF/C5elcnA1cUT/grx0cR6dG6in rSWyRz3DQOcX9sRP074uWUyb2XyVw0lbx/OM+jWzACO8Lgom7X35NplViJdoOSo3yaQuQJ1bKudU 7fhbaCc5KmpSTIJ2aSG8nbtzryvy5CkzmTwkctfuXr2vAybkJ9j4vHfS7nkc/uowiMk54Jok+xsS LY9GRkMUz3Mm63+rsje/9OoX/cBrMcRz6QYvsiDgR9f5ggJl7SdhSEgjlv6DFtVgNMt7/o4Iu9+a BhO4Pv6RljrVfRuoiYmAC7pqchnW8hxomNVaFDC7mlarvfjcXEGXCH03S+DmMCpVX+C1tPxwgvA+ Pl0CBeR77NYfz/Y1SHNArIt/qXmoQXQNGuNGSu2GAbrAqXFMm5Ssa1fC/OIERwtrnSpjqPYp1VZ1 stFZCuZ2jLPpw1Do4AAXpDzoIxnWX9p/xYDDOfJbAeQxAJjR/+6EjcB0KNsVpI6vJbnr6fJv4VLb skGwSj8Lri6xCzhk9tMoIXnh8IkEBEKt9FIpsF5h3xbNyB8BbTUVJWJbnc5qqHGn4p34E6WUCXgo genVxDhOv4bWFwvg4pLM7jtYORuLBodsD0ve9zGopAZAyuJOKeSnL0eFi+luBYxt6ikosDVF2fbe OseuaVhTu12EvB7xs8X72JBXckOXEfF1g8kXmOVnKlQMviaMB+N2yB2GZ9cfDV8xvvcAbf6n8sur 1UViXIDrF5qHuINhxihn/+8TS+mVPv777rEFYLIryuY0W0M27cZDLD6d27NYwGAJpmcvaP9RdUgj ViSMJzlvQ0vXfbG2dAzlY0ldm83g9PUAQqIzNF90HQtuk5ZiKKZnIa/NpoaXAJvR8fzPXDADgrxw a7Wj4a1JEX1nNWSKR35eGLxst1yjBRpXMrI3NFRpBgnbKUtZ5ZVA8KOJnFoUqP82oqOWOSChz5cR TlpsZLziPrK2aWHLr8egdQID34zhCarqTnunn6Os02ADfg5C1+wL2nhJ4mvxe8dlaMzxhLx50x4L OHTAIVgxoACddW/Rv/n4pwiktFjDXzScbXYojD3+JDG7ItuGu9/16hipI/rx30bRQG99HqvQg4eI AG593725Dha7+eKhiTaPtbq4LMQjj4APXBq0DBL7IGrUXjA9mMIeYP+93YemSegh01PfshS8m4Aq kREVTX6EJ/yRiOsdqwu98EEWfQrESfBgg7UC4mG8EGY4V1kyvZW/1LAvJ43cnPdUDb208S7VVUV5 bBwpqXdExq0RbslE8j/Slp6KQxRyN+mbQAfLmXsuj/RQYt8UHfXOuYmrG/7QKIDTv0SXVTllJnTR 2j6uuT6w6URk+GhTUDaoeHz3YTV4hp6/5MR1XVLqrrbL5UYN/m5R1NDPeJhFMzeUMeT7OMxvuxsb B0tvadwXMXpK9ZYO/f3fwd0H+o3E/h3TeKIBlEisiMQZUJOb8oTaCBU5e3tanP9QoTQ/oj0l5TXh ZcrLfpAtzsdEXvSiZFIqhEuKyWEsH0D1Zkt+luFd8lPsxLROmOwdNN+Qn8dJIy2Mu5acmOLj4CDP WUnS6K6aVdMuYjdBx6Pu6ch/lzy3KiAOOVhU0Js1MA2+XCRzpVZH2eOBDJWtU3KkFWTrIXiyvKTD FUleCWEscTCjHYNjCiiBY2GTBhFEMXNeyhaHZngdueTcFTwfvMgzHwRVuqMrSc+dlePPD4WFCX4o 8f+IPWruBaSRyDDU2H8+8PheDGznvNK7oXDnP3Kb4bUc2Oy1C7rzL1ETlzUvVOrXzyLiKH6i2kLl rA/36GlbwdwTbCb2PV845bEv63c7OoIeuVH9wrSPXjEjP057Kx2yJgfVAjyZPPpplJb9BaTkNEvm Hoik0sg9uSLKpGunHi1QCq8PeoNTWXGYh9z1Nv2eqUcSlILa06oegRUFNpnVil/NCBi92JZm7+OO bMkzVof5o7BDm93k4gg4+/fhokLEplpV6tu30iFtxN82MhuR42lGEdNcl4OZZMpiWyMk6m123hQw 9qw9Iqaj//fq6V+dulr6azyrabKRZXdT5Q5zpAO8CVkCd4HpPJWrxP7BHtQ9Fv/J8/vQNBVGgBYi 6IFtCp1aQQErl9lvU7PCQkvDZzIdjz9qABno8qWdPu33K2L7ysAvzvp4a8rF8FHcBOibfWWO2KX7 LVGrwp6zE0jsPLJWBx6j8ZskteKVZNnQDlwnHV2idf91ZUSXGy+mlVrEVvBFniH19GIAVOPuHU4S IC/eQMJWW/w17mvciYgX3rhl10H0b6+U+/JABove7RxVYV6jqGNu9S5J6aoABw6nhqkj2Kkd3eXs m9eD4N5yXySTkZiouA42HAUelNFXPVmGabYskzszwZRfPgNAUlpIPWBRWzN3fa1PYEU3jY1DOd/o WOfdd1NEECltGPWv/NxebVXuPgSIvmNBaagXaHNsJG5f7ZDVFEqg5TaeFSi4WqX0nAI1UVURE1Px A2D4xX/iyBYWi4+aGuUaaYMIG7vfg6UPjjGpgF0wR18EUbXIhwTasxYyRiIktT1+fcfxHZ2qazPk 0UGDGatw6WHsIw9sHVPXseTdPQNoWMhWkP+BKVtpOeETw/YEURXbjnf3i85O5TjqIJA6+V5bfInl mPbfxdSVdnQijMVGtadfYVof6CSOxKMKEH+TzVHLrUrNF9WHoERsFQ0p85FTj+vV2OugRKKi94kS r0GB/II8Ti0Zk+tA4dDmhjiqIrf8eIVMLY5lWUTmydRp8d7JJH4eHE93SaBtCs7YuTk2TNm/xUwi 3VXuemW3ZaRLqA2A/1n3o4ev7Bc2SgQWUcwhGfWaKV7JqpmGgp9RmE1THp+joDW70MAGrUd/9qat m7FIqxE/An874Cx0WEfj+eMtpUZS6Dy39cnFiMboPLXKlSytn+zfav5GLPfGU1v44ACwFvIB3laU 1IpHUGmcm0aCL4rHb6WLWKJM2AXGRHZdJGAuAWGZ8Byts0Ox3ClZSeIDX/yUd109aW/1/JefVOef fb5FgG3lndeoLxtoxy2VoEyXx2UNUzjcMdr9OO3WZA+oV3cjT51gvGwdyhU8Uzz+RW4E/QH6T7Rz Vsw63EawlFTmyaLFhWaEvzpK/Lw5jPspkw8GKpouAy8BrbgpxP94UUsX3ShZUGTgQB9OfJMLWz5Q Hm6PR0Vou7DZubQ9ygHemmIaOf+ZAumwV8+O5dzudnOa57fANBzJVvVqFJ6Q8EhWiW9xYNiawIRp /CuNVypEzFUgdOAzrcy1iDpeDLdhtAT9nhELJa4kOJxtRBXxNIluvkLbdCrx4L9eosX9NND9eL2v a102LMpHmONb47SGVH8fovt3aUE0oDLSWMfFc+cqYep+E9OORoHACFy6C6xs1dAL3rcYgKixMFxd IxFg8meVtE6ScqHmCnWuLRt3pqV99vsfpoPy60EqUJOjFLErEiGQXFWI8GcxQBsQwPj/1OhbGbmV 33BYlG0ZT3ljn0Mter1Q9ZYQBjZAobLBiQut9UiEHyjDqOQNgZDvv/A1ctqczN2SID1nbiXK2Djz 9RRCE6xpcVehTN/yNhlMQYKuLS4jkO+O2TfhFQLKbhe6SkiVyVOhNlcCcVXdbOq2090U46WWMP/Q qjL7+dz5KM+pXjqDmMo2N/E6Q3AncCqZa2m6vH3vpmhWSEHS7TLt9KEl/jHxya57G0t/qJnlbesZ pKm9rge3a9MG9geYDdZ/BV96IOIyWgDa4c8wQ9D1i77snq+tVJzChBbW55Nhy6ET0rHQplKfprJx yJUEt04NO0TeGJV9nM8RfXVinAEBAJv8397z6TmROlixfWr11XMGWViZSlXzEXpKCSw2TlzH+5bm +wAMHqGkgkzmY9+IKEhwWLY69R0yjMmckcYb4oWzmssPCTGVbePmq+PK6TWVe/8qbxl96Vz+hL1B JHqWkozTWavetCqdXWfJYs06slsq5+sOZ1CvvSMvjdTI6RALoRW3E3+yzlMKQNODY/H6bo3We4Y4 wLN8BPOjx+DxqmPb8jsqV0uW0alxw0NEl2JCrI39uB59HyEZi8TgYm1wUDGdaFiEPwRI3baqFX+E hdwzr9j6L0gkv8AfCprs1PVtF4yydasGhM+glj6Wyr7K5D015bBGhWqFbnP/XQFodQsf5po/B5kY 4rCfZCQXif6VDLwDGlM6YokKq6JgKqtl65kezVie4hspEhs4uXYAM3aUr3tUSjHUgTZHiBGDd1VS Q2SiaNshMvkwsCoPWWUfLqwcMh2z4DFwbE3L2StyLHl1Asic//ONmZB+LZ0zKn4cNRU81GBsTasC dQjVvuXkfoll2hLvT3JrPWZmeC3IE2eyfnWEMNBXUjCWLoDs9vDnTPlAMrMBRtO/h5XcFpzGtNNd fjRukDyMFzU1vL8QfuhizuHCBiUxKloKAawkVAOYclUkqt62vVVyLugdQY/9RKh9oU8iLkMcCMfk L8nOx7O0BoerrR7ButsdJC9tbY0K6/ghWN4uqzxDJXnX4aqweRsjlhHQDWJSc6dfd+Jhx8ADFhyZ OFjmnyTByGrdWPpPm6oSj+pQLCll4yMaN/eta3c7YyUVFV2dlX1R57VV+FlNTi54TpA61pj7rHdB 2QGfCJFZbvWoV+37TArvECBQEZUNDCGPj/3orYxJzW1BqW3vQhQgyLwks+5Y4UXU57HRCyUTE4Lg AURKTTNRjxfBTOYOuqXANWLgfKlfbzWO7Y1ZW2Bz3vweWehDGkcNfmzH9Q/rWfcTD+z2yxGehzPI G25PM8kqDaq33nCW+f+nptA3aRYqal3UizIeM8nEslN+aH5XnCr0jT0zx6ph5EHbctwk3a8loHWO wZ3W2ivZ54fy7cOgZsL/nBri2oOuQq8NUbGEgDNhRI4vBCfVZDMerMi7NS2YWS93Fj7jE+deMRTh 2J55N0U3wo7PejBZwr9aFuciaXBllYEUxRaGZUpDU7ljbbGbw0fQIWS3j4gw1VNIRMuEBp6R0hxZ VWdecbMtc4ywCKcYF2JYVbBhu41iX8FxIdIemydRWmDOc+XVhyGtbAjSor3E9IBJApZsVsXi7gHK L6rElu3uYjF8k3KeRMG7SQ6DGjzK1Vt86qvvDAyzRHaTxgkY4DofYE6nEvUM9huwcZdQ4hjfuS5I +f3+ZvTei1X1F84gUMMtuN8kPn8FTv9i56b+FgYSek5S0Xg7nrQoWx04lCLGl6o37DInfDHuOzgg 6qI6h1HNWAgB4VR23s9LeaEIGSJ7rKUfEF2tc5ZmINO8t66DbXaLet0lvRZzTKh6h8w/buO77BWc e+lDURURBhpCE7Ialh5pHbzgJ8BxSSdfY4nYmnur4aBReO++GUMHFJ0l5AM38COlqhq6YkPZyUMU bF+vSWYKP5vreyBO2c4szgNtIFlI4E5YfGPzGUyYj9FIh2Pmlgegq7VKJ+YaDrHY2m7B70FaGK1X UIccnxnzGEzrmQtNtlrI8R+rhl+Jf940R/PhikQLULaTFSu3Tq25JIJOP0oe5V0l0Nx25vgpyOOD 63gJ5xrVihEY4FJqe4amS6xr1FL9UA0yi9IdZH7ftrfiHNBp7PY81EYczqDkVD5EEJronEHSUCFP RIA08BSdyeFKj2hwYWgRSWBTZzEt3lbHJz/gA/ZxAWqmELQcxftPB90F+koSg3lFGzYaeU71rG3W gqfEcO6m6gBVdFxUIfDbbe6glm4cso9ttlLd7F6mDrPuDpJpooKgV6IaVp2CZswhNB8CdMjYmcxQ vXtsTFx20ufBgsHd7YcIf+96Nzd/ndHqozBBtGxo69MC+k5U5EwDBXCq2W7POx3wZjlCBAMMXWTV QDq+B2onWz6FEracsVbio4WwkNVbGWiB8CEOFvEJDpqikyDCUapkEu1CZKpbPjRFDCpih6lzNTva LW4ylKSfYPREEloibG5wOQJDBdabtDq5q6bAtkCVhHZ2DjU0ZGa8TFTEiceet4aPe0bmf4sb5vDe H8V/chVaf1xSaUJ3acBiPQlHtLkx4tjpXJvTNju0A76K2f94ouya0B9rz8zd/lCXeIGEhmYPNXsl Cys+Lje7lZwgYy2IPGg9BPrCVDljnEQ1ziMqWuRdKvy5K6XHezIxm1yReHJ9+I1RY5rZMOnmeCJX Y5UZh3pY+LIalOrDSjdKJgDXKlcr8aJzfMLlp52wkB22s1QAV4Vy8M2khA1ijkxPr9l1bqiwBoLO ngqsS32xKZtBPQwyiKNISDw6k0jgMwS6hM+h33Zy/CZCkO7GqtCNVi8Nvhe8G1YtSddPsbHEAR/d Z+ZQnkA/VvPywn3ZjKIIKKyf4lcNopTnvRSGF7Zij8AwCL798FcubHe4P0oK1LBahjHqx3cKz9B5 djSYJWByJS36iMqjWC6OfpQGEDnoilUXRvQIpBxodYmrUrtVMNDuKOKEedv5Fo+oTRNfUvZx6ZD6 DYhBuOOHhMbZjIPsyEQ/zMAJC0zjHP330KpsI/j6LI1IF15N2CnANQK3O3r5uKJZo/xndj6r5LeC rAd4qBWZ0CdA8c56xt2fOW8+bNCbqXri6tF+1Wupnr1VMnPMNThaeTwf93uCxNMnypjBoW1gLqp/ 9i3paSqHWKa9iAvb6rQI5TfleJcRTk7w+7apss/KIEc7BYC03VNxQ08xZZQNK9DyTsB7jZgYNhwY wQmmJzoGqAiw/2c17FZzfTFBxzNto8HiNYqGkH4AZzRskKH06ubLAbd+kemh1dstXfxaGXduRWs6 JVnL3N8NsKeP+sqVVP8ZbyNkAAPCRwMw6pRmM4VgZkMdB6dkt238MlA4lzMh1FzUGhVZ6Ed+Ms7n 7fOAQPqffMkfrtr6qIgwtbBWOMRGVq20TICLQZqUiwXUzc6iB/s30qfUyUMm8GWWQZFceRuLL4gj oheuP5CbF8cbQrKjpNYloib2QaNI42a5Ca+xd0kgSgNYTMhLh1rneHjXDQWM3wYDBNXGhdlY8Nm5 Wc5o4+/5CkyvWW+oijWElstDQ8iqAyWXjQ68o1b0sfyJPFcx/LXh1K2k/ZuyyZFXgXHFGKJ13KMr uc2in1ywCpa7tAoD3sDoEN/hSMAhuDvpVwLamxe4N7p4TE/Id9fz5zi5E1XCkWmx374QQWvICyD8 OWyz+3WKVryjEleyhdjgHH+E0BgiI/N+OR75CXm6FWxPY4xQUdPL1c9Rj2CD/jSMygkYb60JmknO HCO1nFR0Akxo4xm65Qqkgb3dqXWCRBP0hliIY0eKEaSFbmKAEV55PnBAW3u39rU/KQpLd8UTJzKi IpWIDoc1gN/8M35jaQ8VV8jgU0dCgkX9e/Rxn5kwFyr9P/EJgx6JR5WiJlNuq40lkyKwPFeW4HQL +iz0FTrXWvn4LKEHOv/xdjBk4RA786XFUl9dBtZs6y5ynPZv7M/psDRrfa1tkS3uJVEXkZ2GTNCv caaMarYDaRpcbye3ZJ2yJHPz1bba4OLzGixZKCoCsSK058jIBk48vV561mqmFRs9KfVgiK9/Obxw LroXzEQrsI1bLG8fhfyU4dBUvX+KqWucpK2rkM0j+aOZOVIwKWfLJm65WwUtaUVpQAmAwQ+RCeyx +rPxxgEFH95tYFFsB99sOb2lpUGQzldx7l89vw7L++R9oriihaNesZ7iVZYEf5+I7XJKkEzbiFKL kiy6gdDXJ4Gt46KWoZqOvJaErDQiIudbraDOow0eCVgDO8LOlMgLh1sRlL4jjGkEINSwh8L7fZyA n7EpH6tfAxVT44frJgHb8FmBJAe2biybpjuyUobAD2QCKASj4eA0L1e6TNxrgeShZGY8ZnjRb6LE KYtRMyjzY1ivxN4q5YvT1ZkjcmzArte3FP9p6qDINPx9fnP47Mo7Vqkaxj5Bs0aH0rko3jGkk1/G hCHnDvzjltyHM6dELPdPGTqLrcKiD5UDSu1izEI6RPhz0ThDS/Kdwi+DfOvjIvVfOEqnIl4zVFmj VpWCz3GjmD5PUGnp2p2Q0uQRJEVaobjJUPCuHlO2fnVXs4Ibf7VxB6pAoY9SmZ6N6zt3p3I6V93Q Ryzvdd2654G+9TgFtJUcSea7QMnKlRKGFCtI0XcFWw0HIWiCY6zJuDsrqtz/TA7iKx2GibQNmgFW 3nScWZA+/P9I3bVROq6B4DAyKUcoQdm2TJRaZ2yDbkWpBgnYLupHlF5csaWSSTi05eVuOSkqDd7m XgOLcXWN60TueDy9JaA74++RZWuP3yr+3GJpjXtC/R8141qfZpgPBmzCM2a6C5HLSeAcjQWzy4ek Hl9yNSGkaC0CxERmAEysRpxE8A/JhC8EWThlqa8cL4bgB4TIHkqGNU2/beiW0Gaizo3T27pHAK2A I6izDUFOuCdRqufY9Bwr6+WnH8r5X1tSe6pKmKtzdMxeDLnDjcfsFiHPlvTfkyb8UNZrtmWVFI8K GPqYT6K86sTtMFk6bhh9XKR9+8OGzqTC2bh3C79epkN4uzUe1knD6l4hZVLW/ZC7la9ahMI60GpB iA/QG8oqeAsJSIw3YiMVo5Dus0OkZrM2bcnwSlYBHEZYCnkqakXkd6fTmDlEQvpf1Z33Ld5HCccc 8116SE38dHdYeANgrzEzhp+PyrN6EIMIlHlR7E/PNqRBXLv//U0qeQj2/S43CsJvBjjuBLu/APS6 QSznlC8fkjHYwt09/m7rEmIy8FOkbRQc3HMQJA7SxbFr3zxraH0g1cFJ+w5nNEwLqhoDTwcX1+oi AWhzAolcnkWrKnxyoN8s0iWOLdkQ2tRy0VGmB2G6nTT+ti539MO/OiTVyBHEsenxdQciU9+gnjy/ 9GhVtwMc9fGgJlW7StXlr0J6mdOmMINmlZlks1UaIwm2haT0D1Wrw76n40FrgbDZHLX5b0xYd8mb X/KzeCe/XM5yg7vQWGPhLZPXJWHFtU1YWT33v1n1XM/n9yHSYZecCDWwxJzRMGYbNk6tCrztVjYu OyMB6HohtNOvUTe9uJFN4rGiE+NIlKuBLxD7trtE3KROL4He6PqICxirjxIRNUKL2rokrJXaoHXN yi4Zq5yGRX/0V/knaldwNaKxSXWQbM4ZFEZ0zP3zL07iu6UurZDptPZme9wBEB66Oj6EOretxGeL hYOI6bxl2Dp2GTr7lLTVcLM0h5NpbDWOZ7SCktMBVuVttTB3B5fMGp2q6RIhPB6v5zMOCNgo/4qp OhTf/Wzqnruce0J2wuul+X1aP2YmvdVznP5BrrSb4Aa4D+TBbXcYou7LpPI9b0mYAbuWtHGXXFwc uAuWeg/EpWWf3vfNqD8KKCgQa/taPDLMUBptMWKB76S8lUXH2cUVVS3dyw0ijdU1dKzbLyoLTpG0 DbfyKCBcaMWnoTF3h85V05Spe5tM/Hq/kYmkaROabQnJu6L2Ld1Hgn0hp6GvLQnl2c74HJzlGSX7 5Weo78i0w0uCf+NTyx0ou4+CKcF3mkUWNrM0jurMeajifdenWdaL+YCqgyei/0sUKD0m0dDomiSN /VpoEv9xjO2JHTL3oT+KU17VmGkuh6CgNGdsh3gg0OyaMf4bM3x9oyHrGcC9tBUoQVQqcvyj3kK4 06/vw9dGJoq+7TN+pEwnFTR0jnR1bQZXGrdes6ZLZwcdB+rICr74z90JjjZXMHIq9y0TgPZoMnPv REgduatx29049mycuzA+mOcwFwls9kgELKAKXKCadOFXgZLekLq+7nd+lf1qfgf5W0AVhS0JHyn3 1oWp9z1eHufZrfeSvRaYdvB7Th8jDMU6Y6X4KNsXOw4Q68Af8BDalDoxYaJFX8yuMLzbueyh4s35 rPGooNn5uiZh68rDVQI0F6KHS089fGdIYuAmAxrUKGcFLIHhbGzmacQa9hN9ZCc5T7iusIVt5car 9g1jh42+MN/RelH2wk7BGpuR6fz0Aey0JGuxAxB3XD1YyuKuned//S8D4pudbK2FOG3rB+i8X3NY CgqeV3nb7lrOwVccqSNlRq3vl3AXPcnm++uADmMCaZYLLe0FZqzK5rJgHM2hSL+iXudKSTQydRKS BnetOt4EdmNRJ7WGCbX4sjcwLgfZ83EInwJArLVU6Zh95QW6amYCfmfK9XBVR7QOxAEx3800unPT /xX41TnXXlju1PsXh9Ufb8A7z9XIOjD3+oFYR5XS16oRb/I8AF1AAcSN7ote1TAnus1DSz9sUIr0 dryEniZKtPbKQ117ygGTn4drLULEWMcA6+3TBUpIbRTYptxl3+OToOmzKQzxvj7XlF1Y8cP+c4sT Mg1UR56t3ROfnourKr0BXEg8AQ0xRcup5Kx4iLZ9tzLGL54ARheJjPBU4RxIiVPTCoepz3WVspd8 lSeY/l+FP2eTUbaYrH+UxXkiKPvQvpS3TL17GiMhEkMelMJCm8IJ2CGSF2JN4KmSt3bQ73pcBWMB KpWEzkv6DoZlBSpICq72mnpYdeIVvm3r3+aHFhSWuan7fuDEvzIF9Shrb+TaHzaJzuLZ2EUjMme8 Zd2qxrX2fn7TZq2ilgkNECudizYdXNEGmrkDSaG1DG5ICZhnNS0i9jpfvX2PngHwNp2R73ZzLla0 iDntGNeQA8bVbeYKZjNxpZPyQukUToL7kGN8pU6uAEMk8c9ZFlI2M68uOM6zV/bu550x5tyxwbIg ANZw0s+Cp1IiMrlc/G28Z42iHN1aa4wcm5z751RMcwevK7hsL8vowJwELZroTuRAqDfcNdclWzWT GUDsaI+YL3swnHkaVdq6oUpvBBZp3SPGh5wvVfH6iij9VQiieVxjnIg6H5/5hOMwTBrwcYaNO6tE AZ/MbpyseWxgq0Og34VDYgGAwwZpbkPhWNEoNgqbM7JQVXuJ18L3SoubWcTzks7rnNt3jZr1K8V5 d/JUdHOnR9B8fvEI7L6xy2PITGZ0dUMWnmdQNqGtZJjNOQ1zb2aNcpYVJcgC8PUlLwO1GXD96oRZ amhgrQvP7iWjWK7vC7wfO3wCNjrh8yY1kd8rqt6IeFQzVGiMtfTLOJAK4xNH8oPpQCKCs4Z5cFt0 FD9uM7t22Fm994TYWYG4a7vuKgEJsJKJSb4F7rlc/4k+LHp21/fNDnhffJRPXqnhB/V4IuJfsntV YUM1irx1Vug/A97hKj269XAcX8hKr92C/a/fO40nNC5Mc7dYt5OZukD6RGcEwL9QJe9TAdpRb9E6 GGkPlnTtBW1pNKyLRGcnIUNnazzOCF69NwZ9NFqSrg+8TVGC2G9lwn9zMgbo2y1Iwt3HoG12JPCV K7FrBCw0JmFmRfW7GyUkwerIafuD12mwJwnoL877yKlDfrddFTlK7q6cGdjGHY0st5OxagbAPF68 LOCMJy7p9a54MIw6kkN6/2Z7eHS2Hblt0KBkD95E/AoGgw77C1vwr5r3Zk4GdjB8Pl8wVtx5k5q3 EZ9rRUbcd15Pk694t2dswIQjVwt9YrktKtwTl68zxpmYQGo4DVb/19f/P1s2v4kl/l/7SLbadG7G AUKKs7hSmGZ+BPWdbdE+slRIrVlOZ77IQpVrKPG6Y/PypZWoti4GLPIOyJH5/oCdmkI3nD4vwfzv qTftA2tO1XpJAjjIFEwaHo1SDWao+61x2yAnTCzmS4F0KuaffzNFR8dAEkBLiNIn8fPXavB/aMLj cMi7vlmxrQjXubGJ3IcLe614/gj9k7aDTsOnIcUaVUp/Zj66uBCBOMwfBM/iQ16mCES41YMZWc4P lqnlX5ICzwDE65kQkpKEPsgGefP4nKofZESWr33w42ASwGr/7GYweXIjy3v6e02clbxyUuR+uOEj Dm9cnTW0WVIdRVJTuC7vQ3lmLcvcvqQnIZ5yLw1irdhCDDurxwceaVyrAdDvprF/Ih0TNOJ0t+Gr PWmO1NJeVnwS9h3bvxYsVZRH3M/XMVHwf1Fk0AREr6lM0VEEH0muoY1Dc5oIJPnjTesam+kuBvZP xwVi8aAa2EcvS834yfD9noZ29rUHH50RxmAyA9dkCDWP8LFEo9zJUEUJmHq6oN6Y0FR5ObGFLlf9 e0GPLEFLujDilUdGQidWzp4wagzDaWuRIpNz0LJsp34yDhUJthCemQ89ao0maQwdVMkmi0vbvDbF 3+V1UdqfNIp/2gZu0kiZncPNtMz+qOAx8fyLn8+leUAll34RJIqNv1IyacnbgTBOkU/f0ZhYmjE/ UozPJnpvnQuN8YouB+Ick/9sDSdcdKL5xfntNHe2VSd22T411GZLu7KYQ3tq20sB0C3KIl2rGrXT C44hLquEUQghHR/eR/rfvyDYE/UC92cP1jd5c+uPhzi6+syu0VkV+aANm+sGMkovdDw01iEXnlxb vx7tMoBP0tc58IYeiOw/oplXJpMEDarWW4eH2fpsZEqAJUQ/PDkfGwiqJYX1b8rxk7NYEr0I7n5C sC3Q/sZpajUdP69kgyJ/qPdjb1tJT5g6tsGHX+taa1SQC1VrhYp9lzD7krUEUJNkp13qb6b9jZ72 qQGRlavl0KbsLRmQO1tW72d8NjOsaxFGMugRZl1nwOKQJmy9V06sjS2Rfm/N8nHZaF7NINcdOgfq Rx+mSXoEJarLa7FiZ9uV0QRsXlERKvq4vIAveNqIZtaoD8SKqYz2tKulNwWM/VCpPPCZS0Rvpmso i1PzFvIUFO3QlxDchdyTpb7zKETPfiwcZrhCp9HvXoTTshmLhoLqedSiZtYnKn6+XViwvBDxoY9D NMFxbXBNDvFynmDlq/Ox7i4K3XXVvVRx5/mdRalPbjSe4JgJtuBq/kzqZLfIhBzZrvapEZELiXRy i2gIGma545Z7gEtNvBA4z6wFGweIeDXruluSD5VlDirKm4sOYsk0/p7Epxh9zyOQJ/+5hkPnbzLL PGiUOGFIgCJToZzm7TNMgUdLF95BYtWLAfzAehnVtqsnO7W6vcI+8i8WfbVXAffM0RBc7IQyacxF GsRuBn1nKPvXTI+ZCkIg72j6IGeXF1ivPTfheSbbYRnW9NNpregQUlpYT7yRyF1KjZK9c5iDo7zv Fr1Wb0LwNDCYpSOCxU0rt+LK+oWZeaPgO43i5Z4zHOcQ11Z+oBjRZk811phb8aWoxqstOgclXBRH X1iKfF8+CHRK56si/fPR2gCRxkYe6RUyL2Vgd8Q6GR/SJBV9nwzzJe1cl+f7fm4w4wV4flRzZA9A 4x1w8pJxw9ehBW5mRXtAQrak9aml//JLKa/4OomCy0B9iVtUYTy8n3Q7CSMMxQK3MsRIV435haLh 2tTtu1c31czUnXGeU1d/5Es0G0/xUlnsg8TpLNW8U7A9oAL5jrYcOlFNSiw0GC72QgyPIO5dLHqz nom3chQGhN5qHvUeQEkPYAViMQJzt3tGx5dQJvRZi6CeCbkxd3UN8k0UHPAXpqsJGjXf7et2Juxe 4AHPAp7liffG8sUf7r5iivfpvJb3g2+JQ+I/KRnj7HiAP9iKThT/xub7kNlFMg2eIJStgWFIhlRn ME8NAoC0BWjQFrtufKStaJ3I/tXhK00CtvRSlMVEIpVVF6yMLoqOCfMfygC5jT4o129FNCBdzEHX uUgQvY7tuAkOhQV+nJ8CPGw9PcuyxFGhRYg0tCTJRN7+FQDtiqOVMvvulHDxejtKErXD6uD6zRad eK6w7bqH5UqDk/S2gfZP7y5n89nA6JKmJErvh1Kh55fApIZtEURBkgma7GLphkfxMDSCobfBvQ6H vJ3TE74MYn4eamCjsLUgI8obnX30dKWoNuGNuILddM1QX6MzBaXbzrI1AcOpUGyAyLfDZlQonIuu lRjyhv5BXIHNfVWUGtlnK+dXLt6xkYspffSP5I/coDvxDi9LN+t4wypjn88APJ6MaKVVS6Rihbr/ YhrlIgOhhkdUqP+274M1zy3qsn4fsEFH+3JRQWKWgLau7X1NSAdK/nhJhYRak88Cxb5L5edPRi1V +HzM6G610aQXvcAkp6A5SHgH95Owu2QPATd6Ucj43k9d+mgtv7nbiGkWxpW+ErsNlgVzspelSXi9 tyVqu/T+8DA/izVYXBa8iAluAoMHgrgHisxQvU9g5VK7CQJyo9wm/8Dz2o7cVAQsCmidJt02SPxM A1pNVTcq9eZUiMI2oNSRU9PhYedgqaStnr9Obb0tbtrKqcaKRYp9Hwh3u5yZiQJgCQlHyC9ILo+f 97amtfdB3RuoM3s/hASfY8zoEm8fXWGxWIgA1i1F44Jv21dADxC36xysVkU4AtNYpb0u05LH8Bcx LbCU4sUTdmg6oa9tsB7waN55gT26thW+FyMejaLqZiw7TzhExQXCZlNtap9mx4sgqT33ToXRXyJE OH72mG9b6X6dHM9f6KsvzDHZ8RN/vAknpOueoF7xYagNqmZjxZWyiZErH9Ma78YRUi/J4bRA9L75 25c7ZTsbg7vUAE9wu3gffjKn1ZiMuAH/t5XJTGgDmJYNN+VTBYy+McUqVykyjCUaT9NrDkqaEs65 CuzLuEsSA4AeAeUQE+6/HUwhQZmCusUft817eQV2GLYecBPAqlEu5JmCgDym8p7JRwvHwZRQBJkw QNh5jitRf6Tirvm4Uy3X2U19rDhbSLPBPpFabYjqQG1U8D48bFJ1lUGyqdMih2CcLcoQR4xLCUNG gmS0CkYjtOq7rA/qTpxqiQJt5a018XKyAuC3pdwubutQ2itZsaHN0tNXg30c0iTLzBltXSXREd8U IanSsBSyovcobCPMXdz+MxWOv+kJs+Ff2586rRwxeJBBeX77nbibMq91pBFpCh/S/xlSpA1AhjK3 IB3yTDBneb96ZlNy9jZl72XcyBf901xA3FidZCVLK3bHdqZ9Pe0+1Fbjh6pcTAHpXEPoyAy3MqhB uIMy8HRMlEXM3Zlgi62iZkHmt7c4XT3EU/3arh//Av//Vu0X0DgTombLv3VGO1gCXmW0aTL5QOjV SRl+PYqv8zMnRmO3SeHVY9CJA28rNJaPYEFDdM4dZEh0mTqnd07jOZ0z654mLsJFpyRILtoBzc86 NQgITDdWgZHIJ3KIWPErTgpbLPjTpxZFtgKT6HxKdZ2Ufes6ejFqGrNlb+zeExmP/jkW2uwx4+jJ SbpfaqpPKvPE37pjXf1crH5zJrKnbzjpgxpN3ZQH1ki+RmKveoiABCJxiDRT3Obq0D+3fYF+he+o 1mx5QXfnvB2ND5QFOUkdX0FXVtU5iMSs4QhZ0AZqSZYM59DD8fnVmiwIeGjAgWY5ozDjxuwkpTt8 5Yflny+d4L3DTrsnZxP6xNWYG8AdTwqjOcmUFhCaeCEIYHMKgASUZcVnqKUN8WkSmt634BJuoa0y cgwoVO78p7OfKVEiUhlHddK4cAmVSH/VV2QrArEsnASDGF8hIxvDriwncef0q0Mk34lLmBeE9+a+ 5QFjj2gZnUlKLZnYUe4x/7vbIv5I8/UV8/xGzN8BH/OP9v6TlKVGaFB8JrV9VGMxfc0xySVhu5dB 0tlpDkBfiu0YiGDc8BtHbPjHCUx+HHyMI4/OHypnX0mdgfechDRABpoUvyWEgcNEkIz9U4fWwNKJ avwq/umGX6cTadBTiCCyaLUtdz5QQr3FUU++3RmmRzZgRm+srCDG/gq4RCxR8md08AVxqtDxMDuS 8CD48PmHtrbWGj9dOfLP0VCclHo02I5avqOfdrPJkztV1zTfniqOR39TP3MkTkRM3+SXnPgO8eFh ghPhsPii1uVbayBTpVBtUxJyIElcvBY4gViKg9DPNBjA1FU+L4+en6L4w23mrNe2pf3cPqYhgB6F dROb4fXNGEz1SXBmrEPKjVciv8WNsDjdwTeG7N39sG3UXCpmiMBAjk+BRm9/0lphesBp92zxwL/O A+h2kLXZE4azCMsdGMq0sT6+2qOpxB93LK7svmqPJA5bG3qJMMr+dnyvdjThgbcDQZpBHWsLlODp Mmg8qyBtrR0WYBKMVgmFbesyPPt9ilHC22U6MadTkt/UZJMaqS8CdbfKpFzFt7bfcjnxIs+Ay5X+ LGHQT8IEIsc11yJavHIXEvMrRYcDpvTR6wchLbEYzyH6hojgIp12qGpVXBnb/WsWo8Oyj768cu/C wzBrYjFJ3RuOIun2cf/M6alOspFS8tNuWHusE/wHeidd2Mevdk0kWsE9Ml6EDUh7E+0d3cOtUXIY ELgeTRvJrsnVtXvqm0FAvPOZnWRAkkRfy5TdieTD1DLYaK0dcgrbcK+uPzxjbdx8O1KBwsV+I7Mh 0sBc4vZ2llvk5hwI49Nf5MqA/B+7upde52PwSmVOAy8nM23nbK/7t3rXE9MXXOZ7MTWuRSB/jhvK TSdBVg1P8HUo+qcEG8qV6iKSBYlBKSeMUplZyvN8QMuzMykpLU3GV9j0PX9dNsaVYRZpm5UeKn9f zdXBQI3MW6IHn5XEM4Jp7SnuNOPLxoKBr+tlmYD2wZv9kNB7mvw7Ql7IesRbulwiYiS5qOVJxg5+ dOws5zTkjZgNF4A1vwxNFkHz6AKcEmDNFdUzhzAMIRIBup1NasHHjvG99egdrVtCLpYoYeRCJ1+/ V8gTzr2cSr2OrQaciAfKlsT4HuwEqlyg39GAFDvH4n5L/gNldvCjkoLnTjvCEE56t95sVHdgzZsR JwNTil+Ly00NagzhQ2BhBlMLRPU7vxUjB/9aNvq917jSukd9oY7n8XcrPzp/ty8YaWfWNnfQP6ij v3Pt2jrOttvk9fLO4ghRyeyDVRlmetpLdty+cvE+nOCSEVdGXFQpI7hllRU9CR16A3p+PeyLY1A4 j/+EBgFS+1pqtcpZb/qrUm4iHV0d/4WSbvI5t7ptEBO3MW4V6eANFVsvJPV27YNkPFpS9sHEOUk4 ZmY4nB/lc2DIgZnshBILwfe0UQvzShDKOzeGVrz9/IZDAn+bkDhQFqLqyorRtOadap03uR/cj3zm qhi3LMdFeklQkX/7vyoz19waSe6iXLX+Ufi3lhGPXJQuXMvx9abS/kcR4WhI6s2udRLxK35992Tn RNrK3KLLIjHnJs0apA53MrfyNQD8UeYDsB+jtTshzfMAAW255ONDCpe0r3Fr3nCQuZQ3WhyXLjSg CeyWyA+5zk+gWMnOKa8oCIXk0qTuytuuMJb5w6tqoqiNAnq5XYb3ADiVkqn9FsPSpC6OJqZzFCMt Ne09uyaczM2DEsbrnP9etkzV9rwEwBV8lb/mm4TALNsC5QfFjErp5uS4lnUt7xk9VyRm0RNLCLr8 CCJGqKHnZujLqmfJwuaHvATk90/LnxXbXEG+9XTtDan24+sxtRlxPhPRb7EtWTzE2ITs5JdEX/gr 4ofzxNkxsvPJhNSBIsiVRu6vK2VZ1cfcYdx0i8aNM4W3S1OHic02hJqE4lh6Vw9vUF1YtJsQwl3F dOc4SK3T3IxcF4YznOaFYAtLwLCQccqP6HGTGt9IH4uDCyo4Dfs/YNd944A2opmj4IGP/F1Zo5U/ 2H4hHyWFjINjX+7L1rkY05EMyQ4TYsLZQZPJrXBiQC+uQOtslAhp7ZuuO5KP3l/pUJixPikYr+0D YdjO/Sor73lR0BZg7e8ui0ml3YlvM3/eKWs0SW7LKemmxIFozoT9FZ40Qk8FP24GW/2MSWbG7Y/q f3YSVBs1aruDFuYD+j00jwFAXchVhychsmNC2FsEIP/8spr2FdxGMxyLR1BPPC2K6yedFk2m1rYt 6sv8HsbIF66APtyE0vDp0LkQHD6UQP9Wx1lPOj0t6eIuoulIYCfBaHsQVN3VqGv2ZGanNgwOwDA5 WI6Z00kXJXp6YfX8Q+9uS1yt11hPKjA3dunqz+99Zz8IhO7h+OMlyFBiYAEK24ImAR/matzm9NRT XrfSY/Z6aroCwCz7BR+ciu3s09kel5XA8GFkjaR7i565HtOmIZLxAn0n6XaXqPI4rkuaxd+GU3dc VKXQo8vPotAUjwRM1EZBU9qGQDtB/niGMrFXKVWs6IE+4lLbJhk8/WMKlNJUt83cgjw+lPDrLbzY dena+Cae5Np6xVBApWLMHgUAlQDob1+qPkFh7S81ImtoR3JPJhb1YTpPzUx5P502LtgH5RKKVn3z mY7XTjavEN1YC0yj26gcoHQiLwxPjVXLIVgIenk454SSBO5t8tl7PHMwDHEbc5WDwvh46ovN+d5F j1A93C5Nrfsoiy5WT1jVJCtvT8fRy6KDc0ZZ3K5bZI4G9Ay7ts10yfFJ4cxldehiRhhr8smFkSEV xrW4rIWl3LK5dEEv7TMuGtn8FiS4kbJ7Pi2MFL4puLVampbaxKbrCukQPjmzBSdTyuB8i+PwIE6e ZJXsXtXokV5ynx9v3XcOMfFZYePIeJOqT1QOpJxrM8OHFXyS/nsSJA6QkD1fhqZH7o2fsJhZxgFc UizoBWzoU+DGIlW6Vm2BdvFWd0DuMYHBef41PzohCXa6si1yXb5fCcz0JbN3SQt5+onwQRanuza9 ulBYsdsVMUCUfPZN6eosF438RYs7jJepM3NOZsfjn3FGMqE2ubJU2uRA41Kjo+JbDEm3AZnTjS2N 8cgl7FUHvp9F1eTni0vlzO+iXWrcyfIHPLnz+jbdA8z6Ph0NFhvulnHaApN3yOBJyDDqNVTffiHi AhfFxW2LoClqxcCix5Hf5udnXGJxAcFiee9GVXE77z6R9St4P7MPC/ushMql5L08PljsNa24egSK EIoZle0lyxKcntdSAjYK/ahsOs5N7EWUX5HEEbRk9abqaySp3CIXVEbg37Jecujg9H172iUfr9mG PI0huzt1s9G+e6COP87l8KvC+NeZ67f664R1ln0agwRHLd4faJLEgaJKmycEUE6iKHC1RP4hB50b BOmAINQZzWe/75mKtjIT0MjVcGcETsaUTWz8mWIJxl5d2XWU20lo8VgPsS9IZkblXaCyhMNbJ2qr 1kARVprcsftdXlIyhYZNwyDVe2+8Ia0v2Z51uGjAVEuKuKTOAW3ZB8aUZYn4Yjb1PYicX0lvd9KH xl/8pmy1tj5WqavPSqYv4jWKysxVlvyJlMtE+SR0b30p60ul8js70xeSc3vbQKISqUtlcBWFIM4i 5BvM7QkbUn+pw0yEGqZYRcEWn2Mt5SIlgiCPrI8yFlDvlEiQoxUPKY8jthaunlKkKebfqsFPI5g5 4qTVqm/fGD6TsVJmEy3zmMt86CpfThw9vlC9z1harjlkJ5gpBnghrl2kbSaYMMZO3peITbTuY3S2 wyk2czsPPnRHglznR4zadPC9KA5qWDPm8A+lasupSwF5QcXk1UDVZEIrrrKx2zqyhI7cJ9ulHTTW bUc/UFdqRlNUlQvhTMo4S1VGMGyDnFQVFzS4SW15t+mdOQrtwDddAQDpfDh++Phfz2iJlY4N7DFG R5joYoeDt1Mp1RIxbMFvg5zA6hRycpyQzIOvcFKM7ZmVYMTGIEzQfuUZTNLOGnx8voDU5D6g1CPt NkydI1HqGi1LrttdnYbugyDez34eGnCAhAlFcD4E85evCKwciZ1YGGp8gE5BqEFSWp2Yunz0gdRa mfmUte0eDBsJChLmFCezj7p8q5POvVFTgPv+1J24Oe/ATPKb8QjpHZurBYrdhl0UsSvgfZLF+kUU LmxxZZcwYOiKc4KrY8oKSvEnbm0tYajQ2QqFT3h2hKVP4JU7zj0sxq8AzmrOmjfAXOo2gz4qdGBx GDu9nF+8yPv0HbOT5y+oSDtCJemm8YN1BB2k2uAxU1nJj42og1bO5tk97mswqTCYVy6R7kddw4yT TtpUxgGPDTk6tyot2252rP7+7bwKo7pUXrTfuJz8Vd5suEjRQfrY4AZv0DPtb7EZWdKxlHrVeKB6 GtKybw5UbSiCEOrN4uOsijRnZV8ZlIpYoccqxaranVc3EoWiI5tOzt+0YDZpyqKfXrqze9OyUuDj /UQDTB777AZTGyQStKWj+NWjUZdO6RdN4kjGMyHvU2PazPQaOEhYQmP+LGTw5cfq8kTP8j7FKd9S YVtXbu+AvrL+QWzTmDLU7hDdMO3wpLS08IJQjNQeG4PY7k5sC4jHJNa7D4Nmoe8VFiiG6d/rTlv7 Koo3agDoFGu5bRWpTgVajJNmuagqNlkZX+1dr29at1OMYoxiaVYUO1bl0kFYqAwqAXvrpyiJOq07 7Xs9QJHexkFH9s1fwk6++hLHCcjExFddji9HiJuJ3nnoHA5ZwXCev2F5vS1AegywreizD39eOO1w f0uaZHyZFQaLiYSoXf2voP8C7LQKLiuQjPy3PrEkCa387APXDGBWS1M4RZ4vRyED2zAuA4t4oXRZ RIoq0brBjCndLdseUhewEfZJB4FaKJ3FlTyNJdvs9YezqM0uah28jNrsnqdGRQVlP+T/Yr1oBlaf +QV1626+I/AuLztZVuX8sBCpqyV+M86W3H+EYDnyxKFgdsiMZj8Xaz2uaH9uXwBdPczdrPSsqtWd Wyr4oTWtl4jNhlWCXvcDmCPL4Qzwg+2t3hru5AQa1d7Duh/2965TcIhMuRY0XpYTvM9rhifvERXC QTuon8Kw/mfwgGtdEBvzmnfBdo1DbPtUFrs82sGalKP13+X1s0udQLhAKz+ALdhRpkrtVrJRl4yV I4yUTpShgtWuX4LtrUj0XKm204GabnSWtY2cBPT35M24hbxDjbcyvFr28SB0r8tHaTaNHkv/9fIZ m4ZdHuTxxQbHKCIDKqvWmT66kb+vNMhaG7z70exBzP6Ofe9HQrWljxWTn96mO1mxc7EqZCdjU+PQ g5az5G1GPNP4svFkwkL6jfwmTQ3PbD7j3Oe7eE06sMSB5przuz3R/rpZW5zQ8hb1j7dedaZWNhaH Itg1JkZIXr2WNFM0VH6zpFXTlT+sRWwEm0euTrPGTqOd9UtCvkdi+9w5RoPhewx5BxMQ2YOg1pjp DgEQdBDw5s/PWEtEqSN1RC+mXfnGv40C2O0meU3N9CuSEC0ocqQgZiNBOiJ3HqrhNRaEDDu2FofW zS37+7W0Nd2g7GdSHgFfzIEKHg2B/ZX49h+wlGqh0WWODDZhQvinVIQcdyF0xVyOu/XjO3UOeCY8 w1Pmq7rj7935KJcj1jF3SPKDTxKkwtt/P7+hRVDPIRFPOBAK5ugUn56LpgE8DLtb0ueNldF0aNRJ p9ku9ntbHSTH+p1NOaEojhIYB7HkDIoAowY5lh5JQnQw5oMOwwdnYNdhXNPPhBHu8wsC9t375bpw QV4W2+dyscok1TUR22Gmmr4RQrEc9WhIN+RdWAxuINyw4JQCkUG6btbd8K0xIg99vLVWZWZ+usMx /o9J73jlOzrUL4+KjPMU9MlvEMz4R09fFPFYOdztZmrNNYVcLFKPLMyUruc/6zUkepL+4Omg2ngr b9y/9+zphMFXR28OIYmJRLhbCuJkwk3BDCpz1NMzbpnRnRXCSDyEoIwQggZ3JU4SnZAP48NPhlRI wkWwGprIDB0KbD21qF+Dh+zq8MIO+pkO8TsrSW0WwJCnRjBmhD3wNhQPvJuTgOhjNB6Cb7cWayH8 AquLGVEOW8Vk/5qmU28LuhYEgjwQUfo9tZSpo6aM363JjcN2a1MTSJCcU+j4WHZIWcUiDiwdOLNT rftNpcdgVVv2D6ibMxbmeXIt+n5q4cP/DRHGinBgTqF6Ng+w8Rtj0h8+SZ2gUhaHPX0+XBCobzhK gCdfahNf+gnrHOZuBwcEGSHUyMb+zdDL2sSgFQP9dfjlAtP4kSCks/x9T3STykBThuFL9cFNNyYn tKpaCKfMQlEvLHbL8SBz15JWj3VrmCtR28D489jzMJyN3+BBA85jWjzIZevAvNLyEAzSp7jZARL0 Bnz/95UoM3jvnmVZqJa9qRPVVTLF05iJIyBwp5PQSHIaX5UHIuhEImvKGItHgwKSPq9ozzyAiE+I nBkDvz9PBAIRc9U+FxwO+uob3I6Mqyx3cMNK2ePVpYjjL77AKQ01ZI3e7NyWdY7e0pMupHURkNIL oIl1ob810HuFahj9FgIqA/uT0pvcYzuk4Joqp03KYINrFJ6uubWDRL24QmRoC1wvykAMCjQ0YhiW ULVJ00wMYIAxJRU3KYSMJxVEnq8StDa0vjcjHMmMAcpJ1mINLHjhTsBtGAYCLoSqnzICB/E9v4Te uGk2f0u3gxTm6WR5IZZ1SPtFhWGM/lkUlUHWjKG9BENIHn5nfruqHyBgd17XsXa/qu/Q8ghElI7K lCoQn78S5SgaGV9XJsITMgLZPQ11wQHJBGWFHbnr6CTo8BH8/pu+HurqmeVY8c+LUcyg/EByUJoc +RRMt71+EKygrINFSJhvDN+GrO2Y7AIM/lDMe+eedTBlrda9J05tzpY3SjJPFJW1F8XIoSgkdkKk q51Ga15wghN4mdV0SBG0qAff/Ke5nHTUNTDhR/Cvp2G8KZyrPo17ovuRJ5gaCjgwn74+IvF5fTND ucsqd3bVYp1rTshD6Mt8OAsUfzTq6gmhGGxD/OB6gfEoCjAChqO7kovCZ2u9gDaIYTkaPy+xaeJi HH/cmmoN52JDmx5uXT7xysEbla/t1d5xrcnffSLeuQFRl9yEHBsFAjN6P+ktWjlNKOxkFrXAiXkS gG4IseFTupwbyU94oO7IjtSMmDtWIimfhK2j1XYVazqfJwQqMP+Vz8hn3wXE/DlfvBUCC4/hUx11 RpkpnJZluGLzQw3qMTjZstuCl0kG2EihRQ9y3b5xyv/h4vsJcoxJUay8XE3OyTkhzbjswMXI6Nx7 rwN42iwNDAr6zepIKwCSDxqqc9QHEkcc4JChdjdr/Qa+rG5kLh6VTq7DwSkAVbiy7ZSM3OaxW8p3 m/UnuTcSIox76kLmn8b2NkB2wfBmoHTQTvCnHia6aZ4GdyrwHOIZxtgTGI7FtI8V17Z1390lo2tF NcF3UZoNm6wBx+WPdrXUk4V0TyMaiyHY6phJX/6j9XL0z6gIhTn50cv4Gm36lXSB9GSGsvjo9Mwj 8M2mSriub96Ue0Mgwu9KsQVxsutuAHwAXMGTLBIwmXlUNAh9KNDZkxLnLBsLVihEPnKS/60WHL4D tjbOjyfISUlqYQ3sa8i0UHYjXePmUpMCErVgwWhyNmElovYk7Pj2JW/DclkcWxE34usTVcSfNOSq VVezx9dJasNfwKStI7eM/z5ilCMcO2Bn7I08lYBY3nFHmIcxVcF/RyuQY9f1rni1qzaH7eGR/iqh bBEkyjvamV2TAgts7E2jaNOwUdPPO/RFTagCTvIQmWF/EOgsixS58ZlfgDQjKtKd3cECYYsmCUq5 DQk3FYL/oJaV8FAxLl1iEnaYC8cG9+j3AY6OYEpGmIfeN4B7uxsGZ9xnumEZIxzvlNRHn21rohc9 C9CuzwAus0PUD43lJz1LbFUsUj+8xksm7b/TTKachucHiAzhJyv5GgadoPrRVT3O172CWxO3mPgJ oksLNHDh3TXbb7boSrwStLU+TaN06QEPAwTga+mcTW8pxMJ8vWoY7TYLf1cXiD5fb887t7QbTp8y LOe/Jq/x0VSyE73L9QMkxCQRs11HTH1ZuKRV9589YrzpehtGBBa1rRohISwk7DostvM5XW5U/5Nu ryVz7wDuFBVWo9MwInbG29n/qcdYUnzJ/NVMHyjsbebWK5PW7WHqEHveGz2xh4+EUPTR+vXR1HjQ /DJ7syhUYn2KWTRHjyp189qkws8TeoQzM+8jOlhaoYYKYXSpxJhUmgdDGRUIpSlr737uogZW4lW5 qLgjRZHsDEwagL2dxVhpNoXjNI2DqrNw9EEaXTz/hefHZwL3qRpyHxB+9u3PCDLTpZ0/uENNlmsq GIkOQfaF5FtuvtgDQsKkXswyaJ0RDMKjtkDIHzMn8nTUEYzktd6BzJI6LVkmj7WJj0PaMk1iUAQB v7B7HRXjTgAIiHpTiUg5hrSlWSY3NOZ+OLypgNWjhQ8GuhX/3ujjglNOV535DPkqdn4AT+nbLBSO BplZOAI231dNpXepL3lapSwxX0GM5Zyi6/Bsre/gwFmVGyB9zR6tJyxZFFGgbLWA/WsyETHZ2rru 8PgsBM/2+iQL0WOC+/XDGlfIx78Ivnu7p5Q/MbVQTpUhm3JIrJgUB8/eSnzJs6ayom2Ui0EJqm5/ r2wEIVd85zDEFIKvGGaovTXqME94EyiAKcgurfAqSFsocgS8es1ryvY4R8BlPJptHALaiYwrU3J9 4oGjiAi5WtrweRTNlvaKwjC3qsblxa66o2tsQ1zkRe1VQHH1jCYUvGEyRpAyti2s5ZvtfvX54dcn Nw2rfo2nQ+VNtGxDKRlDtodMT8FgXh1QbHvN+o4GSvN7GhaSZPYo2iioxE5fVYOM3KdGyrSr4X3H AG64YyHdNrXjH659AqQbAo0BOqPsKBSoS4hItiBD6YFRC8f8Bm6jwRhsCvC5EEVxZpBJe2R398WC qlYplQlH4YW9w4oP75/GVFqeh4QTDKLDWuGq7r2tWwTLzEwSDSrJiji5cygFS3DAqNp8/CKldVcS bRDbfdEX7w9/RrH1URB+mSaWrt/nC5h1V1pHt8NpC+iW7pxzq+hja4h6xZaZfcb01UgnBZjq2HGf d475PAxUWceBqHXAl4stnELLlAGC/vzWjRDYBcXRs6tnFe1McvgRRa+nIUop9AH9Q3qprIh74Pkk UOczJLqDM2ypLIwEioK8nAdYSymdaBf3qM2mMqmTOeqkBqMBUFuTdNtMClA5Xh9v6oK3qNzJEhPs AJu5yskVgsGuLZoQLnnMjLsxenL3eHYL3bvKdPqJM6aw4ajdNjnH5zvSwUnv4twSi+x0byRaEmka usMQNeb/y+Axcst8tk8quiI5WuGpyC2TZH2AycNv1KwITYxlXp4WsNq7RYUx3KDYPToldKH8k3zM IkzK4Iv1cOiZsTCyVM1QZtbWy9d/xGsBsTx9es0qiEP0hquohGgp3n1WxibCDRBVGn93PjCu9+NA dJA0VMpPUsirAaEoJSeVB9+S0fl4oI6zp5ubm/sMJz9doACOHL6Emgw2toJ51TrCXuOWYGanCtNy PuF+qpwpbWikcjZaTkIYcb3ibN/Y1uTRwv007Ie8jCe7FTYpmZyAm9b1b/8yDBjFzhgnj1DMNFWn wxbHPNhrWVJ9H2TyQEMg5Jr3O2LdrbJH5XooajBvCDhelSN5sGo9BycsnSE/Y3r69x8WtaCICqZg TX4mlgVYLf0QJS5D6kjQR2y6KYAdbSrk397OQ6JQ//m4khndLGUR8i6Ae7OEb4WoC853cXl4ittE KRZQXrgjmYX7dRGTB7Knve2jaYv+FfOU5PkN+bbYN9xGC6aqqDEaSDhW4haUPKnZRY+19VI+tsWy Pl/4z57DfUCapectoB6Ip1o5JDWpHKqnzG9m4I9EwAujjP2mQyiEHUrvhFYXksU7TNG0yC9i6MWg 1gmzBqkN4ZfM8/1IEs+fSdjH64vbxqr2i9suyl4LEGpJi2oXaauXWQHuPMtSN+H6mpb9UiW6l3Qt jgIhgrWQhLIESStS0RttgDbbdRi2W8EdlE3bzd48r9YNA0qbk7yKrQmRE8AKf2m0aUiow7+b3mFf LAqkM13syZFYHLpUm87nnTVMm5dA7eeVQGJD0ng2DYtYjcww+H3bjHAGXGCpTLW6uzums+P4WEKB PiTQBLWC/3voekEqPkQjsd1SlKabPBiC5kD9+AdlqRerU9uadl1K0xLFyLxyyInjmtkr+oYukxRt R2K3LlboFLT2g8pwsBHOTcutmwNKFJfbM+LfOaf4ZSISvC2FNvKx7A+cuqKLKZrPgS3PsEjQquVu 5uP+bMvekpsV/+XnZMHnn5F6yDBwGczfh8UAxg9khmh5YriP1sRThE7THbilkKz5dkJAUULxR7dI l8gT2ounZTw7+YvFfuQfxIBhPQyBOM4V7JtktCjTBcht+eDTN0mYuQd2rkxAeI3neemQFDdzeCyX YJjFa56u0xC5fUMBPUNsZEOzoustWbish9sR3HH3Q3/BJbj0Desf5lbMkr2ei0lWoZuOHQVObQaA yUfGwWisiUhhjk8MvEfbdkJ01O2GlDELwWyLen6/w+Um4CjZUecKOjvGnK5RJpaRgR8Dx5IPcM/S K0GbpH79Pfq4bVN1aiSur+NjlYKNDwj2x0+DEaIzeBORg+qqNV3dNZ1mPQvH9/0xA+FyTbPMnKuK OFTrDVXpXkMOfKFs3jHK/fsUzK07EBD97iGP0XRrOXFNJLuq4oICxFwGkpQLmC/ZEEjiTbbWANY2 kAtKH4FQ2vEPJECyNpdRrR2YAIMQlqp/p30ZTVVkHuGhTR6Mj82kTVMqOSFeTH+G45UgfTIW1fOB tvwLmlh8VLwh7el38epJbX7E8+5yqfGJIhl4wrbVh+VwfwsXtRAbOBYJsRVxvOv/4X7Tg6LqzAJt QLI2Bh3tpKf1dCeAoGB+1wVvTflqMkk2StUSfnehspSevS22mg/Mt5R3tduG07U0PcM8icSQRUYQ ATSnbI9EzV/rypEDZVlj9aWtgBV8/MZVrCWZm+NfKoj1Hues6+UDOV/FpS4XObDwgxxXBQP9RrZS rW8YMUZbCeJqNo1ovEk8sRmH08TC/H70rNpRh472Mj7c7jgbrXAe27eJba3KldalrbR2DXrMzKqe IrJEHWWVp0n2DsR78K+uZh6RVCFciL/wbjWrS9KNl9837CpHaDBklQ4GnakuMAEITr+wE2J2XEt2 w4sGfqbnb6SVMWUtNRIBncfEwiGYCJmJvKIbnIcQ5asphoNgBuTeL6a2/uP1yg+BghTv6j2odO0t 0clHh4iVEFjpD8ZnqU3nHplbjxS1Gi7jbo2VuZMMyG+sI81epYmm582sPkbkD8VLD8DUryXdWCDk XVSK370pTjWiCH4VWKcyhsIobrXk5PI9ahagzTwix7XaEKCiZrLw8lSNbpN5+QH5alj5oWxSXTpl 7c+eb8gfES8XeVgLWVXTqwrJbfC8x1k9BXCgQ0n//9OnHK+1WqfbZe7iOnZyS5KBsTROlj6o5rzc McGq0v1eLlK2iWmlVNZVF6IKb5zMfhUZnQPlVkS5qJ9ZIM84J3qBw8aYzsy3O5YAwxj8zdZDS4cO q67YQ2YQo9zenCp+qV+RE+n/0DrkK8705Y9+IThdylZq63/hqMJ3q/CzcerIvUXYae76632T2ZNx ldeaAbYOGy2aYfGIiwog9W5Nv/LcQTyWgts2leH8EJtGfLwd3JX2oylKxPASIV9sIgwsPe8Ex4su GcHfT7MhKQXGnfdv5vInkcQ8eRcr6vNN//UYw4aDHFhTz4aT5ZZpeM/yaqhe0zlkY/EE4Rfae8Z1 cq341aUzx6q4ZTUDBShtXOt1IYoEDvAa8Vy0FXiMyY7Sv4zF831xT0XSGU6WRppyGaaDZ0UxtSFG +3zYTDU2SyHSkHQPy8zKqPUEsgKAa0Pz2LXf0Men8ioz2sL7bxxUwvY3CiZb97Ta/JLmg98The/o t/NpwVQi9zGkyB32fcubKop36vPCB12qhJJkfHnfleE/sh1vTPVp9fqTDVKBpe5Q98n7+Pe74Tbr 13238R4jgC7VXbRvFA6ApA6gbt8ZzYglSGPEeeDNsJEU2HQMkTru5nlw9SeMxPPuVddQ5qc5niBX 4oNTCYBlgooE53utA7BROnrh7GVQWMen7m+GozZ6vegVAQReMGrnUG93pzggSNkiaHyvyB54xSNj wPq2aZjWorGJjfnWdCC3gkm+meE/bmhBm2XfJRS/xLZ4hyXVyOgEwxJ94Au8Z+IFPh8MaZGtLvOy 01a/fEhTYHaT57mneQ/SKRezmHuOueZ36KnTg9Lr7qtLs5KT2ulj2A4bqrUQctrk0H26mhD4iw3m P3SV9NdWA8AOM0LgJXcIruwPp+OOf5tPYbHBRtQx3RDz+LY2cM6BJAcePMjqtbxBS5QFDHGHj/bO 7XbxTfBWT8cTHFOOPJy8zDm9AWh32rmXRoHLgv9jS43+iDOiuGufQUGASfMzI9LqW1jARmTHi9f3 EMB/PD819hmVTJhamrm1MZt0dbvD8HLBTzjUDxsZb0qvqGDZqWLhMPOH58aD2UjRRMoz9V/scNlK QxYKKCW90bMusOHzh2LTkB/tFF3fqC8T2/C1nWZZklFB15sq/cmK7mcfbFtAPsLR5m+8FJJsuDA2 uEf1rnRWAuExoyJH9b4nAGkBRKLoPfVAuRboZvYni/aWrzcymuDd0ZLbagWlMBQ7kwNMKAGey9sv bR2+2HA3LXkdxO1Gr5eecwMcLQXVkY6dF1aS0ThOrAIZ7+k4cGiPuxxWI8Z3telbhgaLj/hogRxQ UZTAeA00xd8+82NgGM64OpfwHgYi56F8CihWIfrVzKMO/rCY8LhsirhZcJpTNxO3a6+Fw8DVtfYz tm2Yqyi1mfkmQfPsN7il+KETekY1fJYMz8Je2t0HsNqPMCzd/pPlnrkM9jCL9H1AfxnDw/P++avq I3rThgnJ5mT+SHG2FzFzpd9TM+glOhdY9tPdMZaTqtyaR8HkELx16hyCwHpit65DimMURl035MJh 4o7lCMMUcPdN3nsV/AL1yYB5rfUkSRrxo2Bx7Sxocq8DEVpwBFJUPhjrjKZWYiSDnuFnZ7tlHg/Q +noTZ+D+sxJAvtqGX81IRRC1YCFy7ca9BqPFErrzadpm8lMgod+gs2tMa3xg16vBYgHw5Sz6n+bc E6gWa0cjFVq82cwIWUqpyc0KuFonFokJncYdDogn3fa0FWD2KR6O7v+aqXWLOcEJST+kJDBuSZ/i 4XD8gh2TjVW2kjnb8x9aQbYx+e0gCy4QVlGhZNFuIalyr5KAzWz/uhK/B3tmJ47iAbUQpUsO2eer M2NbO/JratBu0VhJZneqPRW2GiJHEtiqw9LOzmeMKb6ZL88kubuJ6cQcXELOOcj8IDaB/setm5hc YMFqvJBgI8mS20FgVw6WFN4JGCE4rbCMBnKiKgS4HO13XZwDNFq0e6v1k+//hjOvkL6JA+nl20GR bR+0jxLXO9CV5kDGCCr+WE6QGTe0RW6MNkFzYIuLxky/7fV1WiSlhw2nAyDiZlCAj5Avo8zSdLi8 rzhoPVC4wi72AJeW28Qsbaz64PW+JJgqbV/9fR4JVS9t6JVUAkU0/RtY3PqBYSzBihzjIQmdIts4 MaV+YnKa877rRkJGPyFJvARsIuL2za4wwfIHKnfUk6ExNV20LY85DcFbPCqTWT6ubDq7Z15kdeq6 GGz6Wjhr6jWmURc60Jm/2LHzYmT7cLVUPFx+JUF1un/qcLzyfiN2huypD3Cgp0kf8i68s3/9NoDW giP4tn8Iojae5UPMVkKmWuY1tz1Cc3J3RSe0lfxhxaErOvb5cOnXv9t+j32s68DXniu/IQODpLe5 67Vs1DpwOTfYSnaHE27zJ3362q0ofyjN58lpQ1jnt0yZEyzUW23z/UtzwHirFlJ6E90IQmHwW3gI 77QUvjUFBzbx65tLTqbwT9WslFFSWVzDTevW7wAJXzOCg3dQA+r89ILmqpX4FTeYjrplv6i2sxC2 9CXrj6+4TmKXYoDAPEoQgLHjUChbx84vpjMaY/pvCa3C6x9zhrtzNitWy+oCXj6qizTkNUPhgXqy lnAlFyFcp5hg/GkToZLlK480ifFN11DJiQOA2ak47Ds6G/o2p9QSlNm5RrBplTcHX22Pv9SWtAUj 04pe7qNYx52DCYRpweD5Uq8loMBbzL+lJzKHATwrTKPv9pRtJ0jACu0LhBKgBF+aA9FO6Eubmnij aRh5ZhD51H5d4iJdc7pC2Zba0UO+l4dczjyFdTEW7Y3sCC5mM9mW/XmwNlstaCi8OWl9nTIHgpz8 rpBfgoFc3PXR50mipmKTe8Xs6bj80ukoro8sVbj97HH9l7uxFU9aj/rS1DZd/bJTIX8t8+HNEC4x xaf8ZpO3UrNXQxD3C7tv571B5IBiTfuRrnAfJCNNaJsqH2mNf4T0aT2nDncHMFbiOIGXfwWnha6+ hs5wuT600mmVrg9HsTg/+A8CA8NeqfF9K9eDxc8Qhez2LVQii8BS3NQqqGX6N06igKVpgbialKW/ 1b97yDs8ZYDsiRBxW7Rq0dMjksLZDX2aJMiSiG2r/aOdQDwHVJrhGQYv7/eJPBo2A81tpVr7GT1m heg02R9QH/KK6cCJMAVPSPqCDzFYsi10DybxCu93KgZqEEvggpgwQILzwtNXLIh0BzXLu+h+smGM Dbr3gGJQSfOsPgv1w41GSpCRICG0b0PUkB7/7kAxl4aXI3TpXknSFy6SGDfZ4NTb+tCrN/crlw84 AY8+4dKDjGI35XK6xZE+uuFN5X/cApGhqw0k7B+DDWOAvdHYocJDqO7f3B7GkckyQvWpOLKVraho yTKszQmviZ8n0c7KVS5/WJD5slOvTnyNXGcKzU0yyeqnYihMVZuc0EeWn6wnyCpmgl2G2CHA9pwX //QLGVZTK0vkYasFxfjsjXjphc7lddxLIoJmTJCbfYagkpJ4J/NRJqsyiRVcwpPWWp+dCl88FI/x hniEWozA0gp0VeTlA/xLTZG9DpcPGHUer2rEzmTcKqTC318ESLfdr3PDLxSVnyngVj2uIaNIFGEC ToO1MIQpMaQab/Eqm2OeCGJnNsWJ5cMCn5lLRJlyVHXi6BB9WNjjCRjuYuosLANyo5gEnmXkt1iL I7BLzfFILG4p5Wfph1MrgQeC60AN+On5l8LXrk6G0SpiDKyBwevn8LyPCMGD6Oy8elreU3Ix4J+W EfJCK1sGO3SBqJntu/E83czGARSzQghcQFPfk99AsnpTWoSaXg9P2N5Oh585uPZupFFNIEpVxPDb bR2XYMmDqAO2iEikjys73spYmDpePTRILEx2YLekWEb2HPHGPbqiTYg3weaCvgUQR+2Rl1uLO6qc 91YyAEZacn3+MAtdQiclBUyxnhPfZXon4DYat2bF/2dJPX1afhaOZwu5ASyMwHBttNlg5rSRL0V4 nZ66myvTkd0xHUS7U8kO4QlXodrKt6g1Z9wUreNxuW1nKS+4jA+3FXdWEYctAYy6xvE/pfEq06zp YDOwdFWkvJOdBku+oidqcKUQf940diwPK/OYeJrOtfSym8d+SDIke1sFE5bxrThxSvXsvmy/luv8 dAgYE7Kr+WFAt6ciQvFoRABYFqJPLQkMn5ggWB4+fAlfCIhNAGJP7QDk4/UctQA3WbOGaIeuF1c4 u74aC8Nv12JKmshJOQD3Niv2ZWLUMoPUX8rjE4A0jluV/CXV+hR9Lfk+F/eQRNIFpwqKoe0jsNQO yPICzGPbQ0YZrIh1cNwQrC3yhH7CDicQPKhOCL2ejr8o+XU3pc3a0exbZOtoA3+l7nduKeLok4S4 J5CDVxccXq6fLrjtbK4DCshUobjtDMfyLcZEccwXrgNZ8LsEcUgY0uG+r0qjB0tHXf72SSuZOov9 l1YuCVs2V1W+R1e48+Hb+njlWP2lC1SX6lZ81JdjG6Wp/ieRJC0TnosAKCFY4LHF6JdesuhyczrL sQdQMtgltyvP3og1W5/Czoik+FaYCnV0xturJuvT4nz7qCTl25TCQiGNtFAasnq1Fg4U6avfkPmH pTib5wLws+eWPwluGgYXH/MXVwaP+fDTLFNmyPBD1HiSIM95+eF9wJhikoV8/bAvDw1TRZKG9atZ RRqlZyaGcHq8V8zTxksyMPj7NTtfEsugIw5NGZO5Tndzdej4dDc+h/QHld9fjme92MqOyidEbfkX IB4zCRoV06kfyUX3YtkVgxxSkv+sRsPbv3n2IOzbkDsvF7ZvctzYZQv4w2SssuARk6Q4gREHJI3D SR4h0IjbJ6EXDOc48isIyB0n0wJtoeiOxoGEyxF0cf3d4QfIxVrjcN3UZpQbdGeXjxtLJ4CFYcm+ FPZQdlaCv29RYQG3YN1pJ3z/sx7sni+QOzp3otl1l6i4NmO2/i2DDQf55znhHSCOora47hAc8PaB usbLJz+Sm20xARqvuAC+PfVwOIxxXDWDrJDG+9T35L094UeNfXLauxWLudemnNAAxpxqNYJVGirM 7FdYT/1fk38PftKWHv6bLnZ+Ywb/ZIvxMXGlmS2T8oF3+OVaqQ+ACmhgl6Q0H2j/JZwOpSgw/fLY wV/+VNU2aruek32Pmw40gE3TSaWxrMpmLW+F5naG3Pwp8K20UdATRk/xg6wEskllkXKT/y5fHNiv mIJiCWZwkpWpgPlug7B7mmVIzwktxTRMGeb55bSiGkpeforG9ioSLf3T+fevWwifRBGU2qsHKz6s 5mzAtK0iXRXev0FSS9vqHTrLw535fpBAXqTz8HrKVcXIBm20KysEPQySrmKqkovBw1eTO8KLoqoG XQ8sNi8r+rnB8M2KWcUyih3we/TL40mberrYj4DtTEDxGu1BhQwy15c0zAc/QLTeu3tGStVRMRxM HzRY0Kd+veprslDaN41Q9jepWO1gV/PijROmdUJ6BN7jgVQL2R85FwtDlECe25CGp5rqjkT3j2ZP c/7X9atsy9H+C6EpgS/AtkOqRIKL9Rr2683VHYC4CkGgJeJX9SwiQaJkyIgmP5BJz4qjJRg3RIA0 XR1lhDdURcL3B7u3T+To28zu1P0ZhTgOgST+UGyXqZKd4z9PDCn88yr2V8uEwPpe+IgWBhlIBB0K 0UDV4otk5hk6TKFV9odlLIoAxRyX5e7n/FllXlrD90oeMmkw4zRxNxzM3zGhwcRDq9f906xPS3GW 7gUzq/ZtbRuNBMt8QK5YskMcTc8MB4fmFhKFPEPoNyEZBrK+wXpfCkXNo9WUYWuOhh8M6RB+CYze g5KUoMQONBxlOqqkef1Q00f/6TXJ/tQGxVFpLS0+x5pk0Qti1GkQY/qlbJ9GJ1UO4KEvPyu7ol7Q KppRYmMRwGtLQfD0EmMl/jXWcv9BHrNNqG1VabISPDDKIbwFd4wFEktMofwZhGrWE/+u4p/NGBKX PoQ9fWdTR9so78DYUvNB2bdbBpsBaUS2izAoYT5Ir02/rlVDrT7rjgXp77SoVVqxbvyupj+JTJHM c6Qc5aqZhhKsMsqadgQuTcuat+ZXYuMA5/Lk8xCeZODf2FzMxdXqnl+7K0D1Qvw970cO73wvPPUO bOf/QidbdFb0uzuQeIIcUPYYTK/336/UuIRAehfSvKTnY2KW8GHze/8gqQgGWIsx72p9SaGZeQc5 o0rF/Gkl2AxAXm88yVRNFYsu66VCOPTLxCB36GGGAAed8nSZlzOzWN+PQV+LRnDMWWLx0QbQXt5e LK7dfu+U0u0aj/tcbL1QGP1KkzG3cf4XpCU2MeDnq1pkXyAy9kiyG0y8dlh/p6PHIBryvq7FXOs9 WZ2f89Jz9jkHCfWY09IytV3XdM8BfU6QIIi0sC87+F/hplvAczlTJ3QT1oUJcmpnb2HyrH9T/0Md Of4JslEJ75tF8Or4NPrx0g3UeMlzkpoh/FKiZ6eCBSJv6/SLukYMuOlAA4cTe6ylTyJZMZ6VTDwJ xGOivJ3v1GzshGQnfG5b2+/F+qTDuA4jRR6dULlI1PtScfy8E1O9mol/KQSc81HmmY4leQR5KdmK RN14Na7cUSABY1+aWu7A8z8zJPiGPkbSyWNqVV8gbZx3rnt8q9otLnkSVt37PAj8ApPbBG1bLQb6 IKxgPmTaVvojAs0IpI4Q+BVr3NXKO4QMG+p+3qsbtT+euVZiqlUCqcX2xZIt8zCUoBgMbVc+6b7f t2OcHXk3WFBBzqqE8IwkQNqHDf0IzF2barjHFPptkWot+wscejqNLmzwy/sfknl3k9mJW6z174Bd 3anlHohXjApDDl0miVeoA7tpWCJnu9hsi2wyagxByFVxKnsoFIGL47zmfi5fDKkX/9aiS9uNNws8 aVscqVqXHZ0vxAUe+ZA843QeSYIQ7pwpVhVpYb3e1PbwAq+mZzBseOg3vtxATyuHEiT4t+0EAAYf xgdKCRGRxbTOaG1Tt0jCTePmRFBF/mNNpQCUfw2GzWYk5JWvUvqdx9sKfqZOkZROA+n3R25rUaAn nmKqqxvHnWoQ501/+6msp+V+odK/pE8j3L4IxP0FAYOR3Ehzdu3bBX/5Gps6aRAg2o0gmO3B/izh E4kcX3fwyAhWNXU0NWqeKZrTvhb/di/z/4UNwzqRnQ+MRLotyLOSCUuWCn85W6mshqytsMHCkpvo ZN35x0tG3R1EPGhWaqE2xs6H2UXnsDteWUVyW2OzVi4GZqQXhgteIDrG5/aAh7FxcpUsi0NT6QQu gIHdK4TLvlsRIMrFKsr6Q3pa+Sbc12bSypBfwzntEee9wXHcGYBge4OXVH1R1S0I7ZlnurWPZU8S iCRVYWNpYzODIvzURqwol1rHupUREBq7xp1xMIA7oFCzLfVrgBu5Fs280tvCPc0eRH0mab2BzUp6 rgNhfPG7/EhTEAnN7bZ/IleB774dITpoeZa/7ELiKoPGiwCo9p/+OoNhVSfRWblBZrWCIK+otd0t pFi+50fHU9aqjIoJzubk5aMONAj1scN+BKgZ9cKolTiZJdOq+9nXu5UqNlGfHNoVbh+UmbmNGgrd oE2SN2wFUQPVKwjHz/rYuFbi81o37KjCbaYeSjvFWetQq+soi+89RBjaPqhuzKeHOi0EDoqhicZW CCsZtx29gJUU6t00nl9dMSiIu1VHPTTjVi6Ht05CG6mPwAR1nXkAFAEvLK9XQteAhMBJwDbFI51y JV4UhrGfF1pzjFx4QBQfgIyH9PQwTTLbJB39/k12pZ9BJSqXzG0BaCQog+lb/DX6z3Mht2Zrpxkw 6KEOPIl61RR5gGtI6LTn5lBGqSWobvI/Qyv1UwFjJXCI84Hnfa+z7j9Nd+0Z9iOZim5fCJCzeSwO z66odymCKOzWPydA/+zFzm+F5mJo0SCCWXx07gOfgWQplSCgL5a1VwukcmcxGno0YLuJiZt7Ykst hIatkYyphFAvc/Cwwz8unsIOrz+THxuNBm4+d/Cvvo6beqQEtNDDQ+nq5wdkE5qBRnfZZ2/HHiRT 1xIBD+dPQ44t5PYmlCpmQEClbT2hxyrTilVBTNu4Xs9mnkVMEx9ni3f5uDYiDCe3oV2THYJlbyGN WEp+aMF7rgq0G+NIxsRNFoNUBHwBot6RuC+z8tFoOYNNN2tr7yzDNQGz7ElJdgVVYQ/JwOBYffoF P/K/L+sJzA0Liea8k8Onq7Atx9PyC9jw/gV9+NENgiVChtNvooycYws9wgb4jsAdkCRJdoBw3crF Avk42PL8GyMVLbNS8oEF4qkmYO+zAn3Euapqgd0Ua/9sDLFhn2+lLZxNYi8AGuD1o2ZM2CfkqLj6 d5mxJ4dGOuGW9uBcB2jNidHOzdbus515vyx8PC9Ilv4B8jlhFl6af/IcVghNMlU9CczkoA9DD2Jn QJP8HNrMB6ZNKnaI+alDHoNRhkKB4XdEgAk9WbyQ8TbQ3uzD+BL3Rhf4DkvJwCacUabbt2AzU2oV V4oEFa5Qd6FgFQ1nasGKJcOP6mHtLoFckuXXgFTSsFw2bP/uiCI98VcxqXpGhniQt/+DdbmUTZnx MjPUUAKoWY+WaCONbjrw1z/FQ8fA11doIt6S+oI51qOExnYa6C9Oe9G4rmLy3hxpt8UFPmD7Wpyl hYpXRW+kiG880VqIUGZCFnHcXGuc6ZaonF1t8NOvbNQ0ox+xCtSEJDtOlkvOUQu4NV/z0SVUemcd o0h+FkYmM5C91LpLsiWoo3Igy31ESfRu592X/eHIkKa9gPQu/mU9ea+F+HLlo+itiG8Z25KCwVOY MyyMpjwfA9L+v1U/mwRpGvlYOkwMZAU7a38S9yDro0xxL/IcZKzqLrXfxv2r1Y3drbrMThhdvhd7 +GfQ+v0dQoo/EcNfmP5j76Fl0Y7QV1eN5HiqfW8N1pSiXQhJwtqyfhH4lRJQJzxgLjJl2luZDFnD pYQ7ERHcOWgWSeGRT23XqXVcbQddTIbpEmr1WIkNq1NgrUQX5i0c7i/F8daTROnEvrAof5txPxyp MV4ZSDS9hGu/Qxvfbt5NgcMzMYT+pLHhRxDzXmqdFoRaQz0hID5egkPWraq6sw5REffUzhDj1p7Z Zidp9HR68Jc27VXduhnMdHq9EMZufHH69CgjXC7kmHrfe3FL8Gt4KtL/KqazjiuhL+/3IMn8QrW3 MJGU6RAyRLmDSFT+9WmnpPyLKFqzLOfKBcEFmyUi0Y+qkb56VB7pgoTOqCIEBeZ7Ew2/lW6B0ivT iCgBIffGhZUZU6/56UO1aPpRTUKBeNhCycVAfzJYMlc3qvXSduGWenM6QPy4p2qgzFTyGrVdY8cT X3HjSLzfWvN0vovYfVn/YkolWKol95cO06N+nxesZvSUKxDPY+hWBBRZxxM0OeJHW5tU91iE87gt LSRApcCjxeHfmik+kHetlcYR11xmFy9FvmXg8o7VoAw4mQAVu3UIVVOPjbbrnQNr3KfLrsXWjE8G DrfYMNI/G4Ko+svr3BmHtExpfCQaX6Y1fM3iSUzRdO1YtjQoI0/o6anwfaDAIBk4wBTpkhvkKMa6 L7yUe+KMHEdOC8X9P6z55Fkg1FEtY8+6O86RjNpj34mDBMW4kVj4t2KZOt4CeDf36LFWd1xyyc4q 02hu2wzyHh05Mm86qxLvMvCf5djyYlU5MCiefgNOmjK1Qt+1rpO1btiHdszkSXQPWN1nYiuEXxvl 0Ai9fLcHE8QBAQmNCxF8ytdzhDkU3Viawtt7nqrXkLEyUetk1wipGTsuHVDB1RHzPFGQjM56FBz7 SPPc0KbWOC/ogsc3/VNRBPuYxymlHYP0nhoNEWRS9NiOk/kGaHdKI4SlWsYjG7jrTEpa4gwjonYA KqRGka781sr1Dfbd/erj8oIjCvQVv7f5JyImM3QSuFZT53S6QQkV1BzLo+erWn87zXyHOZQvX3LU lWxSkC3qGWEHkZDSRIsw+Px1h7URv28BtsahH6XOUMBvjdNBjSE8CPYji7PXxewj+H8YOLPYmdAF T7EhgGAMdvKb/n86Ls3W6kI1sM8NuJxCcvAM9WpWHOvYejB+gElS4202KpKLczS9IoESkJ780fbH atQlha4kcCw9/s6Xj0F/UZuxmV2oKDRHE4CDD9jFxqdHAlQFrKQyVzePYLFZAeVdwFQ5DASN5riv fysJJa3d7en0R2zlZI/dKQAThMbKM6f7LMCz/sCSL4RJo5m6ieofWrk4LW+DZ8x/ML5NrCtSVbfG 1gwbUxifxnnvqo/IL/rcmBOw7Gtl8qMEpOKUczzAjmplYXIYBn9R2DLCjgr3+sL4/kJVhZO0Q+IW Af4LikEqp15CjqD4vE+Y7gYxI55EBkKE0WOtqUbaLJQqNYoK/FPdpaA/+ooKyyRl/OEomkex280n kXn9tlKAkIf+W9lAb2LiCZDZZiaUG98b94DNsRQY+KrQSsCHtCQKUnsv1amfFNvA9Z3xxLKSbNQe PSG2loGVY++DeG19/S8akEEoIeumSNM3FCasodaCVpyr3V2u6Pl/kaBuAZIsnpJNrVM1DFDXBozu 9JQnMayuCEyReh//7BLfoRyvv2XBjn5+I/rI0ckX87w6/QIdLhEEHsedSYXiUPieyW/Gd0e7atKC z+Sx5sRiSCSD++e8xZsxRdFDdz7HE7kMmjwf2D0oxFIgwrIa9WuCRMYe2v0pazem2TNK6DW70Ufw AhDrUVGSN+r2x0xBybk/+cm05f96ZM+VYFDoxaCRnhmpyeLh1/+NtkIugzdc6xMmhlQOoE/V6gd6 4VUuLbQJG0/9syzmyCMd17GvsT2ZL4TD8I9q08TMEd1oppmgXV63Y+MJ6yOkLlsGMUDtmiSNzWjC Qfo91cIPtubMTRTZdOB9uKEx3ff/NL574UtiQItYfv3SYb2GlAob44aIXqGYiXKnXTCIM3r7AalF Xx0H/qa4UesrIR8WOoqBXs2XER6HZFgyRxxlHojXS2nanmXWUlMdeGg2GfH4Zo8aWrNUt8sVdDz5 8eTmEMRCE9eD/8sCvXwF8Vruyo6dK5v6dSRuAy6iVvT8SgsUZdKpx6lAvUnlrQx5+2BIweG0cRao 0oIKvrv+pObzooC88myYfKdyf0yaMRfyzZrfIC0ghf0JzTOGvHZIgJ1qejRksduMBEu+hyMX/L93 WvH0VPiPZwxwwSF66hqb5a163cy1Jun0qJ0VFt3555qWlPTzFO3lJhllENlzSOqn83ukin8IZqMp 3lFN0/QlGFKUYaCpNKr1Q08fRkDVJTf0Hk4tuveD/Gzqy9XSwFX3enqO3KW0DBQwuOytMuXU5tDf rLeBlQ0qdiIDLB3WMAVJx5ca71/inKu5nnQbbiMdYHZ27xDb3FYM1NQV0GhL/++h/UGMBIjoQdUR kMYSgm2JQ4p2ucwWV+akrFntdlPcDhsmwIzQFEGXJSu77itwVJ25cEFBpoyRAZjISydU2sKldek0 qBEZfwjYkDsY6VhgViqLqhNn1GkAce4HuZ5bPN79k7DiwhI38n5yQbBWHQg99yCajYEUyuGH2R2F 9I6sBlHxqP0PBWUik2KJpzBp2DbrKGSEEyB6LxgS05/GsqkwWZDnro6mNNEOSv1C/DKNb6rA6rON ES0WwoLgFQxgynnrtlLSg/9V8iIsBiAm0MwdjYHigpyfIUuulzqxuIYOjiAUo/zpfSYWZ1s9Luzh f4aticFw72BRbRKaJxCV7CqqgGDpbdU9pW/ZHzXG1Hzjg+ba6fGlY3eUvp6glzc3uAsKAUR/GRi+ B9tCaZ3HML1gtUVZs2RYvGcWzUG+3pFq7vbemnmK/5fSBo6QEHYfdtkYrug/Oi8mitggwqACRHXm 8sRTQCIa2DvLnjwigNw5AM7eAY5AXIg2zAtlO8w95Ks+fgDg4VILCM4S7XUzE0G0QSRgmOnuPigT wAGNS5YoNmRFORKzzKaqFtgvf1EeOyxm7IiHLFbtz7UVR73nFijXBuKqEWk1NEeQjwKlsT3JWkb/ 5r2kp7L7tjXQXM1Rnh4smE7zKN2S/Se9SugKslZSjYr1SvKqKl9GgoT46wJ3CfUJy7bPj+YpFhgY tm97jUNjTB1PVpftHEV9t8It/IPETL8S2o0WfwDWbPsrP1BaP6+wzLpiBCqrth0KlzOyowd7yDfY CyTA87RyQF/NaveKfTNrxzlVctW7T4PYdyS/JvbdRVlK1eIhDppn3MIo3LzBJWOYew1fjG47UnCN Q2ywYDiMo5gGvGfpBD+8DJzlio0en9XRn8PNs3f6fnWn22ymRTXYSN1nEFjfg3v0uFcw6zAYEOWE jOgLCfRyLjYVb4LykaxPsFtcCq5HtHCDr9od3mPxFHva9KtNu6S5ga7PRVfvrqtpY1FLt4itrKhC 20z7cvpKXGQjYQVtkmy8EfPhnnmbgPh/nkF7l7oiZ7ipESBEd0PeetJQ4DxHIP2lAVGTqLiBAUWa fSKm0XcTab3QVAGtjLnU2zI23F4TuidBIoLGMCm/MiyPZKZG75ZUtLHMArFRd9e03ixYZqPJDspF C90tLKW9lFm10EGDEOcdEoTFd6tAkSu2NGYt2irlkdzYn8cEbvA2v0NokIoBsZQ357VNo7jLiHBK ULQdyoY02ETS3Cx5YHAvOYuSdCbjLHc1Y4QP7NmFWA39SRzIS5V12M2hGn0XBQZsKG4mvEZfkiM9 VDB+ZFMnWoDAicFgLYcH0j8j8/pw1vv6tGhu0+bBHkgK+eG23zBRN6Op0scbw5dgyiUZfMEVMEFY xXW/j/YPCsFXaZreilESVvUK/XraNEG+BB7nqeLf7f1VcYmwiUHdfCyrP9IHC+CzFEIleOx16iRz 24uczx01FCUx84qsfmOeiKExeo3yrXvU/rs68WTv2kH/0wrrxcEb8ZSJZ3SJQHJu/wR2vJsxEGOC ERRvWtKxjl307vyYDmSKqjdepEQUM0456dLLwXfb0AnhU5TcqZIyPu7LtG9YTdcP5QLYzTTlZBeR 5UCstLt74MVGN/7Mk1vKydNSC8Z+TeUFyDLgS0FGEK8qyMVe8rpvNjyCNDAlZ/d2N3+ZdUKzh+I5 vorZ0lwWKI5YzT9pSAQF6e/c4ThlJQ+pD3P9+kY2Q816pEnKOcuaoywAcf3J/SYMCw7tMiaBNOct Pe9oAv5O/lyfFE9/9whAPLXp/ZSwhGADdlbGs9X8PaGzT3GVr9wFywuJ1FWNvp7lVbFEknLD7D/b BxHN3ao2P6IXeF/H7Sirkns9YmuhIRHr2rWsY++tYtVq5h3p0RRj69e8XVU4rR4RMDag7RpOuirp lbF9OM968OSWbdgz2gcF8r84seSPlajic3JpHYurtTA37kEpwKXUmAxGOeB6AY69LVeJOcfiXAK1 3vPThnzim/IHjo9xj7R0U2tnYpGuszXIPwWJEDmY0RpPjy8aVNdKwxONjsy6W7WpXyD6HaR2WNpU KtMyspuw0IcoT43IBaI7MKwWUG5bk9Pwbb8zJ8St9ZyE1NaCAYjljCQWEwVzHWyrvL1foAlf6APB X8S3d9r3XbkGDh0Dv9kCdQPuiuTw4yQ8BmY4i/ft66S6c7TBb9UzP4PvTOc4bi/pi2zOAzcKVeS/ bjgWEaYA4uDNiXb9h6Cd0Z1Cx7i97jRtT1QwpcFJBBgqxJkxFXvBsAEjNlYwExi7wC/eBAnCvFOP dH0j3F2g+9Kz5hN1o/Bak1V6+GDRX4QcysK8D7B1HKBmoQyP7KthEZu30SN5Ax98GOpmR+ZF50xl N7OzaOOeI0UPMBMWbK2NCgnMVhB/tlxzSGmsEEH7284L7yIMZK3ku9NFSoR/MOaKGKyK4GkxuhHb tmBXUfVyB8HyGrcIlhibMLpUjyPrPZvvjiVxNxIU2IDpXE/hRAm/ZihyYcYQXrZH5k4bYlLuY5ne OLqrlkkPl0wMSG1Xi+vR2xnxClqKutjnmCcT/zfRYMgAmKyzqQbYA8E/ybsSnilH5Rbanw9DPnLZ PSq1qA/1niec+V0GJRXTO98G4TweO1NERf19CnTUfRQIDdOpMPtf1uaDMy7xJ35D0GfqOSQcHtwY buD5bh4mTuvGl9OobhH5GjKsuiVlfILaLELY35sP90tIMGruWpzFa4Htne5C9MVhEcUMGd4WfNfz no1IyaJ2o2AguQ0NBS1FH5Y6pq15r+v7YK0zHQdCbGwyzgvgPPjNtDJWzk4NqtAuws6WQGsTUMT/ huywQzTaMkorlMb+f/px9/ncFXaPy+X96FxpFjmOfqMN7WClkoa0ERw0m9GyGmN3iR4JJCKc69o8 BSp1+lgefGxKmmpD9TvKIGB2LPycgzAX8euh0xgwjUsEgqs5Xwj6Ffc8UjkZu7MDjkbsBStozlBP TIhgFDxv8spH57aHnbazrZbDiUiDokdo7YYalNerRrcAyNr+V7SoxhKuQcXJNdYNFxtNmdLGXq47 8Weyr+m5b2sTh8b7IrMgA0z9U5IK9Yxwi4iE+BFJDnhefm7+XFWUWZwlqp3ZP3j2vyd5exhpz8K8 BYdZ0vGYfKpQI4enFqE+wcYapyGmRbM4nQ9jsJk9D0Zvl5BH7QBrwzYk61oUm3yTumqOqPS4YPKX vosMVMKNTR5GsouIVjeCE2fQMnHolOYYLayrh3aYff6NHBBkwKw/KN1xE9pTKrPgSs76xB24l5tp 9skSsTGRwLkhJjgaeTQBWcplbommyw4uwm8ReHk5a+5kDX9FG3YKlUYxwZmYN9yeMHduNQsW1h4n U8NlT9BD/WVOzaFLu9iEL24O00geGu2sPhxmjMc5wMpkS78oMVnUioxXRvhRYysLeGQ4EN+x+EAF 0MTnbKbx9TuAHePGMq2Gho4rnlWYGqfjUrVgim5pQoUP4GrS0kKotlRAT0socy7rQnMnwLdJzxCQ Jt1yz2axtd8AbdXtd15bLetnRW0c1z2qpfN9+EoieX/IIujT4upcxG0TJJakYf1n4mDVV2OCLZ/s soa1Nj0jJAE1rzFTdfPSu3e0ZM1PhiOyXqqW1eVSgV2THud51ILDEq2K3gxmT/wIoHxyHEbdlcXn +RQl2xOmwVCwR5HzV5c5a0rb150d4lcFpgXhqIZlR6ypG9gOq3YszSl0B21z01mpyvfH16sIiLdG /JDVFzDR/j0ldFtcx6tesYtH5RR3wOw5swcxqqY4lq93oNNtmwld23IKgEfCiLJMQBHXeM4QDfXk P3XmTwngtAcjp31ZNKYIS2eDGxcA1cGZlGGi2fKqMwQ8esvlxCo6dglBMYhgMOhhGI39kak1BxMd RwAU1TpW9H3H2Ce936oyzTYdVhJAf6FT8K84ymqBc7Hh+brwQ56u5T41BgdpSBkGgrEoEW+OK9ic 4aMyEpTrPYwlZFI3pxvqI96K63wik7kEXD26+g3IPfMQLadxeUMZ4UKKv77rNF8m9j5OGwPqSjR9 t4k1kXC1y7B7xNZU+yl7U0u+bHGAqsTqePCRo/cZaO4bA37Py+pFzEynxp1dR4GdQ7vaG+PQ66Om /zWE0I+g9wTBMytmr9TL1xRwnJRjpsQDmp40dyjPUviYdtgxJ1e12m3hRchmfWNMtATj20BNEOKS u97yhxKLorDQuRnoIdj4bvChqw8VDmWHLsOxB4KhltUY9KhI9lf1NK9UYp9obPAbLed6eYH52xhi 8S0PbalD8d6MiBjAxt3vIuThBE/9/5qdilo0IbQyFdjfFR/GPsBKmZPiGBEarEWpZWzp5fHYN0yp FwHNdGpUCpJLDw0yG39vuQgpx85tbs+1RWTQVzbD6IE2aDOq3nDwL0/y0wKQxw9uJrIVvuw66Y+r 1TqG/tUBp75v/fcQwi5F7oq8kWi7LoDEEz8KRUf9RRDJLbCJFmkvGlaOj5rydLKHAOdneDPS1xmL FyWsoJv7qE+yjjwkZz9Y3kugn0lj8DmFrqfn0w8USWeuTzqp8574Af0x+CGT753/Movx8JtlaxEm CLD7TGaju2c9nFC7fwait8UtvqR6sLbFkhqjXH3nyX8SHRDoxRJruvOiP3GIVEMfHDbpsrqf6mSo zI3Hi9BmehTXgYC9HDbEjY7LcHGiW98xTGdErmt1H3pKJ2R7/SPpapiQNEKn+i5FOtAqaf7gps75 7tyV8yCuCCT0kATlOpNZ3xJIgpoEqI/rF2TNNYqWBqm9mqovkMpHSjpseNhwLXUbpPIbJXFBU9k4 uW/L2R1avCnHYEZULv49RZhZujYj/UWgwWtFZ7cV4J11AG+Xc3bIMzXqW+D8dsqPJuXn7Qq/l7TR zeE1YdRgTbayY5SU7jMv98b0ASXl/HWlEcYx1Ah2vc5vaTn0yt6Y49NabI4A6xxFkC92opsg9O/k PyslPgGqJnBiYOJQGcpmDIAYKuRb/KR9jT9qC5l0X2q4/tHvSFF7lrjCCYGZ5gO4f5JXdYub6zKP GZi86RhEizMFaH69xdNAS+X3Au/AuVqZBdxf6hgPLb4xtfri7J0VrlrCJrfTgKNPC/gbMeeXMJer iQiGvvZb/T8exkU8gF/q8qmlceGVmeWjXqp1448Ze4Q5lcqm1c24xqKe/NH00XbJFHDLua0xqwB0 M1Fj5OlBoUknxspohf+UkE0FIZob0h42hASb6XveWXUKxUAwbklGRTs9rykdsLtzPdZXdNfmpxov sjKav9XwByMpVXUvo0rdJIK+1U4uNGYbXbmRwa5Qq7cf0Q+k/MOmWcYBSxjIfGQJaBx8/+CebFDh jiMQHwhRY6IGx7mpw7EaQrJKn2zyLsviD7X2KYfPejrfQmuDPy1MHFD6qgZALRaiW7kheZfb/Ume +pjxd+6kX3zGN5AEArPdDAhHH0Qdp6HlYHnRxp20qC95lsUD2EJb/+Hm6coKN2YDZU6q5y6DGMEo 9L9cIIv9GpuPizvOUQRf1NRegqFRN1LS5e1RGwt+JytTV5OTJ1WYLSOEOY3l4iRjLjxnrCOO00nM ekkx0aBiTlgrSB6fH1y3otni+Ci82Weh74PnCSmgW+fpn8ZcyRyCdSHBVFpvNx12RgOQ8FDwGn74 mKbTkGndLkmT0s6Th2vfQ/y5DV1jGpfTMhaD6977IyRqau0tVtnShSMARnZzCvCK6RF0gAfzETA5 cWz/gnZ5FlCkat++uWtDAsuPQxOR8oIbr2tSJd6LsdOYw4+RXls9IzwA13ydmmK/0bd0dgz8YOGS AOBsd7mVIVglSaT5g2g/Wjs6nN4fFY8xcb51me7ab9mzt/lY4MEjfbS5Onm4RaCh1PH3iqoGjn8n FCCheQom8fpqB6aE6csXkI/QidXFGIUhzHxJuKjpTIZj0Zy5K0qJN8HRVN+b6ro2YMGXNJVibeCE 1D2FlBrsTKpPFNAFp/sjp+rc34IrCC0Bo/GLXVMxlcGQQ11Gfz0eH6uf6cU2QhUy4qFI7LD2GMBu 01bzAcgXC+cfRY4mFO1CnCIQ4U/xSHU1lkMrvmaUv6YAUksF7ptggiALlgpD9E8SSUftuVeY7QjB odkR0wwWiOcc7KQHJogA51W02xPcVFqv+430LmLPagPr53Es9JNbOkCZ6btE1B0nv6iPl/n+iBzt fNcAuydED+bewxEgqwfjqGhQO82KMgi0oBdXMKB4eDGiSMeLaDKDtBFtHFOgWqc/Jn8cgef+mbM4 FDFX/Y2pYR9BCwmbPyovNmy9CysfBbNQ2FHmiBVjshOCiLm+rGb4iUp8GGEYYeBGdo55kPe3+Bc0 q8OO6Z8o6BbpGK9b27B3Mty5xtaB1yVsS4F2NbQBUhIaVCXFPiqD2aBKvqADr52g1Iynn1RHg4iO qQqMkdLBM6H+JQRuMpy/g9uJMGdHj1Kc4pJpc7f+QxnUYD6Ui7/nRC321vPFJyC7DVF0ePU/iRG9 WmThLF0byKvKsCl8JYZ7xTAQyfbS5zQj64QoM+AfbdohE0eRjvKOw8cUqCySrXeI9dWsQ6+JlMsK enxzjmn4Dw1vtJ6nIoG1fkDTfjppe5LBHsF+jTuf3ULs0K46n8Eahm4PbTTZAGf/Ynls7dcTwPAM soR5i++ZauCHqzL4Vl04BJwyBd4XifDqLl5+2NQrmeGHjGVPOrD0pKeFpDrLx1DhxqeCik2ChuHv lRTVUPwLEqnwpWLakMdj9X+u/M7UMsC0f6DtKDWqV5KIBH5nRRX366BA6m/dvzohwKS/EF7exkJ5 gRQDFPMd3cfOv+3X/5vAFsyuyGD5+sspcgle857V72QJEasshZarEdon5zxuEtp2XF1rrNpVc804 +860vqAI/t7ckLkxeeJLpTdiU0HkgsviX9iWngfcOkYnoK22ib7zm07HcBI7JfFl3QXcd6Lr8IEv Z7LfkhziMmNtq1OTHe/DP2HLLd1NM6ElBdvR1e7Jvi1l9idWjGzWD3U36I0rQ33ouUkXnYsHGdt+ HZbnPhMcjH0SRDI6pAPEP4D6DwcH6/nVnWPtaAL3R/FQcSnOK5IHE8/U3tBMcGxxZFe+NhXbeo7G KqFiuy5MC+AktqInBGkF62cKd9f6faTUlfQH6gftifCYkXYgXDisTColzA7Odyo1ArPZ8vwLueZ1 KyD3aKMHBDNUcdnn8apLMLksD70AYIzHyUmCTgT7s9CGNqZFiAqf325MPsG3rYSQIC7pJL7pCIdk fGuigF/6OMwqAeJ1mu7Yyr22Yk53pML2eOB9k1zrCmbQ5eC5s3echu83Lb2Cr25iP96iKoEEbr2E 6DNv6UON5Ws/BZa+aipBeTVHdW/eH+8JhRJ2QTQ/JF27KdzizlkFic0rbLrx/HOLt94Uf+coc749 2qi65tBzFKWeI0iWTw9PUa1cBq+pWZ2YD+Q0wOulCEKRdCSLORr8X23g5X0+LjzBq6ME9IIvp7G/ DLc0WQcPEWQHQKBqJICvixWZemoxmATrrC+u+sAl9y+D3x68qIzXh+QarGnYSLC5q2ZuxBEkPzez ZYFziloY5+KX9cOX+JOcUKjqDr2TdySPGJX7xZ8v0LlxRbM7ii6N5KryY1x3pCeJiFON8h5YP7/b Fx49TZ3Dzx544FZO4PzPmfBZ6X3zQ3RLeKNDEYheGYNZrSAskDFBFf3sqVBDPeBFMDQ8mJb7JHI7 0qaLNB9gRD+XT6KTWlMn0i5mMaZPCJccTS/hLfbTH61DrIjDkHWboNWgXcDYHqbBXgheZMvaiujD DpXf9NlHOVLChiZSwr8DPNEzW+q1yCUvQ4vpdWY7CF2R5252CcueXOWRnwMkeAMvk/P9NIssgZhG SA++9Am2yj6y2I29mJuhKYvDcGljnyShGAQcdnpccu3K/4jaJ8UV5mYtqM77zIIzep6/lx47G13y Xyh94bHQA6jmT6i+fIDpDhxXUBmcBj9koMquVNtZPwK/pdPR4sQl5sAVLDUHEGSiwDLY7ijfz5zb ltjrRKHKxPyks6NZhUMMaiHB3+ZgNYJvIiokkK4SujZwXnSkRPYf3rTzc00sYl07NDK2kQ7epU+c fCHqVY6jlJX7LV2xTiHKw/UIMozV5KNMY9LiD9+g3xy1lFAljaUNYFaAiLlZAP6gC8g73yBrrA6+ S3OmTPt9DcKxyqkn7ugcv/epxP0ciqKbh8GbtGr7TrH8zM0BbfjgoBsrcctJuY1Og/zgevRkz0Or 7CWpm4ghZv1X8RVQHajoE2msRm85/Jek8oE03f85ROR2pfH/B2/07wSqjnHKAPb1EXeDMVOsjZFd UjLA/UXaJ5FvSsWaP+a3MY9ozJMUd6eZ0KZCxqSu6dOm6j+ifqGBYMNSUEunyfkkuSHf3HpmWVkH s+23dTMtmqMnOoO4qOBpWOhnxTu3EtH4Tg30MIKAA8Rmun8Ov4M8hyF5ZE2l1YNNPx/nqXJhYFPs gMlCF2/UO1TYCS6Nw0ScvxM6VJdDOcd/TLozzTX7LMOIXMeHCurGpgQYM2k+ENdjnQewujaBwtJW spfQRh4Ih9SqTxZa6ya2FfRk0EDENs4l3zqikUH5qRaQqtJa37G1kqEMVB6GoelsIfs91rPk+mEp cuol7SExRTaEEC0CYI9TaQkyscCRORWl/z1Auw2LJ4yQ3HXtuggSCe8cVCEtRb2Ntyik+0FQ5KzE Dub1X8aC26ErKCuuGyibAVgdery7YQIrkpKmdu7Lr3fw831oVrpdRuG5rFeAcmS7JYA7Btc+3Dn7 FqXQOF6IiyI7G74QFfI/FMIfzgSeFRg5gpGhSo1RHL0tiYb26DNfi3vhlM7D/Sk1a9ED7P6BzwiT NtTu9q/asNh0GW6WYDPUrRMI6R70PB+dckjWcyqFYATn4YSp1dC/jZeTdLaSb/WVZsWXUR3werFG yAs4ywxq+zll01lOV5zovA81wGcNXL4ZjSXDFIDwQJQp9KEg8ngh98HvJuvrkioU9rLGrASAFkrO Rjl4I+iNsx9fwoKQTKQ0mR7fcL4KZ6CQjqQSMfzB7fbnSIRPXWgshO4Twkq59/mYTSv8AYIAhwgl K79vr6jDTGhNdJHQHvxeqdhCeOFqCLXp+Kl3xq2NfOLM6gTNGxYagOi/v4gxt0D6h78ddzD8qwSX wVuFrVyPBOFYZ0aM0nd9azdX1jkSBTifUslGzl6Bld+6aU9khXrj6iE9tmVSnXZGeXRdtO8Hz6/z A3UsLAmDlVQE7eyIO6/Es2OY7H36rjnl4+OPgTH6IqTeavVGvU5c25jPoGx3IA5SiE8+UMKeFm77 QkE1wMCzeg8I/k2UzI4fXpwO1hZwX2K6GWYAz0lDrkWkjj7vLa+tAogmXgHMOWWbQUwIWM8JHtFI VRj3V8G8Otg3kRuUam7RJzX4pqAaRMiqw2+u5+/6ZYEhYXIVXjmqKU7b3OopuOe6ZhAwCwhBYl3D yvG3MTfFQ/oSD89AZpRVXoJNblasgYqjreZ7jSkQnuYzQNzSjJHwKiEshKL2aioXyAAzzx7ncjf6 UcJtyrxRPkCd9wH4OJIVn8VLzdOMGy4x6T2Vnv0AQf/u0Z5XVM+DCVRB1w4afFnnnLZKbUHFCw9T 6acvhvecQqOMI4rl0Es2T6HaFr4B3oi9T6A3dgIq2h/qFPEpz4xG3lv+2utb1pCwSfHylN7S3jij gBGF/+gv9QR0xibKNQpkUMmd8FJYTjrbrMqCx7uo4YIor3rxkSV5fGfZO0+MgGkW88yGFakRaJb3 WnRGd+H150yhTeaYVecOtHggKWzyvS9IE/v6jBxunViDeTviySARRPoWSiVZCe6COtG1NInr1g4U sm8KZa913ZtF5xLBTfsWs2NAMr/4O1FH+mNGQvxw0h0pPVXaFtD0nm37V6u9Nmhca7Qg8Feth9As oCAq7rb4WLlf+TxMU7N1oFbP/wNqFB5igSlpad8ga8wSAEKW5/Hmn6VqJaBlIdJdsN80ObXokMm/ hIPMysufcUYtuwmWb8/blfVCvFslVFGUKD8busN4tKyLkTjfKAj6MO7Gg+rA4gRTBJIfb3h6Up8+ 1VReP/3Dh05rCxOXv70q5S8CMp0BRkkBAoFsx7dFYz6TvKcY2nwMSq2FypWs9lvIs+nSe+K+VUOp cPkOIrX7XxmgCwpTIYB7vfbt9WkIKAhnWVqoO9ORDrC56DMzmLXJN7qELrsYpQsQhFLLJVRkCSC0 T4IOT8jORyN5k1nYf2PG3e9NQcB8F/ockLfhrhwaGNTHF43u3wo8PEdyyE4cMefCnh3uE3EhwnOA sSGV/PYrUEPc9IwvrGfn3n43YrPBLwtPwWfI3QYQSKtRM4KJcnGD0FrJkeZsgOxTFXNWsYByQoX0 9u5nJXmjxmq0jAGgR1JpQ/DCOS8MtsywARenm2GT7LGIC+RvjrVMzER9lgX/4MoLbzH47107TldA 6gCouU9TVD0DQ+kCoZPYmixzLpfcXMm3WnTsIEAgIq9KbVgSWind4DcSkJXRd8LJmZtqONqARm+A VK6vxprNA9275EJs8kfJ395Cd01tWtIMe2JNFg9Lnibf2F2lIqK/w5cwwfR7w+f4bCaTzsevdehG eRwFhwJD81mUYedoMTDO36gRXZKy6h48g5WHx47G1SDbdf3J1V2w07/QdQM1MlLy5/F0/pdIAfQ4 beNsXGco31lvcYzA5NYWr0kOGxm4LkjI4I1lBTwyUlryve4jBciPJPHSVQDVbL1YQTIbxx2GdEob wu5gp3/VhhR1b+UvkO8tBcXU4fWiyq6aOAiPC9WS9Ik4a7ppFyHlU0a7CFOtVCgwOh5A8jqbSZ9r lbJARHiz8h0VBwwMgiddV7Fo6qHBF7/+UquQirHF++O7z4J7OnnSVGZ/veJYR6fIoYJF3sh8zZbd B5bHS0+P1flwvplToYME72FNBB1k5BosS/bYYmkt5817UG2hikQsHwQ6EV6fDcN7UDWQitX7Fj3N FNbOSyJE/op8qAbkv+uWUpyA75SUVs4A+vKuaV5Llk5K1iuUKYt57tDmBf3BmqFp4bqJXHN8QauY C7NtoAxVj3CI+4RCdLiiSLfVOLDpU2L3kCSSz/ZZo8Cs68+uZSllibKpVqzuznuxBFt3RDXe4n1L ICYbVJVZ5WpmXR1ydMRTosPfSiNHFb0FlKjeWqSc13KUA3CAH/qKkuvkvRYg+AJiSBseabMu2+EX 6P0I0tud74MAKOyaWcwVsn4TM2g1gNhf7sYcKycyOiV6at5+U2HvXAXcPhlph+Pn0r4XrzZQn6g+ AWOjI382QsVy7kdFYhfFGJ0xLh0O3G9HqXo9ouZ3cuf3HfBpvI3ocF1cZQ/+ZUWhgAQDND1PRifO /S9Zfppk4M9MSYr5RaH6zU27m3oTIIEt1hPNiBRseDd87RDBW9p84XVhcIgoGvhMLDXE98JAfGtz 4jMDCV1+jHC35HqxPITxhGxnpLO6mQLIjGf7D9B6vvr357eyATMaIwrqqyWw30Hb3B73ctf6dZni Env4DIBx2d9vXhnpKsl77mK0vjOSJRb+fui0FI7pc8WPiycY5swYM1x04OMooEdLasn7hnUXuNRz 48ddetNJKqaxwjdjv5P5mazlq7pmx0MD9ksBdzaivbGNjigq3hk1ofuy7ELIlymvEIb4IxpsQlGb 5sr95yH7jk+Nkj7axAuXX/c7qdxQ8Pp+TzCC9qVRIlEMkqC6r9qYM5nhmF8rGvvQAz21/A2GWvfd uePiXZN++I+3D6W12D0eMTkGjwzjBCieyzklW7LMHcSbu8jQLbhaZyCTH8oiAdcc3nEOfh4VMnfC 8M7sGKr1ePZrm670/UUyDMyyA5vj3K2Jo/4q6M8HTqt/q8HaOMxZx1/1OlGNQoJQzwr+hSyvaz2a DHdBpEBUQ7/NO700QY45Re4RdObaDB9Aoi2zYUNG3CscDiQCCEWN+ijNNKGkseUC3vOk+BHb8y2T odBwBqd7IjbciNJcuQzFY/2uP7ACOQeJGG3wB4pk9CABB1CitJj/GqpDTpNMfnFIL2pjvyhGZtnO ItxlH3Is1KFK8B7pCkdEG6kNIlTgObpfGpd2mNODrJtKXrhcOEKjR7FFjswM5j1X492XvX6FYDzk g0CZVzsSuPT4iZ7r6C02bg7iSYnoCFfZn1Pq11oI/X3hZFPCoKZcgYVNQ92MfYdQg8q+ro6IHB6B EF/7tWEvszlA+a49UFLsUEXXVVsNXZ8AVWyHteG0ExwTS93tGKuo2LnrmDw3RmGIHr/Rn7yxFWCx /InJk9zSX8WYh0Td75xJ5wkmBSVehGcmlX4hICsEJkiuocNXsnqSwmeXjY5IoENC3XkInx1ndFGE VwAQ1qd4cT31ez74y3mWPPRLNUK25hmR4ju+JhzKNHebe4PijpdF3sYpVqjH0957/4j358z/Mzob vbUa6yowHU25Gls+22rHGFu25R/ZaWhwmscxsYSvhCeOWXXJHjObsbixsls3DxAU9RHuO/NwN9p2 wOaW+5kzYw1LVdHtJDuuveihmCetrCEKtKI1APmUhwIxTQvPwvmFHGMkL2GjyRCxLA2c80uqfa3C 03arqiGu3htbi7JG8CHfTu1ERR1MqJUWoMvrEBKUkJbGpOPlsG37OjVuFD8MF0e75CqzSMHducHE 9NYl6owUkwrTcCgGEe1usU0s1xVPiRx7+v+0GpBVlufaU+ahwJx2YnHwT4grXlQRzB2r6QyJZSZw 1eM98SBYs9r44gsofuEXZtrjug5dFDq6vEa736ehqUzzh+zF+O3/IMuHihIcBIm3/thfpUr/dgwX pNrausv/6o4BNpP3nQBYxJ1+R43ogo3IFTqb/KSRX//slY3gUkm4vIBxX7hEPr3UalPzEjStG/WA poP3nxNybG9FWn5zAYODP4NGVWWHpWRU1vquKhH12kfz8+wG2E2muQM0XXZdQ/BE23BTd1has/ay PZIVz8TAkJwZARmpeCsoy9A5owecdFhhf84TbgOE6KhKv+EkqAE/tVAI2VfGJgLu7PvfJxIXAKoG Zu7HhJ+hFcczRrEQjVHHz502lII3OBvycQxs4r/A3zs/pwEJukFxArP8GBqmzXwG7WaLg23soir2 aC2gwhPQiH9DGLfClGn56giU3+2zjpQ66VyLXlBPHxHNkTT6oHDOPx5Eia0pmw1yVguxutu+CtoG Yu9GUcLRA+3GgJeY9TY/yGfUfihafF8gegDQk12A1Lixee+gtj+dHAqQZSqzd4yK8IBCcAYtV7hq BScRqIVs/lJXRG0N7qs3Q1lvnkdlSUKZ7qbO1EqNU0/UXMEWrHGBTZbUJMQYxgyc5y+fAYumHY6v CH+oRmrOR48WNHfwCmSGQR0tAoI0L4Z2JdlJBRuSafcRS5GlVONyV0qFAtdjcAsKDoYy5W8ctV4j VrxWNZkFKvK0/hHse4obkBOR6b3bfEs1te+WJL3K/mUIKZaJxwRpLXf/vpxXNGQh35EggkfL4FDm Tbiy4+jM7oVf0B+Lws4BXPszDa1io1Lz62kgfRVmjaptbPVBYdoKfpuq5xelPtd668abUS9MtAps UVaEKYGuu6Mvm+kZTHk0rLpXPzi/B2tLw+gu5dFv8xM1D0gzaJwRkCE5nfOu0S1roHHupvu3Y3Te BH7rozsez1XyS4KyBHV57wajPAKlpQA7xVBhhBh/h9kPeEdkSWfM3E4fEZXKgrtntxHEa0LXK67N fe89X78D4D6WP3bOEDpDPyy6b7xV3SPlBjnC4e5A0c+RapU2Uu/pnZKlyR7YGLkLtjKH/a9HIqNG 5FijRC6w8cWCy+8OI20r3RG5qrI8bitGVasTFDS8jOucgnYgMMnKMFmdnn3/fUs/ZAZ5bUBbuv/Y 2kOTsIUGKNXFxf0fObfCwGHiPdtWeVI4gll3u+KEMjrHS8VTDxd7RtUNU6SM2/I6tKIukS2RGQqi mwFmyzsKp+UmXt1OyV69su3tdvEX7WRCH03hSV6RYxQx4JiSAubxmLdbfkZLv9/eGp/LNjRnOMln ObECq0csEZsLxvJtztfgjH4yknShbLszO/3NdQLPmErxLUZJCeEB9lymlCfvQvEQ3Co35bmw0Jgx OEtPwbKT/rJWNx7dIJbwgyuuAd8hTdc/dKTpA9b8K4Jxt/aeqoFsDZz5xkzNDuK4hIXyZqloPwEI mxnwy9cksc9kkkE5GHyp6GNhv978c14vqmob5ZMQ1TXvFh95ZwDJwH6WFZWiFJ47pHKsrkdcmOc/ lpnp5fg/ZStDjOcEWO2KGQodtf/httf2LpxLRRsY01HyyEnJQI1r6zN2rgCMPKjB12AIurTmymqp X37oYkPEC2pl2t186VycgiEDXPPOnZcf48k+THRp8mxzr5KrI08tjdgfPI1pyAvd4fzrWaQip4KY jkjutoEsx/m+V3BO8TcqgheHVoP+NLU9aTH8SyCQ/XqlCqeJZZjZd5oXnQcFP8MkcY1RhwWZW+87 F7cVYf5h4Yx74tFXJkoFKSzJDpoS6/OT0sq5dBlhVVdCk7gfIkRQyzG7HVyfU3P+Et3r5WaORhvg LAGIBoo34vDQ7xpgaK1bS8Y1TN3Y1AUbo2GLXRh6fQEuvriETCUXT98Prv+sYd3JjYRRj4WsNS9Q LOh2nJoziE4R3/X/rFsdnwOcF+DnF20IZK/aMdry+K8G1fUHho6q7wWDDHhROHxPwUfzn2ptDHrI Rkvr/E0O7+xUTkTlracKDvG4gvnT4odwUp/CMGxdj3lQS0CK8kfwHpmWoLg4qG+YrVYpNYY41ZND RQ/BlHKa/CLxMKsnhwz+D3fQqlhBP48d9ZGfmb+U2PcBNOA2fUtTbJE9CHWbxoxDuqHJY+vVv5F5 LSD6Q8HgX3niDBLmU50m11Up02KsEhIEwmVPp8QVrpe/pzLAN0kfr/+ae6XsBsNiFFZSga6M6OpN AY1J9bt+uWrh6MQMF7azimcNUIobmtv2ei3le2GLhMlK3Hhp3l/790tDG/+VLsSRdmBoIDXmLXW/ pojkJZTJuq5xCttNv71va7GWav8hsWm5gQJd5oLpPSs4Pd3fShrf24VnQbDgGvAgD/sLEpiK+FbM s4j0XZCXJc0x+C6XpyuveJUD3qGX+xX7C8JzfW0pxHftiQUZjtfQE5maJsjKntjz26BRvv7h2unV XQdHNTmPudI2/atVMDe1K3e4axZ/+wM9cU+UOKxTBZV8wWNnLS5WKuQ+1WvLeFYdn7WMG2HOlZd4 lEJ6nOUZjEFMOcfC6vyX0fI0AzvQVHwUbKnghrd40HCrdhPNrdZLeN+zMsE0ZLKN/sgrtpw3WtdS OOaMdNSRe8V/k1okydNeAg/dyNQFF6xX6b3azgGrJSdvvWKYm6q9bYDUUQq4653oIhoOxh8/J3dT NuyIRLbYGc+uD0FYnMd/iYvHKWQ6am/cx2Uro67EqlRO2li82cVJ5dSC6WgaTff80ycQMA5f7e0e 0BuQhLfKT59nl1rfDqnA6W3zU/iMPu9Y4Yov218ci8LMLKtr1JwK51hFACAf0Vl6rrFhMvBFLOzb 9YoufXfbI6XhPOXZ5osEEIPMvu+61PLiLsXApkJ0RczKGl/6Q36bIg7KZc7d/7p1xPuOFzX9/a2D E/b5291YymvjUeI7V92jyF11nKUvPci58r8EMcV5bbgqVXXiJr64FefC0WXBeOt0t7EXI3CE9Ent /XUMjB2hkrcTd5ajM1h0PIsEkPXzfdMN9kjK456Wqnmh6vpjhjVWyhVXhoP8v1w288R/4CvecZ4r R0BkqLFhYEZGzwm+ldFRwkEkHPARnD4kQXUzA5Zy2NpLW/4dbpb1zR+afJV2SjlT5TZW+XwzLQEI zLgdN4NT+Y14eTY3aaCfQFCsy6MxTQ4COFYu+3XGdNp/O6Q/vLGlEQRmENl8r/5cnJj+HThSsBd6 kmIfrGZizHZcumOHuIa31n42rpq1OMs4LreD2ofeqApmmhEgGKO8K0cBnKxJD+95/FjFVH/LEWDy pUb2gUVEQt0P+8nV6zBiThZEAsCFr3JRJstO64RIbk6j3xmTTX3I0AReE04Djjv/5sJ+sQUlkblg kUt3rvO1basCz1+IfAe1F3kTg4RtCbQhf7ir/uVqdMlQNuN4lB6fEhNHcZFSow8T00aNvxHuz5sY zpGJpn01JNY3OZqc9UEQ4GDphQzoPYrUmnvRklnq944hGG4w2alDd++POYWkqiFXyhvn1l7r+1LT ebdf7BXfzi+WO8evrnt/CYJx5kLLnnWJH1Z2xZDxmhLT8hzlswfUYxwVQv6FIydeAqeNSTjuEVa8 Yn3ddSGpDtZzSkxXK4S/fV4C7ozOgJ85o4rJg/tiUUqDIJ6Kmd5wyQTRbwZwHtyVMJS9RPgwein8 p0Ym8tj0+TH8DSjgsnCapuLgl8dd2LinnqsniJX4VSa4ZvEK76oLYrne1NVKywjfji8Midl5QORO OnyitDEHH+NWRkW8k/437EJSyO+BJThHPfEKTXynwPjP5Wkw9hiXn7Ogcx2VYQqgJHN2eauhroRJ A7ByChUtLHutryicfqNsV3uTwVONQxxwX2hVSM8alvj6N/NIh1aPuI8IyiY4peW7tRVO2AmhLKKc r/HhYTYFL7M/iNKiqq8DndxbiyPLyJDKGCvouZ3bvywRpULn57rKfwZghO2MZ6UR8OVyzoG1tkIW XYRqi811WrfVF9V58caDcYocWreBeyaa9cuveh5IFNMVlR8vpbBGiSDvB4G2rPEG4shxsyCe5f/A frH0X1+3PbBe67G4KRGa1BeZ9F/Lej1hJMxKl7prLDietCjfKXwZEZNwWqkxKu/vyJmF3vAkbMZ1 DHM6MpAu6VpX4O3q4hVhctvmWFOy4TJ56NhgwkhCqYE5kk0x0NBv9jOVJSkPkOCFlLLP2Jgp/eu1 YQFfuEDo3VUTYaAKhMBR6QSfENrUwf12NZ6HUX27rAqKxhQ1fWOrli0DosDRc1W4t6h4TVHtfu2J mSoR6PVNHyzfIi1TfXkzs4/oWJgR2MXgSlW61X64IqFG9WrC1v926w+bJrVBs82WP2Buw6XGC1hf u/LGTtUVgzwkRowgCy5b8Q/1GMbOL7ne6F431m/Xiny485we79qh52r9WrsuEqXJBshuOEI7CkQP xd1AHYA3lUZyxg3x7ndOK6lrA0a3DqCsr6uGOZkfIAMv4slA8WYJq33L76+XkstbKjT9Telwv5ae WTVzAndGwLt8CoBFLFJaQw5sgbIBNgo64q2LgI9sVd1YR1Q7Arg3yjNyiU3Qpuusloy7m8WsJSyW wnG7+A+9V4L4zIoYjQBVlh7sR8vBiaWswHH0nTU5qNa9n9Ayo78HB2Y0dRlOOx/ThZqDEwZPIMi7 KE0OwcFRUHog8eTP7SetxD26/xY1IQ9jOi82VoVQWXaod/VQWGU14A7R79aL4JUvVzo7lRjj1y6f gu9w4/AaqnXh3YD5Wb00Xoyld26XcQvUblt9URMzJ3OUo6xbG1sWttnTOZAB7TD9DHFjATZl+XBK 7ovOMeKZljBAhSLLqS8GXST4NuOHFwFkdMBNrOBpq24oN9tXqjz2BoodOwi72JQxMwSlufGhNoKQ ACUy6LjgTmOB3DsJEPD3xmR8uEeJ8A0qY/F79ZBlS8BVCpN8X/7NLa6cIN6TeJFn9svj6g7gmiq1 p4giyq5ESyFOcwNYcR7MzktvwyWqnvEQmO9XyiSLla9+zHFsHZZZAuIChx/T0avoHzzCaPrgsfrT /UcV/WTsKHIu43VL/7H4MMBX8mVkPDkI8WSUzxlLpsRvl/BaWmxz8rQKM0M9N4Y8MpyWorb/ePmf BXqNEBzUsNdVpDFNpBQHH+CXXtiyDASIsDxHxObK1oaByBMLo5aszJVJc4vKTY77ZYQ92ZOWUjak I3nbvwqB4BcTJJUvYIFXGdqP7zyCRQX0OouCOnuBcoaIK6iQyel6uvFuDbmcQQZ0Y8hK2S7fJSzl JL+YZhkZZd2+LcZIwwBrhEq4Nfq4xmd+ndwemSTCfSFzlzNiUN2+1+NQjExSUZCuPER/ZiNOwWYx t6p8La1veQVwWCXWe2hkH1v+vhvSPkTGaKi21dw/jWyLHjxjKNcuXGUbK7z1fxWyBFqDWLnWJNHg kNTEIKz9YHqlp4ex75iZ6yCdcCf+JOuoR0Yla7MslwX0fvIdaXNcsGWIjWkJE3/2ECzOnJkfRM8A LJvm2JyDxKWxWxwOydMwPu6lTdNpO57VU80h03fI1c0VuUXqXc9uJHwuQ0JNMZLZOS4OBXNYrsnL BTm8qcWqcGRVVuLkD8pK+m3so3nKH4p5b7RuMCONkDhM6GvKGGCOON4oZWKiFZkhRpD1Tss7HZxn TUzoLLo9TF4hLIFw5+xYm1sjjiAszwQSkny/mep91JIuI0/Ibx8qvq8aCGe6Lhoz69xMsIstMKIb RFTKCBpY8FQDOeoaQEjqbN2y5z1LBvs4fWiACCK3SUxOIZ2W9ylKBoidvpcOuyu/Q9zLGG/7c7vb D4npsGW+ujwH3V2Pyonoe+t+Na3bXR2QWbmh8tNeHcdIYw/rVpu8EFVpGj3WEDf4AgMf04WwiJWR sSp+iKJD+vM8M5FVMJqwhDNou4ZxTQJkS0CpGBI4mL6081jSPO3B23vHpzYUu9ASqyvw4oiJQxHj 9eYHGwTw7ublggBhxX5niY+4LKhNWyoqs32nzhQtIPmV9geoEhTgX7kpmNdR8S7ZB/INGduQTZXh cUez9McJD+43RGNroJDo54bFVEOoixj5xpE2Fc9lMIbYy9JX1EnafMTyCQOGYq6erCdFLrQGX/Zb oQmuG4Avxpds3yY+C0tQGeSnZ92gR9grFExVIFEWTDEa4IM274z8DdnHLCw9OlgML9AXAMcjMMfs WU+WYYy+UFmQhhNEIFzx0EuCACjJhVKG57JlMeMCBZ67IdwcXoC9kVCh31liCuiMrXeAzS/4uT1g ivsyOg+bJnSn6BuO1KHLbz88zm2u9iIFgeKYczUH2Q37EX8SQSesAVrNqWmuNXihqadQPcPBUfPc 11L20oNt+QcUfN+gu07HYO4jiy+HqWIGDxnfGHAA5M4U7qRO8g0rzxmK//+y4J7TE9Hf3rdoGH29 lXse4HxYauvNwAohC37IShkkK0WjFPfoWha3fMDmcNu5WFh5cMXb0m1AclpS6sIJvhauyKKhD51N QJXcr3xWLF2skgJ4pZwVfw0Gd0HCwA71KIKtmT16FTNDGd0bhgZQDOQtnSpp/+3C4t4SSVWHtxbB pGfTjWgOo9uE2cHRK9pRCl4T98OiDwuprqupyFYTqx/K+nZ/7F+Xf4OOFjf4azWMFVNNriDceekn 3WS75kzzqzbuyRihC5AJKizVALXANziu7B1GEn/TwN/XGzNAQCvQsId0LwPmN7jNPYTiW/bjIHPn Z79kTJPMkgtkQsdAf8ck10Ir9d1nfzvInpXUZBs0W2AA61GT372TOuwFYy+N+0UTT/lEGBMb0E2u rFWiwq9VdnySE6yhzyoR+GH++GsDJuhILmfAjtIr7unluCCl/zKUhEWeVuJIIvAlGY9/zMQ+Ss7L lGbc42Ud13Nz6adLTAs0VadQwJLpUdO0rmRFCEn/JJ8iqimBv0gYO5x+atvfd1oKxvNAH0bp8mhF 3beOfH3+4GETmDZPhXeBDKraQ5U7Q9QgdgXjlN7DUTmFBIVvtqaMZK5xnQH49bHDvdfkW9ncAMxs i5D6d+1Zikw6EioeNBFoDxgJ1b1KqjUk5KgnU27U6wNQa027WL8evTVxulgDqYv/BrCkXcP7DWsi ZSXaHbA4m0DwpIBHyQqQS/kuNw6h2bpD6qMORGvo3dau+uhIkAbc6cHjM5ejn0gvP+44oFY6jgDw Oo680QB1ybYLam/ZzIjqNZB7iKJf/Hjl54TxGBXzeHQPWZNHhmxqc0RRNBTWQd/VSKsT+hkxRY9V H9m4lTdGGs6WiS0cy+rXOznLPvhX0Z46jo8fyyj+cEwjJguoSdA1WfoM9BLwW6xE3ez0kQzrtn2x /4SL5uJwGEz2/dwdiGgcx7NhyP9BV7VZ13aUf0rW3Dsa1MGZkBDe5jfSG3qnUZVHxfAQJ0LcZXDc Va2+Btn6S8+WF9etXtekPy7pstGrN66+1c8ZtyecW9dUPd/1eQ561v44vDJNAZ11PdH9PaSDqeRQ kWLwwFxr6cjD3IkMjUVGzP/pW7FZK2vbEJQ9V9F1C41gSZTT2WnS8jpbUtLj5si1VDWn2VDUvvHf z+bgO9S+tFZNnFhhc49VJwghj+HaiT/rr2Shdk1qUNN/cfmNa36VROTK3hN8INPtf04/ukS/ZUa6 eAza3JPiiLgTiLSWneEb6GaUK/JS84S76ERMUANK6GK+5p0Y5oeznRi1vEJnIL6USJ0yjlqYxL7v eyS7TNVnF59UYNg36UJc88f2W4K/1yix5ezzoscL73Q5DvKJoxlK3u/wvd1PvmXhqvF/Bwmgz+3l TIdvMp/NF5XJOs7eYVGYmGiHqFAJfW34Bnd4H3GuqraICIsx7FGOSSpaYpSuWwT+ePj49fqd96mR ImeWmG5FDfQxrZ4o2ggbSrwma+3AhrcV72LgLROIHfGtpJDHc/Wq9ixsBdxFwjTrvfgthmQcNhUr q39aWz3Ul6FwEyseSFtXriHt1KrBYr4B46wgso5H0i+oH7of2hWmyKOmzSop8VQMAWs7Ct/suFet Ma2tJ5tH1i/U5oLlzWwuu2yJtIQn5KS7FmpVz6dJbnsPvX7FMIoOnLVvxBt6zxDxqfT13E2aX9Ve nUuC3TpYCWTJ5314I5Y/kSlAAuQrdk9OYRJqvE35UsXw9jw68eVR/msAHAkXgGlo2YQnqpan7SLM ardfJbolPRI2j4rUzZEgxgmJOdALmnKRObah/iNVlwzRSOpAC6sFLNIkb+H9WM5QP3Yx6G3csKc1 b+YSpt5amrX14oHxXIJTOtgwxt8LRG/L+jujsdzkBCTb0k90UyXETZmT2kiUpH9Z6uGhS/yavHoB Oexm6o70O5fYnzANiFE95P5n8JQBhytyf5ENPzhd6OQYds1bVLRNdV63KxG721+QkYDaBYb9D92t zeWo3zxCYRSi5a3esM1iag5IXEPzXdzVpEONr6dMidfKYBGol8aN3Rc/mVo1Lhlaxc1QMuE9FTvi AEdARi92jQX9jqIw97tFU0TCdGh6k6YehnOwC2WpPE8RwiVoYLFHuySs9B5iXTjWnkjakFA6nSkW h0H8oz8fxDE9LcYJbSg8CTVyvVYimzBkfB6Kp9qBth+xxo41oIrCRxl6bx0Z1ZTK72WAX6HxTsHd Rj8u+JG2YXMuw44f2V9Cb2WtGyD9BzQP+v9NT6B690FwHN6eqqllRvLvQpOHQzdegPzqmfYc9zYL D78vjOHUwDA1ias9PAfOrlL+oiq8tT+D4r0Oe5IrBRnRdh14imnNfJBANO5rSsnviZUX8cNa7Amf cCELxu/oAG3leIswzV+pwdNPGCNg7k/VvY7eKpFI9U7+TYKZgRRWTr9W8j1RBfwz5NXD+PNU1Igw N1YA6j6f/Jz/Iy3kuWpgjYiSzwL+5o5lRsnMx7O/LCm64lUVNR+6PoozP3bJ6V3/2enuZIXodhWP WR4/S6nnu1Q8n7WuxjOuX9dvReCpPYSciogWtiYnJEGf33ELJOqG4Ii8iEHrAUV4XT9xn/niffRW inINAH8yErWs9wYeRAxzvnsIqWTTQz3SYi2P61rzkPthyJZ51Ug2mIbl1Dd1inKNVbOXCdj7MldC 35ctpAc2FrP89vWxzPHJOrFWqqvyC3UBAnl+ez/Pks1Ab70B0uK4Dkxy7qK/h+if6jkMo1XuWO+E HtpAyPYhPQh93pWGd8jDZQiRIWfC2aHkwhrdfAF4/hDwaItB+DMiggVQOcHMgsCVTBCCh62AkuUP 46MRLXse/gQ6OVHVepRSJbjVzNqrL7ZNA03/1YsydmYG/NmWNapHaPCkqVckbYvrkUaEdWWKakoY 6zM2ielwSLlUkEVq6ed4W/h9wzHdBnsYkNFjHzy97j9o3DBEaB8e8hRw3mVroODaP/HBcpV3gJ49 3sAFi3RsMNWCb8ujBZqpstD+I5IqBdKiRDhWj8/AL+UxBvroF+dlZx2OPZMSdOaZbKXPAIQ03fdP ujSx2oSq5AxTiM2CVuBI2M/fXkDwEt0TlBnRw+IyRLm66Ur+VtMbBcBUhc78m2lWCv0zLSVOGoI0 SLOKb8GGpaFfJ5BJC7q3s+CPsCIR9vQ0D6/ag4mCKv4GoLJk/Gw+QsumGDgVTfNePTpJ+gZ+4wGc iSjRewMQ1G/61wULdrcEgIo1sbzbKGhJXHZvLe214+8BPGWTilrXUUuFBmAzwQuDNTztfHBULAQ6 XDFAvxSRG92wHFwFKDr1GSn1ClW7TnKdsISg4j1wazfVWbjJ1PHAssZdtZ46rBPKqzpjICMi0eN2 xM6dWdJzmDYByop3vnxCbg4unEdk0AmZqbhFoB1mN0PNNU+HBti34LEFz66F9UXD+H0/auSRxZHx 3mTPu/tAdH5AAPRCwJ9/Aye3e1SAYmqFMgzXt6eYIZBCZyqHnu3lsd1RBbBHC7M6Fz2q0kwjw83d uLha6+mQ57+FkuF1JiQQg71ZyRxCJfXcnUS/QadRoGUECd7QBRdBn312mrQ2ysqkOpB6ZnJeQgO1 OIS+HaWkfuIToeGwN//HzS1OqctZ40IZYwKEPQvV+LrR6H67KAJpaZ/rr3eidf+rqWsKJRLlprvC VyeNXFdQohvyKtmESyPPWDd18H7Mz7TbChgAOO6wKDw6QaTNWQiONWgzDF8m1v06w8Wz/eiCLSvB n87nHNsdMQYRvj5//cq57ZloFg+OASK0+0bLBh6bIU2u/w26+6Ejd8VR/K3LO5S6F6T6uA0XHYA7 sJFI1AhiAxrohpUwHR/vZh5NBsGM/jIRtkSHaNJxNtHO2lzIMS3ewV3fnc3z5Slnyuf+TyCT2GzE SoKLOCSQAl70kBCx/C/aAEAjmwRVipJOXR56M4FJxEHJeTN4YnN/fJUcn/V8t45+ym6G6dWhycS/ HDN3IXyVYHAmNsYvf6Zs+GZl5yayHAmGgFnLXXCSblROQTidGswPTS5fvm70sNJ/wLiSt6AWp4K1 /kMPzOIj7CApTwWYTgWSJmgKPrnAr9n8SEWyj3gfMwG2M6OlHiuawtZ4tREDLgoTW1bkhYiqURZy clN4PyjAed8Q+yC9ZGqAWMElu4TmZB3N0OhEXo3lOiW5hprZU3TA5pBn0pvtu2gavaYEkhTcWX68 mnVViX4v/IPvb3ArlQUKz4zr4pvucyKgENe5qMJeZ7WK6N+yS3qchIYOVgcRCXeYmneX+J5V3xkn 8nlHAzyI45E9/kxjdxqp9xnJvaSCcOksNZwpYtxMG2GiqRzECn2YUQCv6pxfYcYgV8aOCQm0XF5/ 6Uf0JIHhroi0KJtmL+OhuLIA26cEvujDzCSLvhrx6+f2PBvP3CplRJUQ8uTeCfm4k1KGYME/ZfOG c7YOwDU8GzAenssNAqYf0UyjqDe/pNHzELn4RViLDhZ8xbWIoAl3Pkzi+Ll0ZsmNO80/Mmdq8auG duBC7NlF9jS5LA3Wdhe1zqVsk7sfTdD51oNIyHL6Ms/2YqGj2z0/v+sFYoy5rBEWegCxVKuE+9lB 6LHLXtNKF9N3lFeaK/zdi+bX7C9ByEDJAWCWx5gkBM7a2BoBoS75SybN9ZGekKfwpwmvigIsurT+ 7Nv4GFnccHSIuZyDzH08WTqO6ymONj55tXaL4QBZorQPydx65A7eAMtEUYzFAEwDHuQvRuFVWdoT qCfyIM5uTzPyewxZmkni1wYenhVfm1Lkt7kuF8JqXBB3fUp0wWUi5RLp4BG22Qd/NGyxsFtwAqhq I0GsRMtPOZVLzuv/UgRow/xOL2IXx7kSX/bUBLuPTFKnyeEfCgaBJbJJNO3Z1KrL5mmK789RxKyY WQ++UecFapc4WWn/bwMeRg8vI6naRdBFxvdtX6/FiJ20vJjEOxGvKsslYSYXyRA8Z5eDZDR3/+VD 12r2nELuaSarKPf+jbeA0AE1SggSY9rC+woIrnlMKUPEI/WmP420o+NnSP/rHflzjzpSYFcJ+42v ZP1Jfzte5Ma1AU2rsNO6OMTyLy5eY3S+ZUIYtgjcMz55GlGSnLANiRrB4QOrZJZ7TOtqih89BEeX jP0jqHj16REWAspXARt6iMjxPLmk3V3zvvjy3Txu8QXW5ixRInXykj4sdwGK9C+KX3nEyPeEIhIN /s1MFFXhGtcTcjprydATfhWmnPgUU3Sez25rcC3VVQ4ayK62Z+ytf/BtqBZxKWLB69wP8G2A1bnr IlCzEHn+uHEOMCdevT01ewgwrMotWEch67SHlGpzHQZRbPzex9R7R9Nx62Y29t7i811Q/tLXytWG qSezhiHi/eZ7XSvDLmhcccdCYdxuFMp7NNBYEZyT0K8xREkXBchx9OfPUROiEqtD5H8OI6oUhT46 xHeHBU6KcsLWaE5141is6fn7RC+qD5vQUpYpxb9QDviDzv9iHL7yA4NsngEyaqhMk5/zKqsSMit4 QR/iJAzFxstrkbtntdQ1XQW65/75+qwxxmH9GIpzUPsM2ynj+xnxWAcxxZrG31xIKByu6s9P/eYF yGPIrcwI65kh7qN7rBMzb1ezaz8W6cV9i9j7PpdUdI8bhVj7fVx8fHMzZ10H9zop0Vk0NY1h6WJ2 njMEnKLm5dSitmLz66EF53+fpQCXJI435AhJcf7BuzkwjfMce0Onqf6hu9k8hzShhCiEkKGiojgY LUTnCVp7gVErlBMD5VFlz/TEyJyd9dnvA6lEsbZitCA/YFCXkpB75ldldRY9fA31BqtugeYnHN/z wr/spjKUy+i5MvK1lbJa1IQu8yItKt7+15NvdmUR2eZqClpQwcwlijavzjVavT7JuPHBqTZw0DED Cv5L7fIayS4kUgXVJZ+5nK7Ls4T7y1u8wO5r30MIjXBfX2pKGQjY/99uzgjn8RNhO4bMqiQYORHg I91f0xsswJGCXmgtipJvvy4EZWPyVvSurwzp0moEL6mXxUOqauN6IeNEeTQs/0/kgiD9aBe2qC3G klwvFFxBrclB7wR/Byesu7Xj+o0HZGpeann1chgjoK0FBKXCegM7q3vo6qq89d0ZXYkgJO1Favwj YEzROO7fX8PezhOjhKosB83v5L+QvJHM71uOt8KnirEr7ll6QnVEYKvIfaWkWzdaYrm9NhCqBBLM lX9Y1lFDnQsVOFsVMaV/p0vB5q9BBUoYorSjDxANpAaMQQgeC1feBfSadneZmYTdZlNa7nF3VNLr TgCVMzooLU1PL8OypLWRtNqV1++moaDJkFOIvLOmAPDQC9OvyPNLdyz1rJ1sBdVpY8I4M5BT2Jcm kYrdrxRFXajkWpJSAxZaNN/+w1z61kkR4WNq5DMgXT7fF1L6F24nisf5CSiZjG64Ewz8LVS6AWeM v1si/RJh7a2/qB0gJsoizjh6RZkV8hsxOrK+LgXcCnGOA1ehJBpI7dXf9sMPoHlXyydKUTZNeAgm MKlG+ksBafFp8A76UzfMW8fDk6bewm+9GSlLfuqnuMvjubaCLmYOBCgu3rNmqxh1Y7YCTMJ8EHes EjPjPWlENsqKTlgMcIAEwslA6PXwJEaR17JIw9C84VbzZ8/5rnYv9tCceF+0O4/NpApqhmdvNrSi crh9jWYwp+g8zrxc6pk095tFJYDROeN8oM2d4hw0UHMLu+GANmIk46HHJX+dm16qvZBQ2WtdyCrY ZFmb/MureGLnVAPOSXWa/aaHbsfhMyA07mfU0+18sW7K5M0e1KjtI3hq2l0MjBeOKWaZqxyhtLqQ yqekURcufI9PTOF+GRM3ibSq7U1k4bOVXneek6JqVN3BXSoFJ/p1kY1eut4NX7/uqIR97eCI0HfI NP1EQhNRoYYTrn3Ag2CFtQA2CaZki4JC/roXYyEZF6CGGrV13G2Ld3JFJvR5w/hebS2UU4ORA7GH pWxbxZSKI6fWyoyUEn046N+AhMKvQfzM1B5xNhNsgjibt6xq/S3jUWGsd0JgC+/RNm1l7CZ69QWG NZSWpx1pCp8unfmqpauYqvrDwFJt9W09nkSem+aaM2ZbzUGeQD6WY7ibXkgB65k7drRK533KL5ee ZR8XIJKgovVl7eBlgQ5UdwJ/+sXDytDSbBmzhnNC+pzbdGcFqWmAkSmF9y2k7kJXsHx8vqF2CmpQ L9gCA1jcnn7gxy0feC7c3CQJTpETUfUU/f7srSjqcL6n1jNkBlce707SjWY/RmrR33bOEURdEpYD ZCSZbN7CzwJITt7nDIQK0vl66II+PFvAHE1AWiQYORiVN2LtUqVDUT2HxKlm5L2mJBoTAH6vJA4f 7yeKLA3UOdLoy6uF/7eW2luv8sNDry29sinl/0peFV6xxnUUqyZRlFLKVpXTakEXrcTMjvJbiFjv cE0QWPvBnyUmWYZGtRBcSQbSqRi3Rux6VLkIdjX3QZJQfiPVRTz3HqJ6Gcj58bkn+CyJXhL+AIP3 aHDNVfjN+19zXWImnwYCMZOIvSkoColRzlr53WaXHL7A6m8M9ZHBCNQ50Q1Rzvf5YgFR+sE+NFcN E3RLhpKaRi2ZH2TG2GjjEx6kTrMlKQWEHb1blFNX/qJgNy66EHvwFlTp3Modv7Lb7WgtI1E6RUze tBqg7rhIWnfeCiNBfnjXBXDK1Fx+TXkZtFWrm6j26qlI7LZM0kodbAJVaUunPXGrCDFHyEU5mAxM kGYcjG/hk+G1hKB1L9/BCWL0Q98smwcyUvIAnxU5wVVJFqfzwCFECqWZX9MeCs3rstZu2n7pZvWI R3i2uyAO3ReisP88V3UvsURq8WW/ZVE+aAfp+OWZNvWNgtcumxFdEqqmmh3nLexoT+O0+FcxvqVE MEb1Kdg+ovQ/vORGn8xOwalwgNUEjUA+bSKSYjAZPJ7T9btCkal9p6Cwan1yLAffLUroLWu3T0bD ZPaaZKrniLIZxEqAqs+0QfgjK71rFg6t1uYkhtex9ihRnI3S0kxFH3JBEtuxx2Qfc9d5aDx4lne2 iqmRhDSqkIV89jVU2J+matuBPNd/LRlZgVx3s8qQYQ4JYAkQm98l6na3WKEGagkz5V2CVIHkSnsb gp/ioJ+APN1imH26U3Hp462+G7PFk/nRc3K0bF1P7rNp3HlfwQjHikWKfA9hJ6nUmwTABDVYpPmP 1mXmZFO2h2p6mYlJl1PgyNx2ePGoUeHYRINtoa33mIcNpwlrOv82kDGfkA99g8Cyxp5IrYjXtJ7Q h6pFoIqaRZGYnGOuxQR3gWzp0W8xpARO7tH5sJbBWzbL3xcx6JntQHLEG6bs50OZRvhegoCUIktu EXbTCmNH9DO41UsuSn2x4NgMcK5g6gdEJjnSlb+HqdHrX1bWvmPNktnQ9NknW+ywQI0RwMGmpQ9F chm+h4HKuea7buK+RDZ45FtUbeSTzUtIuZ3sBOd4rGVw9piOm/8VoL1aQ82IJdWQwnksOSsYNzPe sSPaB2g95QXxEBXFf37bmjtQtTvxZr075ASR4G4XdaOpu6B+TxG3JY8ZMV3GaPPoVyDmSUJ7hppc 6lc1na55Shbdz6vL0Xft9gomzp/lLDhGxtqejJWSw1tRzgCUenaQQGuVuUnZfkaqT9UHW2xBIaC7 76e/yzIs0qI1by/5f43vu7x0iRQEyvZmwSJfuWAI1o/2x1rl5QNNkGM4Slfe85UdWXBVCA4loKC0 8n1X4/MJ9OvPlALIZuAxPHtn0OSrp+BJR7D5erAihOlmlcAzueGk3GdL0N8JXxb1UD93CL2CeNVJ iD+H2h21/gv/+f3QM7W9T6eCcFU1jqeoXnNtEbfc/WA6eYnKHXkYcq+VkQcJIPLv2UBD8+0BjRUV j66Q8z6bGY6TC3kBsxyjf+aYOFm9Eqr7Pa9HH/1h5P85J3HzrVyz2eqaeJTADXZRoPoi5cK9H680 grUP80MAaKNZyzZs7tMxpeQV+bO+K6VJYNhs6RCc5nwTtHbGVccBtx3TlHOOlmh3lQjeOQRP1xE+ f0VtzKJ2Lg3+RLRu9/Q5dwA1iYFVVtMf7Uus0GI1XGJw9sWA6YvByUbn3S0gUmyAkaYWIumqF8ld b1kf+JHaeH5ojChCRKJ8leoy240vQCRYMSan0y4ReZGABWXD6L1cAkFbKPr+wsgnoQnYnNsCcLyv ept2ujz4vv+P4dSIBHELCLA4SPxdJU3NKTOweq2ls1kuhX5X0m4iate3MVn0aT0Toy/rER8NN8t4 SnPEDOK2PqTtv8CGzS+0i2oJrTarrW4PjQNwCUFX41SnNFK2+Ui8Glen9m0cF0t4SAaXlXf+1PqL cq31MLCY+xzY2W/5iMQsjiMwWsvRCUu4PY/wmUcmhUUznsO2eo28fcNZ5NA/8tRmXSoLk7N8bBgL DKjcm0Bv1hxuJHUpxdou3n9bQGre2N+x9Fe3wkaSCVycSbmGI5mJDs8d7Ypa0lkpNCzLdh9oeQ+4 hI8rgw6oT3fVy7paPTgubpc7GwoLIx1t+wEsudnzNdw31vjk0p/SPRwBxYM3lWUmouhAo4sP5n5B ZCgFxjVXf6xENJk3Npgxt76EJJyF3kXAg6Y221TUp7X72Xx6vNtjhQMTpPOg12uUoO6b1mSIAArd dUBQPDwgbzRloQZZf7iz2ggxazaSKqfyHQ2jMDQXp++5H2hzFVXjo4PmENLArYbnC4zwWa8jXwny YRmsIpMMHFL2l0OTP/y8jsQc62UacwM8H09KykUBBiHpbSk0Qqb4f90w3w5LVD6Hq5ywFXUoiTIM HL/nqyCHWyUGIAskooeU4JZ5pr468TXhyc/BeBITEWGmde0yJ01S4XXwlESO9aL0mkVu2iyEx4+P 2hJOahizW3yr2TEPtY1xe0XZxFsKBTsjyo823R9U4+3kknRJQSoH25BizwGkFHtDvgdt+RHrOzNU e9ONJLnp93kH8IBX+0vkmgqbVEUBgKkypJRgBRMojCY2pki5Fg7oaiRkH3s6cv6MCYru7x4dwAKB ZG5Wlmi2EcpNALVPixgmBEh7y3JPrfz4CmKPfjGMdsrLbwZXME4a5Oj0QCv0WDFbdKGQqU5D06os xi9EjHwQN8HBy9Cx5SVpVoUJOCgOdUK+Nfn0yQ3Veancg046dcyb27zTpj8bRdh3oUNuoUs9xqn3 ADc2JGmqEG7v5/tr/pyfaMlFUVvUHBUwnAnUxyk8cWfYBRaaLE4wZiNfI9v0YPV+G/svqsOUY/B3 NKzyFAM69nMG9+m/HGR5oHkHoGn6DuipwWogh5SsYrTw3zPYAByxukuOnlFfRlR3mJiz03thIDpi ywfa0G9w/0+mGvZPfAAu8QClRRC5N6jxKPPjTVi3+t1x1Hx5WUficpnLfZRK7Jqvwy5lcH9gX+Vu +mZiXK1XPFQYf3zcQojA5KediuhUpIFJGeZhVmb0jzWzKLqBYtIJcZhg40FSApWCXILGzZXJMA5V 2X61C7MtIN6zrdBnixjGCKMDcFYubQOZoMQ4RZzY6ePwwIWDoQmPpri3CvDt039PEGsnKi84d78P 5waTaExK/gFcOfbRPi3OWRLbtp2e7mDstjjD1EEjAem5CyYJkaPS/0eEFnarp7M8N8t40SrBWMXc 0YEDg/9+7dnZaxzEuHm8wUoPSnjkOOIbVr9AZiYaBdFPjBV6BSeOdrLdWcdsfDtJCXb+O9Hp33yO xtc9lVBSY5nTaXplnVoT5L/KWwf0Hkw4fqW/1KqR8EI6uBtjGpb2rSxmUliKvpGdJWs2xVAAwnCQ Bbd1lkXrHWsJQ1fZuAVODfMjPnyUxhI0lJC00WeVpYNbEVpKNpvp5N+mZgmdr/K8V4MuLu30yBBS 77RqvagPqEr7T1GGyXRyRZFk/WQ3G51q1c/Zu7/Ay/qzPMfwtfi0GeVK6tAUOosAEMWGk7BXh52S yFDI7ncmDBR53lQQsCBBmQCddbz4DLNn6cb8lxmokp0PUWs0OeZwMBYlZp0phT5+DlDUiHHqHxMA +OCc0D/ZWpMw1aKmEHRNDGBsiWRWAyBkrKSCbhW+Tf5tcs9Emka6UkNIC6Gopj0fIqrKEHm2LXuG 2QJkSBiI/yXhKWy79FPfFXsZ6wW5ehEaVP8UJAC0niW1zGjuuUA2hmLmrXD+I2LaK0cGRRhk5aSh sNCTTG2f7GUeqRF6Gz2AXlDPZll33jLzLtJhJwHpX39miCmQGCCW6aSDB4w3sK18PUbSnk6DJbZG uXIetD7dYdvfOYWgQ2xrC9Xpp0zusWaiZkDys5SU/yLq3rDn/lGsaCTV7U3EVf54Q2qwvZmEgQ3w 8adgmfEkS0VbmblsU1I7lmB2yf0mV/j2SH3BZbq033NVy7lipHhNlpVubCcPhOSdEUZeHdfphPgL +2Ul/Emhx8WwIKndOz1fMp3xl10E7QskVdKTfF2BCEljbjx794MKo6bhbjatBfS/TTSC56gFAIiO 4mbsatsV3jwbZBEYPvluCE6uPry2RI7NzIH25HrmlF3wNDMoCcrY908rjD9nJ9W/cvyUuUwdSVNR yQ1hqUr/gfVj8HSo0W4CG85UItL9x9/oDKiu75FwuVhN8srhfd06raA2dH7BjS2TvqGHVkeX8FLT Vtm5dZ0oWI3XNHkEw7t78H6qXkRZbF+xDZiXN1BwRZi+JsXieiQlC5E5AxBTMBXe545jiqZfl8iO ZMEEuXpAH2LG73oMhnQyChlZedqv5vqDT7s8RFleikb76E8YcizyCKT+287GJSUUhK1XhTZF9R0u SJjyYPOuVts3wHysfWdaWbaa0GnkEmXfIOjAKxFP4KxY69bqz4Xri9VQnZXMab4lx+b9l+1VoIPV DL68jIZQFmmTIiFEG22lUoGWWn4eHczlMsVs46COZBVfBrh22AcQ1zI5ZQqPnlUf8Ty0qCvAtj1V aUgKQDIkQLkSJoy53nvaEyjkq+Hm4Zq5peefhZwk2EjiUx7wL81i4968sxGOtQK0QTBxebVGhQKc THfYlhqNhYf9mw5UXFn1UhSCRoAnU/IIU/CYhcDkYfhSFDIkwEvKSygVM0sOwtVMuy0VRjKX1A+J dDB0MEnsdPC0MUoJrOdx1ik4L4c9wjeeSJr7yciaKvZGy2s2PGsEEZ31SHbWBbLJwOylsilMmrgJ gGC3uTx78bHqS+N5ON3pu9O1obtzDjhiauwCtrZFLjCkWStp0v9fAnUHrhUdN4plCBFUKPsSkpub Z25Ww0VErdLwIdtb5kkyG0HTCosrDDZCom3sA7zMZrtclYh4NlzrvovexdcDbesWzE65asdq5NXL baZOoTwloe90Vj8y5/PKq4E+M6SGq23xdEdWvmgwoarntaGfhcWh9nPopGrpJKykh0wkuR3FTZfN jCKQ/KHxx+A4xGApUgPuoQPW0A34EINRSnypA45d6t0xlrcBmpvj44W4/vx+DwIgbgzS3qWlROf9 vdtL/pvarsAI0+XWJ5XOfnTBUWUKA08HAPQ5sLyH8jcOHtHXSfyEg/FPavqyJ+IIoLkCZ9bArms3 OVtjEJX4Me9rjGdPFkzZroNYTAU5Oj6od9d6+9zGdIRe5dVg3iQODGRi2jWB/gMMv5RRPqmJCOWH PE63FXxsbq0fVrcV/rEljLC7euURA93/UZ5AYuElAi2uP772VYXxJpQ6GgOuFNkCoxzMZuHwIHRQ xJwERCfkPaEbTiwxbB/7EDbeUluwbPLXmAzdSVhEpu0MEtHKOX03unC0OsysFhTsAQRyLXv1qY2i 8DSXcO1OiYXWR8ucGbAXkb0jPCgBpkIhzmpFl5WnpjetNigI2Z13VbONsCaMCaIJn6wPjywFuKUs jkgyPgo9hk9XXaMNCUuIAkf2gPPQ9/lL92ViIMPgkB1ZUramOoCTQn9oS4I7c3i+yzaxwKv0P38E 4+z0zqg1A2zDPZwqtk/1VPjuB9golZUpsrAVmSdllQgK7xT5yvTpG/hSve23AE/EgYNqHMOB8I6y bJ19LFr/Ozz0UEe73fUOndpgxOAsbupo1oKEhAxEeMkCg1x7W1LzcZdW+rzj7y8DZ+9VMo8sNYL3 Akey6eSZ78nV+0f5pl6Go032P54Q+rJVKPuIyajpWK0yOXsrTrwIE9H0hwQ2qjrB+Y2FymP6xdLW M2tCJePGhQjueSy7KACHm3RjMk23JuLl2rTXMR8h/Ppc1fOXkTgfu1qnEHJx55m9bccPCQXfnCXe Q8mo5jL62nw1qPxPwkDLcos/oGTBkKbX/GgsGKFb3x3LhPlhZhN7Q3H1+stlSMisp5pVGmWZkExd Hp/Ks1xeyP8elQT61zO3m+v9w/MTJrxAtpW1/w9bsAQ5NISGpOz54nU27w7T1Lc3JNRYl8DJC3U1 WYnv9pexDKN1uP6GOKsXuTwX7YgJkKi/t8os8Nf1OOhUL6rpvQpHmB64/k1fzpRPvdbVnLCy/msy LTzte21FhowJgSOqMbQf05MIjo6nu7AwOw2n3NyJkcRMivUt3aeIEROh78R4UhJ7jbRKd5yyuMfD ABtUHgRe5MY0EnEsopz+MjLN/4XKAi8CXIB2l4EF0M4ZyASfLZ4bLNpAVMNTjgUf+XPWdfLcgK/v AFmwTkQuFTFsCA6xLHhB3GbxfI6wckNHwcKGsb+AGhrN/08AzzfadoqigCQtrSG9H5VdcO/dyHeN U98/oIRA8lhnmGrcgoQ/xyhTBEzOVX31CDWxq3TLXjDQejgHWjWt4P1mWoTRMM0RP9gnXk2wRjIG xKUT/OV1NGNIjrFKMNTMu38ogQvsYbMgUQvsQb/Z5IabRhdtkwWSq8vXT7wOytJ/EtttGWo4YP/t 9Sn9Fe/JcLv4OG5YJ3sp58tp6GL2KlKL2RyD+ji8oZ87t5VCUGmBOS8eqjrgiAHhK5X8XUp/78DB 8TYNobIn61oIwSIsXCvz+0Jn7eVd2Oa8M0smojkNsZiR2G8Ww6cTctUluDrFEwfpE3bPQI36TIHH GdzF3VqHpPNdW7PG94BLmd9sujVTuPZAMdcOzK0lopSmI/Apz6yRd+V1CgNyQwidHa6j+pGojYtc go2nD/v3ceTez/SCkBfZUKhXcsbxaS8Uur5hzapu4whzNPq7sdAiShLMPXMe3u9gXTiNKnP+bLmb McqsctVTVrWGfKfMN8y2MPTkBoQKUYOLDbh4F0i5MjEpSVhvOtvI6dGTkMP1qjDHZ0BdyEW4nhhK ghTs0ZHcmXfQFPI5M5iGjb+mGfIivbKFodUV+4BIxiRvNXUv4D6XrMOdivox1H0JxwEP2cIZQhNQ GBFmjZbJK0uw+enfEJKzi5DPkvsRFj4DZELvpKhk/49HsWgark9WbCtaOIOy9uuZ3kL4067bt9wl GqZNGYFy+QWCKwpn7Wmnh13/STCiy0uTuEGVVNRQEMhJCZzQODuaPjg5xreE90t4wsFRCuv++JlD TJ+uC8slmqdm9bpB1OGbFw6BcsGiZqAUBBVc23oaAd4k2s8Tx6e6OpSu2FejgbLnGnUEPZWJAE5s 0dM8TJcm1ol7N7QN1X8PbA5OcTdXXWi4rR401e2kbBPhhnvLUioKtGTau28ZhY4mHctFjoshZehV Qni3rC+4qJWWvdlmRr7oZMgPp8BdkVxoDnNb+2VQqKLILQDZNxvdYF1uLuOXnatjjeeDk35Q9Ipj Gnr4G2/YPL/HOHaNoaefw7JYmoDVh/JP0P+QXf8ePkG9iOxQqhywH95BGMS8TO+EOtL0jLUmY3kq r1rsdEdhQ0evb9JgCxduedpJJ9/CnM/nRgC4ZfosbkM7EumpZnV/WtsQQRcZbB4pHqSDIJJ37L4D Cv9/Qiu1KTolvBvSRP5YDys6WKfHhs61H+mgvhLCCEMPpqIOj0ZVgQVBR628c5p8KgMPPwrjiO44 GTzPuIs5gn/+EK8S5KgDIZ8vYdLP89+eCblGr1Jt96uxiGWEViYz3uu9wiewU1nYEFDYc9cOnH8F PbjaN7pFIunECqSOz3iWU6GlsquGBwsu6qBA2SmcRxCnQAd7E9ED+8+7wiVuOmoIGbrgsIdCMNnH +NBg4Ml9TvqKPzphhTtsrFZXUusXHHAJOB6eqCpkpbAbRPkIbKwKahIDRuRyWPS9BCR4ZhHZSPza ElLjXmnjS/9I9QJKU5DoGbm++AZbP/agC6rq2q5lzZ6Of4sAivFUHhWLBoqAZch3TOp9v0LLlEXF UsL7q8iDQfVrG/P3Sr/yW1EwpWx0nhmT3ee0u6D865k9R/CjPKjwRnF0at3gW78lxUI99F+QXKkj D4RSeMHgWb0n3U9LmwXCVeT9d9nxEoyqZ/X93bECWjrvxyJ3ClsSTJf12yzaBnnGNjJjTvhb+MAi 9m1oD7gvYLFpSg+Vt9L2dExxhZWhwphog5jnqBIVOp8ktroNPMwaAfLpcqsUy2dbRWNU0Q/5mK2r EvUoyy13fTFeMHfMZ3K2msRyC3PtSCNuRKvAZHs4dgVL5fI/vAUnDatCTAStjYsDkEwKer1mG/VJ kRCUKm4urUqTkFcSfZp10yadw5DpTk5dYlU4JENovHNEPycw1ESUYE/0Uj/QtxTYPRl707EHQo8i 2uK3PcXqg4/WenSbInu/q2TFAfJ4zlDhK4p+pGVzjCdiAkXwShvaUochCEaNG/kUkC+rcvMDiRsf sKB6O/PeuxevEoQwGLtAWvjohKoqwsX5s9zwfDo2AleNwHm+bjkK1ljSsJhUB8tavAfMWZ7p8Fut v5AnQgeLPgDwcEpmt+kdsK5D0ewDUPgfHTzpNhFYTS9dVbEgZs7jPxXsx/iDqO4JzZ5gNLZjuc+c xByBNo2DFcgBTRKMonNAMJfGLGJzDAi2Mdm3RGAcj+a1SsNWWVtZF8E98kPtCcNbVvOT+6k/m2u3 0wU6vEq9JlY7LurFTptRAIqo/TPTNjEYcWnDmU5sGICoxXxyhBk8zCTrSzLmM0pJmWdHOzdnI7aF TLcH0BMJWfsPc3EQEQZGw3sgm9cBbLBeC1xGY12KcUt2+3tR4r2Hdq66BAKA/SfBKyWyGI22UYMX vrsTFpnlLnmTdJNklA6Cu65+LlEG3n+AQkK31F6MPau9NpSRgxcfwQ+aTvSzE0ihEPimSMOITWgk Si3izvPKPfkl6Qs84AHMDZMzAcql6/w5igpqiDcoo6v81v6mhH9txMF4wJjjfPcDH+amGPp4ep/K s7thMetARIaAV/TDRPmi7F77kyuLZp05sY7D2FabVyiY/CCqrlTfpIfCRL4L8Tyuu38j9TqhhhSG EGGtiOmUiujEKR3jVp3wXGeNlcz2XZ5aad78ZtNmQrFtcz8w3tSyePQx4cUUi0/Ct7W4dgrouUdc 1UY2sv+3FUKvUMwjFYKEYvkQD32i7letrsq9qRwaIw/zA0vtzlF4qsAZZsygGH+V/VSyvi0ZJCyV a6lkP6NeNmHiAbbvSIL4Bon4Vg6mg7jxu0KorMIX/qND6Y0g3aWSzhZzebes3PfZkYLkjfRPmQhJ iti/qCjyb8dQtES+iaWFQx+m1zbVgvroV5bnEF1JCXm75yMqNi3RN5ROJcqfX65Qvtkwrhi5nRAt TKCbz9x7pFrdd2q8qvB55G0IsSwPuhS4LC1VcuE/b7GQi4WNh4y11vac3HFnNHlK3u68xs+5B8j5 ioppzS0L3RLJU+cLE1YutYY+ghbF5QGQquYc2p8DkIc3Au23s+mSljB0osKBDvwP1CWHqHdbC5Xi w+aryMssMOl7dgHC5Xenf4YNo2+7MEULp83zD5LKQZdbJ5msOLLSeLULFTfnHAP+w1OKD4U1Rnh2 35cx0UmjDZUkqBMDyXmMlWquTgKLwvTBii3yX9BT2mHo2om0FPgvf+YsDLZSUxQnr9lDtbjUSOzg j2veMjrvCsei2ot9qIG0VzUL/7tOfetUBkhq5vysahS79yx8J/GwuIKgXp8eev+idjUtcb2HUbQS W4YCDurZlCrpzF1NcVwNaZJ7OAlXbXk+Z0pbYu8y83XBI8Ab95pvTO5Bocepn0VIl86lwHxICmbn x7vaUz1oX2FJOibTqPKYS8jn8SNI/wnSNftHxcTyR6ausKchbl3qH7VxMRDJFc2ARUgNyZOH4g9G wX3o04zzsVoIB6+0tkM8Ld28ZhjD/bwTvUdTvXN0V64gN5tfYOrlBoTke27WmCiqMoA9rNqzyHDn zkfNj2ONHASLoxbexzmLLgfrmLjWqemw5D0qFQKnijJHVGrNLdPTE56wTVjHnjkWePXljkvPBYON pLsWkfkWoGTjTW0uOQBwhks4D9Mn6SRiB6cMrrO51huUib9lkcyeVsEHxmIYNLRz6QeC3jZeWQiv 3p8wuQCvB+o56KghweBob0OXTbJAywcmYnH+5keQbGK0BDOItzYN89Vmd3U8TPpsFDct1genFNsV 5dacZUxA8eYU4xRAIyeaBzWkiyXsTuKLhsMZhoF/IIg5eTU+nJ8iB5pvSSSGkaBvs2LEVIwnSWZn Z+cpoeISq+STUjpCB8EQyYyoopyca3iX8PG5KcgIXHW7VhaeOPI3q018c3Rzzx4n1M6oG7XXMAPe TNDUu1dDzCKX2Ky9/czQBJSIN9AqsvWDutNtFulQfUiP+SsXbQoZwm1G1zFzOfFiYfyR2lnCrAJE Koo//g5VWBc/BuY6dgL2qUs71pdSFXqUTsN8AMKzq6itXvPDh8b8op0ewpt8U6giXn1KQvz36ncM RE8EWcwjVxpu0Mm9tyvhxlNguY5IEqhAKNitl4sOW0wjdFiEDb/FouN/ATGVjuaiQwBmh80DJmVa 9xbx/WCecSkuqPLP5VQi3miuU+bODtnnfjBJbIaOyFbYfnQOhj2McIJXP2F/TdxNRRQtFzRP0RAZ emK5CiYCCLikhkvohRN4laZKXin8jlfp0ruNhs2U6RB8mgrS40B17EFA7JlFjo0K0QClZ6JAy46G QDP9Zto0ykUSlgfOXVN1Czn036YWh/I85pQHgZ444BInhSXu+MK956Bu6EkH50TbNwFv1O5i+l98 YBWN2CqUZiLDcAE8s3dJqf94u0QSyxavdAdem9LMRufwAudGpTSe5b9fPJBHzs9i8SDibmU4Xiuu 1kYzRLG2P5dLccdK7E7R2tyl6w8ffLP1HGQd4n8YWDTp0CH+prNGKd89UKNbAgKYrOV3hhT6sFTZ rgdmRX9/ywa/gwn0arQsscxCwbQ8ToxNvIN5OEUZywimZwT1MmBulcWN+moPOyvWOqecAV9Gf/lr xILZvf6V4v84+cya/yul4u9aGsGZNwL9aOIWrAXZbijvxLcUoR6Zdqfcwrm6YZ/9zbudwbXKix2l qhq9H28ynwptd63GBCG0FqopZMJWOoYh109lv3TC7IRcx4tmsS1JL3gqKZh/xmbCV2jxMOohISsm 1M9LREHN34JWhj+m8FYJFD8dT5NrhTiYah6+fv7D4V81Dsuo56GFKvQ5HMmfEe3rHw0H7Ii9GFtD zdp26sGfdm/9TfSZ8Eo1V+gUpnTRAmtL8CG3FjxJE9V47G8F1TUBQcL260j+KIRhCg36fzyYATlz gU+ZXqwvtFdtt2HGcwbZ3zYrjy0UhOEem4x3ub5SMLzQ7rDcuU8jrgWSfxzSCpt5GSzxEWb+y1lv YhOQNPVlvq6cZU8NB/WuSLuOrx83TYNS3UArorWxHvdt1dQOiaNz/Sw7gCcGIWdFHT52M0YHXrW/ QXEWHzvJDujln6YkqPO+h2G9dCaiC6Hq2+NjZ4FH9s2n6rKXt+ESRMvr7siHSxbajkf4BZtpWFcX 01qNNIFssc6NlA4R3qxkKYbbhOSLp6O7QvMVh5zxai3CFWMTsRq7BW974YaVaEGOJz8u2a/xRMpD J5lKurloodz1f9K3doMIG6zWdhsesfnhWYGuz7cmTM4/GK3NqTir+KOt70FD5PUv/TEJnIdM4m9n O80BGqJtXy3KlS/MX3isHPouUhYPOftLeRPwhZi12ywh3BqjQMpULbsiuGXGf+/z9WBSQkCVSyue UOfNEofK4+0+lC0EwyaPebfLKlkoZG3gi4Z0AkbRAxp9o0w3pgH2cFo+XZC6J0M2MjdTPqxNcpYq zLhZGYeX4S0iSueI10z6RPObeTgeGaRPN3/434Lmok/ndB3xAMXyVVmXAGSyxSg/qOqgiyzYICTb AXjvlmewYDzClOIbs031YUAW1pCWL/SB3nPY7b4pxYEi+M7Im6Xx/wJypy98rT8LqygfG+XL1LZX n1PPOLkudrKMzIcBA5y/FXH+686UOeSjaEjB8EWSa/g8Gla27GanuhPunv4YggPnnqgqoKhu+vVz mNMdF5Gz4+GA9Pymk8QqDd5Hk/WQTo221/lDzQOhzuE9JOSFXvHPy2KKiFP0+naulvKrbN8Xvj41 4EBVv9lE40VKyMMYdbh4OJDpU6Ie/5ZLQkxqvh1us4QmgPSIawxwINQYmxK7/L4n06MlBxcqSMBT IGAzbHoKZzvzLbdcVnDhZ0VCmNAVT+fXXWKzgm8LxRHcPOeVoBoyhoEeptbpoeqlaSpugHZ/J09R bKLFEXslx78sywjyS01C/cp/0COidBip54lxhv4oaBzC90oXpNf+cz2f76Ty+JIahEoA561JGkFb DSzSLH47jDvnXYaIz9eAdU+M7HZOwlVtdmXr0KTs7rTMpAs9Thb26/zuRrSD9XB+zH9UOxHmDZ/5 px0peqx5Zub9fk+lCEJ3qLQx5oIqd+7vR2cA5o7h/VbO71dSHz0vykkYpK2Qm+zcMqWLL8ZJi2+T vOvOSAynVFl1FvaAb47tGv5rhMhLRfrG27rRdxi9pvLHZ020x6fNiS0C1OT2+ExXH0onwkNpEZc5 7IISr1jrUlRd0DLg2wi87Atqza27fymnct0gLovyTb4doSOGdPPl3YSvBou30kdl3VkfbQq87eAX dqTsPRn3qki0E7rG3OhhnYSOK2YaG5o1PllNkUqV8fVU9zbd4M1hqhUeaSkzZIr9gR33B3fA5COc GLxZZuOjDaGqmGRTvYb0mde2pqQSXQq4lWsMJ4VKqCuO8yB17TEp1Mtee879F9Y54FY98PdaRo1f dt/Bdhq9yKccQnywo/YrPDV7YVSe29omvmwDmRti7UFhokIy8OEgBAQhnzyscyeeAZ3PGHgwb8o6 6fnlMEMhR2tJRvDpADOPUp9VMjYwIAKYdPdJyoZ56E7eftdjPJJ0xOOc0z6ttelowMxkX3iK1+SI 6tkpahEXCBo6JBNR9nueF+DNwPXBp6ApFEMmFpNFb0QPYhmudtB5seomSZuIEi72Ve15aRuG2aqy eDGUl6VM94v6fTpXZvXCt6uEGKgJmYD1hz7XlAp4F7K52tm3xsNRmb8/cVE2e3T4zBSOgo0kh20y SPnH2O7Ogs8T+gFzWUtzqiuQ/jGM6piC3pdDyPtwiU2pXhLuMrAxKRAwYgfmiq9kslI2dtanUfwx MRt66FZOK1SH+Z0IoIHPI8gnFEsR+Nvt7EB6iOEfIumIUdM+UsrDtbUGz82C528mUgr5Bh/itepw dTN77gQ6U3JuIo0uaBEY/WKz5HnkpiHjkRAldAhf/zAf4E7keG7TxStSWfKUuJoZOFti+VmViCnE n09UsuvxwZI1cN9J5mpmLnqRDKJUmEHYrUWUPyG9JAxau+Y5++xmt7bdom9rqCmUWuFbpKbRzBxV vf0xUY8IED/3BwBeefv+jIlxHcywW/IFl1vJaciP0sqjeWC0/jmaUqE/LCEsOaSTVjsdfVAkmrvR T9ZfBUaZI+IlKBpAeZ1z/W3yIhYL/RxXq0fjOL6XLxHRYjsDi6KQIISPRIR+3zyxHg/mIArK2LZC SMjWRy+DdyCLcZ/gC2f/NEJA6m8Am80/y7MlMuuglKXLLZG66AeoiPgE2X7mZ1gqGD+5TWFEoLPw 28xY8VPfES3sKuizfrRTZnJ/jeD3wW2agy8aJIa3jYGVQK+5Ss/+v8mdddV97NpNC5wq5cYOTQAA E6H80iMYVHtuffkhLMf0aIYRVF76XXK2eoSvudU2TfTNmbpd+Tnz6bBdZ4oKTQss/eG76MAize17 8zeCG3KzwnJNT+YdtDR2DzD6qI85p2PdfLMvxNlzKEgEj8GOoHwlt9covUvDSEb+K7LabqnwhCci QzVLmN+c0I33AfrXA/9Gr9kHLo3Yo8CxU5URdZPJOMJy072nWOndkiZ7D11uRhDsHYEfyxu92kA7 VWLGxY8U+a3a3fKDzg3gBQnkxrGBAtFbcBsZJlzQvxMaxcqYSYj5RQwhXL+nJN8RYAgl8rMFjHqZ IZ43qvoRh6SZUy6yvtwUb3ahnSaorVcXxhPOGyVveTlovO4yP2lC3bvKlTQzQ00edfwUXQwQ83vZ ZLbzM3pjT4Joe7FuUbQsJ/GCdMrB/VDAIOMFixloa/cBQXvQ2sPpqIUbayR3v6B1vVhzdBC8bJdo OPcXpAuPN4vlrnPXr3+LXAkbMDuLzxAQ6ZVNc4hxx6SEYaeCx2kcwtALjrQAKvsAaQDzlCgiwCw9 xXdB76KsH8KMfayKy/LiGlFSsK2ok+6bawOBfACkJGcjN4F5sycFyU0qBShaVlsp+nGL/mWOCdjC cjjgg2mkDXhgxZmPyQj/INsIWBt83cHrlkdidg/tfR0c5lBQvv4HoxRAncIeGKS99NqlLwOz1Ml5 7Urdx8gF3/YtP6evCkKwPo9xLIWK6wAkPPr/V/xAWpKEcyLoRe+4I58TtvesSYW2BRCh3JtGv+Wn bRERCNXZ5BwvxXhJDMdtjfqwPBTzMTKpl5+Xes90UszWtbabKD0hg2nB7QYIghCxbkguiWA91okR nYHmDQdroTfKDqPl+TxxHKfjgVpJgXXYQQaxB9xyy2bmbds0aEgC/X2p7R/6xAQrsgnfzc+gn5DU 43M53NwT5E1P04LkT7tt9qihnl0OjdRtzjXuRgF7yB7yHUMclnGjNaqt/TgTYNFanetF8TY100k2 fb14exON5rmVzmV6dn+Lbrn8kVM/RWGiqAhjeEhGoFHS6hfIQfqy2ZbG3OQbxbz7t7Yj2EzzsSJD 1/LjqkRntLO0DDBUKFIVuzJxg9rs9Zs/qmqu8+LRu5ju4Ojf5lw468yAnvo/u9to6E1/m3960RfH BNFrxMhN4I/ShAm0fh9H/xLUNAWqRVeNmW2nzoZjFZPqphMH4d7TWMFAX5n9VONymIYncnWQ2Znw QPAQOonS2VILLRAKe2GE/PHrtcSeD2ugicusDy6ukGLjNKCmwg0kUjE6vAxJaU/SSEfIS5BkmRir xQloJzrrY55k4B+x+mrrqLO41OLomHtRfl2Xjnjg4y881qAjQmqQZmGhXv6bmNhO8upskL4dEe11 dvlQlb6EDgZPSgTsgMZsKecrt84oPZAieh9pOpwREVSebfX5+X7IC/+/I3v4dAR78YEB1OXRzTcR C+WzHJ8dMRY4BWae5qVQayIfBfnPPIH4G2ohmX229zov54+mqukau3C5ImCMjCz+iOi1Kodm3BbU WiZVqYe5cyg5sMCHBsq1aj4Owmaz/3edZp72HIrF0+3VcaSr+6fzHCPLDtJpayPE2LYLl6rDBrXj d25I97y8VyWYOvu6o90ayxiVIVTnwtFIo9YgQQ23A14NN4PmrjHp6rvSylwRAcg1mOR4apnzRqYr 1aQliiLss8SDpbFo04t9+FCJeAxXTwNLcJNOoAiyBQfpp0FvVRsDskGRbzg0IXOLoLgVPXVgx8JF 1SPi1Ap3AJWypi8LriZMty0Msy+DVIT1JPSxcSD2Fb7k4Uy4bdmS0C3/QIkVTVTq76+cJto4k3Em 75ELn5eJTNNa07fd4sfCP2fB0xjdQPWZwetM3E/oHuigUyn4j/Vy72XDkKE9rAUF5MuApTajx3KB kWGCu/HuilKE+Ehegx7eIVE5vYAoXrkKxBcYhRanbwXXzVBHx9zxNLFtxWgEzSfchddlQXE1PqaG 0olEC3Me6P+3n4P/rNcUskq6iQu+QgRluSBSKWtvwhQhyYjuoSUcxdHqlQhEJWtPF/NVDJPD6Qm8 TwPhJmCVAzFDhh3PZujEeePpJuc+UD/JfS5mSh5Y6GaoheIo+TxfbbvIsxboLSw7j4bqynzF0AQf 1l5HYi92peORkKhCIcNPGX3HTLG/5hcvSSxq8PSC18VJflvHoUr3UEJZFf96PKA2r+ooYelwpSa5 NNx6u9ch/p1UpC3v3PpaExbMDX/Crc2nS+KQ4SLC3TLhPEk/obJC+RsaK6aGb4DZ6ATjktKOaeJB 6+VVikf7QESODf2PDCArwz4fe6riAb6D1g0kg0gF5UTZnJo4d+ToBZrwT20+TkK0J0tPEzrd8O7u Vg7QZbezbVX2VqF92xmRXcb2QcSjZKGiCAZvQwiL/9A1wHMBuZFTuoVs93HZBgew6a/+wrVWh8kc 2odxUJuf6skyAyrW1QQFS5ccQevJ5CX2WZ8GusvJUt5DDpqJbHT5LzZAUj8tWUHgx5xmIW9eJbCl 97+VfvyB0UDPZi4IVSFD+MpHaFAZOOhGhCH4gvnozbx3audxxyXsXn2FfvwyDelxNlXzV3mYacdZ cVkI8h1qrr2ez4Kvi6VBXimt/QVN27jDIVlijbk9rkYWmu8M5KJxoISIS+OszLidU1B3GcnkEgjx wlpP3hRJMom7OFlz3uUhJuKgIb5+VPnbfrNzpzHmQJCeTt9T6C2orqC2Nu75Vug6KxadFuHCTpi5 6abRmaz0d/cJUAoC5RwrglkFF4zhv3Ke5NfQCwSxlWdG8fn8U0Y0RpA8mD4XgPLTwlv/S1PPgjpE CFpjWf8zLkhtcaEdeehty9bZL1rewdYbx1ZgdmNb0UVrHx2kKTVIsAhuoTjftbUH5agGHg9/pLaJ KqkCAs/VOxj2NqIV/kqvsxeBBElwuKG30VbR/yIjif6fEbEhWyBj8ds3cSkjpKnEP3ie99+kL4WZ iAKR2Q0cmkQpnbOwL1wIuidD1/nMKMprLRXGwgmZa8thnpPtDpDwHg70MhJWNAkffBAMqhmSnafS t7bSOKgzvNUM948AusqUl5UFDZboc0xoqp8u4vXdcGNiwOaMqDJ+MnYXzx4Tlf4+zkZqhYr6RRP1 +8+XtGiyy4zddwJdnD8TYSGOlaNmAGwaUFDs4uDz5kGcXnFLiQx7W2x1SsR7wge8x66ScdvZC4vh RlpepYQlBzXzDgPVpR3ksXWlAFb4mQb0GS2upiuALjHA11I7OeBUb4xDFqqFH48s397krIr44CS0 lVIemRaIG5j2CaeMOeI3Nh6hQtBFmZcyfKWFAigoB9gZCwL/JlYX6E2X8pq5q0qLW1orFw1H2Maq nVMFOyJ84nUzh7P1JhBRj3ftvwneJUc7+ZBDViHD0Pzdkjopsy9lMvfobBgFJZ/3jx7iVtg9Crl9 NziIdatVleZnrfkhKW4sUK6Cs6t6aV8jBY2JyTsFBQ8NqpujyLzxpjCSXq8274rtptoeU7z9sL5w Itc+Yi9CFkPYR2VQbsgl3KfDn9YhKLSFUIW0Zc9VkHFJctHySglYBpatwPubZhs4R8OxGav54pD8 i2WD/tgJnGbSHuUkvxJ5Qwdmj8G1q8QAvYfQbBcGiZ/xaKX0sC+60ibSlY+Dr8c3El9VyL6UlwrK PGScietKUJeap44KGcuCDsHWVbc2YI5N707h2jwY0J95MCgnMHTR19qWNp8XQyO5YWwVcZPkp9Ws uYZi1ESQCzMwkerF+9rjOKpKl8WMKrWTHenLOaXW4cbeMystk4O21e9RNjifz1+omUnCDDg/0vF/ 2zJK1WwoP4PHulXpeMzEAdAaN3eYwjhQvFcCyxUqM2tzJ4zfUc+D7uFKSvxLDX4asXZqOXbaF0NZ m4Nm89tASZvqkVDVD0eeAH81ia0OCu6o9rk0Tec2jgAhB9NF8fUCnfFe4lSVk5dKB4b7wvDUDoj0 GLcxziE2pnajiMvbsb9ASzucX9MxeH932WyTHtXD5R2yDNO2dHKoYfrYwWfXBm+54tOE51qvYHZz by44wk9E0isyJZnYSHomvjVIhV9Xm3jUVw1CQ4BGC1+7JKdjdh4kVeB8zDCQd6+Yk6AUzclJm/xN PlfWsjPjv2i/G/tvh6AivS1z9bFpw7wZCo0D0trYOFpcVOH32KvngGUlQmYnHLkPJi3g1X0v8LJY REx4L0b/QnUxtRqhssZhn9u/DLt9rTF44oT4ce7RZOTHIo5EHGf6X9h3tPZh732UuSFv9lOsdk1P tP/g0n6Z2eJAz5+GM3hX8Y5d7tWd3kEvHvCERWA5U7DiHwHgUk0oK2JdOdPEpJ9b5v/4jRmJJ7VV asNSPGq0/jOtT1GFO5YoNQZz9vWm4MkuQOCuLrA+HkH/2RY/930IXmqSOHca8Z1MZCn+sdl4SUvE 4otlXFeLg2TSpUMRCW48Ck5IsMg+76kK2s1XGWsBAonToUsIFyQHwm0zOM7qsY6n4lMgP6J4PAtm IglCykNGIJ4umQfGGGUzjho2UMylqSEVTEBDxhgKOVqdosEkLuWIWRPVPTzg+1I0J1eRGf0pll1o ptIkXpHvjuklFsn+l9+A1Nyc/lWq7LNK3ToYsXbsfXNfziBcQqSKU0qfF6CnENAyCV8u6t1IXRbt vcTd5KEONRQwVY3L7vWJMuenAGh7tf0j0WE20sBgqtGtlOtUCSwaBf5TYYp0JqPZkUE5RUxCLcni j1Bz+kJ1hHD1iMbhPX2XaMLTcTVxqx5v2MI3bKoujwOZrPkdR5e22o2moqWqM+BNCt18s6G6acn7 jh7otF0CS4atwIVjhcBSsxPSdE/e9X864jU76awP6MYVAepsVd0MtyvVp9u4uWkBr06u9jRL81ZQ 1uIFzTbL/t21qKSS1dws0UXm6uXpt8Xz3lhQRA4L6q5ETEpBihPqcdJpkeF1bmw5sWAADSW+qwhQ t686DCXMTKqFt5JwK+ktzDNPYTLyFIW2PrqXF4e33AfwR1HEL0v5dTykpMrdTKEOanq7cKhcAY/1 F9EoYzyvYQdG/fhxJyv1oE/WsM5H3yDcEzzdDVDL1DAPyfkz1wz85tflThbBlxOWP2BrzHtv/IBq U5PhXzgWjGkKXf/mL2jhNmh7q1y8qpx01J7XHD/ezUlHDeXX6BQtYKXmqHxT4TuMowoBUt9/uRXx lNMjz/SYTv0ydme9LRwj5r9rPNqSskTX1zG5+D7JwWAKxMYfhH3Pym5LIB8bE47WvG2IuGqfq20Y b5z57lI9sh1TV4rkxVj1R1pg+5SbCMSc1/KycHS1mXnesENs5djSAl7/r95jhC2k6nypSusI874n F7OBnG4BE4ggJDT78umHUa5oPJpiMP9wjfMavlbF53qR8DAJyyeWV0pSaUZWh51wN+ykxmRcRD04 AZcGQK4j2tUsJmU0CJq8drc5ERtR6ZZ6QyYMv0GpZ1tKk2+YUNH7+ev7R9t+Sd97hvKtXlVYou5p jjcOzYVWbLB5F3z26pxav3c5jA1LCzxfZKo3Stju7DNBkalIc5+1GuBxE9xoRE7LJoo3OWsNRb3E Ba20cZP3NRhXN4BsuLVGuxbTj+mODlubBgXFrw86MefK4qOUDuYSbvfYhkqY8Ila+31RT07JYqaI RC1cAAy/CsfHXZLJO9tFtWfNiMQGiJXic8htyB842FBvQntHusIBhUoKfp6HJ5tL7l8+f7mWcQgd 7R655ui7guIXaahSkn1dnf97H57Gpw53usJ7URpONrlEiUq77DeWpOrTbmSyotuyEQ9ThhCPmdao ldjbU9D2wxTpp3S6ClJuLUgJdHpe0609+N4VnAyXrzD9uPyb/nk5KXXNgXkxFAIQTDRehXU7l9m+ UCWsyq5IMLAY2IoDlTgBkleONehlrT7rjeYyo0dnFCuPG5yR23EFCsqip76PHDpJS/eVS2TOt2/W L7NOPQ2i3DlHDnSQdAgSztP5uOrpM/LycDgJCwMN3RbO3Cnbk2Bfq/30nbo197MOphJOasuWhyx1 Y1/J41zpSp4+7MNRyH8YXjeGOiC3850SJ4u2r8Q365Whyct2CLKCQkI7C0TjztbiDhrOVP88+RUr zSsbCJ0DddBkvr0cHwHSIjl39UNpTsBTC0JImZNN76Au9V4KxQXHl37Nv9EHUVz4L4tXCbZeBdz4 B9PmRd3d4z9xD0yWYTE2TSRpzmx9ppURLkGucrzQx8hI9NbQ5DVO4hCa5MQzhdc4fEGEs/MMnsbm xdFthRz7cjKWd42UmKSNhvL/q7IBNT+ILFMkYhgfBLsgu0ygkvREfNl9GAM0fXSukE0iISXAZ8+d PFiubhyPm+rHH5yD0SeF1Bl4aEo+fmEIiVlUW0vgBMEG0ODZ8BOn42XPYtz/znSY3h0jgg+3D1f7 D0DjntKPNEhtaMncd4wTt9/alT4BlxOQg0sP/pRIYrU6b3dHRtzv5gAZyFn7SIrhIzQMXxnqLVGa jDE07iShliNfrqnUZsiUerYSTHcBdHJZnn47+93Feg0zTSc27MXwQqnrgUG4IGjK9cL1G0SVGwVO dgGPxL2KsuRrSF6nInMk3ppCasl5lBx0IzbaQm3VSNPuqQEEUrAVKQ3WXrBJamqzwCOjuhz3ZxSL MyK+Y40gLjEj5sFfBO52s3YXGJyisl8Co2D9ZsD2NvMUvs0zH0+1XsdjGV1w895h2lbY2OjXMx1F abfOc28xATILxMPOzJ8Vrx5gCWrEVivZKNziJvuzTQNkq9dWDu6HyEo9A4qBzKcGXFOxUb5EqKo7 zu+izkB3mAMJuz1tViSDjv8hSR9tQOX6cYb692dcFPbHmz6Gjg+ExVqlyWqUkF8sO4SM3hE+C8dT NmDXRHu6dn9w93WVLe2yISx6DXkgJ9+1SmICa7Qc/hgGOVHCoIlRMcFxPxOxAc5v5OQHKczvCSF1 D7haMq0H9gqYgtRGnw2vtxjt0qXtHkXmZyc0warFylusjUZvv3ndeNp/FktmavHD67tDpmpm+nPa fwb9ZIa+vn4knckJLwbkLxmS9ZJrFb8Oyn5oU504FGrWbWEIUNEy6fY08X7Bk+kwcCHXncx9Ozmz BZPWN+Md9JDuFhmqXyIdwKdUo/BpzvgNPJTKndwQXSpjae4HUO4+8PEjhxms2FM/YdIkjJ4/P9/t H2YKopmjhX53OyOHfbI1ySjzMW+kXnaPAtD/geAfoxPlZgpZ6uLYIz21nly8WwZHmhJqFwfPipSb tjEcxQaT1GoxDex0lC1UojucJB7tVY46CT7IfRdpEDEyi5sjujTOwa09PSKKXh7mStVDTugGBSBG U4G95nYkB8xX4OQvHwuahXLorV5M5QPBCw53Q/cdXLZHbzWG6is5Q87FD+mqgDzZFcC4fVSLiCZV aOfe4fHfaVzOT8zxLGcyjNrgprmgdMW4yD+vI2IoiEOSz5RiSiRUj5WMKkrpxnTx2MFrvpUIzBY/ LKpmdQWWdc6vKE6b1Ad65VYCRGlQj3QedkHB+1Atzcs0DkmTVV8AxWW6Ox0cyYirO9RfMz6aedXA t8efQ5/1JmHNNw2OQOQUeNLxa8WhtVaNgq+BVu2kSqpHoBsW7pm8sHYegGYU9KcgamfTFcluh5Jm FJQvH8UBmJWX4Y8oBlf97W7NBh/ynZ2oRY+/0K4uEfJXWzOHB+hYDqxzHmIMndGxbie3y66HP7C7 xadwqaSC0M019Ahc1Bvy9RKGXjF7bLRrrGevs8DoHvKtWWkwbTUs6CBAAnYgttkcs83E4MUtDotF 3mSJe+1mIz+1Sfk7hmSK6jO6X7BdvsWptGdlFlKXaSx7mRYF3bRL3GLhqB1SKqo9ucYrp6MlyX7Z hO2gNWnuR3F7nvhyGbWqXarBuZ/99ZR0VDxNTAypy633RWheJqZm95r/crcoLeflQRZG0Kq4EmX4 25YjeA4npteUieMDoWaDreA5M1xw4JW0qTfOlHgttio7ov79j6yRdjZrZO9eY0wScmFIE2Y3iRDK EBiK9xScLjyPe+ohVMGOxG7TFTa4yBVdFgZqorKU4LFueRrBGp6JaEc8oDZnRSNyzuQ+/hWr1bir MXB83P2jcThXeZH6t+Wlq5A2l1mNVNqg6+SZdSCTW3slvdXCnLnFJIHqzK1fM29h5KFCKBWdWGUc SAUW341bnFwrhtKKbLFsUFJCERfUecBs0iOOqdSviFBDONAmw7C/EqWKfuu8GwBxNKPHyxBxqId9 0LK3vIEz+zNMmdFyheHG/ykVyItDQOboKjArzwK+n+1ixJ7sUGWMtEKGY3MMvJJuLHa7igAJ3sdv Ar9iA5fhKi8Cn+0hcnSdks2pOQVjhGvSa3CQ+reB0O8j/e/4zyYrJ1TBQ+Q0SdccVqvR41wL1cV+ u6A/gXi/sIzYq5h7mPrzJ9gOgTZD1r4P2sf2vEdjk+HqfcQzJlG0H9F4/McXcoY1yvJ7fWG+QFyo 21mYbTn8WPaTE+Mk71pNIomLSTv7s++ck/htNB0aplAKR7UI8I1tQfPTpAJ1Ar4QLdFv6eIYAtG+ y0CPvlU4dXn3gmgimp5hxlpjobOr7WBVnCDSCqQjxO5Jc04845h+lGcPsCmVdU3nl4K9Mh1PK8xd +eyRZOsd9QRXLOeeMoWo5wfRyqsnAnHvQzHRm2Xh4uHOOAnFbfLQLJOxtnSX1z3C7ymEGP41LrA3 ujjGHjvr6m/XbwQ4IwDfreH0tS9gNlZBTXJ8mMNX306Y1HgsfWDupaF+SbtyCdKXMSc4vbfrkjdR 8qEdJIUSf/O7voZCBVaDjKTI+O0tNId5JxQ4+/a8wgS6e8W4C5mzmd3iD8Dpkh7bz1mTSl1g+Y74 oueblYooJWa7YMGoL3OjoD0xGiFa+d4qrHCGBwyta5gLYEc2pmtOMEBYmhhztp6WzebKU1KbNNXY r0+7HKw7BbM+nf4/R5PdTOG3Rhbk0l+uI+eAqFJt8MU90e/MVtAvCsK11Avr7bNFE3ThXpGSPoqJ P3mkLv21/xG+IGK01RvvHhJ4mXU/t6IiISFDsXZgwny7uaLuVZO1O/Jwytk3/gOJ+PPdCjyVbavE fEKNxqLQlzZUQpw7GUQvWjm3iu0bJbtlNrOt2DaEa7EgKS+JAeGIn73RU7+i9vttBBYXKtUsGrhD kYd/FDlbaNRCaZwbRgcHnNs3z89Mq1BWcNI1I2fxMWC0J/EaJ6N32sJRcA8+z9oyjfsXXKP1mqX4 fhy/BSw92wsF2gQ6wTgD8vn9034FKYIll3Z1ioQbSPocOKwY37gRfgzNNRiJboWdL0c0BLW9RXSR msZGvsT+4F7srSA/yEZd0KdPN9PAqoH6r6HlTYSASaE0ul55UMN3pjKmtNekanvgogiyJnQxVBvd fH85oeC6i185m5uOH5QW2PrzW7sR9M2UUQ1Wuz1T+snjzxXUyes6vKmL+5pl1V/TE+A5CJgjESSf jILd4yLNp3Q82lZqdNOXFPC7xP1rg/Apylk8sPB4KYqa8vpuk0E2trlXuYpRzfnbGu1pE+nVhff/ xPKnvlu/OQAWTr19Qd5jDckcA10WCyjARw76vXdaRj+nn19rNAKM6u0KDeu4EG/WB0TS5OLmEXQN etS8bSd62uN3WVtK8aTPAPRbkDwIsPeUavL3DmsZUSEejBKK9I6cP6IEV/PZK2OXK+mEpI4BpK2O IBCix8FmKBIH35iM6YhToaHvCjVftxjRXjRO9e/Ae1hMDdNoR6NmMpG8ce8UN9f8/rTYrq2E++U1 3rLrfKKEN96kxRxFskIXaONqN0DXNuvUDXHJ7Rn/mgwHtG4Ps/GenWy33HYyDUvUuMFvnicQkGPi NI+g23l6dqz/gJ4qnEGaOzFbsPXh0ubzcOr1foH+HPzHXxjoitB9SyWVAozzH4uU9hH77cE0FDjZ khbgW67lGbCojUibznzzvtnCi/9a/ylOxw9bHC4vALW0YpKnBdMRyITsCn5jzA95wg0m8N9ZzyKX FE08nVBrNLSpuqke5afZPNIr9DplmfIjhLhzK3fTJX+u0ZBCe0+jO1btKjubeDOj4yA1zj2tjxLh ZahIF0/IuQdn2lI5gMcVbVtdtEQ2ZeJ0hOmkOmPrJTVhx8APRyvt5LH+I7+z4ZfC2ZrXW0YAOEdf zE5kk8aRXz6s4HjpdL3Z1VUHDqwTJ4tJTB3zgwpbhMxPs6JHYiFolQEDZl9uulg4QK3axujC8CTK Bork/HkznI58KhVa7NlteAHMSlWHpLuE8TZABHdIap19tWeHpPTRLISoQmSFF1hP21dqOkSWAXp8 FxS0d0yhWrpH4h8QYTCKf+mx5cQYzUvecQtktYCOV5QjiDfXiX82JNTmRwPosrKhxnFpIrWPe7w5 elradlsGwTvw0MaDBCOE3e9FyhJhBaE6GJRUo8RmHXhcicw+XsKhVo0D4Eow+tnUjoTusD+D/xKx H53Bp7goG2Kzb9jj6T0s0zu79jlQ+Y/HqskgWnbRgZzqh7P7wTYbzWuKNQ0xhdbK15EBhQ06ovdH TOpoQ5N3KILoCk2bl9zCHp1PZ3JmCYscwxoptXqYAy2bn+Kl0okalwhRV8l8EFV2bmCc0cQxL1c0 uWX9D1GUEy8uXN19y4IGh/BubOdxeIcovHcaSV4+gIiGgBzC3LxOboSvmRbVySi2VangyQNIDwJX BMjfA1Mwmnnxo+ZiZTdowwmx2/mM2xGwj0tpzBha3SgKldBQdBlQjngGtFolW82vgBRq7+UZnImq xWJJYY7O1jXMphKXuvMuyJt/CdOZ1zURw2301QG3t1Tls0pAjAAX6RXixN+gsx1OBqTiuiOm5UcM RENw5iSpSOLujZhvcqf8u9Vg6arg/j4jj0zD5TkfRY9eskdp9KF7D4bFcIYe0VQ8YK1Od5SpQEz2 SmFIFGaaFCC1NdCiap1+m8F4ppSaRmqfW+8jGgfkMN0v3JLzu9K4xRldhKn77sIhB7TblMJUH9dt YnCiiWY9lKcEftLbr+hciCccdNZDbGz1v2QzCIsDjXtkk9X/zoFlMHth39rjJYfi7b/7L6XWc2Cj DKzBrSl3qepDF8T9e680oUOAUH5LoUdNc4SHKnVwaXat3M7nRULPsQeFTkMGpT8rN4Kz6YChInON o8ZgsaM/NHokJB5h5gjekYwtH/LwzJ0pcQSGHqfx/0xefQJFUCC1g/Z/kccGHz4N0npZ4b7SjeGH 1pKCswQrlqYYf9ecp1G+k8AtlzD2CY9pvD3d/Tn6YjDtQaQHwwSJNlopa5JU9GXDicMunelHXHYB ybTFxzLsGUixHpr3mNCw7dUu8X5l/AkLLH6UDKLghT/2HDqbxlfIvw7ZABUzUSxZ5LPQ4lnFXuis CrVpk382zc/FLaprvaFayh7S+MdxpTJ0u95F/P0D/laI0yUpwv49JnyaYkYV0HC/Z1S7tUgbay24 GnBF+XMTEr7jJGyXhP2YaBy7Mcftd4FyQQQzrK03gTiJqiw6crsuGD+boG2OdO8vbH/xqi1Ee6w1 NWUpIbkGYR0EfDMH4eFmL8gaYu+Qa9Rxw29imrN7ZHw1kjEUz9iEaYa54/Dcn4uD4KaAazAgThB1 dXuyW2EE6YTA89Cgn3TvqP2xfsXFu7xBjNkLLuUgAxwCkB4GE4bjc4q+GrebN4U4x+sMtt0OOxc/ 8OZe81wvtEPevYP/9h9V7yylXz2hOOZ0NEhuKbtbhXLBE8e6JAmtO9TSktTsuu2aODwpMKtB3i/5 Qps38QYJjxQGIp9Af/i0FjW+7WXcStQLkFMXS/7oy7EreAH9Qxf3GyPWLYXixHXC1h+Ereeu3iwd Y6zwxNRT+7lFT/ND/nHwj77qKhk7j+TVZafygDcRfN8S0IuWEMA9YtMIq/DR54OF2DzfLZE1yv7a ubDMmglrvsS5oRhhYDF30R0uXQzI3n8rni4RHbjD/z7Or1F6JqA+MAVZjaJ5/9gPZr1RSoAHxxGg 7zB2EoP++6TWNnOsmovUNZkPT72daGR5osfAqCKQobhPvM4/hVfKyzaXeh/Wx456W45JBkAm+BDO ObAbdBHXCE3F/xNUUtA6F90jJpbjKCo0N9vCWZy6WWxE2XZ5+vJiPFlFb5S6seuha20PSPonu3kk KlhzTwsA8Nb7CPzgLlKJVWHfQ8fbQCQ2+y2fhSctJmhabQR4Dk6H167aVip7CqhJRtyBQpRoKHPH vLr8k+korz/olFlsyXiUfSyCUwKyZejHkcZhTQi2QYXxgtCOsQzrBtr1Q9kTrBmgDmG7xSUVBBcq aEc65qprxdb4uZzhjvJaGdvaCWtt3QnkEbgxJ11YpXACF7qn+lkJ+NTrG99JWh0sOVRaH9G3IMMH TlbkU6kLlB6qxZ0VO0bCGm1/Io7qm6H5LxdI7j5r33t47Fe8se1mcH9cMwFUGvADulXGxJK08zUy uIhokFGD/iLTudgK44a0L8+7VXTGrxBqQ/qrczR9Qvalz7b9oHtoF2DW5jsDdEPb/0QsI92WdLdN j6uS5HM+uhTjMdG4qCzdWGI86LXXoXxrNpiGllXDneBSEnxKQLwTIU0gDzRu3sZH9qVoAOxQPtRz V2xolX6tKgFvYKYlEIVJOt3wIaT86YeuYKzjAURxKfBA1XeNnrXa4UqmvF0JWjh69BCJ4KT3dmtR Fs1R32SrIHWyw244Gekcd0j6p521c0vgSMe0u9hWvQ4JK2tQhaJ8XGzOXN0EcPTTTR3NarTThhkk 8hY97B5y+WuY5iL3fKaY0ecOXQF+q4TL9SU6wgdcFr4hDxRrYvZgMBGALbh2KdPIxyt/IGhy2fyZ YuCDtBaX4yGPmv254K042orv2JnIi6laXmjv/7DW3OFUhkYvziG7pT9D7Hl+AWfxD+RfKCj7KHNv LB1rK6Wg4wp6boOYjVuQeKhkX8SggkgtNy/mHdjz84j3MyftWOn+u/kXz9x+kk2hVsi48nmp5AAo tJA0ddjbA39dj9Yba6N6epaEKBxniM8COjj7E8dhoFUTQfKRO96jb5DfYJ6FL/kU9UhvcCpgBNQm hNAGfmAae3Lj3MNdh4DL4COarz1Eg2kAbNTll4zJ3ejnRYwtkX7v1oLCt3RrltU+B+eZ4FIqG7VQ 9apdsxi6GFGaWJhfXhPyh3CaWaQ1FY/2H1OihzbumNj8wkJvTEIu2ldzTDb1bNG2WhPlVUIlX9ei 3cqbkJffjpCUE9vhUO4qUm0ROCVMM/F3ePAxRI1MjZ/Ccb6s2ycp8pFgIrvhDrefJslocu0WGpJ5 Jb2qs9m2cFsBcN2NlZrp8124gRpj2r97EYfbP8SVtAOIxcFjVquoa1uAnnj37zH6BayZmogde36M t3wCt8nYz8VGifQofxwM7O4UDFF/yVj5Sl032PyksIxV73u3fnM4P7bbsO8kpKtZaEwp/bb+v1ce 3OUFhvUfwMPZHP/w+sA5dHN0rSEEjVf3Rqnwjyi3SPHvnP/tWw0U8vGZ2/jvLic10u0QE6A/9D2u EQgnNJkB7PiA4p52f4iS3S5Ylf7VTbxrkUxzzQqgboLmuh77/ggeNoiyzbeJqC5tXxzPPtRDZnho YsEGttc+QBrdkqHgtfo2gwBxY8A6AMAARZrP1xwvKxc6ScBG6Tl3pJn3hTEXA1PtF/jlhEwmdyPi ch/k+ibiA8q82ac12FC2uUv2qxW1GYeyUZhEkv5PgjuqlbPqt9VFlqPZh+/h0ILPye7ocGxWvtkz GUyax+ZMcQ/2ZREUJ5T1/qkLsG+NbagEoplR1wDfn71xU2mFxd8tut3KSo0twUxMe8hIHQheC1nm 90deRuyZnvcIKg6l81Doufcl05GTQY7nDiy57tERY1Gu/AVMMb4aKJQ/2pqJHsB+aRnGAfPXi4VL O/MlR4uU6mbs6t95DxuUMd0ANRDGBmlfWZtGJ7giZ9LkYE5rjqum7kOqgANQvlm0C6fOGcR4KH+9 Mte23O4L0LKE8Jf+GP56FQNYNC0XjpNckjBxv84uW+9iRDh3EOnE9mbqPJghB1zUkgBJWSmwonwK KZUDrsKRHAOAdEBNixxaoG6ErMqpofibf3P/yTgPKajROD5Y/0l0Rq1X+uCW4pvp+wkt1l9ZPrRm n8O6UFu10NMpj2kXIPqw1UZxPPYByPaceWxVsJC83aQTkeBf8f7DHCUG9oyQT05dtTsJLJu+NK3L roEu7p7NQsxsx7X86H/B/YkELOn1j4CuVy7FBuk1UzigRcaOjVeTx0cexTm6xlZryxIC5s842pPY zeQc3ROoHg9ZkmUP05OJX0z7UTOEr1ytet7Ni+Y0WZ7One6S+kzCqRAptD3nwtr5NfwQ8exd07pl 2aC8plj4Eg3v5TR9avyot9wL5L9M7i8i2iNzDD+uu5u8XxEJ55tJNpkPobYT6Vkzb/7pODCTsErk e7UZQn89Vy3z0jaA28mGaLGztsvn8sUNsXXxAjhAitcPcQjzH4nJ2AzeGQwfOg5FOXU2bK7Jgl2C AiEchjOYPrYJyFjCeGjAeqyfIosXYEWjpPMDTiajOgtvLzUuGvowbSmbqIEbCMBegKcgrbGTjbAq bHyDf+KHjlHTg6aYhJH+IazKYJqroTSdJJ1JxA8CWHOclwJGmC3PEHyrpLtlNTcShhi77jOP0+C3 DEYAnjsd8uj7xVdKxWcDJG/4eEU0dib0hA3XK0ZMdC6+t/IsbLuBmHm/WH3/JRmyax9YFZIvn1Hd KNrRFgM+weQ9fjLtc0UiA3rOPff4VfcErJMmyxxdRtGb90cd7YFB90Y/FQkPNgMIAED90vrVkFwG 6SWHHtYVTdEFXqCBJ4roqAYF4zP9zUOwQ7YphsEhmyeHjT5nTT2mNFcM1zGsrvE3QpYiSj7VBGRy Kcf/Ox3D1qbgqriDopz/Qli4AHrfbb2DSEkBm4k220/35VfotoI5TPA2BZuom94gaHvkHQ5mMy33 wCOK9mdQRABbW1oie11CIEfwzm0x0f7+qh3QBtAJzorTlCN8Q7jkxTlKZRYmu7TEf6SIkDHPbXfE afIuqnrxe3V7AZ3oeb72evJjayu1TDIVaPC0N8USw5DYCzkBA6+iwTaXtLfD6NrlTa/ahKiXh8+H 4O2hgkNW+ToLmZZOXFdNB3ZfK5Sce4UAAqsOdkyQVu7JJm6cXK5gPQSJ7Te8SL7tRoMV4cFwLyEp URfJJqi1UPUzg/HOreCdJNqG0U5MPqNFq90y0DMHh3gkV3WHMuNPik3IfQah/LOiwxk+hghDf/v5 pkSKj2PQtADVjluiXzM68XxZcYkuipXarKKcHZGawN1381Oq6KwXKBpj7M8QHgjR63DEqecThyBu NsJbZrlCKoccKL5Pz+uO6zUDNA8bozZWwmUnlZiXEvYmXfB0xHEAByjEHhxYtUFvR5fIC+YoauNN seUnP/J05/n7qk+9Xm/WzvgcwqB3rh4R0zSDXo82IUNuCvy4eFFFBS8Y1nsA1onLSF+wRpo+IBeG F5SQArwYGGiQUN4BD0XrHM9y1UuGGlYKxB/sdTNCnmHpAWp3EfWTQmHt1LQuh6OlpV6u8Il0ueJn X9TXbWs8bv5709Qi6wnPcMni01bHvsnIAJGZY07H5sKeDbJ2+63ZYx/D5UhFuy1hlOxjvivrhHVf 9DegOPJcu7rd+Rta8YPGMbdmzzKL/+lmMWJwBrt8gStPffoKwSlYj9q0TibSUYOgPMInXnqOxa+o v/Tepz2KvZq1gS6XIXaesdFeDul1OkOj3vCrFO3k9pTKa5HKELp4/JV70W98KprDYVUJs4IHdN82 FpUBwzb9BaqZ4xiDyJenwaIWJbbAXGIrt8+PXgqqr6kr6tQdTA0Mq9hnIkReZW8yiIENSZY3WAac 0TzvAdHocvuodgpFKR1OZ8DjQQ2bh6OkLcy++fZsCdxH0zOL+F54jtLZ3A6hE6XH6MsITTq3OIk5 EquayOB5BHf4txQEFZvBtMQ3GSL20MeEw5HAFDcymIltAmAP9O8mAX/K1kznbm39d0VV1ou1+GdK JwFEGCl0FyChVpD5sNaQC21MbMNMkwTlImgtaE3dwTw5S/q/Cr8WmVCAgZK6FIf2st/b0NOc3ufa 0B/56BXPQoZ0X2jgX+eD0JrsgigZYpD4X7dJk+00RC4mTsFV/dt0YzBMzd1DOFx4zD5KaF0NZXJS Azfh4o22/WtNRxanSqOGZj/vsRJw776YZRDA9OzqZOyCdbo82hr4KL5Juq+oCUdY++wYRO2B/Wyy VmmWaMqkbZiOWvA2X1jAuo7IXVZqx4HovA9zx4ExUnaDY6pDIjn8TRaf0oiSns+myVYWU6FO96Qt v506Zm/M5ql8at8qlamfTxMIuaXPdx50CEkZoRKCZ6ltwrxh5B2RGn5OpdW0kBo872pfNJceitsH cB+8CMukVIxPBwO2jS7odfRlDruIS8mSh8CXBtLFUlnzyhoyFH2bMksGK3HFDmoBrkIAx0b1wi1g Ez9LfbLjImjOFvqGahuhVZUjYHfGw5wEYD/YEEBIbcQv2a9ut8UOdJp3wP/PPTN+1fTESwz3km8B fR6e/ArG/V4QgdlwvI7mJGvHhLTXhNaIvqpFwO7401rx/lsyRbcnmQ+wXExD3dYGpkmiyshSBGNL 8vjJIyJrdAgDMbtk5JQH9Et/QJBwCX+M5teeGO3xEJv0Rwdudu3Ts8ogvOcUtpkFLnmer263NWls 758EpvH4bLmNyobiiE57tqVCOa5wtYfaDc/C81dLtMERp/4Q/7iHSgAd3MXTti15EfhTXhN8VIXa DjmmT1UmKrV2XiL0WLaQPX1gogRujEcy8407a5RsC/6XMvU0vgMLYQ97gy7UZpELJ/4ysDDs1SM9 +6xCTCbqrKlFkYn4IQfjxaAf8q+7N6CH62OWkyMYLbhwIUHcf9/5CzdJ4JkKjvx6v3qSeQvhmOnT 3fIO+3MjKK/IwmCDQFcyQZqE9J1WLLayH+dvn/J6D60Z7YivNAQ49tRE9eu3rERdMim+3UYaj9bk YoNBk3+TztatYDcPVxCRipr7QyF4OXeXz8u3/skKDRJYDqPD5jrkjjNBg17NW8spF7O6bZsVZdmZ gGwexKWupFZTMIY75WTZw+Rl4+9SezIy4b1mNWl5reTV3xbr3HRadlpsmnkqaiLHPGKPv7JnXENI CzYcWHxcCv9pH4ysapCbGeGXIrz3kaDoMo1idLKQxICCct3QaxRsGgIQu4uKAIxy6qsfU8cF8PKw S0PHipY6X3qycI4oDpMunFnTOP2XU8GSmxOtla6c3o+RGF7zGrplJ3rnLxmlhz+5ht5NAZk0ACYg y2MJ1Hck3FWJzxojSDb1NXZy9eyG2NPJnqIZt4F7o6LKoJ7s+E8HThXJsEQCUKlIVyS8Hl5kzg8B ePvPJjiRXknRQRW63+CpKKrdgn3j/tXg9MZEYeHvbqjt4MAxKJFaW89/fFPozcZW2a4NDmmm4BcW njgD6oqYL4iO9BR6x/xpOVuCOVTq9yBh6sWFd0+hlIkDQRyn0ViMAuwublri4csEcvcmO9mfhiYY 6363lTXX+6aLcnbVItGNVakuObhAqqLrQ+TV0vNJU09mn7QuMQrk0XmnhAqRt0pllKZsXd9uw8uh 0hlKdAjIEpcNdaJMmFnoxGp3t7A83AFjqR7PnS/G2ZUIBmRK8T5W7/7GXqgOe7KNW8l3trv5ZVo6 88APltpnoKkHVFoAqOwZHpoyoh5Q0m3ql3NNEoqplWhK9aumQcdktdDfAr9Bj1EOTPqn5I9w4qG5 M/emkRBbaprU+/R3S8kkYNG8T3qEfeEQBaDrcMItaqa1DkutH8jRJ1VMC1Sfa62cjSeDuliTUq8Q VDvd8o1nU0tCuqSOb7AZUxr6jw+uYygKXVBmYTIAN5BUtXVQuk6LU1AgotdV8mhVvgYB1Od+9Mdu QtZdbu8rDJ5KE5+imOByb7BiWpQ8OrpCskEkOVMtLEfe5PlHB3XgnJfzNYlCsC62zOQrhc72zlGi EQMsZVEqPQECszKkRTD9HCF+vdwsOF7gI8DZATnVDXbY+8x3Hbx16C5PRVlfl7f5JNzAJs16Hook k3/Wm/NKFzhl6/0dCSqdKSMGn0S9hwvRxygGLPHJf/1KBSQUQhcd9Mcb8Ym2UV73q6tUcdyTnNiA gQoVBv1W7hk5hf/ggW+zatxEx3VsjBVVYsHpfGKPQAwlalTQ2qA5DMRWzFOvxJ3mNa98lJ6S7CF7 z5HEKRZqzvw6KHx3w/C6AgFU2hR1FdExbgqBcmIg6jxwlfK/Bf1NULPRtg+J0SGik2kmvSCh3u0D I3azyIZczajg+dYJgJ5dVsnZY4DfArDLwPFnzA60VSe7Eb37i1FAoLzD0oHmX5fXJl3prZ/qvSLs axN7o7heslsTRXm9nlPzvLbMNWSxvIor4fF4PZjTqvT1sg7HdifVGlsYfQ0BtFrblw79DFxhpB3B 4AtRnLzRe+5W5OSYWD/idwPlQliXTJ6rdSYmPmrF0WuUdCwf1JrFah1Uo1CoJzO5OPMXK2U++eo1 et+BMoVxsRrEOxbfrQ+pu7nGqtw34GlNYUDuR0SVLYhU22QdU3SS/g20mCfv1KNN5EKfyHhXPZmv 9GmV0GXgw72vfcVcqEo0eDM3WPn7ZirMKwEfK4x+9W57yneaSrs9QBVEXHFtG8oMOoPQmR64R6i3 XoRXtvKbgmicsMZqH2FVSMDxVgxcETocuTBiHufpvThn4iuTw5N0Qqclpg0bf7t+6scO/pYP4Mkk py6wykjqE5lzZEAZXkIIJtBLJQQmmiECoyHZdwihWag8sEO+A5zUhtd8ZB7OHhNMylCjUZFdn9JP 8TYOp0ScRg26ZYWOqTGZb9s/Ro9dmJJx3Cb61ei5lLcDvovZ0otPU60Ogqv/pKNUvZCjdwOB6uWw KsmeaGg7NsiTe+mnsKg/LX8AL62t2Fm4kZByB/zBf8fWvYeSkaqwzjuXG13fEEGZZ50G+Tr6X9uy wUEcUXM1BAxc26un1qn+/+JvtFBjrkAVQw26DpJjoEJwNQxJmP8mhP4fWEmeh8vvYTCalmf/gLEz IdToBBFLofMGovwKLC4o1S8StHzj2GK/dGwPqYGdQP20x1qUyz/3LxxCGc/eRUsXBWaOKaHgVHyK 7vn3JbM0G3Zs4GibpQuhhap6QCADMbaeULxHr5GtGteTsSkLjLwxqPb1YKazIdzpAXKHNB+mK8M6 70efylIj8pRBoHTNIskd3Fen9ZtzFVlpDOPRCClNW63sCS4b7iGoGlnWVDilmfuIBj+4zoP3yDpD OnwbP5lZVoCyywI3rD0ZKFGo6vC2cBGwSCyGSFYytH045zlHBrdf+vzfm1OR2ToIPPIJSGz42wcT okwVsaJWXrQZ2tjvhboYIF5MTTlL1H6TmGQ3uW3uFT1QKKynPJ7tckTNmEUwOpwhZRziENBt5XuO jiIo0djIWZMYmvGE5uAFIBlAWJar9nMe+Dxh8Jv3M1I15dyryDJYBdBvEDLZAnWb0NonYHLZ/RkI nx1s+nggcKzt46v5crgqdWIplxkh1lJ8zvl+7rXeHsCPCMpNiEhLgG9zzKpL09PFhFnd71v6Bzer hJpU/aWr5kijFRkkfkPbvTFW9b2ku38LnbIOueWwivumwDvLlh58E+QQ3M36TWttlNunebQlxlgo MdsvCM0LQbIN1V+GtuiFB8TjdTp76kKO/rlF9oQLkmW5mds95SGzaol7BTUD6gy5GPjOHBS1qVIx Nf24ih6enPSMn7UmZPB45fU+spgzehds7I5KHkULB7Qx7esQJ4ed7m2NZ/oRMh1s5giG+o0V+QVQ UgN+df/diudstVz2II3PiVmd/psN/QSj+95kemgvYFV6gS5i1WCvmKQB3UHymaELTUW1vkobih0P pFcKiQWXSyrQwMxStIVdxt/HhNjFCVQ1PNdJW2XoIOfXELPM5XoKl2Snnhrgi7n+P3hwY86m6ZNK KoAnZa5HsEI+EmQNUUj1qZAOPNqX4yFolIUMbAhR2bHz31BDfXYQm5JKuYc+6yv45KzGQuh6UQDX 3myIch35RIzx/z1pillBXRnbs1H7zTBG7eZP8a7U5zlRtf+Rk/8kivDEBTEZVNaqLSrc8nxENzUH Wnnxl3micCgSwml9p5NvvzYTmGSxuD4vK6rEozn5MqAEX9tlKzbpTDJ/GpmfCr2teNhf9q5QhGRR 9f7x9UdrXlPasvpBIhAxHA35+6OzD9Xhz/GsIld/JTHJpaMG+hF1ex8X1xPEKvnGqm9YwJUDGefB XhrTBYC3DV5FRi4tefm0uuCoXmzrXAhQqC6dNK12HxTCiyuYRq5+5fr4VUsquuJ9t+rK0w7UGKUX IKoHiBtlAhrhB/VUeLVhkMbIpKDsX6IKNSMzsoW+O+nrngLdpVE6D5AWOE32wi2/FDfu/Qj8oLGx u4jXpi66uJ3Mztu6h2pHGZzVO8nzQZO0fPZj90TJYBgOcvlGwLc2zNfH/w6OUCBXAM2HJ5LTLHZh qTFhCfrSRKZwmAb+ZhBQlfX8ZzrdsqcvMzvCv2nlnQczRUH49LyYnon9IfP3zTNvp8v6IrXzh4QX EQmrlQm1CIvWZkDcR/4crLMJwHBUJDWb0tONsE8SCpvTsG5Dl7mlsmRwT7tKv1fSSlcBHRUPqXPM uXFdphWWGlH/HGzyiiF8K3K24tAzdAXRtcIMDzRWE1coGGJ8UKP8U3l9/s6bW4cq1+5YS+uAIs2t tnHJoJK2Fs67BP9LuspySeEaKxu6Zoh817WJI020K+3o4A5PpW2gvyOqwAqKaeI1UQHWei6eG/H1 FkiJJgSD5QiRXTvfrWw92kt5dzXwquNRASb+D04ljFHPghWf0JgNWDPIqRzR2tm+YFI/nG9cehGi gQ91JIWSgNMx16/+IdWkQdxJP2YubfGLHJ2iW69474H7XLetMEYKgw++LL5ftocamWcvD4VgrKYn CsQV94znEUdNbodMfSGIjJuRgCYZ0pGy0viQIfyiiyEMPGkEnQmBMBprvzU4k1syDFhKQvdGPtQ3 NVoAWRTuYWAHalStxd58UaB7Kb4uL3mYNVuHfSMGT8m/3Z/KJHWGI21N68DTVH/sfzA5auYO5o/a whbzF6IGSoQk1YOsASjG/g4GSFJdIzy/iMglhxxtWI3/Vy9SKYPR4RYZiPIZ7Zas11aLNs6YL3w9 J9ieMa+tZExh4fsbrgzC+7yw+pJcdsHcY6ilTqJcgaZtYyOjF/z08gUhJ5nuAp4LT6xhdo83dMw2 sRIeonNqvKROFZS8XhxeUgQ1iOg6rUFlkW2HIAXIhi2eoeyReEKVZ2VNO9bwyMK7rykblClyabjE R6l+SVm+tDe85CBXGTjl7Y1FS5DV5DDN1WIdaIAhQR4R8Ga7jCShTz4K+7TLxSwdfXk54xxLdfdh q4BbvjCEiZfuSgUj5zccBMyfaA6fFpBBfDIZ1ze+eWgHmQONCZbQ846I5bruFubqgRkQ3Z4zuooO uAlkhvH0JDSz40hwJLUV6gyMyhEsUBLAHOM46Pd/gnPCcuLakCpSDKLT96+itxkcok2XzNfedK43 J5BU2y0lVfWqnKX97OlZDkNpZ3bl4gV8CZ0n8NQHe+b50vb8ZkCMZWiuOOFICQtFDdyoXLplKkgA yHILc37fT54xl/oymdTNkIMR5T1PxrYXUEpHaLN+z6srBJKUNpt2JyjPyKsrkt9g9u97uaY73INn FgvbAbaQRGkTLuLU6hr99AUnNo9D8C6nBCaoFTHPEROOK7DCw76iXIaqRyXeTe5JZewGcUUO/Iu8 51fHaKqiylZoBhf/G8/wxDmJKUNotSUwJD2LWPtGKhpIqkdkVqiYkVoOrWQUBtDFUTn1CHLYBDSW 4IPS1lAz28gyy1dhRZ6uEh/6XSX/JL2MZ9zy4B+B5vkKaRgHjdJ+kQgdPAR0zd+YYfaboDwyBfRJ 9VeS2UgMQzEtCcV6bo+DQ+GprOIBIcatCls+W6knDvq+7/p8sRnpXBWWMj7EqM6MEYsV9CBqPkNd 4e6kExDphkuf/+IBm7xeC88qtm0LdgpXF2o1cbE8HE1jvjWW1fYtKKKkmVWkMdxB26myN98t6+p4 YthYmt5L2z8P6Ol9w509rzgB7sS9Jb5kmR4p+R+INkXTEQl+UZA2zbans1hgaUdLDwZo1COyjiOi qsY1C30az7mT14BmnHoxQqUzoKxskcvzkF7dYN+DkgV3pY0K5E0D/wPQ7yT9KHbYe1ZEMU8qWhyP WJdt+chja1LmngFx0zLp+sOeU+r3GH4JcxYHGHUwHOMnEf7PpaYjldrn558xgozcWa6vrelD3pOH f8KBmLiLYzilm+974jD4TsjfACaCoNScdch5PFk6lvE0pAaE+whEhNDORnf1UiTtxGmYqDwb92u+ YF0h1pToOYpRfgydiHRxQCz1A/lej4OfV7N4MkPtJJc1iGXNIUhG/gnbxva39f4PvEaqIX3XroV9 S/21gGoL9erMw5HOK8xqJUtsUIPB+gGDUDGxDSkPI9b5+b9H44cFT3KVKmubE02vFs6nhhJZ7xJl XuHuo4g+CBYhVs9WaEIyzfmLVo2ogWKtIyI3FVGlbqH73nyIso24UvOVwKhbo4wqnbaswZf0b3ZT SJiTov6oM+lwZkd2g/nVNUSxZP6WKgMZygEkG2QMgf44u6Rten29z7FhFbQjN5PdKOOS51Iw9W4v +rbqTpsJJeoLBtV8wKWlFGXchC8FsECqdOJQIVPH5QBalNVSV/1SW6fPzPOOEm1xX+oCQ1vUfSuq TSpK1+hzPAHimLJjetxkYCMH37xA7l3Y38tSyqhuGIl4JscZBh6EsnnarBeetz0JoOAEJupi4EQr 23RA1MudUJhcOYDjzLiYR0hqHS3QdWojQSWb862vpSY2Oz0dOcGzLVqKbYpjKtyvxUaTFYZV/sRD 8RcXzbau+5OmtMt5KmchvdVjsFVUpk1/yrIWVfmOK3DEEiCpZeJoyaMR683pk27PkyuxBD+M1B23 nk0VyuANED8pvuT0H8XycvoH4UsfgFUL3SJq8wh6tNK9POFPhP4sqPwLcufrpQCH6hjGUzD0IpTw rrgzxe1CeMWJSThTI5vqrENWdqTwqN9SFA0GCUL1oiBCao6UAdfgb+kGcASPKfUulaXL4Fk8UoWI 7sAJ4GLZL8vPYrntO8M0jeUw5o4y07lXlcaQigZwzsWbJz1R78JMuxTuB1maNnPgsrJYyi4EOQd8 Lei5O+apsGoZ5qLykME1x+kMQPdjuBnN5uQhQOhVCcTc01jcqEDXIy4Mq7JME6xvS+Zko4fqpVZt HvvAoOd66libreNlu5ZOv3O2bFaXG0l6cOFAjCKqvWOYHpI7DGH9Vmy707z7smu3OVpLS0sHYfkX u9Vzo61tHUAwfmBq8zrZPCU/GGVFM9vW6uG5fLPg2J22QJ+xC2cpAb/qfbz2IMiQvb81fBAFsfZi NnF56ZM3V+j1ySZ9VY+0z/5mKKpljcjEScY82dsGqOAxfBDevvhylgh4vCjIpreV2UQ0/9+s6UCj AtYOQ24qZK38TGLPq5MI5vnuYzX38UgRTK2OOAHQNnRmlfB1nPcDYptIyuvFVG/iYbyARzO0f6Xd hjkBhJ9AaP7+dN16CKBNPgF797odanMlafrX4ppNkU1u2a/0t+c24fanmwGjX66ss6a859sEzotE 81jLvX5LXgU5HM5pcDYDhQ98g+zaWoyGlHgjqd+yjHBNDowSIqZsv5uJvkhE0HsPSS77jR/Qo//7 231vQX3/F798+HsnOr0bMBGC8Jg2SvLGJl+wf6PnM4hKgrS4EYZZ5JFNzP9VsvM253lDbRcHy/TC M/lUHkM4yAbSZ/d8Rg0cRxNBfUslWN1j9FKvzGnPMQA9PeTzK8B3yGi40wL2YH7BAbGboN5wLXE3 71SulQK9U047pR0LIfuBqoX9I3WNSnmoOtqVk9AOD2lNVmtkgqRxCIi82CvkhKg6KRp6L9BoLqZU E24KwA3pA/Q10Cvfg7dzcBug2NBZJbEcXt1M0iZHAmUCE20vDUVlTMBgAROs9gIPmhd4wEQXIsg/ wtVZ1npQfVJnqbXfjNUVkLah+PWy1DmxXoNXANVvHaDV82QM7q9rvW+IbW5q+xxoLcjBFEGCwZHV ilB+OtujT+TxwlDcvJ07Aej6bcUvA8JY/BhmPBTpxb4H6jhZ53fD8wZL4jHEKUdTb6yga8pstapp jIknXi+27AqW93C7ff5qF4KihrolvL9gZPyctxzKiWbLeXp2+JXcowOLKmCaJc+kmc/vN2u6gf1H 7iEXR31ft8ZCzgqjkpvG8rCiht8B1p91djz6mlPfJ1qyNBWnEcbr9TEenmMwTWJTjA+DT+zFrGnp M8gMNOe9FDoBZqsRVNeZDW4TCxMVrSp99Ol0pBVs5Coahbhqte4icWYea+cjBVoHw1Nkyajl9T3j XivNXLO/oThM8i9o7VxUcZ0sDY/0yeo1GLQTzccDwVE6scv1wXsO/hxy94HdCbPL879zopiXkVN6 kcuFMopF1DVlRu5+OvPxOL4e9dXnLlsYa2tdoyGxAu0pFq5cfqnVGhLqnKm3aUZNo3UUhPQz7h05 XbPwl8DleVGvqN0s8p2ybD2YQdxg+EurMqfY/MTIHbuaNHTmXL55pTe4u1DthYduCFCN0CqZ6jfw fIQT9IKhhWeGJSx3qxPQmHXqLNRJuDuHatGgCq87wYDTrm22GqWwGByi5EmpKflTVFjzYL0BLxM+ t2UNixL4SZm8rAenTcNTnenbievOS3kMSR3CZ2z1ZMXfANSUmWO5yMPBD3Qnv0GD129mv91iyYXP 6LTk++T2VJWBI6kcEg8+0+onQIokpU5201TjepaiQtbvf0pM6t91srsyi+nQ3Ks345GZvgCfWHaB xPqV0H44XvNwk9GW7PdSO7Xe29IbBSJ60Qz7QYF02oPb0REQU7KxZhM1isnms1M0cuoKn837xFaz kBXXiBqdbubRrtkpGAizElnXcPd6CBmsc34MhwgfwfcJtnydu0xx1dPUDrJZeIxq9mh8GzdsuZ97 bI6SAtoHPLJfnGnU8fxzIksbTXfXl9u+QKmWeB/2aWLPcxMG0i4wb1f405/94m1HfcWpgWIA+zl7 UVh9PelD9twRB3m0Yw5ekvPm9vnq0ffUrUPj0j/ygNVMWsCYSkSGJpcrZuKlYdsbNM1VYy9ZMqvk MZNfNBlzptE5zXXNC1AhaRBTMkKFxHoGGQ6+tROWY+v5c+WmaYge9qAnH1JQTq2oRJ5Po6HJ3/f9 mscJCbSiXCask7HdLloTS3Xewf8VL7QqQ6tRa5WKFh93/Rd+i1xO1mQep2HGHAQhI/bmjmFYrJ20 vW+TkADq6RAPcGSAO/FiV5vB/st59hyZHWulQ7+SSIvSPhX/29A4mWFtwCIyhiuEoyRCQKTaw+Xj d2hoObjBG6P7MjfcvpQNL7I0xrB+Or2BgoZT9DbPmjx2GaC0BxcxDWnQrPkjFq49qMZoDwoZz4AZ ydnW9jmHVNcvTXB9AgjEEMImsYBoQz9/oI1ssrjQOCV0ehMhLxxIxtbDSWctHN9DtiA16WyXhNoL 886gHubzzEC/9S71WCkOOIRQ206R993waBPjtH+wDuxyMstwJJFxElZMaxzxCrIgn2P9dcHQbaEk x13HWnDuMYV96PmDXcRJlY4nktBeEt7FZl4wdn5hrMr4WIEZTkJvFIqvBPzP3k3NT+CLO5+2kBi8 MD/9ReemMyDo7TLy7aN8Sr72MldP9NAB6mkV87Vu/dl/kfSnkKx/95K16TCu3RE1Tdrdnx/me6Xw LRebQeerxidWuZvgjqb5lXEonC/Ca1bkthTeQy8J9zcYTvZ8IZOdKWT82Kd2bcwceprpSbPcL0nY QE0zJqeZN/YFL/K0qx1FB69SNA/DJBXpX51wUmf6CWMObkYwkUjeSQacPPDmA97c3YTCZqdc7LUo HCRAnJg4sdgRiN9bhXxd8gs0xknvZ3+AUGG25NaLu1OeNfCTSyjiZ+gHRuM39w4ht16ct6WOhSY4 9ZbsGVLFpW4QZMtA2Kmuio7RfSjH2GbFek/8YoJel4eX4P9kq0F/CMmM8V86uetzXGYl0SrwLcwj fUz0IJ8EmCPJ3e1u/A71HPjgZRDLl8EvW48WBBlbFLT/I0vIskcfpbCh2gJgAffElaDwaJ6RpHAO TcptBUCUHpW2SLciwHt60E95yI63ywLf5pbb3gYxfDhk0pAS77mY+qvbEv/d7/4W8hzrlvMQt9Ly 4cGpJu3jTFunTjH3Ok/7kSfZmlSYrVdMwZU9lnTvZTV7kIX7mmv0213/GVnFd9u3YtuOOmENWIuH E8hjx3FpMnhSJvMNu1NwEYg+70tbE4/AUolaWy5zei1ufLzNG0fC8mXsUZY7nYVv/o5EpfGTsumw FNw7D6e8xiOXqk9j+DB5qIsZysGl2WVIuEMd2BDT46CL2OmO8FABkHv/1Owyw1RjgDP8KJ5r+6p1 nSVhUxnq9XGo9VuTcgNzkEJAvBSbEaKJx5rmled4GW5R3Ic4lxuuZ5b5DpJ8P8p39Fd1LrhqxTkF 7w4iTVzKidGdenWaeGEu9hhA8o0Wn+lTtzEPx2Xlk0PYUnBiRKAV1/Lmvxif9ZUXbOhKyZYtPItr uMKGjtXOEfYFiDz2odcfq8CNgotLxMw9cO+C3DfNcFIlIHKSRDSXtCngHacF/7zLqI4WSMj0dbpa qCVtNWeSLNxUrZGe1cjUy6W1FcrV75IK6HAHB3hRrVB5zOPmsW760USxo9y6VYCrL2BIOiOIQXpM Fum88ENILmg9kuU48+pDMf9bmx1YyOPtIKPRwfW6R0CzqszXBiCiYw6J8w7U6aeRdk+K4I4Q0AYo xpQYx7LDRudlaerYmPy0oTnG+tWwdTa2prUVMvunvGYu5HQiO1+8NogmttrZl45Fpnm13remYNH9 D1B/mX452RO9dIKYTpNrlvshB0VmGS5vkFat9DBOKd0eN9TN0ZVrWhsyTcmdsi8sP+T3E7ezrNCF mHjzg/7Im3bXuubcyzOIWg8AZ3MKIGkUxP8Avpx2ZSIuSd3Mr4ydA2fCMMj+VsMqedTfJhvb6pDf LD2TMYMYNMkQ7oPYRX0wWNvlmonH0dUZIn+rEsoxRZkWshs8IVdnpJZiwXp5g4zi+f1I6F6kod+C kSI+C7S3rO4oO6IXJ8QD2d+MB6bzwvaAY4MLTzCvDGrJau2kcWYS6MukctVOMLJe9iKqMbF/9BsH IXy6Pj5bNYhY5gTtZ3QZq6zgHgXszshLkVpcvXrE+xaonYXBnk/ogHDbs1C9570mlxXdGQ1bzvhD vpl6F7xDWVO7WVqv9Jd2pS/aJU0HH4ZORuOSNJmppFAtncjqqY/L3RhTRrcoURVJZhcrtipsIYng F2lomvc/XMh2wwhRa8Vvib+2AKHX0DxTjj2oCOt5PAqq7tdSVGcd7U56WJA91b72GZLysJV9Ehem /PTywE0qsAxeDU7AsdNcgVa/ItQ1e7hl1zD5Z5W3mdZ0adI8rqjC8sajXAHogn0CU1W74OQOVSDD tXTNLHXNNxuHySbNbK/X4BjuXRmdiru7AXc3CQA+FXAs9kP+Gs6NprXc/rQ+Q5WNXpHYkCTQmovv 9PvhX885cNw569MNj6AaRU7OqZ45Gj8drMfOJYk+ACBgEGBQRfYccMcHf4ATbwrjGqiEtNZ4lExu LD+rBW82qksyoK72+BY0yBaCVB/5WDQeu7se2hRP5Gfz1u4sNIL6DCU3tDXuwb3MsCa3Tiumen5x 3V/eXxZnMWZYInZrp6x+DaKF2eP5VyYogR929qI7c24iyDoNt4Mskqy4upB10d8DlxC8XDB38NpA GCZyGzicNddmXxWyLO21wYpzLIqw/SRFLWe3ZlYaaSKWcTr4paywT0Bvfg49P4e6zfSY1Bx9sL3p b9VYJPutE46hkS4WwoftvbdbWGyaseeSBsVAZVRhKQ97hc1bbdE5JQ4WLN3QydlkmuW/ZKN2jY81 ZelnKF3M9XP9oKwuR5Iy4DhXTkoDpCk4yR9yyby2AGkRkDKD6WkZSe7vzNTvt6rbC1ZyGgCGXbH2 n5JC62/VYOUzj/xLhrtA+OgL6JTfFeyf/Qg+o1jOF3/+Oba+WPNYujkbXi9Fosp2L8CtBs45KIP6 jWTXfIs2EeLJOUsEUQmHszgCKMI3gV9ZrLCpfhnsCxAD7VZVzr8ewnGZ7bG/vVdbnF8cSbXX7VJS YqgzUw0jeNh4BYnoL+7yU93k0Xb3qaiiuyJk9cG5xm1bCR60fqgaDni7QNDru9CmzvmtcSEaZpk9 T2eXxbeCCxHUg3TsrvwaeBDmWmKnvPFMAE4qA5LnA8WRx68nB5Evz76VGU/6LanUKKKFEXax8y6p LwFPaBSehMKdt8jzUJ7lWhMk3+MeC3Nd0D2+dOURNTMX0Ga0MXoojOWtT7DwejwUkI62n+drZcVB q3yJX7fgVGzzbD8+Hc5TCHw3gsswhOxA4sU9bwy/WTiWvQCRKdYokbVZcQVL2aay/LVReStB+mxM bjWXhg9QKm+sRKgbfUFVU7Y/9xjex2agNZJtKfpjhgC2N3VKQlRQ2D++gPitgLEk0YONWBjNGYOq 3u1BsmqGQCYzSwkPLupDtiv6H+lg1Z4Sdw7BunFljNouODTAEe1D1HtvyiZpoqHK6oiPytBU68vz KWXalIwdqajg5sbxFLpQFeVukPCsrXeH/EL7xfllnKXdWDlA0MygqJtENCyCwMkgpU9VaUMa0g5p qETxVXu5DYtroRXcsUejje+gjl91eoKTyuwdUd372npVTOdAYSZuUorqMaMe+0FNUqd/tq4NU5lu IVJzWdtX0kIsS3baX0uhQ/E7H0NT8p3BLiwWDt++kqeVOa4oabCgtQjO7IqUZAGZfPU2mKzlr6j7 UAj/6DW2m8iHx6L7WL8vM6agbGtS138losz/sYP6Gd5zSIupJRyw5QyIvIrWiTa6186Y55y13bL4 jgDTK1WOSQBQ2F4mrXbPFAkwM2tqXNZ//Qc8zG+4YpT9OLklgZlxVqG0Knmo2WD4dFj0b12DnaGO X5qxBFCxNGLQjEp7a548tTgYz01c3kLU0b3TknXTdZU9PcWLyWqZCpZuxIBLsWcyOLB61tRonzWs UdAZO8HcJ3ShmXH/15ABUlYnDDGeeNWmkFT4IbDQBSxMZU8QevIAEZIrWw/STxtCI5hD/9G57g0o 5SqTvnx/aDHpGvusNZUIvmbS1+lo9V3AVHmGMr6rpHYDxJ8Xt0XaDQhMZi4li0oMOhSUvdeVEQFP XtR56Ytfln22E5Kuv0lObBLehz64sFCTkiAG3K5qnxi23HTorP+wlJNrPm2f2ZOXPI5Gb0CKR6Tt U8LWz8rUa8KCUMIxU6V5iJpUYDMDlVUenboSqWBifVtHE37WEKTqNdFu1AdUKxYeg5WDDQy4EyGZ W4FAUyaZXGNkfR3VoZ1cciCMra6zQW/NWCQ4Wz2Rm0hifL2Yfc8gWzvFOpyOa1M7adYd8wQsVoAs 59T6D9NuXWQyrSYdzOH9YGG5vwJTD9tdpFttKXJPKs5xOM1u0HonqjEFBfBwUPY6nt7k0gPFCowt VlmVCqtLfMBynWd8X+BfExirIAJRVf1mLMOsRVCr5tlWR+a418X0z77e/ZEhnxUtJsiys4a4MBUs FnzMN39NLLPgmaMweNVal/NPxs8+oDnyUW33Tl+PuEwaJG6gZtxj0SAdmR3RSpBVn62ACIAOKOGO QCu2MjcsMzbTeDhKxGG5HUj1nitzTgevTCAocM8w28xVkMA2NdONtIc0gjBqXY3VQDUKgmEdZgHx eLX6yGKre1nuyFaO7mfBFOJ77aSYdnM3S530JsU4s560r0ANl+zSSqmIFqrFO5AN0CN6vqchiEK1 e6andehEdtvOPaSh+aPoTbVUzoZBDwpF9vf/Q7eJXrqSKTkdB5PSSLThXbf/yMgINwiTJ4pF0M5b LTGEbtAVxJ7g9Co0aVzxpr+yODSG3YFBDTNV81fEhvL+Ks49c+a2pjv8EJsa+15skZGRNnAqs3DU 46yHpQHI6W4rxNTLzVX/OYYVt8fQXbBsoIA1Bwe4pNMhJjXpe3MvX6Izj6+q/Sswxs5fw0N4R+ow XY0gw5cEw2R5KY1batX+HZng4OdJUiPULMuCVUREozBPU+JhzWOHvQODyxeiG2JtWQhvhBjUrYNA vjM20bPI9uAIB5vovkgYs2fdYrbmFcRKJ88XeGLHVOZ/rOIcszYnx6iWWcUi7VmWKQtgVFbEhdBb wAqAeOP+PQRaEF3djWpMTlWOR3vGenvM9Lrc8pRCe3vMKtrcKNy6O72ioX33ElI7RFkzsBsIwjOj 8D2U3OQFMlylkKCCmJHQmpTS7DGbOfUwX4Yi7a3XZqrwFvX7rBjkoJAaEUoPY0J7mKZPfMlofZBj rXSdXpfXPrM3a/CkElG4/9HAh8+BngXAIxU8WT3BO7k6PK/oGtqBMZX5/iIKts9ehZRvv+IrZIgl G3qdXGaYwVqOnrdCrqp94by6KzbHaUJcLpw15W69cf4b5VuXYf8jdox61h+uDWz9y9bwWtx7W1Tw TmQ5tYBmOOGy4upg5PjMCOxiiAnwK8ti+Cu9RO7orwoRFXuATYdOxYz8EcAkWwILveotfBvT5DFJ Qh3YMtAI5QRj6uTtuXkjK+PAqBBxCJMVOiXM9gT1q2hBSqXo5kme/ScVl6R0XExzhXJcqKUDBat1 VpgZMx2LnOkGEaA/0+lIYezvYmmQAP/0SHjjsU3fzFo8R4sl0s9A41dPNSag7zrCK49Xz9pcVFuA ktYnOsXM5TiKinBbw0DcfeEruIS8ea410E4lGe0y4aoDzOvOcyggL2qUCYvNYfykUGXUJhWlMoca Q85V3oV33RPMsM1b+VPExE4P0SUgr7oGTg8SOTWd1NBQH8qayqsCQ5Un7LBGsGWnd+iBU1QxMrvw 4aeetIf29HiAa5B0VdNyUX9A4c/xRISO4drh91og3kxj+0DQ9Y+xZnFCxDrltiOY2DuBKLWQbQWb +NyD9PIQerZ+jGrqyZVDSae4JezPCR9NAH537qHotLVutYSfD5VoPRfhCvnQxMsSWgGU4cUAaMtq N2DTHKxqMYgdALhtFGH8fbRXLXu07W+EsaTMBOHkMTrZxKS5XAhZobPte4fFFDDYVboG0FPo9Fr4 KNzRMkcjx6VyCCG1p8oc+KRmZezjqetCHZdrWiHGn4pLKrYtO1d084apzoUUMiE5n8pzH+CeU40w 5TyrCneOWUtlz0MfMbuaeeVbRqkB7YcbSTVDiOQeF+aE3/dlWWmjtqui+KMedyTSfFmYhVoMlWNi 1v90EzNphPgoFkeux4DMo97PEFmTcqyN30lCTtRvab1VP8PZ/+Cuzj2HnD5g8MoAahE7XKUAPgPY MTevpSXmBDc1Hs8zwdAEuEHvMEXli791w22DhMtQR0yMb4mNSG3t4+KwJpfRu/TfB0Kw9bx657Q+ A/6BMLys923bl/losxjU2ksfNFSc762uh7Pa6x47rYAlQmFCf4dhEtdrRrb0XQ05Ss9MoYE0HoHR W/fNHMavzohSp3jzZSP+rsU8dWBqk99kCEXRm0cFEtgbJQm/iei7O6n/RG5JC2mKpMRJVqxpjj+5 sPY8ujQoSFnCl3UqoM1dSDaNMHTylHJriAIqJoU5+d+ybvhc+RgRgKZyJToF5vF7VyaAJb0cf2Mj k9jCiAgoFswcebdsneTAri0CsTNiJjR/i5HHxvWwNmYei62FDA3IArKKQLXw+DIjzmOBkUt1rXYz IV64W15IqVT8DPAo4ze8QoWUdf4r/Tu3y9JHTs/rSfxQNypWG21WLXGyWwbG+8rkAv81l8L9/W6B /dHnB1hLT87lxI7Ao1k+X773tx2moVQ/LGyOCIBgKf+ZB5F752zE6mXvpzOTjsE5F/qAjkwr0Iuj bsQybuIKyR+5MroqWR4+pyP5jEauFLm6HVnfqHjtqJVEvTqvW0O3qP2Anzvr9NVSV+V83yzAGtIl dDyEwYzNi8yqpOUZdUwTVn6ty+F5ixHy69m/torwpdFsPb7jE/UQ1y27axUMo95rNPak1JE76YCm IRl0fKXhC9UHpdrnGsB55sVw9l1AzvTHMT2oz6QwL26tQgXhUxHXi05uvjYIli2aD6EdAP/CCWSV uYgKBxFLluGZCODrYBWZUwH/z3UxJMgFg2rF1kQFKlyLGwGf/C0Bf/raJ2Dc7vUWOTgkHEliTbBT CaKr0eU7EHHNSN4yP044H2Q1QLrOHNn+fX1UjPZpOxm+64CGgD8h6xnrxmtLjvQeIMoeig6H+L72 7/pXt/FRzWNJWBoSBoR9leWR5we+k5GWAbkLp9k+z9381bIlJ8vs5kPsgcN/30emfsWpxb0B7SJ5 +BTzy1VtgO+ClPpBUCLymvpCPCXnBiv9kTjPc7A3BMl291B6rQwdKPXQbOJozetQUKMSDHtW8M5/ 02YWTkWNv/gZSrnnUEkVkYPzT1/FboZeJ4X3dznFYVai0QTbrLYkaa70i5C33hnYHsRoAvQAE92M UL9tUx+WdSEUNcAh29cf0tDmRbUKeNJUacKuBtC2QVHuJy3a1JYf9A3Ifr8WDOygrI6k+2CDjqma X7OWvD78o5/FFBSCf+5z81E30b/thdPmj9tq064vwBZn6GzZW0hPoR/ntApVGKMSg5QnZrvwAJKV sgmdtnoN80bdgATG2KiZIDZhczEXPjpX/kz1PIGylMy2uG6+SJBkIgT0jdnj/ehESpgY9blvGYqd wSO1+MLRV4+mLcMBc52UJZYRF8qmMF6DluOgG9CoMH5cV3TgHnkJNOCePIrUltLGF6f9bogfyWE5 Vx34MIH9BbHAXURytjo5EFYJhl2Beno53s+ZYvmd1AsPMCNzT+zsowtDiaqC1sJx5DXQWDgsKEBS xa8fJF7Z18UlSQ4sSwPO3T7eIVFa897LgyCOpSGQm1zXg7l1QgXYpo0hHjSIma4swS0Eoar2j/Ja RaETDSfFnbT51Qx3QcgqX6n/GnnqwZr55Edh0FOPVhPaLHhBJ5W2dyPJFj8dHvK+QLQZjM6It36+ TkffB1p1t6VfYloqmK64zmzqhKeaOqtWwuJf8uxXUhTJsqkzKoe7NcVQhbpWDNNeX7dnuKsNnFpw rHCWvqSMmDVm3CjN6/iP1UzuFSSwHox6kHZxMXAdlIG8uGigPCWdzrke5soX8Bud0y6lUFB+JRsk +hmVJDwBGMG2FKEyw7/U4oIZZLN5UTSBvcuj01sXZZ+81Egc0+b8zz9rxO3HoF8jO4dmcAyhaqvL dCrW2ueo0ZoKgkPJHWJEquBJzamNhchYCzAQs6269dmrRgu8/pc5xgZ04be9HgC4uY5VTUbKGqsn uh8qib4jieS+XZGNnSLM3Ws9e/faiGuSbEgIw5k9Ph7z8C7WQDNRObXa4uY5kMD5QjCCuZo6osJB bURh44d+tHdgHdXJCG4CrhcCwjpHzxkTl4A9PdN7T4GXaNcZ6hMS4Hfkeg8aU0az66cqMQxNAAPu XlK/3eQ4UwwrW/OdpGKu4MSiSNDvlnGUxv872+BhrqNkS1ho9MYazrKJNiV+Zq5wgUUNHFdwpaj4 eLbNOs+qf4uLqJOPCC+yax0QPVJ/R3NKW2NF+pAN8iPS5DXQAaoLGfo9ltXExsy5/CyCiBokVGWM O1osmS51dQDBEX/Aoy2OTryWbowyraOs8+IXDMn/BqXZvMXBvBa/wNWF1qXUJLY9kR4cz5S6aU4v PWPIRb3m6aJtAEch+VbtI28UgH8y9/C9Iz4+67oxC5CZJoBsDluQz2B/89zzj0emWUb5WS0UlWuf K+pktjLKSNR0nyUCXRV9rTuEiRQTkb1ggoeKFsAcwg2EeMSYxY1zdXCwHKdeUUNwO7Hu3TmexGhz oFPgC+BulXIRR/YXeepNKsYapM3KFafTZc8wIWtQw0jSb2w4nZF58X/Yplu0LNHUdBx0IzGL4x1Q cI6Ts7crmn6mKqEUMNdghqqSbc11bChCZZno83mu5mpM0AgbtJdYPJaBCJ4XJQ6AxHTfhf3NJR6t AiSYI/qEAk6YWDYo5rXPzPvOxj+RjUKHYYCSmp6vAEd/rteRsJ6u90ET+DvjWVECOujdCdrK9Inh cv6YKqxrCbWC2o/bIcWkhl4cxdm3e34erMsTILl8zOhLlWQgDW6CHPFnv0PR9W1gR/gMMeJsXfCm ot2CpHlWgRyHEdqnOMlG/ueU1qRc9CorBQJO/JffD3pdbCHCea+XYqzktirRypoez4Xzrd8knTLa O67ScUCAAZBgQGPTigtAeMWk/ASvsPNgLn4o6DuoqQgDMyHKFKcnYjLv1RF4qhs4EXeprzt4mOFN CU+YJoE5+Cycj1VqamTktHp2NlafslZNZUSYv7SH2VRb7y0HVb2rak3UkBtOF+3zuuI23s598CcP 1E3QgV0LAXDv5MV+BXV7e4nAMpmfC/SScFSOpMtln09Z3vFvtVm4mLn6u646bwkKmON6RL/uLyWb 6ApoRJljZLhbjWUTdWsonbRLzJwS1+qQ1CzP8IeDkBlFubAlnU3ZJ2+PS91I3PCDTeayTodXICXP nR33vIl9dgwQX4eHLjPuJmg+/3RQJULIW43ANQDgHYkc5s4iKIw7Y6RFlebicP2h+ZcvBWFAk7l3 Saqy6EsZ/jlYeREYMXokEQCZLN5NCP7VhKEvIDnNUkt3iPK4jAIyZRfjmOQyOFoDv0gpT2Ftjmt3 7tDTj1w338JsSCg2B+OOUqSvI6ItE5lpK9Xs8neEFe0GUYeuXRI7MpqANGrgAVkqIHVcvraYCXRE H3hGW8+RVFmCtxFy8CXcTU/APZ1bGVUeMYF3DRo7jHjwWJCA5WousI2JcAm/gNr3e4KP4A1LN2Jk ARPH9Y0rWMoIeJx6m8ngQh/0sJBHG/qnm6WWjW07gJs2/2vMBXiTxld1HGbkj/X4HCyQ0tOlH10U pOxEXj7AvONcEEaD0HDiKGCK8AaGJZpk8NfWpweUfgq69ivc1+PtTMOml5Vglw4obh4LSEkc3iuP X1TfgpFwgflbeAP/6vX232GSUpOhB3+e517ldrpon5jl8f4kCIE6nRoFliTrE2WeGzv6zy8xxRxQ b7mXq8rgTCnetr31BtKwyEmed5TICutPNHwweY1Fi2YzKTNzFITXCwfHuRSPHkCaeaU90uwHRCmD Gu+zJs0ytHcWQ7y7tz8cMVn+oS6frG5LkKZRpd4i8AMCY7gsJQWNq4SxuxDq8k+zWBCrlysWWl1x 4bVheJvATmgYwobhLfEs2mjFLGqoMktepZ+VUfqto4l3l8Va77EODXZRug/KxWyp0DQE2gDqscBQ smpNXeptohYkZwNAAaGHWXROTT9/84G3WVhTNQQq/lTXrRHpZPnvztzsJbQCub96NQzsJSjLKDou oZ31cbcGgbzuC9z7nGGBjb/y43e9jFc0HvN8DoSgV0+zNmltEejw8fRo4jukqpSguI0omk3IQY4a obAs949Xr0PvwwBUbvX3O47eCZCTf+9YR/0muFM0MvPijHszM5Yk9YDGzsRHtwcuzZTXM+MREuJb /hvAXlSeVnKCx4WAycvztWXFVOiL8Eq8+N7tnberbpj44wvatd5pTRymz8qrYUvyAwcS/Pop3x5Y NjJvjwk1sc5wgSIscdwIJlXG+vbo6bdBxuRF9lJWJa6ul6tJ141IrfvfYgwJUfOZffqcosYHPsdT so7cV9/SbzcOljDsv0+syiSZYYJcLiY/AB7L/LKY79Bxpm+DoXEDbaGkuf9qBqTBlfMzt0cySmFK hiH8egq+ZYj5+HxPdI2/oINxm6MqTbDejUsNm/vLgx9YACrrr/qwl/UgkQZA/e8/2xGJrmFHM7ij P1F4vIatQMFxxdJihSGzHTtZVSWTvIsRgPxneJQuShekvTja6oNDD1SbKHQu+zadqbDTrxp8FHLr sk7HEIFuLjYF5AmLHDZe8qgyAN41bI5rSMLimm2oV6gfgJEIjumSE32pFOraRoTqgmgDx1vt1uBB 62SfST8quwo53rZl+V9LyPizdfto4CwJGRfLt6OtohiDys1gd/0V0LG9XZw3hpgNApD9Rt2uD8cL CivJSbpX95j+1+84kzZ5edApLGeKZaafRXS7v0ioc24nwD5+1qPGMtn51a2DxNjo/V+1MJNvKPKd lRbuuVfPQiM5UgxY565jyGFe9urzzQdaJewg2qnq1QIyL9mESW+AH9v4bvraOazOLVg7vNGALdSM FW7QQeNRwAUs4xzThTHKmV5usxesTuOxpQ9aVfS6nHaNiGSHRfNhm6Oy4/BLzj0BFMbd5IiIQNzd qs79v/6T6hXlRq9i8YcsIGwMZOiuL/GHS7cK2XA/DUg3LVsJnfYeaWKSG1tyqDsdDuw1cSKfP9M7 feXkE0TzyUbfjZ5hytcMiFZrXBRfTXw2dDgBGJlOYo9yCdobldnGzH3rzWA93/xrm7MnhJOexo9O AhjRU1gjlgMYYgMHzKsLQQhzF/veNxFkB/PCv8r6M3q/OmCimguE3ZBkiNvthPUbCAriHNZL15XY fkYFKw0XiH6eQIlAg/RoL+5g8fzrL/ecY/n0T1/c+hlwNSYtIRga/NR0saIFpm0s3hDuvlpNsb8a CtW1rKmU5qSXLMocy8yhOGDWhLnvK9PgOfo55oQiAkQI/uLmMeQO7/scl0R6iG0uG5JkRYHYxCap 4ymxB1QXyCkREhEWhS+N5E2iWRwJSh5j4faHcEr/H9CirLCLt62Ib+IY1BM0415Ne+QmiakH2zhz qms1sNWPUpF6Sz84dz27qpHmcmG7j6AnvkMI2vFG7nOenBNFUNjLMUq3NWuJ3F1Q1dAW53HjxWQS KlHzixxy1n1kn5MIB2qEBgVIHmgA2wuyXCcCBH/fHR2MdkjnO5cHsE7b2CFzcQyRL1Uo1y9NB5aZ ldvRXIP84eLtLhOALn7ytzC9MaSqH9Ilii8eAJ3rJ7d8r5kOC/Ey7bczNaFCG+DPgffQrQab4KSA Hk9eTHPmJ5I23Icct1LWR88zIsx2szOtnv3MGP/hIRb7/rUj+CKAWrzL1cnKq5pebrBS7+w1IDWd OXcMiSukddeH4rLpSXmCHdPcozi/ncl8Q5W9ei1p9NRE+H3k19vKet7UN0OYWMjfjW2xLxnqkNhS +rq8NbHxlgkN1Ote9JkKMHZIDmwaVGPPf3Fo2PqgNCDfP7V3OxqSlMKYuW+MXm43fymMOd6bhl0u TIROeODbh652sE94NOXVMtglveUbLWz7lJBui2F1mzFq6pXwEG4jVwhkVO7r2ZsBYEHAx83MVv+6 7BgD+UwKL1ZJAs00t6PcH1DEfT6TnN2rwsQHRicjDqhJ62th06Rx92jvqAVRsJY8AokEoXy/Xa4N oPJRPdpAtxMeiaPrTCE5Mz1BCHYtBYH+WwmKgABD6u5xjEkPz596zyY9pEKBrRqK5AdVDliKYzQm +tzO+0cBlYrmlVtRG9UQKBkiNVdA5ZmX7w7T3LbtV3tURs3vp25c8oUg3JgQ+EwaepXcEJUL9BcF OOAE3eZAmlLAfaJwDNQp+F3MUs4JGUgX/29JiP/Dd2I3OCX6f4c6eKA5qnSXu1HOu/yYW1qM5mQH VZDI1hwudp8Yrq7oxoCxtwiaW2fWq9EQjDDOPCE7Ixb6cey4TtZ3/x4J2tC+RLhpaRjDlQRjaI2t YWMzisbU0yyJ68M1oKC+0q8EJuawwM6KD72vIhSYKqYKLPkF+++12JZRhGTJ6r/qQ8KyQPW28+Qc x8yfih/c1KukHe8y1KwgmU7acR74a5J8yr0/0XKwdmnUGuBcwDwFqIlZJkjrKOSCd8os5GaJxoTo smieNF3Cw0AerenCkEARyHHu23ut0R8xnbSnQJKqLGHm2aeQ0TzD2SL++VSfuRlr8yUxqHDbFKT7 tToxslqnLIHWwT1ff+spAEHXZJgfi0kJ3GRs9TGplqQwHZ7zxn2/cJR/MZ/gDccQPPs6b4V6OQF2 POtdfLLx2dbPJdWyFG8jMvPVYXdKkDmqFEHkhnvwiBTX3XYRk9nhf73h/HaJsbTRKiCV2ZYfJ1MB lW9Koa90SI13B6jgs95SFkZQ5O3HCRmsV/RcdSDzOlowiM0uBF2XtA/XvD9nOtjZz8dgEEPEaIsu 3kTuNGmERBtGJ2U3dcH+gnUvJYBEe7dx09Nd7+ICSKraWbNh1V4ow5bgi7iv4oTpvnkGXd1R9rxq 9W3lo1SRUPzVLHbssBOPSxRMpWp6I20fH7Jf9obm9z2ywRnLFi+djk2uq5KkvlgJnAw7T2Xe2Rqe tWjRjoaTbufHYeNdVhD+lDHdImXF0TuFMYCWD2FrnD6o1WDuDWHTZ3gs+YGS03FCzO4bLNWxjddq Ri6MCjZHLCQeIi/hSDgPeESv03LrOzQ2ZjajIjeHfVRMye9LLVFxpzu95EsDVnQyqxyd65fbRk77 Jr7F7nGmYL+FPqnGF9crYyrX7MuXkg0tNxldTq3QqJRZ7CieUbuWsC4/ea/ddRiFwDnTaOx3XNLc PPekF1Ui/Nr3txupZqdYP3YlUMIvPTiN6Oviw8JglkOVmu3MLWm8obL9Kc0XHfj1Jtml92XgNAee YFCp99ou9t7jUWXpg9KlJ9/Yq8S1dL4IQ4A5Cs11jIIn5F+5R2ned9ZYFDVrtFBVo3tgcCOYR0O/ kuNoPXSCpAfrBtEcN0R44tR0OHWPXaEdDV2O5JTtEDLAIDVnawSw0hGaxobi0mFj8txUOet13Dls wixmUAxtqG/nrEznVj4G3Ch/JcnbSRbhl0eWp4q5G/4cCMSkkLDf/03AvJrJrLp+RKoThBXD9OLQ VMR3bI8n2JgJefIwUE0A8aP+Ce7Q0HH2w4cKrkZvFtzDuXrsnEOYWBXG3SfnMAc6WY8u9CgaGVvZ wfmh2iEDECwb08BDfQ9ipGOxOl8iE1H2LaE8mMH0DznqKeB9wDWf1hpWwv2cLYV34z5kXPULxUte X9n+hL2XXUrTT1y2vqekES+Ah7FO6aiKDxpZG6fsyiIP1GB+Lio90ftegmXX1KuU+MB37n0KMT6R vv5M//0abhJicAqFwdShjMsw0b7E0agPgZNajXS6A8ChNYxvFjiwSnhGa8e6o3d9QT6/BSxiGA0U 0hTz5q53LBZsE+5lmnc0FDghnICimsiw5SHLNK9KHjNpVXD7Pi/ut2CXqPZLlDB4H/h3jy82ZoYN yDywS5xr0MTBESH3hP3O9Qut9a5XvEf4QSActutWq/D1a9uzKgZVK/VTByInZPiQGD1X6MXzGQaB JetKqPGj3KwwF3u7ecJe6fCsaViICwLdIf15F5pJX06RqfW0ERd4dACkz4Ydd5Bya254Cy9OZqU2 bmlttRfRBi50dNLDQsryF0DzCOg5MBDiz0BlhYgyYUwYXln7hYXyQdbP8aY7o0FSKF0xwEeD4Nt5 Akrr04TYgtLA0lv7Mgxgfe+H0S1u2umi6HVgJw9/RhNytxzHioWgCmF+jOA75IZ9qhSVHygrbk5E QXlIhHBYqVRJQGY56rTpzrKmgG5NRGbZQM/NqRmggUSu2hZgQ1vg062OcfB3e6I43VTQ+DYi2iEW 4qpzbdkhlCOAef+ZmtM2EVDdzyJSNUiNYdDkfLIsHnGAGTKQUv2lSPCpaHUoA8+xiWLuuOph9A6z f6Fy9gg1TktX+uuR9S+4xXjehdKi53uA538OO0Bk575EawUMeS/yAXEoCmnFM9vfnNJCjNZrfLpQ NxYX2HgxrRrolJbkOXBK+kRNyuqqYfl4zY7I3HO+x1nHCWTbsgoaEcmwtRHd7GvRSr1He9El5PXS rf//q2nZnO618/34YmR74awQB/hIf/atVwqKj+52y6FtPtZB/mOCqE/ajCnxMYQAB8WxJ65hLRXU EuPEEfyaF524e5ztsnUzLw4GgYcz1WcECdAMnkTpgAJMn1XNdqoIopihRozVMByNGl7CyTRj67aP auw3dmJZMutLD/+EGuz+k0gHFTRPpFHQWrtf9RKYVaCH74PFWS3VQjG5tx8o+Ub2bnZyOmzZO4Qf Mu5UwqgeLmRk0lp4xG8UVWp6j2wIyNZgttyPUI+SY55AJeYOKtxwATBxjI08diOR/DgGPBzVMOMN c2tp++X0ORc7cFzza9VFHkGy9e9q+hICMyTsJqlXgv9wRaJY0M8nx+WqDE64N4TMztt51dYuSNtV dhx69hLeeGKrx6lhz97Gg6Vx2wn2sIiDdOZQQctl8pN2EeSLk7Rjf0AOFQZzu2Lf4apO+tMAvh8e rVcsMasE+P/4HZMec4bFGklxyvvyNfgZyYaXiFD9/1G618FUdhKmMCSAlt83ue1tm8FdgXwsN7L4 1AElO8LknX892MTeqzMq7dtxnAskKya8dMYEjRmyIhe/83eekstm6CVP2m84rPbIzFpvZJvX7puY 7DzP6A5txx5v1juO06A6nJ0pfw45OCI+kkD3MnCljy7zXzcAPmbTqNlWXwPvhR0dII84hdWBWwu9 oruyF8CxARmQEohym14ZY3mT2rmD4XtqmP+1s3xBqi6VXVwIgsdzkdxm6wl8rK3C+V9XOyGW4rNz uTQmyGTX2LPny38p6brmGprlxvjoxQSlMwEETk2H9O8t3iIW1QJipxA/lDSJpvSxPgwXW3m/93IL HnkhddxCC1fnNRGvxElUTkWdR4+V3MaDrf7rpKnqpQS+WPUXLxaj7scGYN2nvDxrVSmB5GbLQDyA 5TVspqvmR9sk6hDNTojnAv6C5KjrgUGWiTAGsI2C53cromVMVK+toqeVpl8zSJ4djAl2XEIjnzXl rNpdxENwoTLxgo1tA0MQ6mGjW+gzkXhPp9PJNpXTG8QVGFqR3/zigpDM3i2ZAx5jDn/q2qHyYRHS 72xrXnsW2fR1l0DTwUPskdR0yc6Jsu7xuYtLvkQhK4CIkB1qZaDD76DwHTlt8HgcD+BmY/fAmYhr VwkzopnRNdmQadNlKiwIMTH6XHgJKcMXN84AQmnaVHiFJMZLLXWb2osQHndKIrVLx9luY+GQeylG y25duNW9GNBXF+aO4r9e+jEVdsd2GuDs6jJ5xNAgl5XFdLfX91Iw021Krhi0a+2h8j29Gh1/pCHI cYZbMScEAJV/vcuunx38EY7rjvtzBwboZ4s34r9l1tAOqpM6PnZdwgILSfRuqWI7T3ReW7Zu/ijM VMzMwb6gvYL0LfhLeUAdqD47kx6WpOGt5FlwUBm2/UawOp3tMODemCD4WDiXnnEWLcbkBLfnS9/e l84Th+5ROZF9YjK/nzRQTAIctyU6aVOqfaDAL7sGqWY5T0LLr9SZGbFJ1q4Ah1VWvj6AToPldyM8 BaAYSwFNqayni8ESNUJFRoYQNiGZEberjPgQWBRJsnSPNVxoK/9UQ+8lUdTXnAneMCKp+pRgmcJz 9W29YyfvkMeoTFfS+4DJuFGQB4hrR7OaDROhpeRl3o1d8rGYk1ocSQotNzq1ZyGrPB28lPEg/ePK JuhnDaXCW4dBYbZaz6droVcbT4WYLr9OkOb0M2+RnN/LQv2bH/QHH0ITTucW2tbTSxJUpJmAQA+p 7FHcIeaMCaP0Y+mCLVB7t5Y9+sp7HbI1xUw77AuilNOgqlENrUi8rrw8RdVQkh545FuiZbgv5YA3 oTjtYgetA04I1YAvpL1++QNruK3qIIPmyTPAM2YU9QtZUuuBq7zJx1rth3oo+wMLODUyII3LJ4Bj 4JYduhgiZZ0YBdzGxdQDZ1E6LUTkli8kW5R9V9nDpo78i94ct7fpLXxTISf+81T/DF1sciK14EDX soVbhnbBGK+6QFcCAb9LD+gIWDRE9CLTRDzbIhwn2AqvPzC61FO4913MgEsAArX7AFjQM5ON+KAp 0bv0p88ELVrqLQJcNc1bzMtR1TP/81X6YhfY0hE737Lg/Be4DPFScRsAD4lbc8v2gJZ1q7ipWHZ8 VrfI9nIu8ncgQZ7swGanA3SODrPzd8YyffIWxXGob15PiIF1yjY8okdf1xJNvnq0yfZBqmrbZSku 88rkGgRpdMjKwHaVk9NHITHbq7apQhKtJu0CJPh5WMeoi0Z5TmWjK1ybBPz8axyTXf7O7rJlIjMv vGzYvsnU+tSsF9rCfg7YInyITpCteakYJtF+F4SD0j1OOq3eoE4loz4N6tH8dhnoSqSRQOVBfQkE Bn31p9seFtc9/F/7C6pN/keg1XCk0RoDlTAKGNNSwDPf43ThyDtUKPRNuRBRjv6mH/2uiKkXBQ3/ O1n2ZJOOrANVd6Ek/FVKsrXgrXrnS67DJqQ2l47bmlT0RkhaP0mYavMKmViDEOB483STiHzEmQ0n gOWkB1Bb5tSffuE9ELFkMoWrXClz3Swzaax3SKiuVggN5MVrpmODCoxlaKdqbBJXGLaHq7Klc79d pYFJzZTpKHver3bAKKWzHw4tBiOOfAD0uSTtSE/abxwmXb1GSqpDXOwRE7qxBo5e95tQRt4q+1Aa 0fv5TaNogQd0N1bCAoQYuIwNMlxpFnkdSI8bHckUlEfEz/XjWB/qjSzwjjq0WmTIiy8gBQElb6zA c1IUvt6Bjzl3ro0KFAOrZxV/GqkhhhqR3WYt7PXymJfGmbhooM9HHRz5Tp+DIDmKUjYxAhRhW5kw iU7AbrVYpU1V/JhcWpVWh8CS+bfS0xKYyLneXsoR9b2LBOyo1zq5zV6EUzX5fig6H7Uc0+Ub7SYW VU/+2yA75JG7GlVJARjPAuQFeGQaXVRgRIHGonJSC7UCUzL7z+mpVXbWIOVHiC+NbHfNV/yFNE6S U4K6NoSDFYHiqvsw/LEZ/XCqCrOubQVRz/jwH2CRH3WUlZiqn2ytneAW6xHpF0wYL3P7nZzbPuN0 dS+ccoVghjqSjWSpdPPpRCEWIutioP2Fib7tLLhzWvyyeRDMhpBEg93cSqQeZ9c5fpdBHOdJweaI 0Li0mOFGdN5XK45eLNddgoQnZ6PSDr0UmA2oH/uz8HNKTryNkaoa5DeivHnZtl2SxjLrenF+iiXH w0RBUrirnMBoMV8QHfVmuL32PmmayHVsviTQco8YBibQD3qij5Ec0M4jy0rG3NEYs6n1spposwdu iAbLxLhgduaUulw4pmI47nHTM/AfFN2gICmAT4F5Wo9E0KeRSmKKdXKPl+Ok79rhcyYhI9NIFmHw hAQI7zUYRiLHaZV5oqQNan4WRrA9ctZ2SOHW+AFrRK+rHuz7oT3d3USinRPna/giFf/Vt+EUXgHb FqbeWUpODjohO8LAqdbxtOLlK1tPXMiYm+0UFxt9CFYEfjG00aa3smHVUX8zICX05YbzfpZhKVUB NhW36x+ek5Y1U1I9hePdI0/0EH30EBLKE5MqUcPKvXd6ayM6LHmjKTPF4BrGm7VkFLBiv9qBEHXs PUxdL7tfWItkiu+tmguPC2H8O8y3N0/gKZYroEbIL0r5/71O3NrF1boeDdc2rMLRrU19Z2pJ76Bi jU19xjLdlBr6+pXdvTbWtFfpy3ej9zr1nTEXa2UzO9JE9Pk7Q6eoVoKphDIfrIt5tDUwaBLxz5ua YW8Bl60OPXOgQlnAfRT/44qJSzTFZAdaBhDDo2G2IaSP+Pw77ZQ7ftrpD1xjXYSwM5hdThynduZC woe2eFrmPtQVzbM6Hq6C6w9LLbLvxLhNbwt6oiLgJxaLIsJUkKgCoDGbDbEOzl4YcbzrVlhXoKFI BN/LAEzO2/YqC9Lk1Nc1fJg2fCjvJc5cCw6TpQtZsl6KhEcepvvrerwhrG7a0QgAkxvRABPYETFA GESetyqzc9/ILyE/DyOkj2abXU3bGXUQ0yaNktv7alAncDRsb22zvtfOwt8Ir/z+qHyZJBzVl/YY l6mt5zAfx/4OWacVUb60CB7vptbzzY4w3nWodsXmDY+Ae/B9AFGAYndr3lm7ZOYMl3giaft1bf1H yRngs/pwz0WnnyUrL9IGXenBvX0CQCe+IWCqhVzO5+dgu8uG8V8Tuu9UfgZKTrCfgqJBmzKIAewu asZRVHznWvvo/Qzl9OitOGn9HHXQj/r6ZkMV/AVIO2FoOVwt/IWSBdjGio4BA4+vGwV3tUK1e/rg Z3f4W6v0X+jPWInxcPNK+K+9W8g6AdLN75fUu8BYRo+AeaC5xkbiLIgo/u5ycVTitJ8yq5ol6HVQ tx7N7PxB1egBErTC9Fz3btHa+ShK6ZREZrcjpsQB12lqaxf/GTvCMkSYOITuvSxj3cdFOO2u8PJE RWFLtmmCnKaoeFzLItePIvibZtnZEZOwdgr16iE0ifqO1ZZiw7zPrAMs5RWgG5B31IF3yFWdD2FB FAEaBSjMCD47f3FMhLeQe+/0lG+W8PO/qbC6zhTEav3qI5TWt1hdsDwz4XSa6tAud8t08C1GKfsQ lTR8A9FNqwjGRynGMqYaK3YGCksTq0J9eRJSm/NTWBwuqp7E8Xj9qOOG0gcTRykGilMPuR/iFAHT Bad2efDVJCbjGXvAZRu4/aoFQRudr6gZN1xJL+6bkbZFtLSlNyAO5TKojYbXXqE7dfXA53keL3ZK UYRHkFkzJCCX/2aSry8bqTMXYpeZ5qVmu5IWg52LAYQauAi5K3TKHYd0xB3PyP2m+aZrhU73Mtnp +jNFYHVAGUCIAqcGgfQMyYkf7zxfxKxT9sjlerdd7hb5BcEbWg0NJqgIhJx8DzJx633BBijELsRf yLp0AlxdpgXk2Qg/RiI6uOY8flxQH7rnKkJxNBHIcdwUAwktHAoRLksClhCqGMg0hctuWKfH2iJ/ dWnzrAvBUk/VOpHezFAEN0avTmF7y9vnHDKUFzte+OOl7gJOh9wkSdYCPxh57CEsJpF2vG80cYOu GR+WeqQBkdXNNF5B0Wze9Mwragv5JjXMWimPGAZQcUOl9Gl5psMYwLSPLvs+s0KxYu2jnbFng5hy 33PENs+Rq7ZMzfDvx1e3vwYjGaeUSP2lYwQwzjTNf9o6RgBiZNY/XO+bHrF9+O6B0XwUROS4uHSq JqV2Ud2P1TS/aIF3iWEeDaBv3NNnwRnp6Sw3/G059TRzTMRVGIFSwBEgNa390vlRGDQrracHPR8Q F2ZA7OOPdq64v8wSzCblPd/mm3yj7ehoXFqQsmHopSwxEpmmhvYzZVNOsmzv1xm9YEOiG/xHzgSA O8zn8jS4JHwN7MfTrcj/BzS2pIY8jx7weq8gAK5KWiLuqSoCxyzYPWklx4ugN0wE7KmAg48N69WY 6zZkp7+vmmYdKGQi5mag5liXoDkv6c38zBV3Ib0oajXAc/Z2XDpZs0Xj0dYy4KAU0tPVOiwwxS5t fRS6DoGFUWl1kak1XvUyj1P/xruhBAHkwVN2SGmA00h2osu+VZFvh/Ho4fXQMxgJgw71ed+ol0F6 Je6p83/UX1ZM6GnpJzc0mHjIUCUrNfqPkIs56vwTRaZSFEKJ92VVXTvTwQytspRXF2gpxi+253zD M9R44cZQ1XDUrifmVbxhcJGqE4sQ/gDGCrcwgHihifw2svP3ole7ODtW6FKNVwMOluWRc8dCfUQ8 ZKBDUvS3sPQFDARo9IwRcDpYDjWXZBsjPSNESoDFJIe6jHk/xkcoKvdVhqskc/IZyJV0ShC0Z3DT tGKq8xn6zSnlJGkLZw2bbCoJVMCVwpxbTkWkUHr4Jz/gHeFSfJJOZtmlk5D4HT1udG2WGkJ123E3 mj/0RIyL6bM5hkEPI//yI649d+cvUblIuvtQh81leCbI/iH84wNzVK7EJrE0mVVJvztFsBa1St80 DwcGlttrz63KL3MkwGAcCnD7Ls3YkqZ3J2HycmVQRhembw9tkCp0kaIRUAtt004zf1nTyq+aJ4Sg 2xAeN5mpYk1BmZpcra0IYcDXccRVKGP1iBEFanE9abo58hmU8a40Ephdd66N2IYC6i8MkqkD4Lc7 XLxh4M0aSInexpd3qMUr8SlQNZRxa7XmT3cZZHJ54z048JoBuTFb9rMxEaeHS6aMzQqb0ulCV56M tYIishg6i+pKwjmMXQwHVQKx+SjJT+hKmSsAU/iaeBUkyLbkeWEtx6/85/mMcgknKXIX69Tbb/IZ Jo1ZNhSL6nmkFNyZ+gmfVhcAFKk0MBCAOFBYZEqJRYCgpDhfMH8SMMXrTS5uqE2aGuIUUnPs9u2J 9OqtX0Gvocm+uaWQattB1EBAcVFnVcqTfIlDXgYUjMf5Ss+Ktd6S+E55oqOJSGvpuUsHBMas55UV WM3Yfsj2reCLPCbWSqdg0mU33OY0Xw1u7DGNYAdItdIzO82iyIrb6rdOj4xhQyjPuyX2n7qE5JMK hLEoTYtuJw91BqKaEu6r/IHV2HcvpKLcDumA1kbrCRUuMnoqIWlgIr9+HHE4+WoaAH9z/mwT36mQ hySueSnIJLviuPQ5AR5omacRKasg2KRjHKW++PySu1Oh6LL2yNdWV1nh5/byQQRLzXIVRE5VXwmB iN7ea4140XiZLuz98a7QFkR8TmUAgkg2jGXHBsnQZDsdevbIpL1XlyyKrrw8nl4Q18CxXhattCgH E3f/Byudr34irDU0G6Tz3Rc8zlWR3ZrkaIuZ9DMJ9MTnR4hk8dpefssPNkzAV76lsranY5K9tDMr MhBPjPNtS5ItDN5P9RCZYdvthWg2ciDU/mcDeJtWgehCFqJ3ZxeyXNW0LfINJkzwYZxvgWvwPUYn swY9VQa0qhmfl36lhNmc6b9oBdNugjuaJvhDdvuIOnjWfKgAnIR6+vOpQCybsbJJrKrrApJQbznF pQoZhJUmLLd30PhVlKc/kstGg8KlvWi9JMWd2jpwY5q5QsGKPPhLcQCdX/Nb4ZR1KP0j7zKJdm0v tQ282qyg6SschRgjTkArRf5oSxckQSdWRIPC6/T+/2mu3S7WvEsHip1TRs+Y+MpzgNsRXNa5pz4G xmKxI4S5bTn8bBBs9k3KZvAh+XSe9vJ1EltWODnEIv2F/rfkfAb+SmmXDD+qmKUlt36Jb/IqLhbc nb6Jdm8I2tPviWQ/crUBOeo5UOcIti/aORbY91doqxiljfEpnCYfNHEdyIcxEqsY/JjIbJsXvvG+ n+BR9Y80Jf7+cL6g5WUUUdMHycx8FkuSv4JUhMzqKMPeOc7V9wX6XmWrzlWd7edXVfVC6NW05+wp PINiHKLyDcVSE+tKM5G91/LxoB3KnuwTw26Tq3kqempMXTV1TNWu5JAp2cRIQeDeJTIdUM9xhSWT lESHaF9wnlW44zw/Um5qloAA+OjfXPRLO4J9C5itwSVPFBVxtMlELO8zks25bopC3z41b/NS/Lce 9RdzE102U36WsIiNWJo5/krm+9M4Mcxm5STX3BevDRavajgxI/FXGPFuT1oybsrcrzoCwvMLsabt Gq2NGCgAGDG2oQqyuHVgloYbZlmh5oqkuolfxVsEyaOiXYhwHwaq2CXOQBmQ/N1gfFqRiCMjtrZ9 VHtjQjLzan6RvWCBMS/W/ee0FIvnu3zt74c16g8GyVXgGnQODdCoqqTCETwwk0auTEHY5FE4vyuN GSxobbf9rbWRBEiP2sC/cxJtE0g9fqlrHp70hPymUqfohdu7hkF2xTPxcLNJfpjUJ5wKtrEKiOui evTspSyH4DQlg/lyWQOy02ImvTZ/wSHMIWVTaCMoC8EoHPgaiPfN1Lej5TDv1d/pgt1FoOC0nuMi k4GteSEOEixICshBGosCRG5o9rTtIrKqYjh44MsCUmFqx0r+1ZL6gbywM7pAFE5W0GnthvqVnf2l 0zAcaGNkshTivtvPsE1IAAXiSzJpoon55P9nwiyBUlI3mlNN39uMQ+sH8Eo5pGUfMzTGgDF5nDg5 At4LvYAX2Pw9F7cn75LgHqMrIinBpVu3vkJw9tAwEteDNnJ70nyTvImhrVBi4XPiWyedgVqwmODe IUB+OYUYYMihGsY5sxR+KrxqfsGjIWJPuPTJ88nbBJBQMkmxiC+8VWTxlZloqmqBJhXLla4Bj2BX jHMY2mtDgjtekzF7k77LSrFulkGvfZGt8Rtr3OJBfd4IWjWMbbn3Xu0BHSs5pjNbm7tRhDFQfOUf a/YDgBV0er5nq7BbcHvYVUs5jfORiC3IzlKCpuRBFjrtzIg7tORqgGd5xQqrMl0hXQDqds+s2K90 3dFPF/NC9TOMN5gPBfBOE1HPp2brHxjZUec7Iupd2FHU3s21YmqLjWP9Rg/8hBz13mcExzIKrXjb Tqkend9dQPU18CY4RBn467S8u2kIwswdRFRvtXIpXT1SaorpVBZktt/Aey+bfCQ8Wa/GJcuKkhvW IJI+f8XgOcpbVlx/NkCuEPW50YZblLclTzEEnAdmSCVaI4he5DGE1Q3Y7IWxl15wLId9s+gma/Y/ qCkWnpQKQcZ7vjsHXLw3KOLF3M/g0tsIZtMzU5B/oRQa0hqDUBie9KlZNbYa5oyjQNET1Uz8BCIT FiYymqN3o7mgovdBzA6qjJXHUiw7FgXn3QUWIte/FHs9IiF+vMbGHEm6WSocoKWk4lrt3t7BGTaD WjqHt71NaPteAJGaYt5IR6zcEo9BzJUKZEWfJneeuX9fPvbGAKMVlD8LjpYn67AhcnSgiU8nm2Uv aOZlIbe3pOHcbgsPjW+ytQ0iZsU1pySmrk5juJSvopZD4MQeoHVSXEiGBFt647Ti0t9fkZOoVtX8 dA6YrwKrZ3R0x51eNBnio9CsMPZjcAg9UvhsJrusa/izWKaxU+7Pfm6qD2Taf0RWhzqTyKYPg970 6YeFQUZ502kyc1AFYD8Y+2nmGZyiLeZKUEyHwzLy1G4nqJ18yVPJN0YtlKJ9y+jdi6jLOMOhsGW2 Wa1/s1Ebj3nN1JUi6q7ySYN/kvlkp07SyXhzoRJg1Q4+IRuu+g1W3f1Nh7ntBCTKurbKjCTmt18L ye4epG5JvpGw2DwBKD73+KoBe35Srf3573Jlzf0m2Bug2bOzlp7WljIWHO62iuNqAW70jRn7FmWz 8N4REVwDIWukardOKdGsopXCi5a83VyUY25OJYvunK5Ea1kVcOekeePM8Q5si5Nd4MTYlQx7CmJx AKOlfdZiXDez650YueMi2QnIXprpb2pUeBo/bCfFDiclHyKT4CKxEqOhC4S3U1gqe5wBcn3CWqxm /cf9+qKwpXf0e0H7wej65p8iOVuK5uidYa/UEa9oVx1K3t2Rq/C5tsDx8Hx891x0bMMNGs6pU/9c YJKdtPOoMtloFsWr5cjuZe/gO59DncWykH+jDHQpAXuvUZPUVZkgTlz3JczfbHttRFHG7sG9bGvC Dw5pjths4/zyoX8uGeR/tlXlIt48XB1G+JSaZuXR4hZWozqxRtuIWlUF54fgYO6RuHLX0NsZPxMF Zh5Sxyii1aVBnPfG+2CyhD42iGmj4CCHGl+GNvioq3i0gdPKdW6xMqKU2yXoqE8fXUfNWW9h9Qzb mubIYE+XmQy6LDBAj6oG2E8u5fWPYUd5HbpWx7TXlOGrLdUsSwjWgjPFP05YC4ORlBHmaiWvw1Bd jTPle1ELf2e5Kue2R9cwLcDDyQaIASdTOu/Zncw/Cp0tSE4N8SA2W603NAO5KA0e1XW9uLARK7Hg KpyGXKLUverpRmWKZDFJnUK66SFPcq7nOWzdbjwJvcjeoE9PJLskxdDQlvuketBV5Aeh5oiXDe0Q 60cEhj1PRD1PiLFVpTvXvKB/3ghItzv0QM3oBBIy+a5TAfKAiRtqLAO9Yp3RhPu933ovZzrxj/eP JyyE7zzyZQwZ/10CH8MpOfK/RSPiUQS3p6JziICKCNYN9hRgGblNdfhunySi5etO8+O6D1s9buJn 6mPCa6kVsAwk3AxWxd1aj1YBYP9aOZNZQLofKVx40KY3znT3cWVJ8QS/ySfo8n2EerjSwLrZAq86 yZXTGsZnkvACGnyMJahLUuQ+lYrRUrSauY/VNXK5gSgrcpxN4sex/lPcxR5HSBGve0GbmYp5i4sh StpEcdn10IBED7TsDPb9A79xrUwUngulJYs5OcBwKlkci3naK6yNfiZ5xmiQiY1pFEQtYwV9Iw3C zgJSEBtRSdbEs8dMGLV4T5NKsOu38iRi5iVO/Oa9b2jK7yeujPeNiT5QU0rQTqqfo/ECYEQ2BnwP 65zsS48VvYeWqrbAqk35mRbIhGkIIktovtt0Q4DosBQMNXwff5hF7AibZq6JjBUpPGv83XRub5iG hcTwBpStXZS/z9IlA+o9RQkpwCWwDQIBaXJ1cBuXkss0E1u9eqqpBLgy8WSmLOoI7SsaAXiYf3rI IQr8mp/KazThXi/3sOezuWtfO1YgXzR7RNFjBSn5e6p2c8vAiJUXhRNmR3mPaySadCxs1eVvGJdM Mfj2OPzmCBJPa/AxB1cl6sma89YYEAAeLO6yRSd22/zfv5tSKT3v/N2gQlk7z7Gx0l2Bbk0vMJoN i29SE9ZrYj9yI22db1bM5231ClV9zxmXZVRf/Yj0COgb2cbNYCwucBTWna0+ogxnwb5OIuFp9Tch MqX6LiN3WM//fUOD6oVDSSX+kJryNLuxwSWSReduRfAsXoJSUHs8u7E1J8yJeXY0V3XyA+xnaDHr j6tjhpNdfMkocFF17WGvU+Vj6xORfbH+pKQspql6ydI7uWdMcOqV3x/jiYnJOBXNMVetaES8nHvb sxE5sM4xTjNxVCaL39WKhRl65yW3910Ct1v6kRkQ9esjkyK2D9RLTgOG9KF9ObGDA3MrDiq8qZ2s 1jowYgjSb82cylZK5cvVeSc7PZ0pLWSeLu4h0xyT9pvcoXoLGzh1sIk8Iw4LzacOGsm1Yg7nc0E9 KpY1ujDwLDYqOE5FyxVPJTrHo9/3FpAJSQX9A7dW2yygZDCthn6ryWz5nzERy7MaCnG9hC7kYKJP X3689dodtD6qroxODDuArdKMoe3OaEyQFtLdc5HRi5hYWa2StBwg+jWaHq3JIE3vt3LFTQ4qNW/t 93r4cT1lORNSW/7zWE8DWnMEDYuWlKSieMfK1mQIJnlvgPcNPph1tWHSfznqCKEK7sn1Cn1ECqwZ Q8PvabuyxhHfXqUN1HCKpw843brzlKKQOTDbzfTrW9xatJ0fAQTYOK2SCjc4aoUX2dE8Lr/5DDtU pUD3E8hE/zV1ciZfkZjteuWKoJGbyc1TJ//6j3przz4Dp+xlHVzjrFb5JjYFdsIxg3FkLVBI9lXp 31YTi/XBeNL9UgRiA9nmzjoBH7fsll+gcuJgBb1EgSgYaM46fq6Lk1EvdxdUXYUA5XcCEuH6A+hD OptvGqzIwkkIvVuH32CViVL/M8k/+NG97vQTHLZY4MC8js7AKgioIvbhJ9Iwj/VxOr6YjUraj6P0 /VO0fKmjYbUpsmVdmQk5pcfIOH66ZPULpNEG88oGNpHLl29bNRjjjZX67AJZ/G/gV3XjqrotdwF+ fHOJhYSatfaWjM0zyCSJFsidECxBm9fsQ2mczX1A0rCXM2kdXdwHlCia793uJOlC6hmCfU6a1jWj 8KmjysPeF7KUuzoAfvaJFsO1/Ul2cDR6y/QCNORehYTZM4owgTl/m3aV2XY5Ac30e2RR1NmDgWTm hdLmgjGd9B4uRsysvBFsljWI8XFs6XmNC3gGDfxpaanth9/Ls1QhhHLU/9/1ZLTbd3Qi5tsznfYG 9ArRe8wlYBBXrBHdfcOEVH3r+L0W2yRsDu2P2/ipYNjfbZozgdT+2FoiTmzamM/N8dHU8lgsWB20 BhZ1P/ynsPR5pcA/7ZFJNc9Dim0GsQxpfFhPOO4+VIL0KPYh/b6Jcm389WZG6c7sGZBUXmFGFRLQ p5zb8Mc6VFlrlK9OrKlKVhe03S2UOpTpxfAGrlirX9SpS83/je/U15rMxwCQYVDfQBvjrt2WAQrP IcTMCnVi7+vVstNbFIxAd6A+iolEuBoPJ6GPS7uiA4es7MYmilDLIdb3DQ1oU+yfLx01mJ9Fxi2Y pgDjMIaB5ZEZe8h383mJwDbv3T9n++pqUwDN71mdzK34WUp6+FQ7goKVLQogiVzWGZ1ln8AI1SH+ b4lLz5p8hdjiBYn8o6ozvgNvKIYZJf8tyuD/tWeefWecP0iff0Ur8d4iPPkThOK5dzQk9ZJ7YJ2Z b5iWNR2pdi6W/jkv4OoWyrJAOoodE2u+J8JQvUqeqgCijmB+9R870H2iiuKZ0Dm2kjDe+h6hAmxn BL23rviPmbsLLAc/4GKZHzbvdxJSoLjDuy494tut5fY/G75/44wnFbATh7pDqZ60KPmZK4XdjYaF ZZ35HVgI3sgyaWHJV3g0tp6NHWmSm11O+XzqSAm4gJIqC4knA8j92jtIJTXTE8ZOC/+49aFREVd5 3Bi28HUfe/tRcTFzBhi1BdJuZLq8eT+P5oRoT1sCDCHzUOnI2pGAT/9Qkrq9sA4xJCoeM1gyN05F KCuumRvGs2R2T9tI5zPNOotTv9oxbTmACCcWhOx6Et91h0tUMriqA79nFcDuV/gYEixnUF8BUllB L4epRRBE7seW+HrF77qey593HYgES5HLiOWHCKqSTEicIwJVToBLratGST0yP0GLnRAiWhYhQtUd 33S1WX5EQ4GX2AwVaD0trzBwVPQsIybc7z1UXRUgdNoZbnuCHnPvhG+R+18D+S7OEEZaoRmbrdfW pY1L4VoACrE+CW400CKnAD/vOK4+OYCamkIyRHMSMlywab95qxKfBedig6k3n9KAKOaVL3C0lHvw AWX5roQMIvoGS5cbxZ0drvCqIC3Tx6UeQJ76ljvRQ5vijkUevArowgP+KHpHZ+ygtUlFon/ax5cC E/RzGM0D2LGy2fJ9w65mju0Uvs2foiP56B5me24meS1tZBEOrPYDsVPoVLLD5F8RJFp3MaKMAIlU w2mZOzBaAhKFzb2U0MeEfapxbAYo1KqNEsdWzF85Q73aQaPIe8HjbP26WexBg0GQR1VOZe/UBQQl eLbxf4cejnfPKIevP84Stp1RUipCZ4VHtaRM2WRyPRuo+erJl0LbxDn/WfpoQfO22XOHe0BAMGJ2 b8Rd6DZT+njXXTK2v0YnazADx4H1FHg/REoUY98O56YkHQvtIf4IJvK5uhAxNTYCDMRNUzIqoUYt SCJwbftJzzcAKZZxiMJHScKuriKfF0LHixlze4dyLngta/SRLK02WCoKGKZ0uFvIoyCMfdVyCZrZ m5AA42PQa8sF0/QyQgpxN7JbE8d8W91KIyZqOwTsQepFvWekNoWHwE5zHsz9ubG7XJDBQ9MIfuKM WJZbMAcQmJIuSoLCa5kv4OqAa3Cg+ZDt3FyHmZLHksK9gmbSjil/C2C0cJVEleXaLSjgIzaQr3eR hm1jobnRZTaLFUSYIxKwABvDpck86o/uoB60CfCK4wBzSVynsg75SVjoG7Rqz4wh2iLCBEjO/pJb k+hM1GHsFrKHuBpecUKLtw5SQA2s7SskIyG+scuvQ7BhUUW2Lim0K6HO7B+McjHhO/mAvWThHU0m S7k+U+eVu+OQcNgm2ffLxNCs1Npxtnp1PuEg+lrB99rEztdJXaahZoARoW8QaYtu2M9XzitSpKxr fwrjUOO/3PWrc++EPm2SsrVWC59TgnEm7Fe6gJKv+NHONVVTY/v6msCpfULjce8LqpcMLKfBFpzP 3nb5s2JBZB1hVn4/RlGr8EpcW8sYW/mvtd77LI+jKDHvchZLvpL0OM8wSNGb3yO+dPYt3FzKu8uk 5VZn+F9EaVhUH8cVl7l/nItlrILvM8vWbV62sKFkE5KDIrZVGmslYmlF4DkC1ub1C+sWeqyb5l09 GEASU9qSA0CJoTpUak5g+2ktbSBFpOrkSGTXrr0rFhWsNdVAk0qG4PAJ8zpRy69eE0+AK03gHFRv e2Mvaul4D9wQWvjhoHSXB8sVN8EOqQwYPtzikuWwXAAsCrMMNpLJuqmydbSDnJmJnOg/0CWNlXYC JtWOvkw7ITBFCtpZhYVm4FVMfuJ7Iedtm5qe04vViUzeFKoyw93pJc7djfFX/QOb2fN8GKM4aLxs PExMyMKxnizhNlFh4RKIttfj/OJNM3zTR+CDJAYPLNVgSB4PqVNe9sLHOH050K8q3kjHUMFr00nh 54wI5ThJdgKXc/sTHBbj4U1C+0tieHXwxOvx/1jjavhSbdlm/qoASh4E9Mtg8xzJV5fIa4g9I5oN a1moByhNdO268ow0XLiPNR+th+ZjG2i6mnDounUXm73VhA+CJc73C5K/P6WoRwtmo+n0uYcjjbxF R8uIJmbFnOA/cVmaV3Y3ZW0giq0mKCMNXFTBEF70sn90BYVbV3QjQha0tYgqVuqIRpjIMIKaLd1e /pwL14yCsx4hexD7Z0GYdYSev7f9f8rj6sab0KJUSxozRrZhfLdtd5x+lTb9K/C7XTnaPg2rJZpc tezFPOQgqEx4/LQKKnFuueqYZ6GWKLREpj5Tqt4DdPv+ZJeVHRFzfhlffagCLJWxxnxhYd0SD5fD ac/71L/hSIU6ELGp7q481VgcHMgO+zmcMjXyf+ypqAUxkQgnVK4Sa8CGsWjzRJunYAElK+Vh+ANT GDcAsoDUuzdqP6X+PHNAnL1XtT5GWA9nSAetTJ2xdcgJeHexrD8WPiWAvxvIYwhjmQml5Vsh2dvD EDjfMWhtUr231nR6VTGrFmhMjvBHUPd3J0sOtqoV9acozFEjqF7aKGHPf9XvGNJnVOZdTyz/AUHu eDZbfn3seGdRKC7Qmu9N0YuhxpbEk12AlnrKexA2JCRut9kXIqlHJuRCGYKcUfUcSKF73025Ws0B ez4B4LPeizaSX0eKl8ymlP4J2Y+LlIn3yMWUrvsDDA2G3dno77/awLi+0iPuhKL5Z6u3TsGgoHKz eGxSYjjOP6++btn/qyjYx6FYZMimHNgxfGOTtwPoB9H9Fv8djxUFcIBriS1tdZ7M75wciGK8+2eM Bg9MJdJfHnkDAMglYgN+gYQjGF9G8tGQj8rcs7VxWeekxk9QByUssej3v33WtimCZJSYnXS4tHZ0 lHD9I4ef2eNzY+/V/KNr4JvU86pUX3C842CdhWstzNUjz6llxoMoccrWTAPTZKBRT+u71oWASFEZ UmuW+uWxKNgEzgAIpT9ky1frjBJE902KsGWq3jSNFviauvTS0nIe2tclEkqElfjMXxjXb4SNS8PT YNH5XgVZcVkOuvQ4w3FGW3BSBN9lkhjVhPPXNjy2/5P8Kg2WJxIDPf5NC9Hxq3piDZl9NqwIIclG bIXksnHYKtB/QT43Q8GFxM9iq2ZPinZV87wwOzOiJcgSGfTTUOVVKanCHPSyxSWwFsIJ1Nps7cdP AOprPcbEsnEL8qETzjxl/o0GyujAB6WS7WoiDSx9TCB8bZScshEwmKk+QLEZZToFeJRQ/8opo6wW n6LqOIdUd3x+pedQnwoPhO4/s8Uzx+WcsjbzYZ5xAgtSXqVS5sciUuZbOieapby1Hc4p0+8Q21Vf RnranH5LEE/yXeQY4AkSONuBvQcKxY3a2nE9HMjE8S6W+JImM+jAPpeCa8SctFcdVh6KmqiwmOVA l0blFcE3RZFnw0pawT5hlGlxJ6yWF6Co7ULmf/u6yYPLD8L7UET20enHK3xAqF1PRFU0MeMXMS6K 42MrLKtAdSt9xPwz1s9mSqZvTZ2m7h6oOAf+3M15ZreNOL315soNm0uGcAZ4WY9RXdoKPW/HhHIB XejZ1rcI//4C1HX9YZrEDXQp92nD1Nrv+Ee9yCYdIFgrFCvt3He2eSQiRN6wVwexkXvD0fn2uAef nsPLfTXUK39t0pyI14dCAhmN+fKvbSf7UyuEh9alevWRRx4fZL24YRnG94UPkkme62ldmAd+Rj08 gtBa2nl/ZT6KP/coO67mAJleKmCOrUcNuPM8S4nX+yBtCMmSgi6K/VTFt7i44CP3zxhzoYVvuNVx rULSBybeLRmynEpR/9T3A/sdRIiWBCEFBdq+0YcxUEQ9rwj+l4Nr+DoMb31UgPIPiaZlWTuvOli4 AtuLYvBjfSDc8v6Zebh1ECHg9b7of5BZqbqbJvYVdo6ZZmouPTl/YM5W6LXqpUmkd4eT6A5bqiOr Q2u5v2lXbc1piHIVx/vAWcGBPAXV/1WfWLkg+It1D6ocxsP/u2HnR2s3igoSybhql5V8cUJoQLYL MumUpSpoSH/veKmRnjn05fyr140019tltYF6CdMz7pRZuEjYlxSh1AAnx3gaLOO5/6tUO0zmB96v mIpCqonvt5l6BWySx1z6UZb1W+Ez4T05PSMF2T6bvS8eZZVhtlQ52ls4RYg15jfctyEuogzW7gzd mmkZLmg9LdA3RXR0ibuXBHTGT6lwtENCEl7YDDjRJeDuFCBvkFNJnTMefbNOoSx+o7rK8BoajyBP NKckw85j7DP3PsRj7+ne9pz6oh6QELwhYZ/ejjky9K3ebI5RKD4hGcU3D1pWw0w8pl4wgN96kMAH D92OWdaef+SQ8uCoeuZYMJPxcfI6M/Dir25Qf8rsRUnhkoKnttOebkbrwJ+xSrZTvvzefmjASoLN Scgq4aNsJEZ5WRXDybSlqjmojmJcnt0ieii4a45s+hQ0UEqJJAf0biAor9C7rwAZEyXDCIt+qe9n z/vvPZtg2nd8R5KRRVoC8uoCrb3/MzVDAP8B0QUgnpu4qYmt5S43ZeK5zgyt4T3d8EcvlzDdOH2s njUhCuy8k9ZJRPUPdj1XpUSs01YZeePcI+Zg165fxn1zRStSuHyA/Cqyy33eSUpM8YiUeQXlMMpY 4G4C7X8vS0BKspwwcBhU8LP3UY7dHqJosR1pbDSrssakXu+FkC7/PNXDnOOWyvCeSFCEcVa1frDZ IXA+b1Hrhk620yyx5cP9EVIJM9HXek4TEuDZrN5NoS8nRQW5TJvZ3h3mZK/EHKz8kuaPT9dALmfY Clxcp1gyOqka/7/n+0mIz5/ILFSa+oHwadJ9ugqRZ7jKHAOnJIggHDyGOMPHp9NxmxV5QSLo97FI p9J9MwOzCQj3Dyfw8XVHde26x0gXFM0IzgmWZe7+Rdj/V0+R0YWYQlbX6C8jI06uLMlfCLiSOJKZ lK1d7rRPhX3yLCsPaLw/okyQG1CAT8UEV+vZ+mnjgwxLoyHRhZ20IfRaTg6XY+CYV0aPzfnp6xRu tue4sH6RZTqec5caj5TflGVarxIHWtw0JtjIpnVYQIQPLhEhRTBa97vvfdYhdnTH/u2CnqcfVNpi oSuvYuEepE2KGfoec5ERkO+uThZG1qaTBhT2Q+GmfZOXAaw9KHFd9BfNXMgVcS2biWiK+PpXpUlE e9lArmJ241hdfZVKDLQWWZG9z6u1EUPmzgd5/QIc6uPa7HJah3S6YBIhUWynlsg4fU8arUPNrb+b Msafo6uz5lln7bePMzD9izR9TiJ60f4DfWSU1krJo3NWp2h4MlgMOUrin9EPWzA6qiSwzhAqOrML P5mgJa7SRrhAmaSc4msmik5rzGmjOlWGL8bToA2DGuoKCWtl8nAjc2LAf8qXQZIiBvwpybeMs2rK jDQK/JEI5XKr5axMgdLESq/ml0rGRI9aMFJKQrU+dhSoytIlyzi7AGt7sl0f5ycGg9HwhTka6zNL SKyBJwFykbVcy97gE91B5lVr5gElF8IKq66bZAOxLIWm4K2v3rg4mep94CyWqrDio0Kf6yjSUi2K +vuRXKV5EqXU2jY/nRNln2n1O5eaicHN3FDKKvdaecBVy1HR1yORmsHZXy4vOWY8ENAnnFrD77m0 0blvWiwQV4/h57GllFLRcQcwxa6b3dj+7MtK8+7onaCakIvCBHVnOmCUuEyL0du+I6SqTUSF5LSw d0N66EHmAU7fZVvMB5INPvBZRTEO++zRKTVA5ePd5xoJn2Rh1RVn8MMDaBzPTw11/CkPY6TlQlVf 5NRVl34DIvbeeRe2fBouASKUU0YwatW4M0PzpUq3bnvNOFRjemxZoV620qoEOiGxbhXlN438hk1X hRWu/GPB2HMREBzbs+rVGiYbrNy5U7pckMjrwt/BL7G4Mgzly8LN1hw8oyIDEcOcubIjB/UUW95G eC+FQJwSk435Af55uulASp9m+aLTBUDeTiactahnTQeGi0ZF5caYAx5yF5+X0mhXJbAnNXzZGaYf mxpAzVFmZu2t7Fq4Nc/LfWVLCNPT6pPW5r16LTj51jE6jboUkzpAxwaA8amdZFz79tKa9P3sGsFa 9s9kfv4x6tlqaHg7mIwPQ/2hqUN+oMzUm0J2W0BJuftxAYyFIOv4fOViez9eg5ZuIydz8yGukz/c 2DOxIAzy6msoopiWho9UNrS2pxQOiAFbj5SkJqnZsfS+mrU0EYEuaB1awIWM4Xch6gVOVmkhzk0J fc1hAijXkB8iqo1zQY+Nh3psHJIGM2cBSgrVunesBVC6yho52sI/M5o5r/Jl8HJUlbKjWKWSLCbB S3PDsm3oEukVWSFJvd9ErdQwifONU5CskyYgzPfIvvZLrTyIkZ2RB2++g4nHVt/sKemAyeF9zF/3 tJhHvv1215tuHh/ac5OWkJQ2M89dciDLxxNQPPx4nr5DaMra2ByFmQD+foQzgEtab1R45JLjSje+ 2uhEXij9LUrAV1mklDRuytJS9iyTpFH1v6xxyR2me2bvqc3HoHuKJ3GlMBuGbuAWL5+3lZFIHVTQ gxF8QzxLSzwcvQrPJnCNZhDTzwCTx5P0QZvQMHN+OJGv+2FK5ls44EjydAqz2j+LkYh3VF/89hRZ 6ediiSLJpJ1H/q2RW40qddPbf047F2jF1aX+fR9ZKfM9O0q/RjohYQiMRqi3zC19HLyvPGKjVl5f 74kSLkBDqogQzSv1T5MpohO0NYW56i0zbHiLISzMcoRcqNBdrgoEZNtm0U7FW02XDzay4resulcV +sb+jQ3NJEfE6CInckHpJ6fw3d/1GBfRKJTDYg3jVKdtP+Zv8oR8Nx1dZef68/oVFpPbkDNJgI2X 4t4WwoHzhuvYtQtbag5Y5F/COA2z64Cuqn9yIfofkzG+DF/+qZZ6t6Dg2ZN/mpR50SwclOvVj9Ay X84gUnrhmXDqLPlxoMJ2t8DzNAbuL0se3xRHR7o4lIEgxBsfuuF8MkYf8e+EgdXdG2dhQ6P74oLY FNYcmjiJhiXMJ4I8v0VTuf4cQprlnMQ/NzUX/I7ig0kL3IQmamkVKatmrcW/n4Eik7+9Ri9hQamm KHlBWPmlRoQo0+POXAwGN5e8iLEhAioq9hwVgtqcCuuUx2oFlssQMEWQFTkbWsimZbSCofx7t529 cTRJRuTi0hart9YDMgFiES8OM8orPDQhE0HBdHYxTXML8+7a5QGw9BdI/Rvgl4lVah2G2iYGvJRt LdEfLGl6hsQUNOOlvgJhhewbJcZuLWxO1BcrWbNXRlUSzMzyrreVRoJGY1nFA8b9y+LVIqU2mhTN IjJAL9I+o5wAfclkhFkUu9B7lCJ2zORryfK2LpDo9A85sNAE2O7cMt1GVFI+3EIaUxTOTFIgsmpG t6DKxMpB2EzCAW8rJBOzhFKiSWG4xPJW8ougmsyyNXVmWQXlqHV3wjCGCy8gAdd+qET3ndibFS0N sPLYAfBmlm5rqMZBBcP1cDQdkgdpMgHqRLmeolHcQNsdO/rT8BYqr8wMYGdHZ5Z1H/yAGOzQ8iEV 8E4Vl458ZK3k/F00+cclo6OVF9et5VlCtQHH89os4s/hoYCocgyM2OHT+OQ9NUo5h3GkzfwREywO /MSceAiu9lt/sNFC3IfT973It5CBjBw8foyCZCAhd03euemXw3x9Uc/OO78uDjQfBKw+Nz16ECeG rHRd/GAIX1oIZ4ZTBlNsi7kxOzOdJjsFQnq9ey+8cIbjssVWMhYLrywsR5xzGhzhwxeKm6mt3g2L jwkGTFsRwNuzo3NNxq529lkz4vasVI5hzRdpDYZ+upTcWINvsA7t1ckxCHW1xOAsRitdCH2hdVLI 0r0LWkyc40+t2sdmeC25eAUHBhFYg8qarkc4g/+pdt+r1auTGYW9YnQ6vs1YJ8ZtyqQ+7jrIFuWF LzamaIS+waBQvSt+CCYF/Ccj80pk6oy6L6DnS6RUElOaOilMRZw2ZGzMAmRtpPKqDG6EsAPFaogu G9YKtl1GVSDjP3XUetLJi6pXkJskjmcCTtX8Xhcf+QsCZboBMbZuyeFeq2WnTOhurtqnjy2yVHGZ wlTN/79JtjvRBKpFRrBItMdymhxbXP801XkkllLlZzBlJ6Ggwht+aLZLjB0qEYD7mjRUrHzUdHZz CNiYumQ6AOsnUfnfElcvVGh7GUPwNbGeTRZ6wR3Sf3BZGWwjuxGKAruioW7NtalaCdPiDCoqqeU8 DBWsf/KX1RtsYGWUKQ3RKe5ICmJWiPh/66YOBJUBycHH9wWRJGMBS09/C0JnxVh2ada+NtZ4v5eI 7/KZaHuOwFo7lnO4mzGmCzatd1VdJqiI+VIve2qL6PhjsY4hoH5/sHgwB7nefZ6UaCDvStnSsvWU fQv2FNXfi8iXyWATxYNQBj1g455exGf5b/aL/sOAqBwPfV8aCSzcOj0BA35eP6bjR0BFw3Kq5+Jx mFYdFUjucCns74sFfCvSaAdNLIWua+TmP3tpeGQLpDpYpDfV26visP+VXCJb8eyrypJiZg2I05I+ BNoYsL1SOlnHLjthykvEQBsyWbdd9Otvk38uprObSg5QunKqW3MiLcJDEd3V4raMo4dDFGAk8OC/ 9pU+kT7TleqNBwlj5u/SJg+L0SoJo2CVfXeZ5z2ytg/LTEZKpOZqRXQbD3A1aAMkpxs0bd2PVGyS H+nl5UXe8dep+D1XmVp+64fuU7is7FH7RfgBXEtUBd+JPHKUoh7VR4DAywd7GfP8MjZ0GNcuNSsb Pv0jXCc/+xkqa5i9EYXTGaFmerLsYDCh7+dspwJqn17PfolmaS4+YSklYmLs6Bz1rkPb3CdUMDR+ Byb57Y3sKS19J7qI9NoXdFT20sqUKrNrKbHrDmXZVSWBVdw3WtaEiXUPWcodeqmDMKELikcNlH8f VJrhpc/RmyDLNG0/SZw41qi4DYU6+LMfMnXDai5NnYMizwqSA8E+ZlDfJ/lUkKZtNYq4BjkHjO13 Va0d/SbtAA95CTTIhttclOTPYpGBTN+xLPhuAOlbwfFfifTmS9cphxhcRmIR6khuoW6STfmtfjgH T5sqxonb+Lz99G97rwUBJ3/nIQF1GIIwudiVIRQcKXBE+Pghf4p7Cj1Vfak2oKuUS4sgcG3eQ/74 UqkiRtYl5bxqYiCkoTuKv35l6dm3ho4TGBnIeMbzoUUHsWcpdJHZQmnn9hdqINxTXa0Ywrae3tDV y+bILe7k31z63OHjC8QLVCrodyAEVcwFt7Mb6kNLV7Hvls/3MKjCuFJ7IqNabdGzp6NrFHRrQNDj lstloX42BlxoZ4iU+fuDtnRZCyFxzval7RErMLjZ7JTFK0QA5FN/klv2Y4ZAUfseGZeppf09RQcb cPsK1OGL3dFgm+rote9FxK7Rc+egKBt0iYBm7XGaEmDTwgKBwVuh/1CVRw6wObX4Pk9c/3M/eU3q s/k9g02Ii+2v8LwLUfjhqdyuD6KKsTaQBPyGXmZU1+wMjicQU+WMV1TAkLBEV4aEqRvwE95Zvz1m SigDmppPUIhWLbUDCLrPOXMYXavbU07pX1YDCsj7kuRYdqDn5+Z7ovlxefFhqn4cO1FrRmCwkspM MbVAC2a/G3OGZX2krFmUC2DLIc7+/0QmbhgCSAJt7r9FEP1W9xGcfkeRfGfk/9HjGfY75Ea9tmkB kKlklRu09NhPuUrS4rnRxjWwnZB5v1tJOscKMfkJxrNZHmQ+07UlUe1asWHoz6POZM/DRv+MJJ3f +RHs521fUfW1VaHP7mGhLFwum9RGnMFiL9ZXbEeC4g/XFKp42njF3wCnvMYfq9AhPFnuOQjQ+Yk+ qzd6reU/gtQA35ouQ23VwrZZV5nKul5hytsQrt4Vgugm9DkIaG14+crMXisY9+O8vXUQCuHeYBv8 1r1WF7YtfTjss63fNg91kGCVhi5J1HDNah6NNO90rgHR8GkH/RhjxjSWtFfgXZxIPm96uuKxgv64 Cb6vwT4ETm4wgqM6qlgwL26zLmxjeDMtahpV6tQfg7k0bp8RG6xwjFmUPjz9DMdzWmriakhdWoPH uqaIz694O37Cfk1CqssC+Dc19wo1b0Dg9VYHxAPVkhwIZz6qhk6R1ikrmimqmlqebQYo7ET3cMt9 6AIxSdVv8ByGQTLJ/CxFefDm3jcmi+l7PhB+OVxo/rPwmCWJV606gjStiF1HEd8HhveHS371azr0 3Rbn6ERkzWMQneWh7VirIlo85pf0kxXq0vQUXZ6kdaReM+NisTLL3BzojrKmic7bg/pMVwDrE9A3 i2c20U1jLzBn5mVLZpYaUdvmysBxTcOlCSykZ6L+tGKh5yuFMJ2NV8K8T6cC0vwS9V3Jr+9zoPrL 6demzlKfFWwNNULjbQy7rFcctLyPB8OCBm8FcGqbYC4ZXYJ0aboA+tHFA8S3kHShux1IA26TRxkm K209YkV4Khpws1ArMBigK9Fna34Und+MUX9fXS/46IprFlTXoFTFC7FXk3rpr7MpEp4XbQQJ3/M+ nhJyhCN+qV2NshFKYS5XGSlLXKUge2EvxruulcaL+JBOOFo+BvNROILMiwy53DHYI0uFcH8+U9f8 3S2tikvCoA1qiyhdynfF6XrYBPsqEVmlpWn+irZeV875vggob87o7U7V5u+7GTTBjWpbPBboDE2o BhWZjWzmVymz/E2j3iaA9FlcWe8kiv74COf/akgLRUhfRs4fJEBRpHrOr++WbtHa8yyQncBp6N8G 6JaFFLj7VlM5nYSlrP0bCYeK4GlgWekWh0i6lVbM7JG/fzOA+RutE6Jrjgar/ZoXkEZb6oEL2fo/ 3JqwMxQj2lXjsuOCzWXdX4CxaHnfBp6xvKKxUg7puA6kdNrKQpkST2UyCZ8ylnwoIMc3/Wccuikw pTw2/pLtb3tSyNWUcOzBEHoG6hqQ3DF+qFqrqs7WPpffQY7y+QU844KnLT6FGKIM8QwzVHqs2Skm 3QiC8/1Tvu9TlqQNE1tpoupQiCCQtoqQ3lMYIqgQ61KlSJpeAnqzssJBNaAID5oR041hulG4Z/fk kWdG+lzvNNUNV9iWdIz1ZlZggXMn12PXM8rVNQHYu9LclNYg7s/z6+OwCKWpxjkuObyZmHg6CEEH noTkMPKcErCPaAUncRVpyX9zR7QGw8fhJmSIu+w2Y2h0xc4XOmmdSTpicicQamcqU0hi7cC+fSJO A4heqlk0/pSvq4v4JxVDnYNankuT+/entySIUxlnHC7QtuPbEEwOBNOiamVfZsD2xQAmBCrDWdYD 87+QQTiXCKKYsex/VILPkkTly3YESFQPDBM9rwevEbxLPnfVYomNsyfDCW+G9ONd3WPfQjDVcQfV ZcyUSOlzwvuG1+IciqpebUWAQzX9yDa19M4xgmCICD0OA55up3wNy+7ScYAsvebo88C2Gg0DSCeV 0ufFuTPfYKVCkEXaYmZL8EkafRfXxyLtoGdekkfzyrDEzjn7lWjwxWmyVm5TTadE7VuLcCG4FmFU vxorpCL+1Wb+8lXpWXEhkVNJFUXCoMdOm0PMtolDzgcFUZ3N2P+TkuWaNGh7xzwzfWKB8oTzG50t mAi7wcPgY5jc/bT55rmCUpYueUsHxc3Q/IHHMFe5Bo1N/9VRWFyUJ4EDi1TVkFiUSGk1f5Lj/EDy wOgEC0b/CuBTRqh14zrtTiLqYDZj7vw95gIpSbmGEjfeyoJxwjm08s60iz2HksX4cf4xegsysGJ1 R7Hbdlo6e85N55iPT6FLB4lGjo1RUGaBXsNr+qotllGVGzqlMhCQ3a5aUeRFBXE9ZGXXieqJwzjZ 5diHnHarITsFGiP+XwoYHnl5dELzETVPrPUgLYFNoN5A2TwKx3KJW5n0SXft976lX2qyo59lSth5 pVzdKbq/DAsjeJkWLw022wAH6Z3lrGgoyWUivmMpNiRAp8E9nZSxbpFp6njCFga9x7EYbhplMdRR e/3bqnvAhDB1P8T5SMMuyENPmlKkGJFEuSLjA+8xB5svPVmQSFEquaquFOJZYD3L/ja2by6p7g73 +Nlpnmu3snYWEW6rAyEK5tg+pi6vIjvZvpeBEoiaj9Y+paF+ArtLIl9l3iFwBe+IjLY7s5rHot0I NXyx08TiGPgfqhCIobiZsIl+AXeGbXvSyJ0/sAYwGqhXifud3Idz0jVncvcK35oikM6E2W+sqFZc Dw5vCHSRLmotz9NAFEEhyuXrjH9EChWE/6c6Q5Iv4QoZLGiYoZfPkCtRwG80kOOkgx6xRcSFcR28 qCDZd1VhYTE5IjccpUlqiy6LgPNoLdh1GcmYQ0CQTJ71x+7A5bv504u/gphXKU6kzK8Tqb7AOaHc o70ZmQi8IuMUdYrk/zjwrO2shG28NBlWAma1os17sjkViHqx+4KQye6uSFtfNV227W93KTx18PG9 V1Q1/ZB+aAFe51auQTyN4bRKIC59AYc5+s3F9Gz1b4IeqKZkW1zV92qvK4Rk7zBjMyEGVqcckHHC 8+v3u5M5x50+5RqgW9q+uN3wcPIpPvgAoScy/Y8jOvN9H75+aWwNGiV3yxkGYBaiitfQvOBwxEF4 EjUR1RUPPqdfmYf4MX3zj+RdU47QYp7QBCT3zG7MvBK1gQhIur+ayULRSl617U49zw4I1D0Tfxwu 3p2USpoRmgV6l9c80bALlFgrE6cezRO7CiBu2tyVWtyoDJHCWLXMTre6IbNRLSoXfDCuuNEhhIsn 6FYBjqYEXoRi5gJKwvnPM8nrw0Op/hAeqHakxfi+9oBWrV6lc5ZXfelAjPu3f6ob4NriqmXjsZWt CPs12HrjU4WycO9GlZyycqupJVnPI/FugXXaf43E6ImW+DADxE5arJDGzDMosr01BNpuDgMEKHJF ZN/NBV/HvMXc5NSTMbmkuHCulyQx3rVm6g8wmQDPzLyqXsIQ3JriYAw6MdKfHba91GwVNM8pukSP ZC0rEK0xEboqTP19bFykNFLi6FqUGgP1mB2w7Y6QiHIqNLNnkfR+59baV1ZC/zA5RcxZwpUmUIB8 /kI0WPfLXuyhuZ6E52PRNDGm1vVHfWfM046VR31900qvNIUp0Ag3dZnueeozX0x4edUtEgq3Kr+9 VXbsHPLTCILU5sV3+fgVfhKOLqzDEyHxsK3+q+OezwoL5YLjJ71yFeUC418sBLwQkJCq5182F3aY wE6A8MhqdPV9LQfnIntCVgnjrkE8+xHbTGVzqY0SmzUoW/CjPSS1kdWxyar5VsMt5yNeGsx2BsVM tkuZoCfi1qCcPZHzC3woUVnVCdxH3FtNa7sw6/iyylWOER6zyqdQ1nL+Fz4mNzxj41DPRookbT5+ +TPHu/XCUwk38IKLeAhH47a332fxTymJBy37MyCI62mAJRTGEmTnvybRUULyvQxBWWs1+H2r0WYx 2ydc5X+yWHp2WP3OwGETADACW0EVtHMBQPahPfwmF4dfytpKB9k4+Tv1Y7C/QSI7PveWC28TrGUi aquR4j+B80wSJQ3xqtYmOb1wcx/aBoBiv1PH0acuowtPcAxAvxptgEkqwdi8bpb53+dZDLdkzhRI IXI1c5ivayDbgj5pNz6Ym1HKRrjcLFGaAfMVT/gKZL1H6+pBCtFw7lGLIC+c7f6xweje6wUZ+Ddd IhlM4BjcONTpOWDqfXGGp4GQajjT6FiZp5IQuvJT8O+CmVbV6D6uFnoHB7Ynlop2yMCHcjz3kBcN fMRaVwxPzXorWleCmppsGbR9w1lIepvad1cFTzhGdmW0fd0mwffvrvjG4PQboGKJhwn2AgBRBGmR NUXikJ2RswM3cl+z9uRhw5Tg+mZXgP+Q8HN6KghlyGwz2/jFQGElLC88iY65lcUW/Ohs9GdaP6Ua QP45ci3jkp3WIsjjD26JBowmCjW9DhESfkIWj0mYNkZ5TJsGkM5ZHGvWsL+QpVgv80XiENZ93sOk S7aDuGZoFOw/LOGeQLw2LEu9qeS2W+7SCmo9xL20BxiObk7RL0y3jz9pso/PjDDxFpSYerRgd8pt VHkHrBARhTwqhZdPU6PiWkW2B5AoQyVL8CMU5Vz7goTiXXuMEFvSwAfg3qdwVtTnq/Oa2nOixAv2 RodRdpGdN542lNrwsgfNBvgmRqxRaRR/2kxB0rogsNmAeG3S1yH1MD/e9gXdJKsPpzK3pYtnFTOm BXsz+rgBIwG5y1JobuCjDMr1xWpbZC6cWwtRnOQxi93bGUHnlAYirQcS2FF++A9xgqO5yWhD2HzA oTlIzYIA36hJlPI9nzU09DAlSPfi+JN9ixIo03TrxV+hv8TkKMQc+5odIPQRzYW1blHkG8BfhDkx QTShn/8OnfMOeiR+vk1LGwatYEGpKux2TYy8EvNpKYDlLdLMpSEQLtbNWnisaImkug9OssJvnckm WNhoy1ksQjawnwNtcRVQdFTomR8Hth+dIL+5/vfASiO500O4WCJkXv2FI6NzG1zDMb1Mb0VWOUdG tIyTxlYbNUKECYwfwAzwcGOLgabA5Phyuq6xN9tD+xNf7wSKF+tbahy3Xvr2K8oWnv5NGC5ovRZF wSzj4JLTZH4qxKixGbf8H0IEZimw/f+mVV387AZE1GtFHVsu0WRjQ2QfjCjk5PTEAz7dW2UFoAzL gajD+eh1FIgiMQR9c8RwX7zXxYiT4qml7zNicbsGRnTGenbr2ELkSvn9Pbt9GDAkwGwE+5ZVTliE 4HXEYkIXGOvGIFf5VWITsAtntMRBIiYiocRV5xD8//hpeimlw+MBY1eRzLae+tGvKLdiK7Za69Ux iomZPK5HEZP5nnnk7lOqEFKZBGicQ/QXQhbfhYryuDtpyIDovaBU0lNIIrCdaoLIwzkVllFBK7Gl +7raerginEs8ItfEi4WqlL2Jxj8uISwzLx80RBO3FnKmkZVzO+a2Y/AhjHaSAzx3PHUYTZxBQNeo ByMDlr/StaRSO9W8hG9e4R0XyyEXsu2y3lV/bYdUrt/bjk/MgG8lX1E9z7VIojwf50v8Gl2V36io yXNyUNSoJOHbM/VEx5w6idR71rwcp8BLJ+SWUT7w8crWkvNolfhf8+9N8W6bx3sQFQXS2LGdi9UP qYJd3L9UhiS6SMcwGH9CEqvY+ign29EHZFHSjIws6+Mup9vnIuHA/LDQWeP0ynnvpfsMHAFRsyo+ TWZa6QhdJXaq6JFTpDg02Kw/Wdx2vs3Gad2gYoxPwPK/W4NP/ev4F/80UoeZK5ygH0IBDemX8caK /9w0Xgjt08CPh2QCVRwqLJi27VZZrqgEq30qkhZtgMa8u01S+ZHQnO56+a2ou+qfLuGP4eRvz/TI zBfZ34deINXdiaSJj79gL5XcVzocSI7fIi6HIfwNPPZwt11Aaz3vDNKCFLUi1GwwyYYpovcCwZ0b wZvZoqVhBZJa6cYoHtymsBRREiWvAxTEemT2BODLocTEEOB6mPH9LshRVXHU3bU40xKa3KFC+ium g4rdcBcw1+nW5wtvZ8tQc9HMDcBvlX+FCWX22rAM0zDZX2JM+iF6HZUVEGFOW843FOg3H1e4Mjta LGqTLB4SylQ33V4PWF/hMn5H6+qw32MwVCo7J5VW6vSq1rnjt82RyiBynLPze3UojH92xDfaaIrO PM2sevmI9p/t26vVDTt31lFvcHkGu/qS2bdkwtpZXbnaA6qPICGB3tTC6y1aDAAEQeE4RtI5UH8I 6riLab64Os5PW5iIkQsbrpRVQQ+BKDMr43xcMgEDpDlqYqsJ66+yhYYbDHMTr0oj1PZWqzx7GTLE WaZSs3XROzaYEHW62Yjn0IedR4jPcXUuZX7uPyjFoqn5XXF+bhHjQT7fklYOustjlA6qc7UIAaaA kJCm8MvCWA40s+jdx+rUt/NT4Bw48NBKOx5PqPNvWj7DxfwJUhoDv2n8mPamnFmRrJi6vCkHnqGT mynyH27KTdNrRsQu5iJSu01aS6iFvJQFWQpQvjkRkkyRvAJbUmY+KRqvzPabzx6yg8Qt3RuCcZOZ WgeO6o9GaojwnUvWeQO3mXJm3wjxp7SgIQb8dMpYNperSZku+MFQIFbjuTpB341hcj/yG3/7q7Di eQs2bA8Vu01td0aArCLfKxVV2YCUo5d/e2vB6ynM3TJIA+twRwcs6LkffV8SJ3iui9GYqrRDPGRV J/31cdGNGKTt7rOFsAFpiMiKSS6HC7YN42qbaxo7n0AtVb5Z/Mg1LMDhpTOx44/xXkDof7jp78Z5 UHRjAUTNNXr1u8XnTBt2gAwR5fqPJJ49p/NTqmnyKoUXZS5SdCSpBas+zSf2zq+WMLBD12XhewDi +0GEybVeXoH6rRPUvtckJ/MkFSq4XDce+HmY3uV7g8FCKc4tu7m05tybRWC2H5G1jZxgwvzsq3nA OrHzfKNmf4oqlqULQtDL1i1IQyPoXYitZUV/d6gVEws0I3FxYPGe/bMWF+Xmh0rBfRjpRh1H5CzG 7FVXhDUUxAfzjxqP69EkS7/hn+WOW5nvL+nH7RmomJDUqW+rmwDWZM2pcwUKCRzyYf7RgKvoIQOS TG3E+WNX4BFW5RC6oPcHXXC0qL9wiyab/hxh5ZsiioQhEec87pVTFm/ZYCV8BEn9+cgAYL5ndCIF iLXTt6cUyHPMukJrZYOdf+MVIT30eyppA5sy9O2AfwrK2xl3edjajFukgI41u3moqctXG6i69Wh6 ZYItZUZut5TW/03F4vC50htffxOFO/2OuTTgYdJXFC1e3pTEgLuH8QFgEWBlP/gqptdRPV+zZ0S0 y5Fzt3o1iPFfbakKOHDNp1BTMSwvIy/624X42VKBZa3smIXvkmrmTUd1r06umKBvuYU/XCrMvJnj FkyKN3rwhcjHTTRrwbAfCAehGpZFbjM0iXSC0O+5113t6GksobitPB17NjTOYQ5kulAFecQDEZfB hHxwVeDTGzE6Pqx5BiOgA4Q4EtQprcbNVMo79sp++uYmxu23v2EyedF5wd+LGfl1SjCBtMWh+wi1 FLuJJpYljCQylaxNuDYZ19gqb/lpZcrXpcXYWN993+K1btz8Z5XSwp5U53ZhvNPyRgAWGki57z7H 660ief44jOo3iki5cDSeK9ihEx+JPsRJFTI6+l/PRkGw8F7qijBlHtiWVM4YhO6zcxmxtHP3Nr4W 5s5VGrm018sPr1awzsn1dsJtmhePvt6nnUmp1uBFS6LOhwKyPX4NN/cfHCfjZ2cB4vz+7PH1HrQY xDzHrQPswFQe8PcLksIykTN4cVBpQCI4pIL+T7ezzF9kaqyDBbSyC/wSO6BR6FHtb01duwnl3xSG x45M0aC4W2ukQ29xjvR1LQudCIcYQIUUCRbqWrbQJR3fzflDUqguBRjXj5foW7f5UBT/gB0zB8iG +OjSmFkVI6l82yK65clft2W0G1nlkXyk1lxz3vOEd4KU7BcMs+FxZICVq2EQJLI9huXQ9LLiSeQs KxH7ldltozkLEnRBwq/y7McG5AQo6NvOAEaIhY7FM1zMJ26GVMVsNlg0WMEnMczyLejHsuxNCyf2 qSMChglFJuLDCVKtsrU5DP2ld9rf3F9OMoBBmZX9uYnF5ud0srwAOtDewn81dofUj2HeHq+u4T9a PdK52uARec8SsUbUnnWPtNFgiJVnYndPP7N8RKg+exJPePm8O3iJ8Es57O++kwSPGGRl10apQBaJ RyhDtQBEBTpQc0OAOUbJ2M/en/NbQY2yJs3h3dYMvVxwU7fW1xUL3PB6myXj7UaxlXI3hdTUI+ZB 426hkX8oMNNbg86dnqrAr7JWg7SIDc1eENmrY92TPAqD653d6CBZ84t3+5q7CBxEs/b3k9fmEMn3 hH7+KPRu3cvl96UixsGn8mICx/KcUp9FpapH3YIzZcNJdyc0ywtJdcepf4jby+v3auYklj8BJslH bxPw+JLt6W9lI8xTFuaJVD1uXfnf8YF2XVvfoTuDkHQr7PSFMpRzA7MoaQJKAYSkEe007xn2D88Q F9toJ/cExWoKGDin9grqH5Q0rfkecDphidjA+mhuCzHWkB9C+mAHFm2gse3b35jSwL8owEOEyRDA gNttZkzzVPv6kOyv5KZIskqZEK6KUXt7Fk1b97BO7Fc51iEhfVe3/7dRRgHDVXr1T6S5xW3qUx01 OTWp1MUdRUOUfAiInWzuC1zjHVVp4xwzT0tBcE92zhWVrn23+vxlxSIFlbQUUwJUR3KooWXjx+dE UEacuvwERA3VX4fFBdhjBS4ONeA1bua+XRUnBtC4S7NkpcMIavd4NUTnzB8GIv/OLbjS1hoDh2Ai vk8neKGN5q0ZFppEVEvm6hNdT4oq3BmLRStayphzetbH//eSv5vllCVsBscfuYV+G/pX5GvDWq2V Ie6WeWhZFsKhax2PQI4rNZc7Z9iCqY0KCtRu/1/Rv5uqebNdj3T479XKxpQou/Lthtib72LBoDME G1eXELRFmHbl4iRd5qNUnGl4lyDWMbqhTSck4K/jnruuNlG3cUE3V80cz98sCgDbaZUrUmSCV/8s 8B5Eov3smtCe1gYswmNEdOTyn8A9f3lITQVhBzvU65T4kkqEp8Pbd0x/h/dZ1tK/q2Ez+q2xnb/e n6YIusdHTt2br8J15DqQ+JB7kwMVLzdlFYG3W5oArjW4DzPAo5UwL3YHBlXjChlTX25VkcLTkNew +boTyxnU/TeU2AjklhS+GhEYrGJvHPOtEBfOJM4Ptl+s80zh6mIfJT4fPO01PqyB0GfyI6e7cW04 rFPIKjVXydFQaaLMfhHgsK/xRlENohNxNgeiuSWe8Pe0Li406WV/MLxvFU6kpCCJ+7Dncxs/aCCJ Iga/5PzyogLRDcjhv+2VxiFyP2A0X/95r4Fj1qac9sLUGSGi0E3SH7VjsKcBMzJ8uLc7pxZzeOML yTcpzEIL2y7+GsHrfrBKpqDcvhpHCEKY9Sjr8XpMCfKgMD+AvAfZtm2cao73zBD3Zd+aKk1UM1fO c34TC/zq7qxkmk1N/J5YWTneUkVSNeMBmLmeisI8rYorwWqXNhDD0nT9lXgllXn67+w/JiOy0IxX wTTv3Rb1kNvz0n3LoJLQTaS2GqX1gr89pEUIcWtBLVdLn0BTPRJ4U1/soWCvtdS0TqxRSQgPDQ99 Gz3LPpXCWG8ZFTTldpdLpwEn3IRQoZMlxiBQIjvqH5+bvq5sSqT3SLFKMOeCv1YS/vdFxlEXII/j plX74mfbX4nlS4ZUeQ26zEuKJUYdUbberCkQPwyP5lO/lNsAk59AD31PW70L52mTCac2rch+fGhF qgitO2RUPBThstvMhIV29MoR6xDzsJrCdsakjmVQf30/KGdHwGEQCJIEFWZiYJIfLKepMVXgM+AR g9ucgqi4ZuuIbZvaI8Xlpt5NuWNCHwNc1iXTBOWucfsNkl8tBA04Xujwysx9yjoPczAdOg5wdoQe dpn3TVCeJ4l+T6FighPBRvKODEndeqolLuUF37+AwyXNInB9oXR2fntmJAKNCS8UHsB9I2u8/U5s hdInxQt2F/UWHR7z/183fmAYRDrZKs0b6e7BAc2CI1eJeu410Elj7jxcxszKYiTs4vvVcf4FJNsh TUiKdFDjdT5MTgubWLU2aeHrMObucYTJdbfrqMSnqnv3q4+qntXoL915bYjRETAIXFMtP5eHfYX7 spRCqV3SFjxC7OVCbaHwJ/qA6gBEGerZsVUZ9liB6B89btRTJZ8QlTUShZvIA0eBnpGS0ub+RnVL ISOpVB0QjPxpcWm3Sk9eAYd1qxwmUIuxCvpN4JSnKeX6yW+U7ASp4O5jJKgg1HM85Nz4NYf7aVGX JOGPUC+UzQ3Asov118+M+KZEcL3k8Zsw5CJpSw/AP2tuQRB88Ahj5hi1ARoqr43TVSkPm/KYobi3 7wyZUjO9sZo4aoHwdju93Mv2oeJptHNk9CTCZR+astWOfZOR6Y3KQZyJYDQYBghOGx8ENQNRom7N 1lfB9LnpI5MBLfcHQ7Tml4AIv+dBCTWeQPHWZoXxK9FsXdwOqLhwVMv4kENj6DldBgOsHcNL/tY2 0mvCrXf3UC7XXb3UCuX0zAgHFphVbX9OYKHJrpyjGUJo4oGTK9O0lEwKMB5S17cQ7xVUlDvOIrbi 4K5Hz7rvxkd1fVAZcmyF8nzFlAdlSO1p6ilxynKxp9cMbHQ+ul36ObRneZW8hF2+VkvC7AbLzTGN zCW/cwCGcXpkA/a0xjJ81jHvbZemqDw4gKUjbcpujpVN/QIOYEwG4WDtmY3oi4kLQgQftQx7zoFj 2Po3syCvJQAeVv1mbe8S1smNy85HW/EG4TKpo2sFq/B25Ylfxg3ybVD7GmwCmJCgKnMFCyqyOPYz 0swdrA5/xqGW5OFNeLw6R7g42ZmONKWW33Je/HeuMRAENMIOHSqUKVJK3DzW6VJtmrL40F4/4XZ4 EafXhkvrQ9OTbrpdc3iacSg0KFyQKNOmlmN2rgUO1RA03I6LWiaULYKiXcJQ8xvn3tribF42QJVH KldiHdcj4XavCd+wdtU7KEjKW7Qeo2EGj7bFdujdPucj/0sPlRJcMxzKtnsUFt/AqD+/2T+alwmY qwTggTgmd9QCXE+x7XP01QFNw7/2nTi6rvtvOd6aedKuXfOOzuvfNjxJzTd4P6W14DvVbDyelu37 uZ5YSVqV1K7ZfJUN+MWMsDrRKxMJrzDkav2CyXeWm/J4aARZ8nDqxQ17GKLbDQNLq6u3xnYKgnXc FGSBpyi09BMwo+NlBqzlNhwFpdOH/OxKkGNouQz+mJ2UYnrWZS5+Cdt45IpbxAVtSru/ez7v3pOD v82ifByNSbnc7jrX8xnfB0T6pTR7JLqVDHIUfk6rNkytAtfUO9C8r946g06/xW1RjjXgoBCTWOfp bz2l1ZiJCKOaYR8U9ogDsr35T7g664Y93YWbwDq5V9mJuz6FqV/nxfsSyyr26Vrju2HPfc8Lq4zc Lw9OjFIR6yzpBqVZbSgmDSGYK0lnuExMHA5O8kyvX0yezIEljkovi6boWTPVPWFNlIZ2gbTKDu7T ar9najPUXdrmxRlB0yh/DuzodUK1VORjT4Ioe+DtFHwKSAN0fn3IIlk44EqNbPq+jPI7W+SM7ZZU LK8mbcCxeBJfbM5CiSanArhZfK4KVsBwiog+4AiCcLzmJVIVCQEZzW5frMSCKCzFbqcEUA+sb/Tx EnfpSewxbiGScQXekEXfqB1B6xvB5KUnhIhR5CU1aYmg82Sr4LhbvqWTJphU51Zgwh8GNrMakDGW OAKkbkGd00LtvQ1GbMlus+Zl0MyqgvlGNPQwV1qhxzGvKQqMFwhmekHN7mkIJdSkQHq25ywYaybg wnLHW2LbwPfTkTLU8Y5+gMGpd20VE9+Z8pOhqH0VoovKGWwFgsyEaOI4RxsnDqFbE9uknLp8m/Ri HiBvECX7uEwtDM8NsXwJaiPWwrEMn/c537ohWvOX2HPff40goSETDMbcdAAgZGDclfXNuPI/KrCw M4OK+6oa+zaeIEjyul51WVQL1LmaPBED3WErkOP5XnDeORiYv3xG1oUYVkFunwlQE4JLImyRsPXF jm45mYIAXC7wbDYV/ZYyV/vIQnhvDLQCyY0DVaAncnB6m+ytvc5uaNYO87xiiyMAD5j95bfqPd5d A+qDHYmURePJIZ/zInJSurPqykIAvBfOCY8KcUOkFB7s69/nU7biuND6/PCv95qYR4CzSF7axrcu mHnT4wgMnTh5wrS4YvdXvgTETrkBh2vs2jFg9QghGKmd7c/RSB4tHI4TM93R1SEd7utNhD9JytoF r4HZ9Cbsc9L/G00mrX7+MUPvTqajR7TYsYcxRNFTB2Arl36W2SkqyiVRX/CH7XqG7Lg2iEqsCAh4 0UgJPhxXCs8iTdqg22MP9cO/ZhyH5GmOCJhVB5UeCyaW2oovOVfB52iagpT1qiEF3m4ktJujr9aa J647Rg69gGju9pGh140As8sV9wQJlAQUO2VqRBWjRW4aqPdc/0Ct4q6cZ/Y30OtiPIP7DC9P3+QN M0/WLVJ2XxY29Sg/iN7ROmnL0bCNAO9kQ16pOhuAgk7DKqVj7FsRZ7CT/u5Lzrct4JLStSHYeI2W xWgy75GgmZ0aIeboeRTLSO7gtgz017JD6lftpDaSp0BCD+MBZ5ydRSioMWg0A3f6QzRX3/zeF5Ph BBoPNBnTYlUEeGa5cLZxllQX2ZQGRyI/3qg2eXvzktBFBL4jsagmGwOw3cscbuxFSE2l6LTLQNo1 BlTA+tCb72uPyKIRT+fv1YUFmnJqRmsdv7hCXxPPYzbTOcUIMMeJmoQiF0vyoCEcI42LoZQooue/ KIWjqtBHQNMJcqDcTXfxlvqob8QsgvNiHmM6l0fxzS9yURPSMj2RMZbHKT8EwRVKn0Ar7a0yU8Xp G//BHw+W9TLdKr8G3/tS8Afqr4V4qiRPf5PdbOAR1+mc6oFiUa/ywk3LMVu1da3PQQqFjGvGMSyv Xw9ny8m1HIVbrA9mm+SweF6u/avIvmXeh61TXMKF55T6fUtPsYz09+M3dfKZPhYePf7XcJDXf6Zb 1lfczscePmsH3uvagHZUIRi3qrLp8nv2CkRSS1of64NZPPKIbTXLgsvPFTF0xW9ohTPFtMvUYDVD JcyQOKc0wbE4sL75eygh6zHpES55Q3EJPcnI5AY4EMKa9oS0SdUFQKztKf2NSuIyqXFElB9h6vFT VX9egNpeHr0tQsebReyWkRQIDR0pDYUR7kDmBSdu7jy1dI0jNUWa3SpUosIByc53PBnMh5ZxXqmD +gBKWljUWdsbs7qNBZA/XM1AyTNDNqRrOGCB8xCV+xcQx+H71xOaBmG/TDihQTY9AnPGz/Wbd7MK WHc+zokYi9kA0QSQwbCMZ75V4gSdSc5LfQSvUZm61UzKTskZ20/n91xF1hTt4khoRqCiB+33/VDM eM8U0Z/P7wx8jTuWa4/CJBXMypDyQIqixOrmDksqsO/wNImGAX6oikNfCk1TujL2FDitg7hBWWAq 4EbwgSzZ3sUuuft50LrmZsf7fOkRlneRVQLDsMbdO0fL30kpSOzpjNfauUlEUIeqT1QxSQ9BL/1l wzcmiYZkWEcq0d1s5D6W4Huh1tD0bBmVGmbfuL6BCTbJZ7poa6JoeCgKJ4YyOmfnWudmPxO7SWf/ olvjTmOeNWQMovDtq7Hdu6+1v2SFcWtMlcrtZ5Sk16iwjzbhCMWmo2qyP8yHR5EpRBpJaOjzR+ZT 7v7q6dz4IAZqGNOpbcprvZF5A8wQn7TlOe5gfuMVBJjtc3n3OYUrZZ3KsEXIoz48792p+DF595Ek 00rZv/AE0r/+QTO9kg8NU5bT40J1oLxDnBWmEvu6eyjEAVOGF9txy1hOf2hZdyEH8/9M5FNIs8O9 fyPc0aquYhjTOPhkimwKriIa4PiGiHQJxOPWEX92R/gKK85cpWSmwoJpHW8xSaEH3ucMyQMxJSVC yNV19busHUCGMkTmD+nK1kdtrpG5C96P3lPgSQ1FzJGHqb+bhSKQaIu6NkHHGB2S9cdOSBqfvkZh W8kktfKGw6RadwhxnyVRjLn+m8ymR7z44yFoMfqLMzG0mP1LsIcbE1ZXndeA7ob5Tj+3goyT4mNu N5FfPDETHLWAbZbmIWHfegIJk0hqGOGSbxLw+JBu2qEB0lzC5uetP2MWks2vCual7mzJj25RMey4 fDuAzEAC61Fh8kapbyy2HorppUhnhK3yZfDWv0XhpMeUBgVu6XVXne6MNnZYt4PPwiNUhw+5ONW1 6FGZRgIuM95mU6tkbmaeI2zliC37vl4yY6R3hDtjMtyLMt/peOVCwZm3sGRL4IgcZPvvmIORdGAO JOZoZGuFE3xNSFpzGXMH+Iv6hyZvxCYCKVkQseooFqAa5aXcjrlAwHxe0hhX2XP09nQSc2PdONKO /OyJgxBJz5oE/Z8T22JAXwAj4uRjb7/C/AWOK2c3sfJmDMYi6TleZeMEH0BUd+6LVxTBdD8tJNx+ RflMjuavrvqqWOkvYyBm0bMN/Ts4DL0ik8doGcGOoR+fhNpvjePna+jk9OHGwZ9cANPz/PYNetPU 1inKTMmq16aeY0y6hPO4wAtF6L11t/6gqgPfUi0FzrB+N0vWknvLaUA0+h0ytJaoGvbxN0IuN0kv 0jZozKRF5xAy4VnXqxlO7HyRYa7iCI+ObCr3iMlW9YgzGo6E/8NysrWGIY37h74sqszO0H+N9dnD cSZVOogGN54Uv4mByrvzuVHgWQB4xLT5uq41bcVsUs+9oYPRbF5MUMAgeJaVvJG+YylRUJmkLGiZ IGU5s/dO+qoGqIy0ij39HcadnYvvi6ThJP0WlfGnEukaefhjnFRCWM5AgyOSWn+iO+6lB2QP1jOL redpLad7ArXRiUlMQT6bT6HF4Kg+J4RShE/vnhgItwDRfzxVENWxk+M9p3qQJ8LXatsvwb6MiXz0 BvYJR1E6aesMctBOoYh+4FSK7o94lHh/jFyxnsPz3PgPKPUAq52DpPL0IvzY774QU82rqbtXqlIx MmgMk/xL8c+fxZDSCNRUvherb0avWcv+Rn72buz4eH+xCpc6rWNYU5nTBd7czBUBtozyQCXzRied g0HKutK1mFBcGiHqjZqQx8tVH5ziAHYaTTnFNOE42c7QfL39VD6C2ANwk5/5vyoeXsL4fSr4jKDK ve6WtfvGIWcd68K6d0Vwu+umNj2BS1R8Zw385jWsydCmTWrp6QY3j0Fn+v0VcOxNaX+x627IPbbu KgwkTIXTlnjfSOL84wMeJqd3Iz8ffBBi+D/KMq5rW+QgfoFv/f1dh5DmC8cFgjgkUlTnOb4hbj/S n/6ogc/ZyEGBg07zThtdhPo6QSJkJRj5Cg66RqQQOnCSpum01lAG8cwG14ee8HI0YkEkz90F3i4p yfOz8pcFhsIVfQ6VodU28VJaPJXW1OT6nOEBeDYaGIEovLrlXy+YRwBP7Cg+oBMwrvdwzICoAoKO +TvYLo5pJ8D5NqTpaREBW7tGzuGWmJp52TscnHXW8C8oUkaCeJOyD8hGjz6T/kwlBb9nsHbpCYtz 0qQuSVDuksjqc4eKHaaZCXMaiYmZ/bQLJa7dViDtSTGd0njUvxcaA5QzS/gjHyRxQ7PVx4Xx+3// 0VxWtwJjqHphzfMPb0aAqQTG6fcr6ds5TU0YdLrjlwIABD5oLd3U6/4RSPEhSsTJUWw73ordY8sW 6aTc8sDXo5vpMg6kAkDQ+QF13wGa4yHXSy+i4CfPk/0nTXwmFVfkZB1YwSyIy6L1a7ojFO75CWXR GtGcXxrhTuqKMJr6Bx8/Mf0ItVjWwFgSyZdwhfGALB+UWEkxzKvIy2lUW/ls7KJhRuJyA4WopPD1 TdTb0HeeARE/L9hMIXaly5btu7KGy7dat3XXumB91ktWEHm//WssSw3JP/xd9O1mXjAnpGttF/ej aYUH+GeHwwUSttA6vxpWkXjpCvgyHAuwOs9EZUL66ujstp4Yyu5qVRIt75nGPbrhg14NV9/vALzg EdSdfgSMI+ENcC191pXveD+QBP+5eWnyoiexSbh+/PdC9c2wn8eil+8SH9kkS4X2WTzasutFDjAy 0XNVEnaqyO7tQl1+fzPA+UDsiSI5iIutlHz6YAR2HDcVCsHg9VkG0HfhMTaRFkbK9AqTiDHjLUVd v/tzPJQ+ceOjj90V/X8F/YSX8+IxxGpjarrVp30IroYZbe2EhMzD+1jP3aeSYTW0y7jESCTd8XXb rj8AoQYxfWBufEemFLwdhj3ADTIlHKwcuwPV+BfbaNb1z9Lf1X2vAk/ytNvghTULhx3u8UgYs2cq mECwo/O70CZree24Bhcw1yEzD4yZvhDz5NrqNMdLYEZAd4lc89hnNWFXB9xuGMm2cSyh4IZVKbJG ADmdehhsXaHuMXfi5odYH15nS9olWEQla1OI9HyHs1QPasRBvqhE0KuSlhzKP5cANWEQwHt416uD C2kiMl39JS/e6iApZY7N73u7hiyvwMpzEu+gCV7Ym0taV+T4YqmQMi25LzaObN4vod4vz5UonriB Pc03QR6JuSiSSRmv1ZdARHNiXXKMwAbW7KTlwTgOMfv6BjHxPdziMSuL2CdQH8yfryPrjw/2eiC5 znOe7QNBF5lSzqK5xwXXnF8+paYdSayuo/tavApCWj4q/rW0OMVUlt+2R8CZLmQS6hyMfqPpWo6y c3jwlNC116AWTPhEgFTMnZlQN7MapC2wSczNQi9eC8chY3Xm0rf1Xv9RWpamnPd/ZPbd+K5zs/aT vdeWxnoMxiHTDQm0ZwM7dHKJyBpObSRce9EKDblG59r6Iti0ouwCGz8TEvtUl6JT7PRGyw0ispEK 4akZpd6A/i+5xkip5oxjr1cvzrcqNiQEBj3yUFTnaxKM36axW/lhJz6L+2QvjR2R16BprIFjTNHw 5oa8OPWbaABb7IpCtj6P8BlUhQZcDD60um0sgOgKeoJN31zuJ52Z+gEgFheF6bPikHQgkwvlio1I QTRPTj+xB1/niJb91s7U+XirCbAs8Di8HPJLn1mexlTNIBKcStD2v0gBMMZde+9W6XYMpDme2zij ObuT6pEloV65czfnFDSuPljcB8maIyLCEgdmMhWt3o/URUBMO5lncRPQC9/Dkg18C9QqA+HqrZb1 vS5979rpbMED6LNrBAk2eD6DJtCd7GaPYOsMTEYePOP0dGyQP/9RBASuoXuQa8TctHHXNmRxxiQ5 4oPbERKt3aJ1ldHnyEeFcjkrviUudJ5FYAqrjiPP2XS21iuUsymTG2AWnBqqJC8QEQ4wG1YGP9Ki 9+xB1lNyUFzEBg2f6AB0nwUfv2AKglkCPX1H/UlkDg6L6TkcG61cS+fBqKYY9/aXTO1lnE69VaDU G6vtH384zT5AGlY8tNQcQ0RJh9n8z6jdwcOoC4ByRUK0fLxSiGFg6MHOyChSvRhounrrYv+k4o1D ptmHu4EpDE/EzpsE9f6EEAsYBmnJgrTva2A2R6niBm2IbajacrrB5mXQ+JlrHRIq3RfmsV+I9Vek KfjgGrI8DilqDN8tQQDaLnLTPvm8H+O0VDY1I3w27hqnNDC17vvTLXx2Xgy0+yBA4o2IA1vjKG8r 0ZVSTEYU1+K/keHA5RBj4JDI4a4RhcFY47zpRkg3pDNByXsbN9B6sbBMPKCEdFE5Q9pIVzJEAAKx GhKysPxBL8KaE9yb7XZGlZs1qJXNf6Wz2r1xrv3cGxTIQ7NwEs8I26XqX9zJjprKDTcb70xff4QF 67nJp2T8QT+aQg7oZlOmgR69gPQ5hIJQdgv1tPfqQrJR3Uygd1mnECsESkyvnsBH/UlGhNeqpT7F FNAkBk9ckzIkA2ANFrNAwObJIFRZhc0PNL1cadsVK85tthcVmMfiNb+/DDO2t2cmZPZ9XTNq/NdZ fLwJDyGFjdrxSiKxJ0Qq9cNTeFCXAiUZUrIZOjmkWWT7x3k1pVutLNYi1Rmc1KowzKdEew81wnuA dis3ovxwnQD4PY3qs60RWTQU1QlbUTfKVR5NbEy7WJltYoQvAjzgATJb4thnRnEoMZAJEEas0cvQ oIfxiyLCBs/nG+cOetA0Cb0/ZpnSUUDDUSxRKGyiB6JeDBoODTsIG/Tnpfl2467kU+5TBXSUnSDa ClRpkFbcBXuNRBjBgcjVQf5JvHAqpFTEQLrCzx1Q8A+RoRWpNVqmyWry4Cpt135AEuMWHM7Zwa1X R0O250s0ICYh9Hu0Mii2/5YEXOO8aRDkNiFE2jdBosVMofUeiO+sitKz6u0FC7awsgz2farp2vah MiLkyTwWgue83FH6DoLs5moiy4LoFfdlBS0WdBc0OWyX/pZJ1aKEpX8KiZr27NwCUWBT0o+YW+sD nzxpUh9Ed1BsVFM9H1lfV+N8hYmGUrtrJq5Mov1caQOEKcnAVmn/yopOLUURzSnUnM+HPGzemPa3 lV163r96xidt8UIk+RMOSpl3kzgEMwuS3fbm3c/HnZCI8OubzWogKU25Ax5XGzmd3dmD+84VgTya saI6IFC4fZ0EntpyCyGLv3k/wGecTpxkYqULIT7JPYQfMdrTTjSXKyXho7yEE5+jcy7gWfDxWiCw 0Ws4wwHDCSrAvfa/dwm7xanUb9/5ovNPs5aNpA2a/QThRv6Hn7A2X95dn4eTFXWSMNbvRZn4QrKW y3VI6FDe+UCxWC/Zk/7SH4rXWKv5P32B/kJa7vHrXziW3lNC5RP237FhxzkEUC3NUmLTT7zS4QHN /38SWfzcyFeC5V5PJ/DhX+9DLLhPyNzWAKrqzAcprz5jPQf44wRgR7ABJ495+JHAHMw6/Se2GHb8 x23ePUI9FxkNjvTUXCzISmnrEBL/kAPexZURefEVhVD5S9fWH23Aw26OMcs4++1KCyhniVP7xWZs K9136WtqJk+kJokz1FD69mjR2EYCCFa+BT2ia1meyy/nE7g9LGcUB3NzwxJAcYhBo/iOveGsIhxQ d3FUKaxxHaIRXXzyL6fBlJlRCsbbhcd/3su2YhkVrmCkcMsJlJ3ANx5PQf/sks8NPzLyR6t1a6yp cDOEoiGnN/95CDtM8nENsTyAi98AbTwoF3TRl17GZ+g6lT3lA6/oCmCHa5NAu8U32CTzyzH9sqaH 5SV+ySB71aFhHIKYaegxaxjm+kfPHJmvkioinCUbOv8/ua2/Jdt7kR2a4sa+NS1F2NQJ0hXHJ3JR BotNMsb3kV8Vik5eftUH3JqR+rWSiMSQFRy/lrVBUM3O+M/ryzq9LXwc+rxpNcOqVnAZorV7qYIk OFBpSJ378+mNgV2SxAHSy6/UGHZihXewtbFs70t1jMopo0M5FHcut1Z9R5Elln0BUtMB9nLcDtJw Udj/EyseeW6yY/5BjzmETKXVooPyhP7R3xWQB9EyhtgdAKzJ4rNSFpVPmbWSm4cWGjUnjnCvQIhX BuvHOON5bx0p9vIN9fWZFY/DoVb+A7u//9b/+yNAnd+RhnEzY6El9hKpgw4IJslLpGJTRKNP3scz YdCwCW7QCbM33DN/4SshGuHFOKqfCLX069+fotvDtMx7kAYjCAUHqMp08czLt13JtheejOplz2gK 4DcWouH9iFgnRuONP5MxzWEqGOi2GRlhvhPw2u1PJF7L8PinBeXN3na6qs1VCT7UMBr6WESGgXEJ QMbLWyo79BQaf1I+DJguohIW1/4dUtqsMI5RlKTBY/GFXzoGHKR235P6wsLjmJ2K6xec4r/o9AHA Tyo3aC5xmg2R9cj6fOnKwfhhLnYFlNx86ZPjTpAsFOr7P5LY9i451igUlUWH3RveRwY7k5nswCs6 6vAeV7AM7fGE7ZetyoBR4RgpmGvKiepiTe7uJAOFMjcI3zUu9kZQPrQpqf0OB4nA3G21Rs5kkubV fAbBs9EPUQn4AUTlwgP6uDJZ0FiECxd52uzl/CfwCaWoveuxqUYtMc87IlZNZ/AHXcD5XLjFE1Hq BqhTzpOJnTZRPpdaJ+DTDqBjUVgrxWKyxa0VJzbeN33GGqp+YXvid9EJVWLeUDRLVPrNrNZCYmmD TaP4KuP/viqJZK5lk7tmDoFQUwWR+TzqQgOXJfYPSZYJI/YljyOVzE144oFUm3YhNKAQiyEHTJn0 B/++Ye8+4zpIeGliwYl1ymPqF/wQLSt5ZxLtywjGy043ML7FwHPHs85NQHGucSDseGchBIymS76p 8NW8yWpwd7GrKL9ziPs62CNxmaqeZJyqSK3uSPMFrmrJNtU/5+COi3ViEFgC5EQsMRRQGNIeMME+ kBT/nixHhQoPm43xPuRV6IUg+9WgAmYkk4CdHB3HaVLVFGyMA6h5yVdt0IZqF0MnVZb6Uu2vbpRI MD3w5aCeYS5hywwFoC6rOqp84E0jeDlLmWqjhatr3Nd7oV+GdKAS+h6GuSRR83g1lZxyOO0N1HKK GEvy8NkOh7Fa2htK9WK9zFf8e+GLehcSIsLrNWbrxHF89RJ3d33jSonBc7wAHPWOz0bB0q+Pe7We Hz1ixbrg0Y3dVXsiK7/QZ2Aa9RXkrqz0VxySgtOgOYfi7L9PCfKqmU4uxwz494Pz/NNVwFzTysLE aQH/w4RiCBF0Rfk4FKPAj/ZvMHaq6V66oavBPi2Hxf/KRXxmmheQxIQiklMLLr3DmkI7tzg+iG4c sWJzuD0jfEeNSLNETUbS/u3seIIWcDsziuj1M6G7I8qHGDiyPi7R56sF7qjd0UN5pPTiP/75dIKW 2OcI7kmt6ypRYudke3q5+SdKvZv9fKVBJfqeszsCJpc/xVUGVClr6Xks7ELIGqsbDGDr21F/snE/ JzcWCraw0m4FF3DAZXuSCxjXlwA/u2VScKY0HXlljV6HVZzV8QcxqQo4sQENY9axB/A/2B7cIbEm /oplraD1hp5l53DvUL/Qrfa8nFCAvE+uGHkVSys4XVTv3e0hft6xC7JPpOU+/EenPcrC9byffhJg oe39xEbm0NJBA6pWXMDik1wVq+TruPpNuZqXwi1/DUutgejkMtetrJ9DJbwnvuuunMpSWjKy7SOZ q3P3epEue1k+pcZeDBfvdBPFZZM67o2DTOAQuWdd2fjlGCEUGXYwG33kIip6uAlKFxrLqGU0z1mr Zna7XUT4gSL1CI/X3k3WQJWJxNryh5ji5DBdJ0N/MsuxvKNbUoSFydaD1NDQhjJszxyw93Ecl/Xp l5si5csxQ0mboHk8dWL0QZereQAsUVsr6gUhUKHiMMvTaKr//nKKeMRcZYkejFWR9fmPAJ69PqZn logdJrWZ2VNhpwyOABkDp5XLu96OoxENYtM/QwyOVev+dF0ks6VUATuwPIGoQenzmu8swFD76dvD IRNvAgPqruDpqD0vHxzlEbHIphlAyYBEgPrR7ymx9vYJQTGiqqmTazk4nEDcuq/JO1BAij8ToJ78 EaeI1yYDXExNcMmCUNIxJmuLqDCY04VMDXWe4YzQqZ896iy8fGp9CxBClMJ+ndXpFgl4VmpAj0lW Vb4dCOKqktvMHgXA3tnz8KvoIuPPdcLeCdFzfaNWgz+EgwQyfFm2++9n/Jubz+hOxfnf1CKBaNAy Cnsl1xM+xCJ3UgCNA9ooIFdMwEJ9Av6XWBzXXpbSazJPUFE5J+I/nU/NYn8JrbFGliWBggVZJ8g1 4OX4b7KiWsGaS4kY/HhJPzZx71PLdQQYyZU4BQNa2Ii0MWFOfeXPgXb9v6cMvP36DQ8duSFPvtQS 97pyn4sOLiitNUGfK+kTV3YWNA9R75PeB+e+ZOHbKbKWxaXBpVZxlOB0DnoHnMt7NlLgNMXOVFvk fmqjSP5XCwO77MTg6z0+UQoJEq13nocFCsBZmVmPmLXALsp+fiyrVFeTbyN2ahy/yo2Sm0YujYKg 0r69PEm6rUbHBHDdvWRqYukmiu5GmDIadx5jDBMJaS0HN2IAQ26KTwZGDXQMIKLwIL+Peyl9x/LU FlSXtajjWiCFQe+qSmdYpeClntwmyccxc0A+K99ns9WoUExIN7BhbJZ+MFZxG7poj8W7fw3Td5Rp 7Qw8K0pATs+dH06cjdbYHpuIC2qS+0yDSG4uBexuIkB5BHbJGYGSzViuJ+ZSJBUfcXMJ9mv8uTVf ET29LTAVN9j6YV70iTX1MXJNuxDqVtAMHBzDdTpHI8lXMeLYaBIy3FQpHbWWaUzD9YIhaTxir2kS zviM+g4Wayh5euGoDZ++rFGQ//PxhtYoqkgvgWKBviJSRzp7ofXSW5wauRdwY0U2TQCm7tHpvUCD DGhErLYCOhxdegvrZdctWkdExlfMdiOKesNdG47DdeYyt6fR/Ya+c3CueGTw/HdyAUFMHcL4CNci 2hqrbbVvv3bmkwTrT0uIkcHgK4kJsfavsHoMJXyNfYV+Hmp/fGywISZrz6aW1AWnBv+XV/Hq5i9O QGOo+lMYhz903PgznX+8r2h0yKrG5h2CNCLwvsPA2Uv5wuJkhCNjoHD+F94pCCdTq4pfjrsf+uBF E6VDyuYJi6HK4e1SLWJIVEu3X+Ff/LC3JlGW5UxqKiY3xmDloO5qc0R0g75yRq5xFEy1I1TMcIdf 3PR9vEZ6l+oSyYCfSG5HJhJse3ycGfPpswsrUFl7/PAzTBqPkc+0BIvpo9vvNygYOnUKGOf7HZ1X BUNBvb0waJIAOwnMzwa6gomAdzvvAISJJbqkORhmw6zU3aEKQBUIQQzMcyaD6eqW/GC/0UENKWpD n3MGjeWQY9iWQ/2fWfJ4mWOQ+iAoJ8e4A9eXucE1wnJYQuJG0eC8iViBst1KZoR/7vnZDLy+eje2 77jYsR8+D0LQ0DAwJ/I2VX02T3QQvu78SnuwZD1kWSCPOBt71uXMnUN4Vb115xIKZ9xNPuH6vpCX UBycVCLUL7ofsrZ0XWcdX5fcmQ9gMZcmZawmsbCgJPe/zDoI86QxOMGIQw5wDANUW2Ozt9q03bfX sUCR1L+NR5uVLBL7lQOJ4uhja/881kiNOe1GFNZMcyu76HOYzLcQGLCm2hJQqsUWIKgNxw3l2Rgx pRUL+o+NbOBXdp734JZASEN1ws/NXsBCek7rjun35Np2MV7ghwONP0KlqNSrYrUjZXE3JpxOvhuc pL4K87R46L2/ljOtRN/4yEMRB5PDVAfc9crQ+QjLJt3R89MvCS7jqjjv3TsGHIV1XWpc3137dWmy G6E9c8XVeIYXP2nJ83FNYtU+fHq3i11oLB5sLywdathonRkto01HPO1daXMpgtRHcn+O6z7gKUhm y7/IvNx0zPEhzr3E6U3ISg0kBk/2KcylWOSWwTaB/f1P0lWz4PLxuk8YnLrArG8TGE97GgNcPeB9 V1HUtJvP7Bsxg8WEwoKvTV3rEWO/6OLP62xX/eVLohqpLOTSetdpAcYO71QBqjo8BPNhQ7/pORyN E6c8x9VgawWdvKbl8QnjTse7lx8HsOodBmlJzX83FFs+1L2g7/70sFeWnMBV5LmGA1dogqQwHroC +Gy3Ql74lpadl8TEHUP378H5pvSzAtTdI+iWVqCW/9F06j0zivmDvvM41NUybyyYrgOz+6Olg3aD VY6OrJP5ATup2uIH9mubNT4B1FNxRDyvjEa1ZhgBQHsbZxqKf+WW/Dc5N0DukhAeA3TwT7nBWYU7 wu8DlQiqHw4heTHRnAZ2KTK0AXQWocHLJWf7vvdxw8OFcD0if+1jKFPWaVVZpJBUizb7LaE6PebP g0mHb5GY2KfymoquJclsgVuXtvA6rLBSF5tX94Y/5TjvLHTjDUaqaF+Wzvy3Ya9KFnPWK/rfR33W T1Y0/oq8rY48jwoR2jQAzmijNy8hoxF5UW5VBm+9AV9xN1jtLfpDBT6VCOOEHcz7FMWzfUVSpXQ2 yGrFumR1lfP+AO6sdVv9bcB83j572HqMn+XYZCU/lAEf6Sk+zDUu6JlfahOQbsMnvtXlUmSloMri 2iPzVvsReFXu8Q4UbbCzsP/oH8R072XBFCQMo0tasxIfh4a/9KY6ofI/DbbDvB//9UagkxWBaEyS 9bGAFythOXeGBP6dVixObtmtjSL+NU79alnw9vcJFSL19dDiTzEiIjRW9F2Poo7kemXce6Y8S0Rw ZT7+k/MAKGgMmNcpdzg8Mfs+gLyAL4/SozJStViIc8Utp79u40AsOe5+w/8r8LWI36pky3kLJCZJ bRC8ZhVGMgj1XJeY8OCCMY1RG+mc4wvuQ83J1Aiu3nwWN9rEwkQqpeliD7IxLQ4GwYtHNGZUBULO wm/Jc/EgFGNSa0xNC25D+xAdKc900xGtJt+HE3TKBWlmpDcWPyg66nRb8DLrFmSrNg+T4O6uU1UY pa3j1Cs6y/qpqPZMbodFfi1mkpTlDSljznW14XQym8Z8ohIXrrYhCnmAD+sWELe5j8jbj6fZnplB RipCNf+UO+dyrgWVdmJF7OcnLsK9ssFhBunjQjLl3QjaBIVQmUKAH+YhqFgI6ToWgV/rJZMeSJIX kq2V9852tU6HSPKvdg7drC20Y6P+genz3E/1dx828pw14Fl00LraB11Ym+DX/IdHaZNtg80PGN4B DADS9LWm7LSyzBBo+hNZlhcpZcrhO1sRUUQoDBZldkQDb6dkcAbugJbqdKby9tzZmqzV8j2Iv8/o 7+16vrCY9cA8bHo8Rxopv9a8pNLx04AV9BASQhAdUDSsh9Bfy2wYLaeM6FQ2FgK+SEcNOL0W8Vj3 rxK0U3A1buv33LiHBOjG4s1F3mOzad2oe4xAzydVsjI8mTsnJjwT45CH8Vo/lFMXlWCxz7hsvYGF +J3FuE8AhVkhFHV1jH17poZJrEFwynNy8WCR00Th6k6Tht9rv+GZ2o5QFyIZt0Gu0YRtJ6NiwhbA 1MVT6AvTwchqh96Cxf/N1GwQ0sFobvrd8SDnLluiNmZnix94ZKYR1a9odBNYtBomYzmEbXjWb390 QKPMojIJaNGxWZbRvRhP+GG1Dg4xuXazJFkAgTlZPeN0ts0Oz4TIbszD/TFkN896IAyoHFIQn1ON Otj1/uSLODE2hYuMjb5OKE3tYXlcHTWaFBM8zfgglm6RWkDLP1vH7tYymBEvEtjGlB63+WViOi/a 7oZurHYp4QariYTx+75oVqnpPVnlFI6XPiegoQDjs0HiYVXn6GbXU6uQzG2UYoSjoIOszjAfZpaN zAw41Ix45b6a51umbAkeAfjaavHYxZ+PKG4vau+HZGTU3tHs6cbnFGLAgrg8gq4qlb3qnrEZatiO IN5+bVl8JbhlGby2gmmbLUmPtyoHmqwcZ74W9d3mH0zAaib+wuPr2ggYDdOz7T7MZ4KY4dK07Y/n JE4Cm42gke5zOtdeMzBXwURrnJOB62gBHUOhNuFI+Fxx9sMnJOHHKAT0JWB8eiJQ8wPelH7XhVxX zBqy5S8S0VRAMJR2HVkIeJRKdUZWtPshFowD2VPiWlirRD+TuRBhkfjEkRyhzcU/ETLODqnyrkIj 4mJadOIBe7Yj10qKKINlVRrzYWbgg5u3JsWtN7R3U80AYGFX4c/ZynOEsWe6zidZFlSdgiYtEWf7 8tpBU2Lw9qKkJJLFkp/HXZV7v4k7PO3ApoudPpCxwE033xYU0XFXTgdZQEOMCRx5wTrDFAW1WKSV BikMK39hpHy4tfLwSq1QsH47wj6eyhRpN7hJ+OfjvalAcdpqzDjwGsiJhCxZxIcGAYaQX6YVFfox 8ra2gmSUqRZl39eVVzY6zwYwh7VXwrQ00eCAGfzPoB2f15Q+2FVFOe6R9DrIzJMXEw31TePJUnm3 mpyPIgLb89yw3wXEL7MyibdHXP2RyZtkBLN3nf1QTrCc1E7hDoe/y4EKzI2KjJP10LONhp4XHZdW DnAZ+jmC+0uNprrpXWi/H0BvKOKjOjATA5SeiCQo9kKladO46T2d/Wn3qZILBNL91N2zJEHtM45h 4bZ8oAvUuL9QOI0+5lgPEYOhMZEMTbRgW7RMgClhdx1K2Y+kCzipKggajcbVphIhdaQ+tWXuz0WR 0q8PREV+vUeMXtWAIJ8ux2bUBGBo44kcuOWFKthNdRvxtYJ9zhxC0gu9feMJDjrzMavdd9N8d4n/ 7cUVm6OE0zkCjccdZBv7eh8P0aDsK+zqefQcX0vNQN/KagIhZWAeRpeJy2J0jR1GwiAvRpkUmuwp giwW97abKQxvANKq+vDXm/+VpX8TGa7L2Y6fDLSC95unLxJY3WRpOyaBIXs1xg/KnQRzETbu91Nw rG/TjrddOKiL536SXFAdwnj9zCx7fItweJvnsmWgtm7PyjHpPpIKAYIoKuyh+LUJdGi/ebxXDXJy jVKuLMGv6mr+y+eT0c0hq63CRte0t8VpKQmN6XfizbSgCH4rLSVY/QdJPU1hM4IccQux4+z2qaN/ bebveMr5CZUBQ95M72l1eSqMjN0F3vJRK+C4kV01uvlQf+eCipU4nNPV1Y1cI/whc9NmEz3uhBe/ nZ3CVNHHFE5boed7zTLlBNGh//tLZLESWbcDLoU2Mj53K4e1lhbXrWbNZzSNaQKGlvFLBvsdg5YW BH/cAk1sKPNXMsFYnRyPZSKdRRwVRa53xzKpJn54oKLxgH/wt1/co1B3A0x//PptziG6zI3U0hW+ vld/53gwJ7iwmw7s+MGd5Ym2bt6FtW/p07R71UZ6S2lJ9KdfK43GsGTIPydolxsQ/52PA/i4uPjZ w+XzZkbQUGqDWLqYLy9V28ILfi+W3Zybd3aqsSNwxD58xUQmS8ij2kjJ0iCyRukLL7rZf63a887+ J0W6lC1jKYdIj48prUu09i/ATxpgYz42v2bEOx90ryZOjJf6/r30fHiohJ0mWD6FD+rTLOUJhHPK XQbblvppjFvvM7ooNqa3kPoJBCKnQK++h2TwZmysT/VxwD5W10AIL0t6wJFVcRrJRyotnAQgbC9a 2r/+XFwgCzcp6X1U1DB/s0o2cUS9nnFaB9Aqy0uo0uY573n052R02nF3XgFXSDndM+ZHZlud1O5J wJJrfOHkPtc2HoFdVIaHtFLMQdtzSgi7CaXmHI07uVZkcyv8WQjhmfixjYBL41m0J2Fe900fCjF6 RBdUe8eCC5S0Hmwu6on6W3UZrWEpkrvbR3yjviU2p+bgw10zeTeMT9Xt2Xo/ihPQOwfnNDeQ16Fr WuUFUY/y9PPnWN7Lk48IkbcsbER4NDUL+cd/yPdu0+H/kllSVYjVIzeZ8r2q/1J/h2gCFnsIqSVi niwJiMCLZjCYZQzjxt7doKHt/VL41Ja20yVl7Wy80bJIhlfGnOBjNS3cBO/BAgr3dWz3hYEorG/3 ehs7eoC0lLh6C6/xRX4zTpXwcBZoiNHq5WPWeJRaOo0z2c3uw6NbUOVfIFSIQou/InEqHPXWnvnG AHIqpIaF8R0WLP4Y41Pc7DQ7fvPVOCTCuuCMgn01ZFRYF/6uCy1weghbceRSpUfMSINoVwEay84X ehNAaZ4NAaUKpJrKYU93Z9SydBeA5QwoTSuQnWiUWSmfMs0kWt7vH1PofbkiYg2p21QpLDYVdYim kUQqU+s5tl44xFSvc5jd0BOYuNzXivZ2bd+xgicYDHQDkFvYkbbnFcZhtVpzprQI04UgmRDcaS7n dEYaoztU6cE7se10Z5ep+Uvks7fEX8ZX9KeGg0bNR1Xx8/28YlWkIDR5Iq8zJm6S2gz8j+nwQ561 oKb3yaAqWcwEJoLQfFzymB9jEj7t11LkL/5A/zqUZAzj/z5L16sUyI3pDMKNEZBfk6Iw+Lo6TegL Q66PIwQobryXEWmq81YzMP8CFMPWGarv8o2qOxKmWYy13mww0TEFWg2Xpovm1KJmWlXkA+wwXnzD Rb9zW7ykgaUVuSUyy0QQGWSKNB59/mDpTLg3siesce1+yLo6zDIchaAkw6m97O5y1CppyAFUNr41 pRDQqxJFlT8TpytQaKZeF6Wc8FZD11uQZfSHLOMIMxq5ng4XXu68E0OYMUCuZO4+Vy2bK7fHbI12 AiMDohzmWiONkL3O1mCwvTj5zfG79atpnRd0vQ3pUyxLg5BCVRvTHJCOtAEn8Ac9nSkY/4NQMLfd 8oZt+Iq0Dk8GIUBrvSIdix9/JJreOeWctfM7ZOvCFE1PhI4aogs5f4nd2w2UA+ruoCQVgd1YvzOv oG4fGrHUK9iGqpDv3qaKHg0m/ATnhsko2zaRLduPFG0uVkZ2FuD7SmR1EKfXdYdLbxfjhDL0j6EU cbvj9eauQes8/PHQBMvX5BikpHqudtAxTeZbBcjeDtIijB+ZxCr8DU47BBgqCiXwljgg9M9HGVrc 0q3J9qEpDIRZo+oPugMAr6C9syy9HzaXsCAAtfz9nyesNufVKyjMnl4s7ZVb2Mcs9DLYbHkAunbw 5kxmQeIfT4/KfFx+EIHNygAGman1kZ0BPIzBDGvLInQcAUhOdLDpe68GgwJoFoe4Xopr1wf2tvmr AiezxBd28hoNZKPDU6gqzDiSKyxDqSoZak5XsIAcRYY4JahnWkV/G49xfDAE9V0LLGwrcoNghO+8 k8b19lyJjN9iXTCgPtO4zNeNvR0Dm8qTKyfEvWPYaXCFEYivcOwmsKsFZmOg0NctbPrQPewv4DBY yI1N/7nAl3nTsu1EjoQsEGhqOwmZMXWmnmACBG7ic3YSR8BGx7Sf2ZBueC/U6dgz+TEYLOsAdzEQ 8Qphq/LopFaqjbIpHkYDxCmcC7tgd7dCsAZMbdcPZXIa6aUwPKUEsYMwNH/QdidKBQP6spbc1UFj dPYyWGp4JDGZfjcdKWUnFpBQLCQKgthSS8GTqMAhLoQtJZTJEzZW3kOUZKuK+4kVNVwkcF8o2DCB BWd7xjHXK/x2hhX8zOwfU++IddG1hjaPNKwwx3gjAT7CGlhfJNjfO2JW8W9GRl8SEjx9JA8Ea19M fhs6PQ7KK/mTLR6ZABW0ngsRr61yOdCRcQpqlYEZrb0dW2VY/bat6VBZZJAWWda2EXxBFjNT+uDI 0KjoKOMsXbvPJxWujLj0jaqZF5h8miK1vcpOWeeEMiIAKUy3XMQx+Iy6o3hMiFzRazJjarLPRG3P jY7zBbBsfI/NVNGLtfFRCiq1x+hOjZ4EwxZnA4I33104lpe+imGruH9FQ8DvpWGIHFjnWw+NaYtT re/1UbxiaI4/PBFjgpxRN4r1G6NYQcrQWEtaGYskoAmWVdBnO8kvmI/i+1VLZYbmWjayXOFOEtKs xfy0ZC7r16ImB82WCggV4+8z4F+oXowteHP8uSYrjDFzrHAZzbpLLeED4I1szWj979JDOaSGv8tR sxIngCs/EMCLu+lKxOtCQ+8LnH/YCqnGKxwJANRw3AZf0CamQNkkan9emjnLlzxiOOpJO4rXNxCx 20uSRcPKg8rY1ge+iJZQ30Jx5lI1eDZXbyM8tKp9S1BsvqbPM83ZfjsT7LPnd+fVDYU03zrxklSd in/zIfjSlKhh1zJZ3qdCWoczWkbAcuL/gVjfeVO/5agrn9Yd7KZwhUfQEobpPm4aa7SuuqD29tpK 7qWBu3UtglgW8YT4ixFBpDNdkopTEVobCKvLWBOu/Z56J2u5Wd89ysT9HlGVHlc0XH6ZjBemRXBF o0vbzl/WslBHcU2yXGzBvgEUfXZLj70wjq+pc1+4mXVvn1BNLqNbl4jjIZ60Zb2mVQub37TQBiW6 TGMN+oO942eAZ+usgrP096Y62NuTbOHAc1GaSVk5Ck4t/4RF448IS0mUL4tIgkj/9INLHTwam2Ql och3gxzt4U8+rTAaQEdpaPaaoCqKWmg9/dvH0YCe994kx9PTe005y9Dy+q0PhXaJPwQP2FnkyaRo XpxXx7+0HiCu8RV4ulbAslIPXVdP6nmPpqSzgUt3NjDXnRF6hzfdCRjx2akQ98QacVlXR0b+n8jH HsP2NPKaMIdWNtyzFHIGGTz52fcsZPG77ckHc/vSEzIV1kdqwD3LiSjJlPd430DNVyVgpKS4CsRD Kv6bM11+KmYpHSJ3UEeGYbUU78mkP9qo7+zAyWHnnr8f1EOfq1RbztXkph+IsF+OpCsmUcYre3j2 uWB6PDSdzSGUaYcNt14Ca8XR/KfafA+mGaRi/uLJPn8CcnLZQkpLXy8aXVuMAr1zcLD9INQgtp8f WwbpMHV1d+rPkdgQ8Kt+G5uhFj5+LK183mzPkIW/+aADIw5JE/ryiN8tpUMNAFT7Sh+tzpXt5WkH Igj9h+hKBWpj0o9KbqgdBIwFPwO63PpkpQxxWR8yjE33iod+0G3y6JZkmOb1M0dZKBhEM2j9OeI7 1Qj6zKeoTZQHO/Twqb67gFJ2y4PDaqYzDJk2BZ3fTa13oLqqr2jkdCgrq38cUdrTJi+D/+7fdcl/ ndfhI5ppBYzYx5c+tma/rCaesCA5wzRJPQ8ImrvOEdnSIyG1gZixTMk2elp85NoKMn8ZXWMDvQtQ NYUW3ZbiHX5EOnMGVnQ6erwZKtHCAj0k4VDvPy5ugYXHz/Q6fZ1JKKcpAr0jEg5JnrKUPzXZyO8y 8ntcqv7UqW8Ra3FaMEOdel3z47ouhipShQZXD/CRyusn60qncsdk48nL1C5tWbJ6AJUMgmr7FiS3 gKmgRsIikYwMXjbPkmKASAEAnx1pjtlS0293gLg5c8VOgMUBHKH4XT6QWqJwcGsSX/ruqoc8PtxN ZFQIlKxtLjSXIDEIxSxkDIKIsIwOimwci5492+392aGSm3gSgizvk1RlxpIFGgYTLD79LsrbxuXq sdishqMEt0HHWQ9V5OtbHl9tRrZRjdpIvNNk2Qcj4I8vShgHeO/XKnfJycyBDis8JXuCWXIx9ZIW 49hqUhZm0V07jxm2vW03F3dQ/y5PwMXZV5ZJYgVXaZeX7cfXMHF+ss1wXJ52NKBGsxmXrqcS0mC8 mzFRm0pw7BzfsEAM5NUw13EZsg7zLn8Xd7COOuIqOV0wk0DSH2Ql+bTLLxUsl8LDdAiGV3IhC2zJ PZXkP2RDr/8T2m/TtOp1oUMzX5V9HbbY3Od05eiGnaW/t6fZIxdtC9rKEa+fNrc6Tn/iI7zOUwk4 bkfEF8PVfDqruMPWR5hmLDDR/901prQULEocu/cEVvIy7XYuJIwG3+bucQvAVYoRGoEptBGjMOhU s/iLkSr8QUmo0LDnSBXBQHsgMk2IRThdK2UnlSCvtkWm4hCa4wMKcV2PLVOTN30xc2BbTcYigOqs NaoUbz+iThzz6jBhTMjULMDNq/IpeqWdVV+9kThmUQkDRLzTpAQx1WB1l7K1Wqe80+nwit0AdSjB E3lz5kpzVxC8bOL7QMPhfDTaVJNLfYtms1jElWenRbcgdhKFf3GSqzEx/NmSVtU/EzN5Ne+Terbv VxYErGt5tU+d9ZmCc7qvCcp8FoP8KI8b2av2X1NQNjHzRYJmp/vdHMgyYkEagSigMW7N4i2s+Gjl A7sCtF2vbllTuYO0lcMcztlBlrBYcZQHOH6k0SRnSt2lZPcVdUaMfqSXsFYyUEWlwpw/iHiKSbAm WAzVFhRP3vh/5m3MZXPMqQ393M1Bm5vl7lWw/g1rw+FTU2h3Qh7bzewMAUWS0hiMW9QDX9tzxWfJ XFbjQmhWwQb1SONNQHjF511v1xR9ijAMz1yDCSEMS/Kov01bQO//K3ysR937gWEnUN3xStUpRz+W UO/mX6jiED7HnTChwUnZrTBSG4Trl5n9amgIwXsAgV0MIBJ7Yyy5VBffqrgUbsytgkgoFGdc3PPZ uE3Rqgyr0UEhlWSmSMMcqPaqJfq/zguu3dpS7XGmSz881kFL9so3xcfQCJr5U45IdtUu/XhCUmta xvaymNjRffsIn4txGGigc+bhtbehzeQKhJCarYdrhfpnYOVo54cbIsfsSE5YoOxdV0RbDtWGwSjQ d9k+SaJefsPTBbrQa+YgcbaUrV7iF+a1QLzkizC3eksvu9vhx2LtvqMY2gTY2ctqRNpG6OzeBUMY yyHMzp5xgDrjZfoQVVpKo1kKi+IMQNRiQkxdlNUAYuGdo6SqL/EeDAaKeca7oRxv76TzRQofLT2k VL8VX8FitIJTBO7sEbIi/Q/igoXhvpr5kJoYFfN85+r8yVZabmYg+Bh+zxol67MXvMzaQQPjNpYE BfNvdboR39nEKdePvnjryMqpdvDXhmtdYgcOFGGQs9Rs6c+uRVevhPjTqqcML60Vt2puExmxy6ek Ehxvk+CVVaouNdungNu0KXO8Tro52uCSZ1OrMYnHiRUxiHJMGITobXPYpiuVCMGFbOzikaYyELx2 axox71kTZ/EvcGTV1e2H2CDPKgPfWzJ1AHQpbCcNQScq/Xa4yPL0zCRXcK8wvm3ss2dsq7vShTVJ O3X9CGrrmoE/V7PQWvGNsdzCLeZ+qCKtuT223diQfIOY+guGc1C3/dFv8Gk6t6Kk0rIYF1nP65yy PSsyvQcu+yIdvUO7iv8azCq2Yprc50w/P8EFByWxJ+bqzy/2uednRRYysuKLewLFEyz+2RB8joLH WaQUQCcGUedyCVIBiKHeS6vOjB1CVOKAYJrOZxqZKnuD/iHLJOEzWK1B0TFOM3zfGMg6jQU9A0nP Y66pi2VcIvXMlQ52C4CIhM6JnNnkdL6Ykf5b9hkzXKOBdV7M+S58+NQOtyMXNCpYW9Ve2fh3Wkcj Lw6JIPK7Z/DY5oz5/4hRtcA4DfeboZUdzZWwfjGZF56gb+f9PJgsZkIviSYLg1rKIOd0yL0XIFRN 960RGf8bMndosfUMiiiBe0lRjWO/70aCumd5/fd/Sypx7rdc8sD76QsYO8a/voEwp/T0uCW/gRDK wxQDc1KV1gjX+aqlUJexEsDZXYuxjNq1NYzBBHOn/3Yr7YBxMnk2/VJyksopGCCjMNO5MePula9c hixT96lUxwNU/BpJIRoyuAg1vFsbZ+PZu4A8ea9+eb82Eb37cy/fa0+Bkc9O/I1X2ZoUgx2So6oB sTnsyK79SVnNrLjewmjvOXw7I4IDqwDa+QUU5MwhN15HjKcqbbe4pmxXth0NtLtox/8v5qkMydVs 9BYuVtRTog5gnN19QqC/DSpT7r2rX4imzbhx+GGiXRekfAd2zYU/HIxBIxNDObf16T1RQU44O9Cn cPodjahTb/MKNrGzlniC4DMzmQVETvdXFqtFjWQK4kY2lo5o4HG62r+rJ9omYDsRRH1l2jjiHZRq gyrjAIb/3XHwXVXOsvhDFMIaHbUXMyB1eGiWHz3BAS3JOHfKK7xtzBOnVZxxKL/KdwICMHgOeeqA Wq4GMrqsxyJ5p1J2g6GfRfgdfKkHtTQlgWV5d7AF5OKcyc6w1ykZzAlPO2anT4w13K5GUwFeoOLw 5Ukx7H48kJvG9+wehVEGgjzmxZwuHrB1JWjPctZ5NwSLNmz1BzaujtGOOqsPsSdXTFAtSTYOqeX5 SXFbZdGa1Jmx2E1SIA2xgXDWooirZQHre0ZxLIMTR5HSOsGvzOMTtkGDjBxbVBIhmZtCs+IrQdKS tTNI7NPD2u9spRIXw3jfp75Op+BN4xaHGDpXMg+K1CMv1NNFU+bfbUWK8uz/Kp5B8Hc6EIqKDDcz IxQZAenpHiYL+tF7F5DRgjc2nBBP2+PFiDfahyime3ijzHeJW7Tqpmw0kUgluzq4S0bKa5gCGVW1 7ZK6WFsaqPsgHjYT1V3KfrykBjCnpwTZkHoR/TB8SwczESH4ByNXZh5NzohzyQctHdtzxlyqjdWQ oedPIkvZHgxUx6FCmfjd4TwPBY7C22/y6tkOZ3vm8IdEdkH/JYSF7ly5H1G3TYqavzzrezbsIK4d F04chURSHqEiHlfeZvwFcxiOsyAWNqJpN4C24FN8OKsTpciODtZe9z4SGS7KgPqOjmJRtReZFb3m k2Bj4T9IdEooSHWXLbIfog6fFk5kz45ayhHPi1032EhF7g6gZ3eSzb9cBD5h52Fw5JG/AORyRwW6 z/vXsLwVg/m82empNvfn9VoKDcDlQtKkN0I2Dgq64Lciu0FAjg+zS3uLoPq22BLt7Ndn0qziNUFM RAK2ZyHeD3gc/Ta+lS7KZy1VTqfq1F7WYn5WduvjHLwu4uqlUrXAky4OyKBTYwAb5aFgHHO9Nt9d do0DH04iqGSpkpbO9RGoXwmHqrOeZBKT/LRY4flyvgEXSfvFXl2DaJvblPMCWOWEtPBpEUO9qFaz GeUa7wj50Sn37/OH3Ih7zTXSRCWjuHCnQKTBDXARnLmhJvt0hJx1AMg1iLjbqYZzY9JxPiNU21Og FgFQPLXsgbSeRRIGgiK0rIeuBLkyhvfzjxu7QTJTwIohbmJmJxGncWz8fawrF9Td+8qLCyj8tMB4 Jflkvz44aFKefVsGwCpOVjS/DxSUAlqwP1al0QwejI5uTNUVa2AsR/gwuNnMWAn77qa3BwwuVhOU 2Woa2mddiWldjMEHuZmM6u3dyXMv6aOtJLwondMpYY+7A9lq/Ude23oAZc4Ico06QeVh0QVOoOZS yZvj4nQ0Wm66cUy1KyVixup+tN6obtfH8SXhutwDA3+JQQPVzmcqgWpnAp1BGbxtnMSw7pJ0b8qa zq5vwg+8rlWnQUaHtoiWZHHtq1DggJou9SsCtv6rYiNdH9xsz+AFeiWrwclvdMxijRaLQ93Y+GAg tzEhb4cVDPwH0nCuW8reFsOd1cy5pssVtNpRHDgquGq92u/W7lfqPYKH3YQzTQZ1qeNA+OmUqSfT b8JmVZSUKzwRZ0RLnGTqK9pb1eCYHD1dexISomy9MNUWUHSbr83MMeK8Q91jks5HfONP1Hw/h+Wz GjmLT+5WugY/qfRjSFJX9t4W//mGDx0qzF8F7N4dn4yFvWDXiQUPBKdVQJCBuU1vE2cuGjEqXrDY e9uk7vOoks/Vki+xMLe4mU446XhPtjgwBLqhRl8sNnhlVik0XWqRiJal3/Usg4eAqNU0E9QIjwho GxlvQOEVjO6Y/5q4JBc5uUZGl1SQE0peel2oGP6zvG/jdafFyp0hxGehEK6MIaGAv+XgGGTuv5OX v9i9OtoanJyRfaR7w1itkz+ShjE16UzehtW6mjoFAWyrjGushRAYZrgw/e5T/guS9Ypfwu/gkpmf hS85jkfGJo/8FstpkFQmJUo0z+ItP/y0pzRJEQMnybVFNxFOceRIQSeQVKG6fe4TAoyfqcoS6jF0 XpTBMUMo/T6wJ6TaeWj4RjaKdiH1/iwbDPB3E3Ng4DuBB/H99f5Sc6FsPCWvkCdDi6/b501KHLAt PgiYB0JtP/XVsjF3I22mwvQ5gDuZXUcShfGUnffSEYy188lJXus9YPJ937FhClaSfVwMF7fq2IEB FXpa9yd6/OmNhtktna+OCEsgQYlulZ/w8L97IXMlV97QTd4eWvNW5UQnuvRdTGdWuTxryWnLzopl Z8CJ9/jYXbxSB321jLbun2sNYhGuPGAm4hG3FYbGCParrzuJq0bMY5ZV8es1bxqFSUKYdQWjeXEs /5pGqIleCdMESJwTMs2m7BqMR/QTEMGTD9iVQXz27tSBxO52yG8Wa2YAyEwB0RWP4ymP1l2+tyLZ +5QMjfopmRmEIJUFufKitunzNAk4VEuq3ogk0x05/LHscvfsfl8/5mjrXnrTFWgSBo/iUC/nuXQ1 rTKAiYJjXG2wNrHwJybtRY+nMSNvuMxg6zxLCaUCH5L0z7bLM0XutwOBWkZICRT2bxMIARaolF1z 3UoQorMaiKgvHcU5scGCHaGXPuf6v/I/26vq/X5rdHo7mcQxMPWJiMCFs4/PyBNsRmGYW0iYw2p+ Ny6KSaMWxhAiVVRfEtlji7xFrRFcAM9P+xk6cgyoXBgaBAMbO8DfCLfv6GPoVeiDJMGHnpTgf+TO 0wiHUQnA5Xblaezg50tv3HJ53Vr6+49Hc9TFjBFeIynErb4Hv9rfukUwC0k+r9y5aSz+wDWWp2Xu ald4sPlWuKluttrs+ZW3fpX/JweG1yIxf+m0u2m03XympauhbJECCGo7HOP3OS+xd5jzAAY1Qh+0 0RRa+qPGQ5hi8JRdN7pqGsGAHMaTG1/dfsKE0NwyOriUJgBtVSYm6r8X48ekzlQePWuxkfMLyNlK bZOBsM4cENQxtnXG0BIevdmKEoyNT4gS7HpJ8GLyer4ucTsiIhtf0F0pEAqNDxfZr/Jh7kqwqWmj ZaAwjfMs2YYla95fXmxT0ZRMYvPFrJ/491aFs37ydRlNKZffonIkZ4bVrQPR67rhcbwrQlf9HWGb WURKDt2rhh/P2DSzKrwsEj09cej6Ae6V2BjZaBJHef7NKfWQOoyLj+qti2LrkIEallmqVjumhyWH q+T05O9GT5iORvmGvyGL6dXggY7o/lBCo2zD9WPmJJDB139NlvfMELtRP1R46W4bIFWiPCCGx32Z RkvROO91iAmqp7w2MrLXI1Fa/zeQNVXSf1pLF4gt8vbMmgLhxaFFaa2gtoyBGnOoncV4W+hltXUK UfHCxER0r/Lxo9Pp6czzhTk7TvUMA1UEjHl40BdElmKvhZDJWLHhqxuIfmqPuyklxGL4CVGjdHtU jPRFdNeV0s1CZVJ1Nw4EmP1eYx1wyjaky/bxjc7YTcZIGhC/cMZnY57fDJ5YKCK/qbBbEm/IcFem sRmb/8Ql1XiSo3BwHH8OoecmxoqgPlLi1mTjX5arL1WKINKNKKN6pqAiP/wwoxAfqkNCML7ySZkS MItfU8eUazXaPwF6xg3YgzoPNy963SwuwzSJLnx/2znlpOY7F53yP7RxConqxj/QT2UbCL2LrXhJ xU7+MwdRxaYMpkfBeXdHSPhcBflojFClbQ1Zb2WJrYZBnSeBWDlEgl9H13CrHYIHCmKcPpGzqAN/ hexzJLKKtjEi2HFUk0V5rLRlCN0dHHrS3AzP4hxvNt3IiGNvVUZtkDNpqci1OaktOYLEJBIdrnaB unySydBMlJg7mbQTXmIs1nk+QUL3f60gCMpewHpG3Dyig5KAcavXAP2lWd4RLNROPZJgeA2tstop N5sP36UFBobVbQxLpku85jHxN1CMbaCgRCNJHMkdmXU15Ro6K6OILfzqfYfpvsiUOkr7LGVUO+Es 8SDfMucHqZ57O+oyoXH1/VFc2+CZc5BN4r6RDpmewk4xzENL61L4jS0DBw1kuIIkT0gB1455GOcc ugNf9HzX0B4hAwTWy7rSljYaftV82o6zvfM5Im6kAChEHGorFFLMrU51b7Vb81/kK+nnAboEx+98 K9YbAX+HhNvFGYhycrth/E8WPiiecJc0RJbHntAGpOLuIJ1emcfy9Hdb+18h16cn6sFYl9ZKgunI i2TtJTjtO++msUzVEZQW1ktSg7N9qBCf5oJE/+Oh1IMdjgt2nQmHmeaQJLFIsGRaKSNMqgfrIZv1 I/LrKlvsalbtdQYvz8DMR9EIlcdhVuYPFHdCB0ByNCGgCOfLkIm/OBCTu9cvphtqI9YUD6O4A2dj L8cvZSG1j9bPj7m6usZc/KUtbtcuYpypUixVdZRYaCZiDueIJFkcYnhCI/ppMD+tI/p1MbOJtXFX 3pRPu5HLtidHDDBUc0Papip8Sbn6LdD5/bqRDmCyaqTKQ89B1XGn2JBzOLdb2M572QH8J+n7DiPq KpoJTEg4o/8eXV2y/XTG4A/HlPrMtnW0E8QIafACG1d8htrLWcmgtjKpf1ZTwfIH57kmuCxRfQFP NElM4T0RFbiPn03xGCsCcvZD9rKHV6yCD7syrnhdyZeAMNsgnmp3wyYl0TsCcwtAtq686+W/w24+ kfeWh/D+EUbzN/Fr8BHlIwc9ohysYOAbIBPm90Be/zu/DrWNez1HCM/FqtN/b2qsEZUioeZYqVEd ZkH2iEGwsJy/b9Yszi4hCoEab/TxMco54VrbNHMS6YXyW70hYwpuVVoJZAuYmJ4X5rQ7pPg2Ehmz pC6kzW96sRG8/T2vjfNfRfBktBhYo+e+vPjLBBGebmXfPBJcEPklVjVU0PE+V4csUQXZOBHtFrYx ww9mzq5gRgSnPG3+uQXz4o3g071SAhw8TjIyjx6cjPNwQfZdAKIsPqszhwD55ShnNeFY4ORummEv flJ9pL6MRFZ7NZff3PJiTSVw5NOtMDlz71Y1YIXpIliYs7GkSuUedl64ayEivtbSIbjETjriAkay daHPsJ6/F6X8oJ7W87NlIvLEnctmrFposI6KXS8z3CHjOkGTXSMa+IW/j3kOGwIhk6JJIaBvHDnb BPcBS7yBFXUE70ETZcGbpyRlGXF72k6FC4OHrBACzHT2x4fo9Na4OH0IYOgE5vM+RtQ1Wh6LlW0k rCIAqRpXPKk4YAxvFzHitJDUoTF7pgdLBGs6NB7nadvdf3aN+q9JG91BnWb7ypN8qBqhsY5ts9UT un5037EjG6s5MoCPGoTHpVCuNAKh50n9hp7VAJlhD9WMifQIlP7KAUilcfma5a8lyB4Zf143qUhx 8idFuD/GP3LIKG+X15Hase41pP4DrSkZSJ1868i1PxehaZRmTqonuoiA5lQaQz3LwvnD5zanTPDV Sa/N9sIRjdHLnm+PP5fnbDNElPlDHStKK//owWzOHvRoOHpN5S4bICDkXcIUXodCalOKsJTexIzH k66hDboeAAI82W2Gs2A8nWh4AcvPDauTITF/Tthlq9VFEgNT8Lojsz2FR1uM9Wm5uc93JZu+A1ar 13xI5bcX67s6G5eqA3EkLKROwClXQh4MVqfSigcX4qLWZo3rtUn3jMrCpyVJ3tHWf0UPqsHmWbVC NG/FsRJVE6cUoQE/5MY+ZoZwYiTaceo6VKBLSNOXqyCG3vvPoDq/yw4t2ol8NIUX+IBF3rMYhUr2 PPy+Jt61uTdjKA8lJWY6u+lGkuDBk8qL68XdDY9X5bUV5B8pyOnxpdYa1vRTaRZ4JquO5zNpmxPx ue8ADYN5qEBL4jBq22fTGonoRGmYOh0kSXWlC5jjmt2DC6/ISnLIITDEbbezgfC+YpQprZOqSbPr E3DC+9IEO9oLSwndn7YoZgBctCdErIkROGO7IG91dXgwuc/ApuxEMfMnetwafmMSBTBQfMAKBk+M EQhf7nhkeZdDl05yrBVls8BN/eXYuxI41qb9fP/IP7rrprJJc2yfY+Tes+J0apMCsjoEXN5lmNmn V14hG1PipiReUA1D3BiMwad3POtkJ+0So+yiF7ZVh9FgrWek1XgA2mbP42fcbM+vDqlti6NmCir1 k9l9hBbyKYy+IMMPwtHJa+5sA7ykVKU6G13uxVqlr3Kk6rXgkWsLeR6yk7R2CJeTeqVGrkcdKvmm IkY2Db3ayBxpTYGHIpPg98c1ucjX2BNxNgwo4Nay0PVjnPpHxZ9SV2F1+KLtG5PvJ6IAwM7dIAJZ nVd9TGhY/zdW+HwEcao6zEziiN85hmBwtCxsrnmoemiT+fwHdk+O4/vNjvomERTuKik8rBVtYifk MFXDmAR9SAcVuVxR/pfzPZQ2QAtvJrYf0z4FLTiEGiM7bBWzhADkrz1IktfaOk+GeGb3zoxBB6vz inwvC+ovSPu7j5GqXCUkphQmeQgN/hfspR5JF384/RoKAGim+2uu93ITp88tAx+ccMzuPvfdLDIV 8jY854JAFbCR6cPd2WSsl0XzL4WaB+UlYb7JTOxBhmsaHlU9nOj+Kj7tBuo4XTfu8PY2nJLxFnLH NhWrH4cQ0KuEax6a00eEDK0G5Io6HHyJiyGmipNzJfTJ0/S4tnGkZI6qx0JKDUgT2vvAwm25rN2h FZwriKwjfoJyoBCeDNOBIr9eP8/pUPThuGVDWhkitsfAtk8JFmrneBVe9mdSwKTgoaJBzsPMoSNk /b7ec3kASIopMBGHpN097eOTRTS8VGX5Ijrrah8MfJLM4NWqo/9qPtNJAR0NeIQRDe3U8lX3TK9m AyZWoaCU8TjLc6fARjc10/5hrk3SwMtrBF4VLDmgTRa87p1KcOdjbQyEM65sutbsoEMgtiEgrjdn EGKSo885EuBLW7UGbEgHqwTrNpEd+5RGgHeCOfXbqnQYwZn/zdicjyRp9txtTyFezYyoG4ZBmwSo PWXnxLhmB7td8zkfYvF567TA7fiOZduy8JMJpEB3VIusM9z5m2Fkl1gcAy4p2xSfrgKmZcE0J2sb Ra+ThMC+iKizcA399Uel0xdGsjIj9FPGCxxIyYveoSJnDKtAoOgG75TR/WMO+Gj2Y6JuoxFmnKd6 1HZt41ZzT8432OWDpGP413ufHQOwcvM3jEljpb/QUqTu2CZZJpVYzbe6CdluzF4S4kOhmORjUnr7 2fwjWze1mAbzq+rp+t30FYceKcIsdzEk7OW1abvIgu6uuotchYgFHEMFUNSqGP1idfHm2klVoq06 goKxAK4klK9MKJqyKiTokdZOFmk8/Roq4hEvhuxMDM5tv+Vf7mF05OfBLG8VM6FsnQdgZH+EKNQ+ n7QZD0JHW1KDWBbu96yVeGwoA+9w6aKzZvphE/fYKAVYYfrwJ4TCjoG3a+20qbImRzENLwc8Fvt7 lo1hFZmvgZ4IKxT3XafRlg1qLTwtmbaAQnwkIO3fXb0XEfv1JThsjq4lk5G9WVAmxXrSJHBfwNEh SC36k0cFOMCYBstwZ1EjBH6oInH7YzQC3R/uKvEqlYuWOsmJdy0X+g1JEncrWWD/EM0R1RkgCSNQ iBMzgVgioViICyu90TZXl0IqOjBnMze+le5pDOFu1D9lzhuVhAG61iEi8BBKfsGirJwfVE+IkGsX Efn/2iht2DZ/LVxF+3PtmVLELSs2uS8TAzqL1hn+hrFAdM4vMtTLcvhzX/PmmWTTHQ4gRBLyo81j f+BJnB8/YE6NqGo0dM/cpKHJNl+zNB73ze+3k18cVUw/2I2u/KWDhXSWoGBPNjZFEw+VOVS1nj7t VIUgOZYJf1iAhkSEX0+IlN84/p0BsH55zxWnApax1Y8M+2HxBpp4XUXuwKlW5o/YBYbrAxV+DIeI fRNgsIHM2S/KkINd1T1zDVCyUICdnPgmMjlSusUC1F6hMocGKqZdFdZL7kE+4az7yWyNghXOX305 C2xhkMJVxYgag1VceRBQw9BWuT6Xc5Zb3IdrrgOMp/+gofGua5dsn3SWMhTlReFjuCUPpEwvkznI JwNmlp8A2HYvCBGeDRNEkdgBr2TRYdak+b2FzQognX3e5XYF4swaBiEWZAMZ5oGpuw8KsIusKHho kjwupF7I4bdaYYH4giEDTRBUExWEUYHYVX8P8Jsp84+4OI5IdDJiaof2geRb1zhfUoz5pIvrrNEG SmGMNRmLqLmBVGgCf1NFEoA9MVdPiJjl+zAMWeP04AIr78xArZODxGRUStm5BtdeaxTf4bea0v91 xkx3K02YuvNS82jfYQW4+463AgWMx7GBkJb+QAqomHXRpOSHkbwHGK6bD2oFmb+5soQr9e76xJKN pabAnT8HDakPuz9mRieIZG72KpjcS0aY2R3W+erfNbjvpdkAkeHMmYafDXlecLxCStprxcyEuxVT 3sQRPajRBhPWV+zktp3LQsd4bVyYtlZlG21InGDwVzUCmZmX/rQYf0PYKZMeKuCwxc4RV+1d2EJQ CXKK+r3i3+DvfYIz120RiXNRoLhK0hLhW2nc6KNTMbcnuFRDkRF0zCM3g7psXl5I+eWXx2+z8z+j LgfTS4hBXBFPUBGJCMJFaik90sLPu3OD1ZxqJ5RgcdHGFmKjtKBTv2+TvPNciJ4/dyIHmS9bWjnz 2T1h+4s90r8EThFTjFnyXda8ewSvu1oOuJRrbb8DxkDEWm42ofFb/0VXh1S7cffdPqC/jXVxXLMh Sq4H2d/qdvfgU8d5p9hXfkU7SeF071ZBhBaf38KYL1Cvo2xFGmxl4p+N9GEGAd1KkWBp9git5YLt w0+H3ItLqJGIcWMgTa9ZIyA3O6kkGdbrX/tM/8VA+ZjoqhXaYN1Pq1dId6N/TZnYOYQLJqefbVzV xB7NjuU9OzBOTELgy1XxB7taPrNCrlbyCPwNuaRm4HA0zF1LqMFbCBXW6618YAJ2yA64Da98GYT5 IauM6AB6iAbjBpjig05sTzwHwYySR2ftlhaszjeZP0oHUtMpuC72993PzPy+9wResIDc2c6Ha5nQ Qh5JKhkr/KMIImpBK4Nc1dqQDXlWIh2Dk/F3+Lxcc4MbKoNkPSWeTQ+gUHilbz+ZxPqqIv5CHZrb +VqUgOjAx9jTAt5t6ML9f4uWc1vKGArjZN4GW8qA21FqtlnI7kv/tJ52rCM+0q1ceP2r4rXYQoV3 o7BDs+0SO5obccxWDhcJVTe6Qb/h1E0wvUVryEZSZ1L3nXUvGtaTUsyjA/62nj95nmGS/qPoW4Ov nEC/t6E7JwQhiDlmLhq6FyfJMhwEL4rGNzvmtzpyHbTS2Hth1ug75zGx3avVSRHrgUNByyjU16jL o07Nfn/wrYu/4mtlzWmyCp4oPEYcCdy1NWsXSDbc9coDZDWlwGUqRfgylLNbOL8yOAuG1eibSq8R VHU2QWRHgPNC/b8gz5jZodzqBqWcvT4nutzyj41lHFTBjkeZOdeNBLI+Jji4URN3BjJCbTbxC74+ t/At+i5x4PT8auk7mNQy1Lk/ip8oj7GNXqnmnj0WT6u7Z3dtKFQGRQU9Ze9IN09fU86dluySaNzc AnPCtY2N6m1tDLtJYZmA4zJmdFtoj5HEsoY2ikU7KhBeKuNmmekNdNIqF+KUu7PjyLa60fz0Tg8R pTVP4w0jFCx6eObzKMT5OWUh0MZKP8wHVdm3pcI+jDhvAO4EczobEJPTpzyQ6x6MoSGEAqYg4Vef JC7FVbuEZyEfBGXP62U4CNwV8e+yGjKMyI1PKYFD0edFft7lJf2gpktmr8z1ntlStmURGTTbAKZr x5tLA9nftzMT3pGF5i1oIaBFgeg7pIs//PVlF1gzBXgTJTWP3XhFkBev6JaWjBkShOSDQiJ+hYle h2DLLeohtV6fVVAJq9Kf/kwmDwKwBaODqPRqp/1elKQcoxdYCd/L/9nUlwfy1Bi8VRkNxE+Ycvls /3lTnm6jFG7xwDu/SlrkaJ69CbWhdLD5UbGQVgZ8Ml6Bs3e2qOOGC7Ud4wbg5TUJv7CjdbUjLbBe BpA2TTF7FRncS9+dIyk5HqIIbLSojxbSU0+8sPIXpQ4Fug0ywRDWDLxtrb5hZpAdNbT4VF52SQX2 bwJ26PeafjKpaVSuMedIMMAVBWLdZQ4WTHRQZfUZBoNikLr36bHVfUxbHn03rOh6Enb/1ASyc8f5 5eIZ1B3j4BFZ1wNww3K6tUVhcOIhi6AQJqjBGOrxgDRhXFC5mZjdn+ZNM0KchgdRwIP7SRZcDdFq pr8Q1+tUaBt9yCpRRotBa3FdUw8idMrreU2NLK1h4W8QXU+o86oU9egjVuGsD8vj5POF5wk5us/z 7uAC4tInaDgMnXfZJuBF/t907xFX1V7hbtXwUbtgpr7aLfHIN1TL3lKBHiIByBDp1lbS9gXbM0Mz 1L8P86/txQLVECAD8BZMUfLUOptLiHYFqE/a884zBWUVx7ZW6YlW47n4u1XMsd8iyDOktw9OujYr XObl0XbAGUmzGc5D7Gc18kFT8+mVvcYCvJLj/O38CGAjnDkYu0vdfblbo7jK4ioYp6vjWSdkCVke oilJOS32VmsTs/Xv2q2uJWRzkJCekiP+nvUTmFRhTDrjvnz5VCqx5dXuTgun0gVNzRaNTHlqji1c So+cr5Na03/NhpFSY849BaBvE5sU8iQ72clRd2rp2Ee82GHWqeYYMT71kswG0l5ETQWQQKccSjAG Xvkxsn8so+JJBecy+FXzAhGyXnn6pu7mKJHK8aXeGndNEWKRMItGHZaldXDgK+o+HLj72oEcBwBi azjRHjXfiM2wyI023cN0hMC3vIBVw8/qj4oiv0jPcLb1yL8CvvAwwLmxRQXyVEjEqIDcIwQdaF5c 54teXCaEUiV01XD42uwcBvIJxV8Nv5zLpVCrdDC/96frrePO55n+6XBQbkEoYBfbfOOPk20wgkGT o3feunRqIQ5tdZ8E1ERSZilcXD9cQumxO2ZHD1C/WHZfamtVic8KSTFX2QAhvmOX4CUkkFLxY2Qa kvNgIagyRvCctwiu75RN+EWxsHs4Ab3XpzPwnjt1pKCpdBjDP8BVF+ixVUpXxVDrVfBYTvAKBmsu 3eYsks85N0qk5osXTD9rPCKgzgZObTBa6IdJfGdEYPAu3EpAs3noEH/wvGoKmkotij7a1I2cqVNM WEKXjqyZTleVKJPZ/bzsAgCU4kNI3XAtARBzrMZTFYq9KGMZ7HPXF64i9sLI4ba5OaHfirc1Je08 eAUoCLdzZa/SJaHGO0MYFx6OGdTHu1qrYpGo9JK2/9i6q8ee+h29P5fczEMSHwI6R+fl3YBvQzpD hvqqMFxyX1oL0s7kGZXvvyu4KiuDu9GoGycFOZN14RmXNmb9PxL+lFE57s+0CNQvXZx3AM5tDoBx GssTFNSOwUOyDrAd3d5Q05vF54AUnDfUzUgcaF5Bzsq02fxKkOKprVckd+TUAGNDw4uMb0rQ1gMm 9L0H6mMXsaTSrDm0TVtPlzjZ9d2D9SmbKwLTQt+u7d6PQ+QeX+EPquy5PNHeW9ndvYhX0ROr7kXU Y5DqmMejXLkhyhIqPuobP5smgiLtaK+gzJfPfpQlKjSlQpVpXPaMaLBwMyudxC5Fb76aHgwl2jca b/Ged6T1izCfgheu3RystqtvKzq0xwHStopl2rObnXOEnyNkKajcXqNGw1B5Pt3wAREh7sRGrll/ Wtx2PrJQNqBJuDUzUMt3z7JzXnP7UtXLtbrmXv+T5wtD4bgQW9BiCPONMmc0pJTSOtjR80VufkNp 9LoYP9SvknBkZ+hySIAmKzck5cRqP6jLG+s5f7BRpsMNfLaXyCHb99xSIiaLE9hY+dQESvEzDsh2 KInM4k4lP/AidlqNHQkybp7xC6W3EHZrEn50yAqi1zRuQf+7CgLoQwfPeaeoUO6Bb5VeEeF9VAqN N/9rc3loSZxwaRuuWja2ULObSOHbR32WprOGPtbd0QQ0zgAvkxT0/vRMQsch5lIKRa4gEA8YoHEs fZxDO/oLIUhjtCJAdaUujTCNOlL0+dj3lESjxutBCCLkkl7mdLF+7CMtWEdUAbgDU7lf6TymqhPI 4bHIzlS8yq29IWAS9ED/Hrqxzzaad+Hgu8Oqyn6/3tbP9FIBnWwE8nf/n3slrB1uPh/GCOpUIApB JlbHgqPhZqJjK9Ehac6oqBsFMQaoXVe5YZwrtBJqk1Rj2WWlppnQ+wg+EZErKXRdjeGCOy4Otkb2 hlntoxowEPUdETmQC88+Kr2d6X8EhhXhFtacn/IabxqbBxuDgry4lTSNv1xbR0A1zOFweJqPEm3m dYL5V8YSclPilaO0BSN1WrCiAT+yNvFe2Vsx0Bi3KTUvAUrv2M7h6vp+tfrdp1IQrXX+SDtKdsau 2tOZre+WBRTy2mdSQINmFoxa1M7wvYS+XHDizTLZMQxA/B6Xl4wqjsNY8PuChsHjKftMWBEa02zL s+Vb39upGUZqjQCb0j7sYIsqSzOIIH/NpKVnjcSfM/iyBflxGILexwqnNLob/QEPuXUN8qZLbtOL nEovvUyFmARETEDDeFl+SdpeWph1j+iQeXYP1sP0sH0rcL14b4ihljbfwXzwlTmF4O7kR20w5pav aQ+mHODJ/M+O0m0btPNw25xOPfTHT8BJxuRAGHhroyfq2d1+HSnAFScgHFdpXelCVygi/7/HTGW9 ekQLbto2/hfl0TOJx7GgQPk6UXA2vfIYO5VhYinUO1BivjE+nKJceXr/M+E3cVq7pe7KOEN86UjB jf6k9faTWvtyghHD7TTo4znXTo6dGyMbgOyywsdAnkUbt7XexKHC7YfXEne8SwdtSYBEml7c7dNe oE7NfeCrztim9Ty1RwINP9PJjwSydefxnz9dMW4OFQeulvUGNH4Y+Zg7vng+pyn/tU8ssXBoruoj 9B5/rhZTiqL+AhjVTQkJDa11ic8JLu50szwH2h1bHlPafpZDzCslOeTfJ69Do4QviR1qtJsQYwIe npHnZ8tNrznf2xrZi7WzZ0UYnmGjD4SVef6gavLq/vYxjwJQbez3Vpgh3TnBkjzbwdv7FmXv//FD kTl8yXlf3+w8VwnHDPEeROrvCuiEJDQGa74sIdvYv9uXoLVIN0yk4PvpLtdIUhh+mchSyOuaJyYW 5Bg7z6frfgGYTDb7Pa+ECC6X07WHJzw9MAyqTqagbCq4OiITBi2+tNkYUZv4lbaos779MG9vuxBi 1wy+ivfiF5R7PFWaKuVqSzs9S9mF+fG6+mzJYRIftgxuug/gloNG2/XETkPuJgYErlxu0hlM/lor 5LHqIbAMoTYENTNm0km6mjSSCeqe+C2X8z/tFbSr5TzLtJDIIOrQfGXtBrBhPVLD/KKEbI14qmxw oJiB9T7djPJEt1xaXTuzTR8EsGLeBQbkUTfJFxNEc8nTFboj+rtddi7dqHLbkGpUAZn4L8aQ0+G/ HY3dMIQsjKcjx4Lsg9bK+qxXA37LomQUnN+fUPcCGFVxXqIgcik+Yhez5lq82TwM/QvQhBvvG6ag G/s+j1VnWpAVF42vCceiT9Y8JUiiLfunjUYdjEOIPGFMKACD7tu31+s5veSjwr4jzhklKO1Jbhwb lIFnI0gNTtjtk/qS2tu7iwpnJHyfT5wrWVrOGgoYHb57WUJcFdkJGPCG3EmlbPCw1vg9aZkXB69J 9I9bVvBdy1sR1JzIrZd66SpUyjcO+Ie2Y0Kgq4WIblilQvz9HB70oPOMpyZovH84+pl4kNYTA+Sj orCL1qPCBL0eh7aI2Udya/kqDwx2w9PUAhoL0tWMP30qG+bApBjgOKZnB9/xnqdlOgnstt2OOoU1 diFXTbWthos3luXyKJsEVGcsehslZtcRCM/2jpn4W0lt/rvzFaQZDtipDBQ1V2fRMY/Fbn6IXDq6 tWTOMT08miJ5QU30xIPt29hnSwF8EZyce7BcRUQtbwVrsL0omhRa5W8fp5ZK91A+nqjRAA+awbIL ZhcTCTE/UzqyzR2jr8Ikx16k34zc3kOOmkHCZtOP3liyS07HTR7cezQ1Cqmu0DfaNa7P3qp+N5Nb 6I2K/U2imzZrJc/zXhNUQWbgD/xJ3Jl2D+VSG7vFezr/82JE8VGp2gW2jNh3HLDdTGDuTVjYGn0l mTU7mEnZkWA4bqodD2dFRVwoCPepf5eJneeOalYquFlUA1QtwVJEK5cTsD2Fr0qfmdVf2L3sw8xm Uvge4bT04dGRGMpwbVKv+cjLF683+surN7a7ttD82HKjQvu9Tp7QhXgFuwo+WK0JScIZk/UP4+pO oxft+L8czBJglh0fc3VaCfjzwePWrdf5fFsgIphKtOo96o9g97Tt8b/UrHIH0x4WYlyKSDUTzTUD KOtz4jLGECrfcs3cUB3Gx0BsYmZraCz+izm5qMAX9zwTlCYnLRcEJWA8TGlemgV+Mada8TrWnQDg gbAjMQh5nEyGuX79IRXhf0WD03Y52kAZv3ruUjG+DHj5nKRbbyjpdjZDGEveZI1zBXDQZcOD/yZZ PQT1YLjaAbAHrxwZzdLU72tHvnOL23loZ3x3cMJ98EB4fqypWt8UBCswXFZCOkH3xNjvpeYcFQLy 9A1eAljQag+wYdCYeLUzXMVmz5NeETDpXMiKR6iQ2mTGwUGjKtQVsqtM6BAHDM1w2QSbl/qH0KTu IqD25RODqRd7EfpGLJhwav7s5xsuqBS4xDrJhSC+clVZKtnekmyAqVC5ex3ejjGlgll7Rd5FCeNK OOmDUPu19f6kaE18GTn6ftKfeh4Wv01bo2FKPS+onExSgCcPoRccWfodsBVLgi4ZHylvTKmfRMxL nUDfLH3YGvMRCXGlS14AjDBUAuyfJsJfNG/uk7p/QFvBsPUekKO2msrf1wjg+OGwxZBsyDu1KMnD 70TfjGw481hu1TmNHF/cHXWpYF7g3ru7q4a0eFxYYqbgj0MGbrXz51Higv/Tg0xFcW1bYSLii6O0 y4IgYpjEEEtUmHObJDxPHOhKKNbUh71hRh5QPXwte1M9GxM9PEO179g3lA9010a4M2/4rsIFfvty +Sh4vsHT+RZlWpJgUZH4fq6Dred3eRl3B80kg/IojxW93g1/H8e330iahdvAb2QiJBmnCftUqA2S zmfQxAJC3AzJWNd51LDlwOo6NhyOakk15QDHAzr+EAimtaLDyq1L8je0g9fQkU9y4gCgPKLcz9DP D5CL14vcRsA4JIhVLQSvyrKB5ObtaMmuVBxb+3NFrUBKd9WwpSJPFfJrO/AtEAQOE5kaklTduHow NloC1A109Z/lEmDIsIq3voWY93DfpmQxTOx7UQB4wUGmvX3XJ5DTD+gIXyMSrS9Mr2Gvo42tlizP NB8XD1ycbg1o4T3irjII/JW6pvm+xqv+7FFB0BhQlxWjiFzcb+n0UJ6RwHAGe9Xsyap8TUsgWMQn 3HanARq5wUHwERLrb7L5MZx4qdoE5731jSTUd4sZeHP/SZV8n8g67XtNNILierynxc+43M+RCLqF S+iEpinrXTUAZ4eo9n+pdbdW3rZ7JWrya7AQP+xZxxpNMlIK7i8JC5As91p26yl6xZTH2CEEv2Pg PFSn8o4cowTdjxrMIUO7z1OrN8Cd2Cj3euoUjoccuSMo7/e+YTLrYnBTrl9wSvy3RyS95O7owTJS yoBv//m7Im6XPGg76QTt3HC+gsv20jG1/vulsCOWyjVALjGiTFOHtSbGQxQo7NP3fzn7xvIy/+uN 6LcAKi8QGz4W6NW16yiz8KEm5DONyt00/HN0mck0Xbs72yUf05On1KbxYKHW2/eLuxenDtcim1tm BFh8Rdf1SWK09v24HC+n/gwbcBcOmbZ6+jXKThXkuDiNT+DtP5KbF8QIPA6dnOsJIq5MUtC7XLoP wOwrWGwFRZPqJLCoSGnTR0n5zzBnBU55ShbehFWIpOSZaM9NVs1/46fAOcxBs6vw2+mYNNfQndaA NN72G+vdhqmThk1KrTeLb0jF/J4dQ34DJmBSkPlCigiwhRO0DtZfa8E4EvdBTYEIhZ3LXaItRFsO 5U5Id4dP04ZzezzR7X1ibfeobbV5CLrEKKR8UCV5oJ8Bk6fg0Ad0j7GlJWs8MJljbrL4+H9kJJqk 9sd9Dm5dbA4FzsbvRCTWkRSS6fJbH92VmkJ4k6a/v6axXAxN8ZVEriFPL5MKGvOXtjqaeObEIP00 c8cr3Y9E01GE7ELJ0ZqddubQyEBhl+pH7II8BLMT2fa9rOa1PIPofT2axhITgZgVcphSMnejNRZR XuNtSgPA535J+MMOdPLvxMNdGSJ4SQr7WonglnytR5eex2g1MuJTwvIM53wbpr7SomT5czRtq4dn YHqlvj+GOBNVXwIGLY6jgUR32Aec+aPqED8DYeBa/HuMTbMIPESevap++upgM1BSfb0cInxveAw2 uWskGKXRsOPFWgGNrBgXl47w5MluxbtdOidxD2c/u2Ly27uZ+nRoNQqrED+rb7VTS4Mgs3bpkh/a j6FvbIW0AC8mBUQjYX5eqvcnTTGMlxLmAO0MhnLWIt7eu4syZPiRoCmbO/UZS6Bt4qRVM1Xmt4UP 2IHrGBaqhemDUHN7AnAMikh57C4oyKXRVTW9x2ZnYIjzWAVozuD/ww5IejYdUrOo4XrjiCBz+KgP hkinjooiGPrW4AXHIaftSbDUJIBIC2v3qBBl9qyNl6Rs92IRB7hBpleN/sC7T9x/5e+lOsKmkQil mBekqUXWYtpd65CVI/MZiq1iOW4JxCezdLWERfulGnKhvs+4ZsQRKIAlirDROnogiqO4O2iGZIOX HEkhnZlMZjFhoyel7fhAhvUHSwkI8WLX5KqzlLdF5JywzW3QVKpKnPqp3mruLWpAupSHLdSrlcga pjBYELa2NeRJ5HhBlPIhohjPjOUj4GYDr7sIkWWuJbTD/v+fYPzIB9IlqvRb0PXX0jIHGgzT0njU AWtt4glQ73zxYdJ4ZCEe/XQEjDUp7CY6p8opyidOAagNiI1Gxs0pNVngWr/wYaqilKAF+K7wlFeQ Mokwoh+OG9E+jvLF/7KhA5bDVCNPx6PGCQwm8ZbF6LcI5/eCZ5dduNhSd9Q5HPkaduUoh7c4X43T LyfFSXBmEUUg3ZDveeeu2E67gdgCq9avqaSoS+83u/2UlQoQhZxpMhGnSwtw7i5PZRQwzFO8GfiT 245vn5CmxXanhigrBsFIkbIuONDfJGnyRPPlG+jJ05lEvCmMYkSEKsX7u46X9RKGQ9ejIOngYyLr JY5zxL6P561Lcsbzy5gnR1/2KrAX2w23IYb3jpTqmHuCWQKoWBeOwFnKXL1AaTUqkfxqywMstkaG doTi3WsIy08DxVXGv7+IjiAzK+rI5LRPSa8hYsuWPMmLtG1Qc1nE6JG3OdfzCEq7+HTGvVGB77sq J1F8bleEHx+rHhAzZTmIhu9eOubKZmvpvDuHT2steSXcuPR7XV8CUmvBwiVWRnkXPMdyrrYz4md2 bUQebqnNUZBR9g8JU7TLkb87cS04VObt2l6O3/Wd11O75SwLMfOWCX9EBKo44kH9dIpcXVdwcbi5 DL6HjUXce5Dn24oI7o+jodEYDUMXG3xCDdy6oBmjspjhaiDn1j4YCg4NOYtBcLUcPRybDd4hh3mu TqJteL9NvXXdlUc/9K/SJzPKJe5M/g1pYXUYQMbQ4x7RGH17SllXwHEn9pVluVtLQBD0odTH+bfw yiui4unnNhbdPBi20aIyvUT45r3BkQ1dsMK990/r5nKvrHWfEtZfWCxqGVhZgQ8HrWXseN9MLoWq POA/3CJH+Ap2xO4XOWzWTP0y9hOl6wflyuwNRL+DPQ/aAW15ILzpm2Eopcosm0zPIl0QKnp4MZRz cll5+SDdzArKjpTxjdSsL8I1CFKdOLxX6w2J/Oy7ACNYCxs/9H7FTnIzAc02gQhOT9BZ26HnxTHx Lw33lLYkjTaeVNOjMkWA2aSDuWVyoOOAHppsmBmuiI6QqbcWuv3ytYz+FqCU6EDbkFi3qmLLRwgc Xnn8FF7dFQEo/bEMwHFCfkwNZgLl1XnzgiEb2KDUZ1VkoStltBqWT7SO+Lg3SrW1XF1brBI3BKw4 coJtQ2MIfuuEGF1nInmxXQuO1yg5V8in5QnFALw7wTF9GwCmb+sBmdUwthxGnsdqaCIbEaWeoote DzylZ7d04fT0v3Fw+WRStxHnVz1Y+l8MN4b5h7wG45ix16cnsJX5i933dn2jTHqPkiex7Da4Pvzv jTLFUOLcbWhqVAjyABHzWYXtl7yU/d5isbXffAUGovmkyqJ3t4oxARzgSAkNVTBR0ionyOsI/O6E ihqsTlOr1KlPqo2gQbESX5xOIoVnjXsOivfVICqVbg9nxEr5mWCk7zzcNa/nIJk5mRJCxRwcn5Px nQ2KhvhEaIsTIa42VYKBFqAK9aqk/fcKLEtHu96j4cG5KZRS9X8Ab/hstbGy8OZqy4Dg8ZDGGdX2 mdB2drZhlrsQ5o6h6F1c6mxcGuKJiygTydpWN6OJUWXKMaFwqFTd4rQ0gmSyusYwspvTc74UoUuS UZlL0ZOL9gAbC8r09dcFjE3tpQ6tZhnJyTUCx+H9W87HiwqKMhN0tj19TYk4g+/pO3KptJ/I7zmh FQrsg50mMwm1PUg8ZAXfgToy6nq0WCskXsDb5s1SbPzNkVeZdt09/Tt03/21pW+sh9WVeSrckMdY GkO1X9KFbPPJNd9sjKAY7NGTmAzcK2zSaDjZSO86RuroSjdB4kj5oLQw343TQk350JVPVBIxxyqK k5ZcAmtCe1OsGVMtkBbBHfS3AGedi1+fDfq7WQdsBbsTT0RmdaaN3xQQ7KrgXNkVfr//gg9VO0IE H+F/68KxE272iHpTWsvCuc7OxMfGzX0zeMzyf1QuTPQ+HheWkK67P8AQgyXNPQ57zpCzBitjStmt /wvoK1ntP4ZR/s/58dhMy1NHIcZ1nwoxNoSqXTUplZRSAHc0eWxbqfRihAOOhTJC4vyg6yG+AShE UkQWGaqkxDk+MIM9HFbdlFTJWnlkVNtlbRmyFCB0bMxkFbAJRWDg9FI0L8tq+iDS4QRic3+bzfIM RKSUCpc2NP+e+GHWgWC912MyxuwAzS37U/AYbJJp/pqmj9D6gT8OJCfetIshff4vlcarbED3gbjO 52UL2bgUjxFp4r3LJmiRLhJGZ1vi/3nGTptF0aFAt6fP/8Bj0BR8csu2EbstGyHoRQq5NhB6zviJ eyH8FlX2gsYx2Ztq+ABCCZKyYZQMg5tCA7zyUABjw8isIFaRFzeVPiLQ78EIvqGpRZ6VcA4BH8A+ TV1X+Ob1XoLdEeNlK/yRu6T6S+IAzqPYkMwyIN0FK2TBi/ONdRQ07NFlrR9qFEV6KrRNPmVMzP5P s8sdW2P4Qpf3nlrOzg8zTERd5K38DCBbLEv6CztuL6Sza1hEpWUwUP+tW6Ac7Opd6PpARH3PBE8j qBNChivmUbLYJwmbC6OAh5wdvHnXxwWh2DvdIQ5ak9p/89z8cUTwrOB0OOK3Y4SZBLgEdBN3l3V1 PWPBSqPfVqDe6O0Tw4h+AkB4fNYSgK25EIcoyynu7Qba26g6deO6PLTdIiefL7rzqEKBhxHMKrzq MrynsD0EBAmFCdKzzjBAhgVCtL5Kr3Yq+OLLfKk6MbnBV+XbpbUAPTuxfQz0nR+KSjD4Gd7vljEj qTzJtKYUGmR3PwnFBCWf18V6MpvDpndXnqnEVdR84UWECUzU5st+uLonFOc56tcCU4aSjRURCLW/ pRqhc2ttkCuereqEWzBeaRYcjVUzAA2T6+maEo7nuYpVWZ2ACZ+VpNYpBmkJLB3xhrx/dWUvQ0ku yLBdDQoOm0U7m+HhatqyNyzWK18+f11WUepN/aHFdJUfXpl310ra0Sa48dK6QjCi5GaaIZC8LpG9 CzbjO5HHXG46MfjqoS8DqPBu48IaqEj9BJTkqdVt1BQlZ/SZ6YNBIvUaHTkB76L5NYxTl9KegYFX wAhNHTU1skGXU+9/0FKLtvavXUTgtvyNoQ3Sojn8xc7Vl6bWUy0+QFKZ7Fn6Ki2wB9PtJURxGyK5 tLW6omD+4wAh0LdGkGoMvwCBOflpB3Q2bAioVuoEyhGgnNAfiT6X+3bVh5ByIiOUwBHoEj99AgAF IOHy2w0gaWAIUlutKiEdZU/h52pJg+DTIo3AQz+OnJlehsVL+IzuQNmtD2ZFBYuYSfk9WAgorILH y9YJ3XeqibTtWhBgdxnpbq1xaQ0CgfUL3xofRLvkRh97UGPNeyeJ7EvJrnQcdhwu4nP4EJ1qiXCP pQNi7c2V0wMAqxJRrBu0OTT15DXGA02yM/2QGR6xThVqskfQsWGTaK/mX04GmtWxPpM5UmQOlYL1 QiP+gqE88zJQfqcgeV6YuDE1s7lwsrjCS+Vl6O5ktQCPm3RCaCNk05ep4L+7AUtRqj7HIlHY8isz y6SzvC/UQbKFQ0YUrax5vONJ2Uzorc5yJ9pmhwWmlNu1aBPOlDcAU/mYLxmovaKEkSf6YmnugHeJ W7eFAgdflyiD0LUVusD5ntEk7ZLs1mA2+uPkUjFcf75bDQ0giMwbCLvmA83hlFNwQNJCwjlSQcUn Yny+ovLCw2tTNmPVnJJQ4fGFkXeJC+Lel9tfFBb2y1icHtJSdxXLW34hmNkubBJ2f4f5Yz4JJ+hZ 0emk/r7bXEBas4yeBrmnPEu9ItVuAqg8H8Hvb8pZRU1SDKaY/h9rw+Ji0b5U86sQPY8EEuReM2Fw MsFN49SxD9/nB6ikLjnl2V/g8Pmke01OoroSP7vFRiNlUyQJfMvZXpOFmjG9QdlcJEz8BYr6fIOn x1Bc7uM5w9ANKz68/gbLjKpvKNZAmT4V1HNRkW5ft3Ht/mZVd+Gj9E/tuBOnOHxKGMHt3zR96RQh /5r3olm0iH0wJJdUK6yDFhuW3PLKMXSw9fAaxSi+ZmZuzjkkIYRDZmfxVgCU13YIkcId3UCJ2iwH Qlv3UHCGISr0BjF8D3MWDjO4H/T2WPSMDWnaaOWQRKcSos8zOLAEL2LyJu++P4+LwmFLgUGYXqRR lNskwLMgyroUAWSyFhEONPGlnUUaKlrD633ll6FpgHsW9RNRgedAeTM4pE9JDI/Cqq1VLIyS1qcQ oXxn9OYYvSgfYqoEJVpxsxX5YwcbkcH3aSWOGzSP7XzHqqraUbD1icTVkuP3g3NTAfX1WxOxVajT DoKz7QjehI2zdNd2LvJmL+n8+vdJ3Wcr6L5+NFZx+DyKyrUxiIR9y3HL6mP0dNfcjCDcGP2YIoXU 1yMLyKF8UCwkl4qU4YvaX0dNV8jB8deXFccmzdpVGm09r7F0NqqelUexUWzsYXi51LvSn/1dpAYz j957e1YfbSAcQJz+oxlreV1ZJ818g46VBuUU5dfZDu3tYM1tSHeesZjbJC0fXeLj5Gq9zBYDc6cO yDyPzzkrkfT7ZsVOoik4DigUEfgQih02+WEw2weWAgJxmY7WPAypDVjUMSVYfRZprxUEvDWJKYuf 2aInBoSlcPtx6y5EllgRjKJfH3niy/HFjepyxqSK7z5ZaI8D2GxjnSxczIFEwmtH9jjJUM7sBY9U jGPkwOhKUAJpDeSDhaCPqs9mE8f3YWR985z4fNdzfPZkLlK9zZWoE/armJ5PWcgf4kDGFy2uSwHL wR5g/ojyeZLFPZo37/BXyHJf69Lb0JUjdBznBrDzvSbI0gnfmdIRfzoeiLCELHZC3IwBMJzsKas6 LaWTweS+1iRfRSKMsN37EkNwPdTx6t2f7jOdmNIVf4hp/MD4gikWFRm8+luQSqGiYvvRBarOD9Zh JtYEclRyeSf5EnZU9A2ySKqYbOPtep/5pltqedcf/hg/0Ypwdof5rweFuUxzkEP51XWVlW+iUk3+ FGo/zRBERqZBBcVS5BP/2wLz5qgBTORUAxxwGJnV0mBjK4tstBYQyQ41Aj2M1mv+b/fQqb+fxzwr IeA8iPl+GkX73Kos9y4XR4wJAvwUSUhd84eNPIkLd/VEbbQST4JXBHOgkKTjJIm23qWPId7JQXHH 1+s4vvyLWd1Ze/F1wgyB5T7dImFHr86ipHsrtgUPg/VBhDKkUsBS74M8RHb482L9RIdRUCXMkOb+ WccY4qW7adF9Bubqb4GJ2viUPjWuYvd26zTpsuKfDOcfaE4cfXHI2aIiG9128rQKkoM2HS3cGNvV n3NsKDgfdTK4rgSqgE9NDjp/kpNqmelbR5Ib6aoJcsjvwWLcdy+UPHxyvfVaWM2E/jqpeA/onXmY yIsmxtqbue+UqpGDTpSxL1f0+ADYh82Do6Pr2cmOxQDIqF3Q8wt7sBsNgXf+/X1T1zaWi6NStTNi uaW8be+ty7nLGeza9+uVw8KV+Vsl2gyVBoUYT8Cv/Bh8c2MQmUqCBtg4rWLPzz7R+3H9pPtj0t2R q1tg3TeTU694VQnX0Iw0xwbvNhzElTWpTnmgCloztnM1ZmnNx+rq3D+WeLaeV3IwjSkkso6CH+Q/ PXOicRoOdYNLgC5KgLGTym/3wjRyn2y4FiI0TiQenl4SdX41U1ReZrWdOu0Tux//hRYB/7k8SP+V Dyzl5kUS87T+ZMAlvnPcxSYT/8+Qy9k5cOJ0iUmaVFslgIjymuzcO/L1jzU43kPJ7SxJjKPHpXxM nXZe0o5ez8ZqP7K6KhdyrawYFLmxDQ9xA0W90TeUcWuSzalMXFsO/VeUDK1eolBBLs5tvFXeDiiS l/lcYCq1m9HOI+9yplwXQ9ygsnWC5paxdrtcp9XqtdrBgCg8dt3hhbViQQGOmur+crVBH5EE1yfw RMpJyhOBO2wvCVLTx0kJEvkAn4XSXxVsmuvG7I1xpziGLq6Vq2Lc5bjR9bUtEtstH3ueQBbd+BAB soYe73gle/dVpXDy5qWBYa5FUfH9ekNVgbxh1Ry1934enjuNqoDeTmU72xBX1xnA5P6rx/H+17jW nnvDi56zOnuvSrbqGoKmRZ/r7DwMwySGy3xsaapuFdr/j7gd7IFh+Pjes6WkVgE7a9sjXCPZgMgZ uTidxx8NB9yhegcFRDZwB2CpDC5BWXuAitTOdeBKUJ/ugtcNAaHA8OS4AydgkTdIopJ5PRGfBxbC RmJOGreSEa1XKUq+UaW4a8DpyV+1r4HLnlrRBn7QXPuD6on5oJ8UAnEVhgcK4jgMxFSWyIcdx3ev B+sF5ZQ69QlRTPwaGZsH9XWzx86+IZHmJXqTcTqC7zOvZs6/XiTu+LzCv2sPjQiDpFv4Bk2kQqcS dftp5ekWxNj5HRK5Vi3KCAUHCMxI4bpDvg9VbcvFVffFvX7xnxpXDjzMiMxMZaKPLyNOFiyF+9op rcJS8OusZ34reDjqRu0oeiREE8nR9DYEqtuZ5rl+MreTk78d71QIbCLqhYrKiJGMhbc+Wj88icGf W3TZczRztCtZWzehcdLicsQeGK1ZguwTlWoU0IJWY/quz1PUdbssz6+RdLjHR/jFdT9/wNnp8cO0 CmU4xTIZt+69IoD6lav++OEsXz9NhXQJkvyAbIKQGyp7dGOZWFnahguU7J6jMzzM84La5rnqUbWD RpOWlFKP0wNvGQ2mdDT4ctVlOKCeTVqH3vwcDg9lk++afDABTLCew6y3NsuQdr3L+g4emK7cASd7 +ANXjmNPfwbXGCfGxERR/O+OrE4a68z5krGD5S5VzwMcsX2kOHS2VThFpT0i9Oi6ySx/yDsGRfSS AsTcG5zCc7v2VbO3jIOfqMSGZ/1qBPDvDXIK4jDT4kbgmLaFqchNdyVYr+l3Kl8GV6Bv2C0nXBKD 5HZv0aNgctmOyYHjk+uOjnPW/N1lBTrZ7jAdyg7S4jK99kfYXHGCICDxic3rjJldsMy+9wuHEUuB OT3o9EAYkTzDJKGDkIgLApSOeTWSotSQu5l12Ill+Q0BHl3PEsuJXP7btkmjLpiskoCZ4slzLFUi 8wGR83lHbpm9QfDiJunewbZQNTS90MtEWSiKG6fNgbNWtDGNaGrmP6tNk0+FMmOfxnoYSZgnIi5N RCQZ6prs26tZTtbJ4NsUX23GbLR/kfXTEW7sFXQPjrQUXQcU1G5JsJmFfXUoIzabZmZQc75NOb7T Igjw8XthN+vTAj45a+EvgJWWbu2RwQYFbMzEjVnHLSNOeQ/S4gSNz9s7sAwoMjE9AKjspPSIs/xI zhZoxDJbK5wNUYw0VoKaL2KBqG6qCxjPPSvmzJnAhMsXglijXE9FGQFYYah5uR5nZn8f/99bn7i2 tNtgqSOwRcm7vQ/M3bfFd8UZZ8dOLLYrhRm2Rs/8lHe7Dyvp18qSiJeoTLg7AUnvrvZW0pjEuj6K pmlY3P4EisA5u4gN1rezXA6njMttlt8h1uO0O7TVgjg+5idLFS0iGqCz4aDipiIb7ghrfDEa1iUM SUJKXMDLsJJf0lBb0VD+PpMoI7xxiquRdAUeu2H08hsWufWebnY3fYgM2d1eVp8EcYgnirTC+YsA T54WxPEGe3W18hZQ06/h/AnDAP2NA1jPF0MQpfACIEIcgiUzDh2x279rZvomuyEnh6LY8zRHsc+D IGolWrymenpxueU4VePuF1r7aotBOfdhXkfVZLyX1nq2oJujmQOtssjwpfWoDu6HBvRxxdQ/b5H1 hBWHNFFdZA52KPcCCzAiJaolDaoxySfOY4gxP/ZjnvWc8mzNRKhcBt/at9zblz3RZ+LrGgdyQMLK JWpfndMH97YUP5E5IgHppE40K95ULEVtKmOexxTb5bS6dBEk0DUlhvHIigRkbBrNut2ggFAmYgeU EN0BtXN2wwKVHWA20qa/KDgOuO6kWvPhCkLBduVvETAhpziGU4g/Xu67TBP3jkBYxwwuABiqfXZT d5sfxGxpG/YsXaURQkKemsdQVg1kL0RiclwDcXIJwH427fe+oOxCN0tDbYztlNn1cd/WWVnlHQy6 zDTCNj2gaGMjjZ7m+qY+IihX32c0OSx/GTbS1qxGxHP0jiuHR7xWMDZQ6pjt3mEbP3dXxWi/g3nV yzuJ0U+Sr+iyqQ/75hozgStfHwGEqmmXPAx8uKpzUIhB5796U8MY2dT9Eac6CG9+qeSJiUzZr/Gt zH5Ppf5z0nLLrJ8WQGyN33tLR85gyICGErWZKSlv8oqHhVhV6dvV8Od0s2zUdtrwfmryvEXmUcqy 8L8V48ENiTlUZpug5CqPgrkyWAE4jbViol8IB+2FgYuTwLP3ZjusRLNuOIuQQNfpTSOZN1GPGujZ 08nQWaXw4x3oSJh+tEFEn+siM1Ip1UD4vb3q+IDTjnSOaw4egnJgK9zBdxIAfQi+h0OcF5UiUtIh tIORGxIDnfwlNa1OQUAtYWc2NLwFY5PkwmSPQ7yxeJLb3muwA/UqdKo97c/xVdFReZVbAOnXYI/1 voPu0f7Qyi7C+T3HNmcMqxqkcE4gdWHTP1mPQEfUluFc8t0HnPPFU8LO3xRao4Ipgzh8F4xyz5pG qtXDDKP9qMzouRG6WL6m4j2m/wOBViJM7zbz7MBvVJfESjZopuTEHY12tBFci1QdcdI+pqaUkZGd 4jcJMUYaKbahNUrRkIVa8ha072McYqr0iSbJpREp9Yzbj8Mhq04WAIuOTPapRfvnzq28aGVsvI6k gSnJWo1LVQa3mUIASgFVyEcvJ5aYRbx47ALRTO3zJrTF0lRVnpvbNyNWSwmARAN+VR14jr+K3wTY Zvz2OIh9o2pl0nMkwn3U4x+8F6cSo9fgn0QGAPocv5TGLbcKqEGC+ZXotXUgBPtReRgWvVQqL7a0 eZeOJHMMbnp8Vx8vvQ3x0NeWMesRHe/BfKQHjRlVPQyg0xY02hbAA4ZI1aCpRM/93hqVTteS4nDn j26uIZVhIegzK5pLmNNY7VmNEJqcirGoIvuOSS6VfkDhesfAGE5uDUelJqENueTEWAdn1rCL2OtU rqMQYSLoYRazJaasejD7QiHH8b5IYqvQJpaD3h6TSGM3dr1Fjthj0h/onrVnWnFrmU7Ny9oXO4Wi 5kJ+OqriwgFwplm82hsMx7ym4cB2bed38fKsp76mSJqqFfmiTIaJZvVOMA7BoyFQzMxF705HQm1J WJ3svlLnqjf/leCQKO4Y9cj2Lds9nrJ5lWNT9CzDEpO98u63SVIPBDDeooRjJm4KM+8KdXSPRjKe Jgdy+kWprAWXxFfWGiBvnVDtVmeoHFHJwWAXogV9uqNDhSpm1jD4/HtnEkzds5y11eOkD9azHdEy h2ClHqpRUOSCgfDUpxJXBxGMKAJPHep3tDkjv8Zb3WvXwkUgbCupztjCsMKjTQ1tbigHRDNJBjt1 g0qS2uFq8xKBFZq9OQGMo2iWWM84JWBkiEr4wtBdlwQ17Rzt3hHJXfScY1Cbo4WTJf6qqS6AD0NU 1zNnWoYtsM+JdL7fFPrdTG+mKemgVCgeGA/apvS1JIystDVqvkanMa9CQeFTkI3Y+B6g9IZv1l8P 6dhgqYF30ymCVxn6MlRm0nU3iqVmAOvx3VVzAg/3VizqmFbU+KkFptpZDACWximrc9QjV8deN8J7 RCmg5o4MDGykGTh02QLrCWUTG6q6m2qyBUeAC+1DKUlYS5N9ZknhpLO82F08eHpSRN5EBxN9rztv v9ve2aF2YrjMaBioRnJC5ZHDBob/0HyE0qvZMQ7jdT39dQb4Pkdequuay28sZ19jWfMW7AH+O2Ch 6rjNd/Ao0upwjtpzDNhV5ZIMscGrE3QF4j9/USg+aIuqGpNZiJ5cHqovgmp6mm11cN0Okl/qW8SD IodaVRAtURmxf3eFHxiGFFVFgJNArDCDcS6/4twFq65t5zUNqWvmWGgYXhzyaIB6CwjTeYOHDJna PK+i4q0SGn9R6KGF2uiq4fVZIaEef31obMHtca3Eiz25oekJkEcd34cXK3IHm/bfxyXArdJa5Bvr pkpjFZnsmjuXDWEG3j0Upc1zv7BvMQJ/F3SGZf9hx2h64OpCEuwY/gQILhvAuIi23/NMm9noCXFp 8jwqjJMgckvZmU/EVB9JqZ89z2Ib0okwq/phMP2RdAZ9e1z+Y6o1+SIsWW6F4Gf0AA2R0VlAUTu9 wCfnQZBjPJBQUoCwzeXF8aWc8U+fDwOzVc10WBgmHws8J5nzjrqPtPoKA15UY/VSC6qQR/8xDwC1 UBeUKJtk85t1Hz/U4b/HdcP04bn5pJBt1LrrtNd+igwp5XixDcdnQhV75blhIHHN2KFV+UGgVMqJ S5+rlzTqaeTo7qJJAK4qaXniZ3O1BD5CY4X8za1S+KGQ9p4FUMMK16Hw/FqymGKBxFDcVtsvGrRj r6ek3RF+JVzkerVhBlRNKpReXzrMzIoBScUr2h3RW+tEDGwSekpV+Ite+7FBetDrsLSO+/9nSwyc YwFd2c+VQW88lDugKSN0RZ7J088rJ1/cHgMhrngGn5+OpxQWdqdeQvizEcdzP9Ppmr+5WYOz1gBx d+adrl7BYxCIrl7G7H2CUzvOreIz3/JNSwlZ0U+yuMXCi6VgtqC8VXnPqh+Z50h2FN/Ycgk/eGTA dAOsCjoHhpUb9NnEm51D35E2YwqsB8ckS8+9JiZVwBnJor69M3k6fFptM7J4mC2QmxdepHwe4pxB G+Cw3DT2aAynW1wT+mdn18qVj+zkGHoAiFVsIYqe0bkefCpSeyEWMHtGenRFjGxMltHFism6enAa teZZD98vPZRgLtJikODvkzJVxKsu+JHlDORgBUwNUFAf2WodKw3hHcycOznrRTyFItEZ++tTyWyI JXXVUA73eJFFjRGFyzFi0/Fu4Jz6GyhoW4BYxEN72ipxu7JDmb46yzlD2arFgXz4DYqPjUr5fuc9 +PtLuMRJtZx41grIIjbVEvCHXZDcwwGwgpGTeVEgx7bo3QmbTOeAzhRZ3gctgOP2eKOos/30IL/R PQYf5XaujNM8/PBJPzY2ZsPQPDubpT5tlkg7bpVHVc2H4SdGFOQ6ox043PrrrG/eQu+ZQlc9Lgt0 YptwAWu3DxGpiW1e93QOX3Z/hEFEMMfaEtL+rT8Dn+5NO7SB5y/Rx3Wb2QZv4+Ch2r6NgoLBaggK Je5hLnRLMrt0G2rp6GiXIqGrVkR0QhMXoWLKXyUuYMz6S9Sbb1CEWQDyXyvtBHho9abZmmdH1KJ2 jSw6jdBliqbld9DlfO1TN11zAtXgURNdoOfzsLvFT5/0gud0A4ChN4aCchCrXH4UiN1l8Po5oAG3 PcsHH2y6QhhY4t7Bij7j/Tt4JURoWc93xLFDZIDl5Nv6lBsdOgXgriTqS4IHgm6w/ttdAqMbJA4O EuaJxEg2rTktLLRrym/UAHr0fmS2EuF1irCqZHREx3XCC1dIIpzYzudHJzY0x724MA5Whfa5+e0g x8h/KAxNZuajkeaA7SDF1DsIbbGjksIDFi2UtzWMtWiWs6L4cQ24Q3Ln6iPJeUDK8uj/kwR97Fl2 O2o2GBNBI1Ga/ZCJHuZ2Iy57PBHU/ZFHe5pIN7xe+FQqoM+9UPcPXTRX40kpH9rTJTo5WCRZ5diG wMbMEPEnT+z8BRSLONH885JamiYXUs8qEldT4KqR3o86yMdNXaI/uUc8Ht/Epvd9vEUs1frxHztR tZTmJUswEOcKRxLsG9jNV4PHMJKw0jH8gwdI6jiquJoo2Ux7WlGYdZCZhWacyHIS1mCahGUIrQoW AxdgYtYRH559MBI0doMGAer0tsvlh75mqqO+dksJhAbjUpOV5HLDw/Xo+H8ezxarTigJQoz2XNn3 C7cOqBDNL0SffBDPOofhR4nH1tCSakMjep+DHC6wxmilz8Z7WaZhES5WDjhqZjOmkAN1zn9+rc8d UpDSMV0ZWANbvTyOLFNKX7Mg6owGY2ag0USacmhR0VHwHM6bPBtzO16UIjHHPuNoXawBNkWrlJB1 mRV1TlciYGvzEIVJVeAaYhIgKkQIcN/QBzPvMEdx6DQBdQkdDxbRKkSosAy7o4FFGjEjVQIeyyRv MEyClAGSTiKsH8jNiLl1S54cPFBNt7ddTbFEFNCSLtTd3Kwg9t+2E9p59CSyEZ9VWpeXD4LHLYBe p/3w/kTsOKeRuxWWs/qlXX1FHZbtkQZEqJWN9yT372sXMgOBp8BwJZtFWbPlwlzvJS5T4qiRXnjn lZQo4NGxsJai9UV4MHsnKx8l0LuRc5ruyqvlmxNgYV9V3WPt1hacNBWEK6nBn/ntpGY1HMRAt9Hv yhMqsF4kbi0sm8D1TEtr8g6mnCl4IQE7vYKYlGbg0Wyby4RFht3MtN0Wibuq3dZmMM2dFhj6Cdjt cQ5zjwHKhnqa8pdr+AzFuOcfcxPr8k9NU4IhKygRhbrqTf8j1RHM0V4+fK1gsxO1goTiMtZCEEaN +ekryL6A1L4+pQao2+fN786z6OjOEc9qOXzwC1i4v0UsvHYNBTKe0rwQA5krK8llM/Tc4778QL5R 2AAbrFelRytnY2xQFL6I5u1uSbpdOVf8Zp8SxTAGRoXHOCoEOROPx19cVqqixFj2ZV0I4Mr4hu5B 3uOWoZCN2Pnx0DOWH6ih5U7+ZKcU16826MSkpqSqvcLA2iCpDHAxqTd0iyfm7oks2QjY2icev9RO OxK6b/XAAAXfQzIXZpXrKjh0eS9u3/pqVYmV1gbrMoMyYvSk19vzD4rwB3dfdOPc/LMqCZF+5MyI o5VOwpcgg2kvAfTPvNFRmfhWkVSdq6UNUOdJhvh7YLCAosLsib6ffG0/rk/4ubBnLY4cSaXOumj4 Fxm8u68rKz4aWir+5O27k8Tf2kaE1GthfFC+auMwHux+NO8wegY8nwMjR4Ur0qQhB2uWEOWsIQJW pXFqPy32AA9n3Vw7YV19WRELf7Kw9PS0QKfzKlT/7azdTq/H8kafTVguXuBnTj/L8UgGCcqGsyW8 F0rOq3dVymHpu5RVBXigoU/bs8UISobuiV6wM/TB7qBwxG48VSVlu3ogOzFWbezy1Oc7ENj3u++S QT8oLgdD5R6k4sxV13teWuvO7YtKY5dAv+BY0v6U0CFnLQNPbasP8q38uyr+rIdZLE0+xL0P1Q7I IuqPiWowN0NBfUfwUsph4xldxytfFmidWifuyt+XQfLLRXI2F7vYFZkgLuAlLVU+S290qM76GTpW zF5nM5xvOp7UaShJ2IC3rQA0rn1+4/dhVYFcHFqLA8VtUnI+3o5FoZtd0eQaGRAZ5hKvSIU++567 qhjWz35i60WDfMM2wpi/kcsYUmkefFqAsd8S0yjwhevxbPt6r9LY34u/F7bLcqPnMPv1lDMNEEsH RxbwmzTirIxEb7H7rdvRCJC9hgEIcd+Xvz8hmJDhENLrQGN7rr/uRp0iES8bb5LnQkCZJFClQzGC kNmp1Fyk3p1MpBVjtBxMAkxZ8tPf8XyrieQ/FoO/VotpuObZ3vDNe6WL2pP1F+mQbARkpjypNAH0 hwg3Cs8TwzqxH5/GQEyKjcZ93i8Wm7g/FCsBqsQkVNBfNzuSWD3JEU1a+Dogoe/SZt7514OX4CD0 wkyw6xxcjqi6YMwv5zl0CwVi8xFtpRho4UIB1+9sjFE+pl7JHSNor1jb5DRtgjZiFyeom4zjMcUx gWX4JGFXzBotG0C/A/Bsk7lHwQqc8pLr6jmzirMdslUShx+/r4SWOyDU5TJxoQqMCNIQuK9eYCCk AWjxnX14sd5b3k2MoaK1lkpYGmbC7chGBs2b1qERY++QAxoFXCPCdCO1iUIiRWQ9Jbncl+aRgtuK EatGpVrNOIlAGQqMI2frCht9doCw05A8TWA88LNO/kk0ydtIvydMPp87aJ6E38HccBnXOPrpdU0Y Isad3wCwfI1yA+miSeFPqDcT5fwP0KAOlDQk4y2c72BttSOzIqnhv3UZwRY0bcZnb3boZZlbqSUE MEs2q4NvzUCIvwhegMcfMm/JQxXJaugXmNI4kZ/afX+k9OcSLEVlObrfJ2tpObLoaflCbtB39P0S sLryS7UvAtG9ZzeqmI11cdeWgdSQbTbDFtXGf92tDkSc6UPvL/Mtodg1pQNd5ytQHu3FgbZbLOFg eHVnQVLipb0Mqs1Ds+/JguReEPEM7Gpo71pOEtNr/Z68iB2aG/aB1NLA/OmMGvXSptdnUBJGph+t vIlyaJsI8x8dOe+aq/+sJLWKU2XD4rz9Dj7Qd8XB5BpdnjLZrmz4Giu1JTomZfQFnlm1smFpG3nr QN2isHFkbm72rq1ELnjLebvkkYZibU6PLUArs34pw2Q6f3eVo5e7kVm2B0brd/y9Mcnt2Ljmr7My V6HsL4Cl+tY5av4U3bCXmpg8Nt4QSaX+7CzfAcGgUFZNEg6I54uWRhK/FSIlUC9lc6C6UZDtWQrP iKGYzYnnsYh+0Aw9lcP/ypg042anCRtrBTnxHZK8unUYtf20d6vDl2MUhgRRwNraZ+WDMwjc7yzW /kct2MZP1aya5Cg/+efFkV/UhG6rpvFa42zv+/q6vfiiTLSrq2a44hCRyp4FB0X26zrux5rEvoB2 IYd+8QGITw49cXXCFD1+E8m7Q/sH15A7wILhpeKQsYtix1VdmlsKqG24v4DcubIbt2AY78ngwx9q GrvzBy68s/EXsLPoMhBxH/9nV3LWm7zgRncjffjDCw18hmZMJL/m3ZHBK01d1OxzGf9R9NM01sm3 G8NSTSYx3E0RRXBX2wEV+25UDUk77TqGh4Uo2Dtjmrphgue2TmXpi9UmZwUG8qlduXP25UvqPH1w gb0j/kHk9uUj/Y1ChUroJV2bNS9Bis7j9vuLxeWvw4p5PqmPIUFXA9++BJldfJum2+Zi9yiGP+y+ 7P1vBGgis6MwOV1yVGg5aeivo1aMaaG2j6OkurGd7Hd6/d2zSoqxZoeDWfb0cPyzST+Smkdtf4ls 3pNObVc9js2xCWyYZwz98C8fEjQ1jzSRUeG6IVlDOAn38IN/NKiFV0yP71YM49Iu/4vBjOeflamG uI24gBDiUESNs08OgptOb7Hs2bQikSPN6qH5oOMQ5QHnc9s0nylHRuWJa+eY7wRqTSo9Mt74ps4q Pe8Ta9VtzN3ZWT+jl8jAcu6C/HRpvq4/d2btbltPKa1BUB1IoY4UJKYxqVJ+z6ESKdz9wZKYDCma /KxLRajobb2XYfQTS0PfCCnqaUF8mLW1aNyZHKdnSZKiPX8NnSGKkfY7Vf0X22wiuVV7RrGFuu+t FV+07mRki+Z7y0bMFJxGjrlwDb5G7rINnOc4tHDl1gFsLNruk+a0JMPOFBiy0S8qXtVgr90x7tqW iH+kKVFcLHV+lLJN6UXTeZzxOO/zR+fm5/DlSd7UY46OQmx+iuDM4S72ioG0fiHFlbhCi1SuYIhe x+eomol7Afp65PO/aT2FOxNqzNzeEGzLe4fOKMfH8DRmcJmmP83gg5L9IBUbu+0ZnoGJCO42Yyv/ AknczvFZt3Fh4UA0NpQ9FbUEJTxigfSw/YFtMBiXSwu03LoNaNurHGLAwpmSIZERftUXoOMEVLGw DVgIYOEX5sxXHIk3ao0VOZM+/YoD+NJSBYb5HCXoQrzuHaGMRHzLB6AYzsLDaU0ssKDqA+9GModW CTExzlksSRH4s77XxEn7FITwtGOch0MLIenwaN9F5pbKi8cCtGKtdJ/er+Y4EZdzBwS3RFPRgbY0 GcsvGfUUliFyVrcbs59RkSV7V28lhC9nhUYOHnolR0Lgp7zHxeVoR1mXFATDfD0xs/cv+3g6Ddqu zgJ1azr9oAYTOxhW5paeh9D0CzU4ANK7m625tt5gWogRrUsWvPWaS/OywjU7t9Qp3Il/eyd0msO1 MI4pIU3g4Ndv8YH4cub6EcMvniFwBialCNsbn7W+wtDEpqJNZxCYgj/XP6KuT/XZLhyothsQfA5c VDseaC6srZK8jKL2ZQ05Y4SdC6m+32aXbNtbjh84tKXjS5ZaRUkgACwdCAIrARGU2DJgLPQspCgH g2KTEY7WX3c74T3YJEY5Y+KyE0IVAERUoP4ws2g8+ZS9ytZJU87Lz/0hyXi0cekcpXLfEUUH5Jgg PqeV0vHjYC77TaPPADsF7SEYyS7DdoUXcALfbj7ORvApUAikavZAOxK724vlcM7WaIRikDBtEfNW /X+Xvv0frFPrIw655cSRlQKoDif/eCNp6AiYfBmWZWmRweeW+y+DbstjuuGHvEPiXtCGZfFGfLjT yaYm5U++4nxFILNSzsE9BsJDYXow09Uh61RA+8b2GYUsewUOIgB0F7ko4Zb2ifMeFIdEM4nikXnT E0JZykAhBTUxP6emq2/GXaxrEwL6bE58Fo/Ko4Sc69GKI60ikxcwJ4qrixy+e/2k0oISTie5Pg5S PMcMoY0/tD+knhyjirf0ovGazkCE/i1FxPoocCt4jtO+WmFZ2SrnUObJTpsSVXOtQ0E+LsDQqTlS MKxCoXt90jdL13WGv6FC/Uv02bHBlL9qM4CiO3gbqx1HL1kOsF9GNzJQLEGIcjrjUze8wPlNyDHZ mTs7VtAGYZOB4/f7a7LxYcKwBUCWNvcFoqcaqrRMm1EGTCJ2vY8uUpz22EzK6XH7+WqStdo9mTNp Q0FxuDWiga7V+CkS/O5z/MQXT7w6TfTh0a+DGUTklrqaCxNURt+xwHzAuQIXIgWGBd04cU0MN/iZ ICVXlAW8PCKnynfKDVf+dLa/WaL15Cyx68VGoVc74ke63kc6IfZhuDpa8MPQZlhSK9dMdSa5LIru a9ud6eEn+aSmDCPKZLVKiPJsZZt7JFbdMfgAB9tBTb38F4/1x84/C2PhhFC1vIIkoVCPxd7FA6B/ /VBB9tlGF2/WY9iuLdZWHUpL+9tGMFdVxPK+zaS4r1x/Oq9DM1/jD9f6P6h9VIMl66/RmYQYT7yi YHblH2CuVHNj00q1eq3R59ell7cGs9Y8QHExNcIRGGlF+NMQzi9kBuKh88QUcsPlVw2l3toZEhHm RjR7RL7RPpEWv8TqrotPWW6qoA8cgEsh9HStdmJ4WqIT7lo04Q8w3j3rXmgjFgJDZuRFJBRqacso 0mFKBukEVkklzA/7nX5L60zqVjexjGdEGndS2W/wDtndk/N4bHwnRX2W5PCcsxdh1zf+BnsvkYPi NgiIGWWWCF26vU54BjhsIongpu6QBhMtjTJdkMjwlRZBNPGO3MxLDAg2Yhm0esYXPrVEubN4xWQs OPv7hVoh6g9G2tf5Z5DOZLyIztS9BtQsFF4IZhR/aQIDw+oiZGsmRvYqzCQWm6S8ok8TN1SiNb1M de++rUXOB6p5FftDnu7GbLoNv4lHJvfvUgm+R4+u0MtRTGGypzdm5Hd5gRXixL8hEU7BWKLJhkxP G3e3QYXuJifa7lgvBoCMzkrHXSzjcnNj/RFp/TmED2pxM4UU6kTEKGvK7FTZ+J1L9xaeBYqDvk2b jDW9fcXPsfSGmYvUetMgi8Kikt8/KmXIE90NolP4roqCGYvcGx/Oo9qdMtNuXbRwUIfE71JYSDUz t77lDe5ZT0pn9fCJ+eqOv0/9fb3zGsup6ywnF67xGsCYIsqKE6Vjv8gKBfv5uhf66F7Vtf7DPTJk 4dvi+ikv62YYvjj4zpTZ8btPTed/jQq/wKAKZh11I/LmjhFfEoj6ujo9zGSHesPvLg6MEO42QBBj SR0QGJQ62qKFAmiDr60X3lPnmYOHUjWCW6ZAzBZDHAVv/GVE6NOoPrUlZvUIDTfZPAS4FADAEMVi /a1mtwtHQC0scY7qGPUcCyfpOZsxJlzPq0gyPhWgMLQ6/QGITmmf/YJjD7678wdwOFLbkVCFwo1z 4vksWaoqdBK/aAnuSRDqC90WrvU4OvWWVYYooVgveAmFEXXdwnRUszviDBcuQkiAZcwIITCGRv8+ ahoFPlZHCyUW/+T6VGKQl5IcJOcOfmm8KVR9bzY6bHCYPmK+1ZhqkuBPDtz6XA2s/mX4gB5vdfbh gX6eNdsiFiaOHLWQImMWnfxdIMZ7m0n4NNnJ+Bq3oSxXmF07carKhHs1+FXK6r/etqB3wBXNvqqn tiRDuzIGEWvyzKk0T302trw77nSBN65f5Op2vFDPiuiculx8t2FUMxki1jQ3mVO/yv+sxq3d8Y2L 14TuqXcnt10e6ozFJif0/IHOBuYmvypIZM8fxW78gCma3XY+oxpDDrke8dZLZMuuJzSjGjaqzMlU AxVAaSOB22gNkykZIyMbhJvMkd7Wo8bQ+zUkrWNeN+K1IA3PVa9UW52jiykAJnV+O53nwycIoR67 xu+YXqC1wm1bP97iw6BK9WJ/ztLctHOcjq2DyqdDWSSfbadSB4bB9XGephb+BrWFWAWc9kZ5WpC/ 0FzIJ+J57GrUn/xAyVEIJbB9RBmxbw1cNSqJq9DVXXQPRKaDpQKOq1M40OuwZGI5SysfRXnc80MU 9ewwA4RdJ1j1cLdC9sXnnpaBTSQf44klUsE8YuRmcTw0NYE03v8WjpZ/7TgMRzSWBqNtQnKH4Gmc +zLwoGLusgjPTiNQJs9eWq3PXyb5Y0N6M2Xij4AygKaIGRwGHwNz0t2npGKEk3TtlcdRZHnCWvej dmWDV2otCvXfIOseC7by5RwwIp4LFOy6BI4iBnwIc+7JCl0LMtGpSGj6xWFgHivya7GnvTVd2nWC BJXCdLkXtar/Jj62ep9i4iqnoNo+jtaU3nOaQbnl9fjkPEVmT77IwFQQ820lUSnvCVNpw3UuHnIp ZmiVc6R5hrzQe5sgg23sG3Y5dyZhZHOEYK0jRxnpVyTJhh1IByAl7XrpGeMS+44YqpJWk563yYir L01yi2FSmeQmWxpKULGThToP547blawaR3ewKCZQPiXl8e+/M/XU+93hv2BD0j4yHBXe0YDtiiZh NudG8HvH8f75wnaIgtvsdf8rL5BdUgdfoE76zJIVZP1f8+YntVXodar8X2YISKYWWMOX11+lejBf mT9wxitfsD+iGnf7o4lPxY0nyOsyVzQJ4oTRWVYKHFyjd0P0DwpD9SHBU1TUmGxkFPm7oP7dSqOe IOJJE3pNSj1OIE2ZWsMPzIuKDwvGn8D96UKZZvi0y1PfhwOjPoCqFgamRacozFM2UKONc1QW4pQd T5HpJNHjVvgbr99ywUUVwWZCBYxxUJOQ8e4o6lQEf2OyLJlwk52tOZ9LcH+bpNjV/E6cG8lMrsge Krr/LMB026KKEDuHcf5PrBVvHjhQg4x6uT/hfA5U7fwRkX9w+h76NrxxeDcYuBYLNhGyciZ1tnDf HXRHHHAHZXdt7OC0hmBox3VqjNmT6euni3GhJVmW/T3PkC2Ki1SBJE5Gmzv1My3SkaOZZIvrhWnN QlainQT8S5KBTIFN+eW2578Tifa8JnW5ecGYT+6iicg01HnVAfXDk8XTVDJsA8H5KzmyB5tB5FgK tVgd5o/Bts48xDwy/7pPXjx8ebGSONeoW1sx1JOQuynf/XIhLgB7cYOIIU+U6Y/iv32r19gDQmQn vjJ/7TkMfHH93RVKuynyY2e5B33zP4cOI4HTUI1Qm7CFIeCqbInXNJR+mcE9ufqADG+VdEk1XbdZ 5K6D/H4PSp67frtFRyj5MXpLPqtIOc0Eo5aip98uMmT4V76KGLurkHvKywR/WuYNXPf9LyhA+6uB WV8BmjqsoiWx2mBaEy9kc/i8xr8KWwLhDGR+kgxWe3CIFEJLvu2AJU9AdmypjQZYlRHJ2WvLdtZU 80Ak1L5QnKBFVcR7HfyDAhGp0I3/2yadTnT4xgRNZSAfMuw2JYt1AmlXgcPcT8lav4kNXHoj3h99 0rVN/SP1f5LfOqlbVr8tQqJsq0xV8bPoeQiCJEP2ywL1AmuEkzrSqPJt6YUAMqdSqJGjDErUTfQi /TE28EFYHyqhqYMJew2uHlCG8+v1Y/h2FrJSjWBlK0a8KltVS+SXl/u8V+kWt5SEdVIX9x7ehwLN PKH3j3FTjCXaN7FCWNDcPvaZOg7nBP8EXL0ISZaEXzSHWKRe6iO2O62n4UeUMx0ChC4ZGHZ1n+Bc l1aq0VxGRrr2qncInqJnI//j/4lHYQc335j0s93GAcVlGT7/uJkafFNNwo28MhhOmrGjIXtJB0Yl YfL5X0Em/dLwJsky/GFf+yLxQGyCndLZsJVkSO1HRGKUMNmTrVHfTJ0hps/EuCz5t8Gy0zgUX65u 4Ip+1+Ih+Eig3FJiiqThcEa6EbcBskJfP0Po4obaxQgX6Sgd0owvd0rBTzTxWZH2xdj7wlHyUZdu 73VdGC/Ovm6IzSPs5Q52ciZv7WwieEg21xyNFz3nhas9p3A2EeMTvn0qOyrmPgZFERKFMrzJ7ipE Dg7ADDXs85++zPyIiLg+oJDy9GVs/3V6xTYLb7HfsRrsL/2l6EFlrKKuNSNXVnsa0jkU2xZdfvgj VJti0kpwa+CHLoMAL/r3aROIrWFvephvnreoigljDtaDsquNAACSUb4H5QNMPyJ88Mk4P/jXkukW gwFb4VOmL0ySHACR6tnEe9/ZUdGgpU1bsoNETFaJ6WFx4GX0zlCVxgJblVSHA4meZ77SjnI5B0gk 4plMp/twaZtF9OE7bh84p+sJlvBo6BPk/BiJrFbEwkZGLnPYeQZAFsQiERre3DHZ0oaxiOaDFOTX GL54FqnTXrPlOkF7I/ygPgLRnlPFvGhmhIdIemXUhbxILpC/SV+Y2IHffWPdykIOeQAVoPRl3nCD gXjMCMDwaXvuI6C87MM+tIfxCG6fIFC/hT5pwB2IvSmHF1zf5qup/dB3i1+b8zksP8jNW+gZrjPI MvpfWjbQoU7HRdQA/Fa2RGmmqwCZ3Yd90ixlG88HJwKl4XEBhxSwTkwiZNNPDX9NQ+ZmgBDpkyy4 y0dZYe4X6V0WoSyXCEB19jHpVPfig2L4e5QX3E+x33V5UiS8Ntd/2kz16wK1diNQX2yGJAk+l5+N CV3maK4VwGY+T6nczJ8gNol1M99cqLArEcTJXhuKxjRJe1kVW7LbNwhBNbsoOfa5TxuxCGrAAiWG i9F/2KzbT9YdCUYd6vJDujn+5H/DOCu9aGgM6lHxOrXeZ1PF+2fLASh2xGDQdrdVfn0qYcpz1AHq JLrB3HBD3EEw5uk8bKzV7LdGNexl0Gl5ATFhV8fieDChqATT7MYGatpoO6Y9Rn6A2VsG7hN2vpby /0WxjAJZVb7dCAASqJeQH/Kbt+EdOAwnG8GoKoemNYKEAVrPNSqFYt2y/Vv8l50ZK5reVHu/rqaj 532mrkKzYDQJiTClYego2IBSxUm8GpGR22W6o40MizEiHrc3VUgdMA+aff2SxFJPK9yf497YrC7w Cim4BWeLr199RhRJwAuDgdtSrCLXvs/BL5vH3SYqfIF04+SgqVGIgMHEtZHK9tqKApWLoCGVomRZ ftNXvLq97yF7l2rpyH20lFbdHf3FHpxiAlAocB1iyYn7rilkovrb8cvYcOApPyMS1AbX3EVBZ4nN TyYq/nX39nLW1whOZEQ0L+7mHq1Xq8iiEWTmB9YQize6Rkvq44PeJbSu5MwOjaUKbnhp1i8udqaV NlXLcwOcuF61SAugBuRvqGcZWKPjB95Z/L+yfkwg8HepvcueAjzYHNk2RYraPVlLqwjxKCjW6FVa gDrniUQeGJWj+aw7mYTqRLqzFSXbTS4CeDr9hukTz9hloJUYgfvMY9HvEzmfesMXKD1Aj6Cqwsuu jTb4rH1iwXeajguISDByEzQw+TeknM0F8kgijexSMwyL25ulh8m8eCWX9if7B+EtaisQVjkfFbRX eh7IcbfG9yG3MmiWRqCNCziW96zR/bR/kNfCcV7/vLST+WBl8FA2mQlI4QQT/3gBlQcwjF3UId7M sxVUYdNWfYbQ/q3kKdCvA+U1cUaWIis1k4N7Kyo18XDxMVeAoa/ZxIrPRZJtucSp8nz0nAukYTwJ 7BNjE2lwoHqLTDrHq/x3XLYiq+ybV+1mxFKcGOOZkvuqQvaremNh6g8U5Y9zdoUAHypxajMoH/5F dp/fkddHP+jMVcDocrqekzprmjLUOG/BYYI9S3N11IB4sAlT9rxG2dEn+0DQU/McNXEufz7OFa+7 rp/o+uVnaET4cpOZnCQw5EQc2Vgy8GU/FlUlMz4D8Z/5WgzJMzBIPLnxl5DEUOKESsFV83g3yrWc FAFhPR8qgEcOipBTE6TzXe9l/n3GqI0kvQGcDHU+limrUtrky0H+0nzNJurew2ESt1FOQkhI4wYy SRYoHXAubBLvmrSI5XJ3X65TDKMiYrA5EdJ+kclhAtodAvE61dTFWpfWdeNk8Cn4/dhFbG6kMHfC ci5kOmFG2vb+zxBByScdK+QgI4ol32EzSPKb/8rInnwgI481rUXJOqfoStpBI2nlLU6Edqxi7Ugh +0TAmx7aKSp6egWQkG48rltp4xDzqjkCwHzBXP4tvObdhuqHtt7Y0940R8q+7eK1e++EUwUbO6YG TtifVon5naP6f0JiRP84KpD3OaAU85+JBcEzSUtVZsrMtOTB9x6evfqUCx6tmLKjZq5AkQmjB881 NYnv5d/ZY6Nvns73fzpeEXiRxgTJ/mcLSXMI1emyuAxHfCxasQ4a7KubcgkllG+A+C1WsmJRdip3 m9tyDo5ACOc5my7hCLlIochyMNxHxZkFMunHlUtrCzjozZa9XsAdJMvaYEf30MEmKq9u51OghHtr IJ//mydyVr5FxaTriTKiUrremZN61fPcyWbMgWm0flqTCmh9CI7GAFBCxPp5H0iKdFijP/ISP8x5 Se15akdU6x0DjLmWBXTllhA1v6dhqM6yQ/G2ZX1RyqKoqWzH6MdROlFZKEzkp+enkHfTzR7IYjG5 oXCQNMyWoEdzC7Uyev0WX1R5h5RwNqAQ2J7VTWk52zGF6TFd7kxxUefewb5iwcCHJnMPddzmSP2d 6HDbPBeXptWDHlHsAsuWsYolQoQR/U/QgWtJCgfeiV3Nis/agVIRr74Q/OOR45B6Io9RNkwR3oyg KHWiaZkmVa5l4hLLTk/Hqyknmkx+qAI9ZdOZUey2ISoFfxJjlBkRgDf7Gw2QbQSrGOpvzIGIVSbM qP5OWF8xEOK4FavzyOTJfNNQdkVy7bZZU/41nybJnpAEUMGnuUSUN3TUXfdKMevNKao6YaptpBAX I55aZSVvHvUC8Il7wLzFcL9QGbk3sYDw966bvgi1ckQB58kAEHMy3GcOkR1u6o8p4Fc4Qp+tl6J3 8/6PQhi2Est2ggOHM4ejWCMJCC9Vp4q3L7/5/aVr26Ibh8k/9X5Gux1oWlZFJq2qDuA+xPlpE004 mKIfLDd3aBwHGvYg7+5uvrMq5GVd0d+OtXUl4h0Zf51iu87CnQ4YksIa2/w3X89WZ44/VOKA7XgK on/pXjc3rYtxpVfEEnWNBfxU+cBmVqxuwomyTB1oGDv+zxOD6RlEIS0g30tQp4qeCosU+M/TCtLa EWQYGymMJXpzHSAgs8npVBo34elrl0XYJd9MenE6x28dctMUYj9tXuB4hWu4NgqeYgChl/uS0dja JlxCVFtd2Usgd+ETzuMpiHf0X8V+GzCxkgao28205MZn3vKCpnZTst0nkLASY/c1ljxZS5Gi6oks txeDshwc94Qq4nJcqMHcNHQWVirq/fKInmj3vuDD7UwSYQgVouK5X4AtDMOTkgpfzZ3FpFOSBSb4 LNSMCWl1DJ0WGoaxTDjNCiuNufeHXeYDtb6Wb47Fax6Jh5SsaYATk2Z9OUjgpzINgGef6xISdkbW ZDqgLc8I7lpl8+rTxRSgl0BofKDQSH0FV8wISW5OCHMXTIH/QXLGCFGCLfAZgboz3MUKkpX8J/sN X9atChPIDJzaA6ZlebTbLiLnfunBGcyaLI/uXQ+SuM2vJ/pT0VmT7MxUIUDF+Oqj9RoZoRQxiuLv dmizHGYcinoDnXPKKgF1UgQc66k5amEODIURi3t0ypL4DTWsMlEeoORLlDljoBnax1JVyM9+zI99 W+OTRSblr9tI6vMC+F9WIY1YuQEAQB3DMYGvjWZPohua6Mm12hIjy120PGfBpf5iyI3ij1YYl12d I5WnoE3/B+ucV2RR1yOKC5YHUtEmuaUj2UJCrNCWdJk3v/xjsIC0CzMIXNpuzWnkaZo1iEgYLb19 ihyB/PCjPIfVxfssSwVQsxdrLFnaSrnKny3t90deDaNa8kzXbNuSsy0Rv+DhkKX5xmjkqKv9KaGM pZc63BeuvTap55oSxZXhOdblDVU+CJ+MYNGSxSep6xtWXxmL92lk9BT4VUtpc2A6D7tt9rvimzQU 0R7BFCHdIvwCnItSzBJxwFx93mPdt871fDnvIuAG92y4tbQmX0pnnxk8DZMWxJwanSF2p0Z5MBxD YpTAZ6by4flIUKKxbtCpSbcqBC34mX2RIYuQXkwjc39uUzGpjbY9lCMBloagrIrqkbI9/DdPnr+F UuigHqqD+LnJdLzWZOgqc+a+r7fsEF1Ss5xPDWMIuWptJxavrzmf58nfKGVQvNssyekiwRI8aF9K EbQoPaKN/gdxQM4zKB3jwKmfpTQDU+OUJl5P+CHDaLPB5t39fntCdSGIQhFTHO1oqaTSWT2k2NlZ uTJf0DjbynWSwE6vqsMuSJvGc2DpFuft0wwmfDX2EIAoPZxPWsZbZGQGdHpVEM9KG9ZjDuuJ59do U2x6r5F1+fUDTpa9EMXB44UPteyqayZRg0Z1B7Ku11xilpoRq0JNS+gq92YHwaTFbgwZiRSR+qyI IoWtE3XesBURBgkgKpXRcdMJRD7cN1kJ2ZYH2hajjn+BCBRzNJS7Hx2WXZn18sFmDskDC94d2/sn C3aOYC80q1yvPyoghafRxy/LGRrXfzUZsDrLuxMzDimaye9Qn1F6gq7ItSCsOhWWZO+oWHE8+lCG hYIpUL4OFDwH9fA2uP0dSd7QmdKDCBz8Eiwp0ScnG/p5p7Fk92WU5LqP/+ntK0Dmm+So/wDfVW6a YLrXDKCR8ckgFD+NNIStdmZijO5u1pmMsejYLsOzHAl3OIu0Pkp38N9t0q9D59P+crItMsH9E2XH yJTLiOepCHRtUcXM6ctQ6AIRn2T7eXStkiaAmFA9+R55//qJWE6Xxs2PiKSZiUO3O48k4a17UpHj 9owkGOp/a7vFk9tpYNYlz9M/GKhYCXPmN4TNXM1b9TT6WmfqGazR3zIZxX49kqtvQstmDfxNIkkT w5ZCqX2AEFVZbmW7tDBZPPFpezYbNXamDBZuk906teaQSacMFZZLt7i2ruFr0y1ESzgET30Vt3/S tzL/TFU2YuO7I1Hw7kL6pm8ErzcE4CGt9pT7n6xSFgH1XZhDI0jbEs9q58+6jJ7ZP7L/ox9Y7fc/ BFVzg3gprQhuWt442omWiD/Ot1hfA8sooPfb20NsonhT0m93RsmGIIBe6pbJ0PDBQtIRBUWDfsSB 5l4IdHzV2QZlGTHQ1TA2Wsr07TWYsCKDjzRTI0vXTRznWm9LRH4IK04pHVjosbAyQlGJ84j8CZz8 fKi9xb6eZnx4+Wm29wlXrdNqw5omvpRT1AgF17I3EWrZjT9d5yarL0/FhzsOJDjUx818/GiMz3G7 JObVFG73dUkGuXvSK8VEEMtRy7Xy02L4Abx2NfGmuu71PSjaA/G+UA28WdaPvsDxYdYY08ipAHlW 1g22HOqkUHnVCUu2eB9wl4I91I4ecc3Opx5W63jZRtVWlPPaaQm8JDtV3TEN+9I9BHFzoRM3fjav xrHkW5HurdyBZkWg9HpHJZsi3A4xvi/n//Nv6ind0kH+5gQfMq5x57CF1Ftf3Lr9gqbEz8Ff7Bkl B7TfLBQ+QzR2zGXStdHj/A493LR1p7grY17r8iFsh2bUO0vvO30BF4wCP46Vwr0QJYZPj4+QJUaE nk+IscG1Zo7lUEsvWVVQM+YMNjSQEFbdeSwT+1ZSJmQCecAPb8kNbTfCd4oHJmF4Lt8TN8dX8Wgg trjNlVXo6Wx7tTVJX0wVsECKOgX13hCqBLqk1Gd1D1FN07XDbU3aKqZvNXm1J1Y7dK4uXX9fVtYk YzmBSoxsRrqdzapRQjdIDCC8Tm+HVwaTB5mLuP8BKrAlrnQQISPljlLr9HSGar1XWrQBa7zQZvdA GoemMurcUuYYqkcI5d5QzhfGuH9ohjmjdkWT2o5BhMtok2t0DMwemmZJNpA8kV9PFm0NaG+7x51r PhSW9bJfyjiPPRfTXZrDH7+HPf+JWC+QHCdxwdeNUQNGgMQnZ2iqufPhYnaLkcW+5PRWMYXrtGj+ tti6o00Ii2U8FMZfvtcPuOwFyjGTLz8MFFl/zmIllZQsFGW0ivZvzAag1H2RCGF+2MOXl8PcWD8Q g/HD5/irfj235vSHmd4Q+ytP3rjL9MmDrA/KfUnjZ95p93bQErxZCttZX8d+yxV5Qk1qyc7ZQGOt whcuphD+3hPYk7p6Krrfm5Tbnh+3DJVbo/X8CzqT7Kh5OW78MoVS1grXJ0VrdfCjsMSfJvc4bHQh cGt0pa3S7uMupoH+hDGxtCzKphPUFOx23MaYy90eR9nqFBbp44WOItplnUgI1VqCzeqfWA++q5vX 8ditlnl/iTw3dG1HCDlW+iJ49QTJqtGA6Mmx5ccIIsBan7vLnX7ndIOMAjv38q6IN3y0UkQ7bmdO 6zEPAUEImTOkINFqJp70XhlToYATn23LiVTrCsOUs64fdA3LFEr5CWXH/3yabUubuXOgYY8a3uSK npUZSbcakB3rUlZbtovt8ZUggENgwhmCVtq2cda0I7J0UNdGPDFwadxPXQxgR+36sN5esdhfv5Bw hK75eW/jQyChoMduwPHjNHmLKI4gwyo6JIgYQwPT8MNxNk1vjmw0/y+tULlY5/bYUEFTUpBRBcAX bWWnHdpI+qi0WZ0NeQueB71MPBgdF1lOo5y98ODWyhhvPzlbPRezU/IMjMZDKdJuPX6ZI5toGFLn UNmaPDyM+GPZ/pFqoQn7X53FgUUhyvYLAf85880hyGdMTP+5YXw1Wwm2yWa1Lj0LkAAoEkVKLf4A sFd5nZJsHoSVHjU2erpSx/v4qy/hqWzRBfkwzkghnwgurITa6kd5apDZ41QE2Pnw+9Gq4v4o+s03 WpqZjI5/y95BzUufx1oWiYbpVYeq7ybDZosqyLmKvRx3cR9AQt9K1Vn2EofZUdAAtbH+hy29AqAI LvpZ9zFkerMM7J4lcr9v3Wk7kL3/VOze6a1+ekFGmUYtoLrTg5LNiRWbOGLy/nsd3ckhxagQm6Fr Je6nQwgy28+Xul80zm5n2tVjcjUwFWrdD5UHXryHE2EvBgNqdATZjyntItGLohwzi8OzjN1Yjzzo mtnDdcQMBAEIBEnX/P4MiIIJHFM6+YNA7kvBSOlGUsIB2EJ77wvkUJelPorXDilyJrnWRuuTe3vG h5KY6QdfUqmUqofBjVd6/myMBAkYndGE18vn4bdW13TrgFJ0tr6l21Avjlsfw9b20F3qWbJDtoqO sFG/P2papuqrphg0Il1TL55VldJPTwNkKoW6RLQ1MRyW/0KiMFp8fwNTeSl3fSgdcglUfSCjuNfZ QoTTIenZd6/c2QVHhzuU+HbPFhy+srACdxeSGnOlnGqn6E4Hxx47/lKhxsf99CKVh7XG1fDGPSuz u/+MKFddWyDX8kw9KtpHXkXtZOa5ajRSg0Y7brcNLf67GMs5R4SotfiI29vMDn78N4okDg2XH+0L FMzVSUH35RHgcMnTwlLxX5XvUy43TVwya/oo+E2AdOB/ade9S3bLZWTWoTY9H64uXbAa1l2lx7ch CrS8hD5sKw1FTKs84SixbnzMWPNqjTW8EORkz7I6/0S6edqlWj66vwHoXasJLwJAG3eZCsu5U56A u/QL2wFLXUmN/Y3q0TcI1zRjPqYKlO7MSxG1HR155PniGVL1NASq68zd/jmwk8xQCPccv4OoG9DD oepe8PFBh2GRmg7d6dceHWJfbmJL7CaA6iE4/h827+MS9tk5TSVyardFWmuJeaJD0pC0uyzelv5M uM40kUrL2AlBaxrnlTH7G1N/V62Ls7bMJX/awyei7eMYAtCXNOBQfsD2DYCPwpIUTAdz2YFk69px V9pMMwML17Wteq0W3bodIRWCAGSL9gqVhzWxJY7WOS9zvkJzOYYNAj4tekOct1P+V8NsuMGFcl5s oa4gqllm8GpSRcb+zngRlR/exBSXEWvLCWiRJQ+7Vu4JLr9R/wHbkqOpVdwe6Sr/m42TU0QKI0uQ /nr81HLYg25FZ5n9V8i+UStTuoQtGpBxIDXuutSlQRXv8/fTiZJaLgGyIjrDki1aoDgjJu6n+JVd GwBV0FA0dZyaVg225h31TXvbSI3fhsy2D38IDBV/Gtg6qcL0IF6+A5qK5ZE4X0IMopuqttt76DHU w4Qdwo68+14tAHPehEXyFSBOs5b1h8l/xxxs2C9JeQDV63csfZjbUyzCisfNmABjkcgF58qfmG3g mel7J9QgzkctBAXks/xDl2GziFrrAqYoRdAzVdkAjNkzhBN7/c0+8N8gA8gtWyKJnvc1k08C7adq 2v8UTH5JcXnxyY9i4Tkqvb6KaSDcYzZC8sBZhaiNCZ58CVlzuJJGV/9n9ObgEzvGBhYFNezHXkMX Xb65yCNdnVNAdjMZgGGF+HtqfxjUetedhAxWX855zrDc1jSD7Puk5zWOlaszqhll1jzu+KU/eFlr ZDxrV540ki52fcoVPVDCiELtPaXMQ3zYKEretu8hyDO19giaDdGHaLRw4CArz/qFyEhd8s29Iv5Q Lskm3iohpLc8AbWPnc27j5hQ+rgKU2plgFQcyN3sUZW1BLcosrCP3iTG6yQ+D9zEXLOEN36u5Jeq kn6FOSBkym7+3Z4Ob7v0X61Uh8+ZfHRbD5CmJ2RaPPbWR2GcHOpFPA5F2m5mcjsbzb60o5oQGChr gclHlCNoOHgsAT4Di3NR6DNZ+GISGguZ/rqAMCpxC5bDZhxOdddPSeKsDqzL/PuAlTBIbAkG6OVu uXKujcXJIJtOObY53H+2rDE5hyjETGAWTw5H1uFnEL5L5oUaHG2In3OnEB+X8PXhHO7qhM1nGs8h awFQUseMKqiMaK3LVOf83Bx8xTeJiP0PDRr5ljslSXHHKGBp761bfEnrVVaXVxtmFGykLakLgBOv GuQV3FEhd/mC7C7+arkdUgj4Vb30Omn7W3uLHhIn95/fViPfUyMKcfloDxAd8Oh+sfSgIKaLbsfx 5wNooZdEjq+IGHxTlKc6yfzTdZYBwPSFhi3wH98FAmanwj8ByHAgtfNPcKr6roFk39uYqxO5abUp Y1LUWigdNQMPlgNBwjY05wjV6uvPccYt47TozQYlp/ovtnZ6ydah68Q4g5+yjU5MmHOgxO1PrP10 hYVc4C32tX7LOeC4KYw3oGsF9otAwXgGF0NfFRoKUt2E4UzfidHH0r/QxrgOx75kdHYa9S/HoIO2 QYxoHKx1wD5QZnaFvYzJv0AneLKA6lajd0Bbo7QbhvBW6bZKoEZofSFXieWwhsaIZXGsoH+OjS9z 9si1e48J6h/E5bCrW+opgs78auWdpNcco/BPA9QrwKVaFyUjLYl7OCCFEOMI5H2m+BhsvIBWH0FM jWsoiCI3zo7pR7dEraldL1MJ0W34wAGyFCdQoWmLe6v9AjEMVuAYFG7frT47SAyLKgmg7Ip0nT8r G+eSJ5mlExKvsCnuOe908QDcOVHln8VBZV0OTOKR6Iq3Fe61oGqtf49Rlbwdz1v15eXKVVgRsGrd 9wdzgmDmsUtCJwSUcevOGkPur0KJkwa8KT5kuqplitU0TYLyxuYdQiRopkAefZ1oL2iuaBHU5DIQ iLX1nAvkfPaLVryRwZzvC1QVk/+1wOhwIPPcB8wbv21oOhHtQlmGhU3Rg0/KTFlZoAoUmL3DIC6G UJ/2pJHMlG3goA3PwFbcU5AZrSlxUDc7Cxbvy0pa2e4juvIoiCKvqchjjRzjJlZJe31ZrmL2izqA 3tLjP7LUFiyX8RkUcDWZKEt5tI/PEwMUXyWG23+vXTMuloAq+6L+vQki7VNCg5Lgh5td8XLIOYlx 1QVTxSVd19+eI7JWXRLOPKbnUKrjSFDNC/AQnVSEPnqKavIU1nXERey/b97FixQPOK+5RPeK2ZOS U49Qph7a/mwRpNKfIB19CJ4PTnRWFK2okWHuopF1hCJGcRpfGSHj56OjR/LpkHphEKi9uISpjTVz Z555tB8wUixEJjDHkvzV0LClCBF81kAdp5oE/vNyng9eKVcpB1VHgcf3ktSg0C/d13ytBikRfYbg YcYnXTKC5QRlNKSXmsoY3vZQgYepnnL4OstNNN5JtkAHuu1kgXANZCv0wBmyaQ0iGFH7nZYP/bcf 3TPtDmuNOUWxIfr07gBxrt9OJcUdGhEVe7IDsO236I4JjKAHpKnUEmXlost8L9FxoIRaGRMss2Lq FUOIcY3O+7V54xy53WV0gEOON5iLxqmdgGofc4hPEbJlkr7hTnOr7q55JEylKb71XwYmKcdIAIL0 BcF4pxtrPExPRYHq4BQD1l8t/wBtX6OESBQtuRZ/xH7ZXi5vtwPXHDPQa+eXcqcOTZ2bJ8tClIRx 2GTr6TpW29BuXZ+wCQwMXeCg+Wh8cj/YkjkqLBiXvjf9/jMXMEY880GtmGxqGm3g+7SIb1JW5KNN vdTu1Fagqdk4EGMDwwnpHYaWTouyEoY8xOdnW9b1OGLO6ZNuVY39Tp8qTdHwfu1G+bwn0v+M7e6W +/yXRHVzI2krlrHWPTTHkn04wt54sTGf8jr5giN+qYTdZVRChyR3lzA9H+bBIb2TBq9onRr99Zu+ Y/YL6YV0m6Mp6hCYMp9PMluEqBaYXff4nafSTsQUG3wM6glYLM6jcx6WrC/548tQWhlLLPKNmL7q u31hQidFJqwMQMsQEMFnj/IkVfHyGqqCXcgmOZPyR8RNYM5qHxM4nQ8KriZya582BQTEAwyhlsH2 eLZjOw+A89m44UMcTA6emTMsaSNBuEQm7HwD/DYoLPzC8HyakpV8ZrokzC0zfxgIxIkx1cb+WCPK 1hVDjRKzsCiI64N8Fc7n4XVOiDDyBnU5QzLX6jAWfbVsa28MY2xDIpUti25G77rpZVZUmxfSjg2s Aegr7OO3F1njOUaOmSnK1iU9mTVk9XSGYGJ/vDZ39OIrT9rGDtHl9JQZIdwlYI/QMuJ7KrwXmgt1 ANmYB/sThKuoZ98+cbF97+3Vx9GWmdsW+HjbxvZNCYEa2l2wvwcV21nVdElhd0P8+OBw+nNUThb5 2r4rgnUiov10DSORDC1IkpGXOVJQOg3gQKjMMcump6VviXlwgAA0RxtiTrG1pwm2f7XXHHOAcvGN j4yZ3Ywa/+XdEqG0QPrN6RRGk7SgCs/1ojJuQkHWJSPt+qJQrUn20VZlvo5CRnAhu+zRquUFugAm mLWsmGj8AFzIWTDt+P2bojeOr7mdumJZxGvrwLTRlH4t+UIWJYC/R2U22cDapsWVPDc0WvMW/eL8 2lIIdYl5Jlm2Ov9mQyb3o6BH7NUsHUi2ZfC0EHaDzrslMp+tiuTgeyVMwlnYSmHLRf2PoMf8vqha w5dTER/PMXdXGu7G0Z1cFUCT/zu7RlquACRxXcya+rbmHn2dGTUPtHbXEyfmZkhj913/jr8AZl1D dV3s3Y0UjeMcHjJHiatPgCvQlynyoc9fhBlh4G9gtqSuuB42R9PpuwW+XYmOJRbxs1Z636a4lM7B kLNcEeowUEvTYhuDxA+h5OL3/pUQteMZzkNIHqdR6VVTlNGp+nfVk4LIjMtixyvT2WLx24Gtkoeo YfMlBrvb4Kjkl36IgqTiRHVZQ7RyanwJO8mVfrtbvRCk1U/J2PcDRHoeEjhM9nWb2pMfGwXKDLn/ KJPHHHkNCEY9+ENhOrGKta8XUex/fKJ2IMJtS/0DMH5mPGPzNAV4fWAllWQB7Rto5YrGHrYd8lJB sKCx8X7QG7TMb3ZzPumswa3237c68LuZWRJTCBhawDzD81sM/e7jVqeloyHV9j7+ud3rkgPJSfHw FaNijxoiP87nDvHDbU4FhugJYli1wmZw6jP7PxeZIH4pKP2t5vDBwqNlX2W0SUtjxHQZPzyjhCh9 PDYqKFDbSnzbRg2jbXvyCVewICjI+I5/G6VsZ8QUy5mypauGOx+xRuf0XH22kT9ICAInFFml5gXf za1Fyo56xmOTx+MQx45Ytp34lAZ+lxOyY21iU3XLX8+xRkiLfUpc09+RHGHBFS3epjdFMF+G9V1a 4fKYdluZCEeRbnHdIqXv5mzwnZokJ2dYoh96/TdiBXIcd2815+VI6nFYq9EuX5ZyoY/mXROGXNsA SzLCknd3ruSHps98ILCXAlFG5dTOYIqn81Iw/EKpTm6e4ewbruMsKWKvI7fMxwsPEPb94Fg1bfNI 2gl6dgG5vibN86P6VonPeM41PvSxih0JH0cwC0taJ2V/yKD46Lq/NyMuuZu956b63sGsLAR6SkWb OfsAVd7UI5px9bf/hKTZZmMuvDFcSJ1MoQh6YSI8jS7kA39AZXDym5qIQqaJpGj/lFrLYyknYl75 oCkuKY6e/Ylq/SioTxjoimHmBAMc6x5wFG7WPRrLTAoQ7GvXOP5hjHIl3Rwy9iE3hO/21HoAk+p2 YQ3gaCyBFR580Ur/MjhXtvCEMwsYgGC85f5gAXIQCKrWauIFMyA72CZSiBX1Ir1hTZFFlZRLnz7b M5e9vF7jHAaJgeEMaoAr+5kdKRw3RlqleZdb22hH0vdd+pnMFpxe2zh1BHUmFFVjhTwVRrxWdOVQ hQZn+v3tqszvuZSGjdSl7Ak2eO0DJxxQDALrq2jgA7W+nEfH1FTFfWvNnZcAM0l/7wRs4lQusF5U XVOUHeORmVTCBDN+ky2ep+mCUGfQitIXR5Aeoy6NSJNw4tmqhNyIjRZFuiQDadZR9Mg23GF0Y9/+ 1RYZeEMiGuFvDtYn9/j7EKK3zCb6oZodP5ohzx/6UfnTXz5N072pmw17fOnN1D53lvQ9voy2yotV O1nF/VzSlrSwBEOH1q50/MzabzHvQlEV1Q6P3kC6ha4KgAN107G0MoGOdxmnIWVk3F8S9UBTXXeF 1cGgUjQixMJ7DMcenGrGXNeh29x6HVxGh9kA1fdQWCvx7/uWhNzbpe5wg/95M7oIUlCQwsDjLPTm hhytufBp08guYIqZxHd9HEWudxHsRfqojbmCoX/R4U7wdyl2/hqw5GgN9oJGCykPKVKUBH6J4nF3 WHCbUXLrSdyP7Khw497gYEsq1+OCgkwTjW/LgwoWmAnAphqrVk9TWyvhm122tCScSBMxKU/mlp18 C+Lmum7u26GvgW+AnpFJxi7M/U8kR9PhkJihqoiRBolUn9YFc8pHTnVFQqxjlRZ14n/oJm/u37WY OdPv25L06iEjwIkKbEOzYVGwjibmq8IL1fm/T407R9jeu00RqJHzvoN4TbjO7XAeIybNLu0qWorS +Qm4sPMH/Sz9ji1NP+rlzfxd2x8W1vdRjbhEkm0lqmdwdIXDqMJ+gN7NV59rp0BTB4A+TPDbmanY tsQpanIwXMctRBdDx/uwFLCVWM254vpICTTDuUzLOhHdwRnRYG99jVXZouPxICihP+RLYcr1yejc fnz/AThLo4gc+ZkbfvzOylMOW6PRbSnkW93a3qOuCVxr64/8WWRmcOM7o+rbXlKb9ftVzPKsPr6g PCHZ5QJTVKDR3/Pl71dXHpqYsCCzgj3c87mlACS4RRQcqv8PrQI7LCvS9JbAff+aEu3UZtw55HKG mBFhu4cGy/gpJLb/PBKldTy5/wto8JAN7CGFt9ig4bUxV9O+Ly2itXm0iMEcMOXI2482WjERrGBJ N9Um/asmhaG4Xj4PbMt36TLvSdZvWCzLUkbc6pzXHucUD4NGSZK6ksQZH17mguWdw/hbbKnt6vPG YRXEzyny64VoAjhn90WOkLF8m/euWfXFZg/uLdiQ+TRl5n66CYeY8v65Ze/6owbnjlhTSbr/n5IV /jkBbMDhmi1zD1Uht84WnB1jTcT0/w0w5qynBUXYj9BYC6jtwAh6uQtlmee7z6vf/foR8xc6H6xn 318Mv+ehkEafPXdvyJGw3RzWhgJzsD64dC24Hp9lq1HFFr0sDCVEZp+g3GUKwYTmv3jwXz7HO4k7 u5QWon2nxOo2wL2+vM3PctycwuofamY+NOAiyz0yN1xgErbXSQ+DkNNNIiU9Sj0kcy0sbfAkPFms VPBOOu1TRy40H4KpLI024vdeGcxEhh1JGwoUoiC/oOr7nlrU9psmyh2scPDlQ/q5rvXEhx7h7dMF 9DTZU2l58ttZ91t3ea9o+Qio7KAw12RUeM+XAEZ4+Gr9Zs4mOs9uSWOQ6n3zyeA0fTBRbIdqmjRp +yRgS7UBl3oi52rwI/TUacZFYrbSyXi/TgpAcnJhiNgBOrs6JmmZY/ACQ/FXcOrGddLNYD/GeIfo kscKezB9hsFBe0cP5oM3XfgMUuLMM3vBM4jxDcyuUylaLtII1SMDb1u4aDVv/w2HG1jaQcLpUMQx tS5EFpGTmmoVPU7nloGFShuoMy4Znml/8zxkXCuxMeRTbAwXuOrUDjnLLINVkFCJyS2WUYnEFiYA +xI4FcgRLGtDU60FE3A+g+YjK2UdaOPrT3zWUOBL9T9YFbadoPwnHqN5TWYzjaKsaqNxjP30tZoM eivIKxLlEN+miLrOswD9DZSmc1QLGWD0rm/1w9Lsa4Pc8vOCmKgyOGlrkT4gnOR4vuFhGdfDupeC fGntw0jCtDCoLAkZwKv9L6khVMTaAPqKgXy0uyCMO7En/Pti9H3GAO9HlRtxDXS5lDt6p0ZD0u0e 6joGjkr9RRUIFhY3bueW13K56UCW5hDC8Wpz6Vp/gGYebJMYyGChenNI86VjDMMJuoOcaj0R7RU1 tkpHy02hljHN3h4aPOE6awZ1PitfOuZEPAP+tjLgRyxKm6JzQQMymAGzN9bk1/BU4qitZ+WMATe2 dhBAS9Qyt4trpul6w8jC5e2LYVRnEedcIblGTQFFu5ahZeVSpIBnjSW2t/5bfms2vdbTkABtlnOA WQcxr2J+qNHI1Nk8j0gssuCKrbtKuNTBfRdO2nJRV7fsPKF0Ulrm8nUGwsicsFEgAW5bKVMkJGYE WgQfeQCmQ2rkFCT+he3F18XxtJAoQGhNnTOcW3+Jc/n2Vs4W9ehQYoeBnaIfz/Dgl9IoWttSa7sa R6rPLD+XjOmljhVNNjVvTvbQ7JriedZO2ue7iEDfGo1gh+ifRFscLCE0L3mDKE84FKz9PL4OFSDY WrT02IVKwdjM/QTAf0Am8lK3v2KsiDb4GDenq2luqqAq2p4DaEeJaGcPcHlzwsiVkwHCMK40ZwDb FlaXjBDDq8qgACqP9lv5kC5DyurPKFOLAtzVvOaAI6n9xYnxssruFOEHBr0PEksG9IisdaSWirhr fC5olS65PocgksnImtu6e0JQpScg/gbUbdnT849HiTodu4S2ByGRoPoLpe5J0s9vIIrjsLUudvsZ lDUPOhERDVMXkaw+J0NzletHfbvLubQAlKytWyF2Owd1FykoSDHgPwPiYWdhN0EBHHe3jeaA81s/ FA8ThVpIdVkk3rd+xDtlZ/9X+gtfHngASGISgnk6HYNzK4hWGRnJa8ZJyXfTB4cfQZJBBEwEiVRl xXV137oDx8SFQ6vReMC5+q8jMKJfCd9DqsMKzGxIoTflUBEDlv0bgMnkMyJho71S8m7rz0SuIV78 lG0N+v3w+tnfiCotGttn2BQfRfWR89hx6EEUAO9Y/wiRH7waoFz+PKHQdNtvL+GwfbPLLpmvGngA Dc0CyXqzn4mG+cFKycNs5N2aArFfttDiPO2ouwK/e1BSqmUfB/+ZRyxhqBxg0ZrwO9xUxoB68u1b /szJzJR30ytMrOhMqZrZb7A4YZWUEWqgWfC7Ov3QhR/ZJrkkRduNhRS/EwV1WjIM7NwFPJb+3yRu lPJhHGhVOaO8bzByDqkYc3tShNVNk+/V6uDj8YULwuseI1NtfHppWf3a/ac648ZGTO9LIhVKd39+ C+WxiinApibEmoZtTflTPPeXhaVB2KpovGkI5FCSydqlpxiGWrSdZwsAW1gCxiWf55wVq8+wLPe0 74lIAlbl7hZO55ogOyrRqtVbC8Ks1ItkXWfTgM72VinD2qucAc852+uwluUQUDDzNnThM9RVI2QF AX4e+6yMcBF/TxDpoCrI+vWnqMkASe7h2aauSNWI6voXimix0BnMoGCJYW0dt1W2rxduzz4ZFsu6 3Gg++wDtlP2p6pawzt0gap086gah9YbBfTWCEE7/N7Xg1mRVJCmPuQS02FWt5DdHs4Ulc/LRdEEQ nap2L9ACrtbGopmtCRsnX4XgCXSzcT0ZeaUEdpo9OyZr07BdrfjsDVWu9cP07flhoyeEFZP5GlPz yVF04RHaRqc5Cj/MpE8FnbR0ZFnvxU4iJibR4c0IYsnzLoBz6P1iYBI/K2UWeuz+ezvQfdJGCEDh gy96oEY259MFXVJeGSlU8GHp/HyzVSPFA1eyeILlkmjeek6gdWYUXCeBx/K5luKvvpTMwLQ258Il TAKBh9ZX6qdNIM8nVr4mK/zl5ZPHzilZWbYPJDozBt75hyDK/TnRtzT12UIqbmA5oUa00QKFm5nk w96puIJPD8kifICNQrlfBiFl7IuSQX6ETBaRRsnRa+VPdzTCfiZ2NrrCZCSyMXEEkVKn4Rh2kXXQ 5oV1QyGm1LSAOtJVSbmffe8PFFUIwk15QArohQOSu9lb49B1biDw+XoOE5u6Q8hhnsMPbyWhsWRx 3fC/sRxNVn5bkXs5ue7gqAGpSygL1llBO8GW/nHDj2FwFz+TKxUbAHOCyZW1O3IMQNq881yokHKX pTllukGIgChw2tm6G14QctUeD5OUc4r0qtRbsrKjqZeBEP3NSfxKwvCWjUFU4Qaii9FWDOWpJ6Qe L56ea2Nzf60UGk5ovhyxaoNkRxDX/Ov4rbl4e5Gtx5IQNjkNoKI0TDGd0kg3U5I7BaTlf1ncWirY Dw406x1Xaqi81sv3ylF+ZsmXQ2ZabGc4N9LPM7yZdfahmup8ieX1BvzS7brSDUbkcGEFtG/Qu1WT 9edyS3ubvnxzOlJ/GPKsaNEE+GOu+r1RadCk3Xc+YKRaKjw67x5djJ+K7sp8ZCxuOf78RiuQEUnR MLuNPAsvEX946Xo24UKRXje+7vdije8YkKaRhWm2whDRjCLzIP/IJHlirkiOjRUHhLFjN7C00roe sLWMeGS4zED/GT2yH8F7SMXOi76O7emy8qca02nlJowhINsn0MSKVzGxQYYk0eYSroXbzekyeXPz VT6OV91XAM4AvELGpx3M78FkZTqIV+ZI0kAxkv8EyCN9Ud47jMn6+UseHBQNhSEjVJRaOZEpW5j5 sLOM2FdQBonTefmKmejNV9XQRWBmbVNLOvbxP4MneO0fwioj77vCxMqZJ5EgAJFHvgX5mx6LwNUw ENPc0NUcUt7MHqChCNR/wUn7etvb5q0ipBTVHdHW7ChJYmMEHjOwQsGjS02kAlI0fgV24DCCwW7Q pmhaGdzrT8xW+MDBSqMpJTlPrpLxs8DKjOMMiLDKi9HSiSRGo87855jfC4ao4UjkAOpptpo4ckvb dtoptsLCpG0musgeq6vCM8cHtJQf+Sw1Vu1QHRJKkpV71ws1yZkFR8I0TpSAcmG6IhJwOMmrbTeX XnZFJib3hqyYoqqumXKBqAKIP1KaULYIYuGipdMynDhgXa6GrxrgZzlAUDXLKB9zJG3mYOWeRHTN jqJ/WeoOXQRHcVC12fmt0XmwaLAI0bUzxfjuoyl3FDrVdBbsfT9FILNA42y5a+gtDiMKMDSwHt6+ 7k/wal2qO5FkRgZoLRs9Pd6A5HEqt/ka1jyAUIyaJ99vXBud3gzY80YL7FR+3ydxo3nPokzE1lbr Oy1PHC++tVUm/D5qlUVKloV8BVcwDOAovnfriYseRLpfZR07LMHgU4nSac93mE74HtKD4v7UcHp2 buuWuv2SCcth9d0uUxIPWKt48+fgAm/GNb+Ma8kSSisDS4yZWXdU+Y1kh06rrir1DHshzP46HGiz jLL3Jn5S5CH79Pa7j8cidaHfvpX7sHlIohJDTdEcRurh/mc0WzEXuh+ZyUUGFl4gFp8AcckAaQet zLPOPKiw971gp9+F8lMwaRihEHK94UZ6Jr76M4axD0sEjMwPgSdd2nJxI0uUtzsTRkQKUSnn2BZP kG5ByIKuOJfmIMgM1aUb9K7d2Q9nKaKVW2TKgSFERY6qdhGzMAZD/EUXKtV9BhvbTEWWdGKR1ost obaKaalqViykuJz226SIUWUTSmwnt8jG7cA9HTXmr8OhaiXeVJlkoGYvpgD3jVPrMZAHXgfJ1jVl 1TuGOn17DScAu5meJAgvCkPLWPHlkTN90v7o/gaN6fxP8LrIoVe+PGcpFE8ezpZy0nymfIB1f4aO mL8CqAZeH00IYkGRVefj6+Xi95LiF2R1S1SXqIoh3KA90KXBb1/4x8n0V6XFmo88qx3LFBb30AX1 x8ahWemgRV+H7viQsTcROMrmXtBmbu3H3SrhD+JyDpXY7zsroiLQjPS8Mkv1yBzo2Wc0QDp+CZWx EUxpqP1CoTQmweGIPoqKxB+1KN4cCOlhbm2pxDOgZnYntuvxwtpe4vpeXeaXKWvnEVLFBNMoPMV1 w0mdsIcHY7v//rrLd6L4Eah8b9fviu1jqSKRBvA6Y+yQFfMVvSyKVqFxuSZkiQFI8ZkNj2WpLPu8 1Zrj/u0ngoG0QRBJeOEUUQ5KbCmCqoSrjB3FmAiZixpSFNqVYDNKqKxNWqfXc4zJd68TdWxph5Zq L7EukwId46qubLlD/ub6x6y4K6d+i3p+VEmL2KQ79aE7LrE9bbHO62JxrBdTO3BShaiugHOR/Pmo l/UlUDASg07rniQowuQiKiqvhvjTxk0Rj4MuEX+1j3FA8bWJpjDfK+pdT//b49KdPQ0ExVDo3j7W uyrL5ed335KlZb3Vntvz15RfufuEvM2ocLS1TVLrfX/d00xO14I9ltxaPHldD4yWH2f4HrAGHBIP aqlXOqA5VYbh3V1DS+/E6nJkhQiCLXzgl3b6ilLXdY+NEXwNBA8req+I+56UINx1AtWEUUOmqVpr Qycdg4rHzSpPw9YkaIYnX0sP+OweNDqbjkcMHLOqLJBZ9LKI4hEohHgjM4hBPNq2HBG06uU+cRy4 1/47vWDLbe5qi3UkimgvnV51GSyr8g7TFrm7Y+3HRgtm/PvlVWGn5l1H6DZg36qQWW1TvTClrqZP VejeS1idlp0oikj5kgTddi0yFiCO6xw8/iY4fXDOCDkJLHaY7PmrM9Xpmr567bZinUCA8EiSInj8 81dln42vLEOPTciIADYqbXlQi7Wy0UHBJfQ33rcP/DInx24JicuSRY1a3tSIUijozRwZXeq8OstN crKz3M1n/7SPaGppZkzQHjy+3EvEsp/2E0nUV924PUnpULqFxeP8SPNYp75g7V/n4y4C70Q2Lsoz dBbhyrUZ17z80ECAyOVJjNpWKld5QWHU4ApDswF6qObQkjbljMMgNKDKFwXbU1JvrJwyW8ZALp6j ag+QqK7dKgRoKLqMVXKVNrN/japnRzz3lx75+RND8rGtaW9tiXaJ9f9IQgMci4faQAbQGCPmVCWa 4AlSiGXIel7XS5AB9vwp2qtF3VDtS1xH55ZwjT9m4ZSdBPwX9iqaTW19qClNkRuUukiNFiz/wygY vFJDyTrrZR3yfYracLUj/SJVysZJenQUW+DgY+GWDi9bXGO9sjobfaaPqge/rcH+88gqHc4VxLOd LQAd5PgyL+pKHhJcGmWiXjhJu/ACQt1pEIuNyLDh4PYAYtdGSIUbqycNFvpAvHyjsIGZdSVfmC9t +8bNRcFIWX2LYzsfeV6WZC4m5fIUlK1Nh2kfBhPwfS2X+74qscrUe0NzpsmENrCWxh+GxeolbmQV E+sY6qnOIhMdlG3sJSnzs9cie/+x07m2zyzdpZl4m81vOkDINWp+mtRveUYv3NEdmuKVGwvTsqmM 67LccaBcdqrV8GQM8vHZAakK93TXjHxRWG77KTzXs1ga4U+6sFmT8OruNzg8my/i59fKDdKGk16h jUHvE1uwg4Dn4ri9uK7KLMHw/Z9/iQ/67XgoBIaqmcLFvMBpNvUYvm8dnl+nkoqr0gZnYBGRQJds s88SPPaHqkfugvZfjxBS/Pc9630UCyy8lQwTDCAXLpjz376sWRFK1zdfCht2yjqICiL+71POz1Sc ufx8gG6FdNlgxN4CSzKTg4qZGmFwcjWzf4c3rM7dljr4tXZl78SFNx36BSfHIm6A9T6UHWt6Aprd Vos3Ws0XMuOcrD8oyf+6iSPjsCZ2sCF9TnEzWvp6U1l7q7/j1lU0SIVlkC2KBaQjq9XL3iV4FDuP jzNOYTNtfVm2y7po3INf+Ay9k5wxfF5hwa1eRp5TcWlB8adz0hzG3J7QGBSeTNwMeUNOBck84fSm 6EZGs4SZcc1uRc/11Q25FeS9FxTptW5CymhVtrcgFA2/U5lKnNDIKpzqVCtd/xf+69z7FnOQz3b1 evhNPv1Xl4fu7LaOOPp3ROrLTX6U2N6fGnw8IZGA6BG3x2VoJSqBVfJE1cV0SNPpbJ4oPN/uj15/ 1hSVfkZ3SWFcwdsbm3uE4sllok7Gk6vx7LD3pluGgzCjk+rKLkGiARQR5Tl9esKLKLSBjMbfszHq NuR6e/VaNUsMjb4pwPSOy53T2qGun3iT81yHxzNh6tjkYIInW43Sje0vcrSSa/o8JMvU4glPD8n4 VGugBeuJ/SlFAYWmv6i0lbQJ0abo+LeWgUkkDT400InCQtM68ys7wHWdITrXupz1t+529QaQPuxq V4Js9pv0G9kWLA1dRx/RHOV6x6JYqhWn9KxlwLsSrR7Jm5inn5o5oAjtQur5M/8YnyJ1yD1hJt4u yZeKEht90qtZXQ6ykdHOHQFdeoe+GUEiN34OM2bK3pZHPn8riRkmkD2/CvraNz4SErdNWgCR/if2 Re+ru0XGktgxzHMg8BKB+iiek0GJpeEpqYdegzr6xDSe/10u+VM03cV7xvBUo3kQNqr2UaqNiMQc WDzl0DsDqAliCrZstUBbzb8v8+7R3pAqBJptv9vDCNF03epjgtjZmsyZDUjOjxr7TH1BkSbKp9Ht t1K3pLtmZTj2tus9xuZGwfctEZaMWhYN9JNA8DVI6EL5equlU3rZ54Jz+++FtxKfu3pgG28wcEVW P3k3B95OdIMk308r7+0Fdjt5USdTVssE9x/t3BMGDefYIMMlgPTBIV6LDNayNz6xzWHDxF9r37uO IbiAZtSw2jp4PwJbkb4Ez2MbghOeGdR7cgCzYhGAEel2XDhN+uAz//mHhPRjFW6FGTTPGmD94vHV 5URvfEW+bpiU2UF6ZKsRwqfrRYZh/E9HR9rqZwAiwOBdHKVK+m+Wojsf1jhtl8yy8PrnhaJ3lQkz jSv8P7UnWUSBQerLOm+4kbBBUgctbAQ5wCJjX7oIrjGpP+fqz03X+YpSr2bc73wmVCiZI/1kXJ/S UZCLVlhRVn531G0xfva6CF75InHLVmHCpqB2Gggfk2bvHUqtxdsoVL7JQY0lMTa0c0ZwWbf6Rbec 7wLx4ksg/6xwZjjgEmg3YW6Xk0NM0dZ31gzMS0inR89z94kwhhch9txWaJ+2yY/A9rnMvWZPQqHZ l1kkVMRJ4rwxmyz0ugTB+862TDZ3lhjdKggqMB6cPwJqMbz4y2tn87yW8TuaQ+uayjdsk4RCXcUN HBHS9ihNwZh5b4Py4Ct5KHTAL2y33LKAuGutxJ7VX8cXN7xG/CL6oweCHl55Prgw9IG+O+UASf4/ nAIAFa946KIQHwC0wp8mELWi25tfQ7f4BCd09bSvqvsf2truqrmM0j1+TopMz3pDDJ38hJadw56U 8mPA78gof1DaJ9BRd08nOJyG6qIC6lOi+6DBbytUkNXc8HvxZAPH+sJj2o+yu2X6GYxZC21XVkg9 PC2WsPJ5dle+PUVUV7/G8PK3kXK9qxxanrQfC2TlXRyZmY3iMeCsCv3h0vuSdX02NRQCqJHnGdrt qHsB5UHSrgdggkhTy8g3ScAXB7KS5PDvFlVB4ks1E6Upj8bH0lcewhwDAyNR+k4i03VxUv5l9tXE S6u7TXK1P0TBiP0s0cU/41eCdq1MUCsYljB2bZP9Js/kiEJWK6U4MX/NSP6rt5UrERsr4vh8bJtu 1KfGpkE5Zp0dBUHozvgVLY1iPe+S8JWBEMP69p4L/Nvzz47ZjvStIgLo3uVPlj5iAeMUyGSxc1Hh pjXf6GPbEufSMLX20vDVuQfPCJb0lI8a9MXpzFh/jSV7mgKcIDBUqKUiMwPzZTpLANvQOs2jBrIa h3emRPUgYuIaiLJXa59y2zsHI6RGYTOeRKNFYPMHazu04SJ6dD3dgZU2Xkdr4Nzc4JiAWEqIVnXO z1EYFrpdMhuPVvoIV4NMaActJCJoQyjEfAtUgR2bSPl/YPn68dZ01OdTba63ukzKwpnJ1vJn89QR I2AE3GBbdZahcuAsuyqmrWeYAflnMCMUMLeiqxHm6Vm+HzF41uuF3va9iToGFZzgpfUm19Cc9YFx MKbh0Jw4nADt0gw8pVEyzDB4wgDnKZppmAFAtTW+LfCuipZ/Hr4vmPQzcPrTM38eje5xz95YSj9x RoGQm+ZWwAoM0dxePHZExyx45Qi0HA0js35Our2Za3Gntz7CRit3bOHICwdo3XQ0d/vt+/TtwpCH 9cHecYNCP0hzwLW/96dJ8le2HIWyvFfepL6TkZ+QZ/wrWhlEHEEjWQO9TJtscrhxLw+aldLcCn6f dyf2GjcDeE1XF4b4A0tDekhZYUn3mhLygvaQS/OBHxdFbpVDxLJ6pY2GUy8fkyJPFn1EhR3mwBOU crMlr6N8mCoJNz+YsHycqsOaZuhz/Yp+PE4TwFWllavyY4pvPkQapMKPmJ+3pYwGT+pDy5wIJZzq K6Gtv1bRtWVKYWoPrv95GFhnHfYrpHTYfkSmnnn9yYRSTdk9Zb4RMUrE7FkYhyQd4CYFgyqPKFJi ThbYxq1+BF8ZyoBIuLmjLBXLmd2rFzYgFUymmmOGVgXBBTpetVKnpA86o25A4Z3R46hPBYdoB9rz IHXo+EMgCSJMMcpMMoTYZ3sPTTq1J7WPp/r0TjA9H5KRKvXCHuAVt3LlYF5z6auqg0LIDygZiWKz R3x4zNV6s3xE+rED8vue9Th9JuJj/GCHZcFZs4//pAK0JmvCu3LNmZZMWGHsrD50UBwaUOIwcWw3 hDCNe5nxB39vzcxjPbF0y6ImQ5ccafszOztPplHNZqkiAu0GYmL+1vby/+aS/8oXZoWuxAHvpWHu oG5cbVvL+hMPxa4HIt5YZr/bcrStfGr8XCUFeyq62tvr0OXOePJst97FqfQjhhMLu6XlJbREe8Q+ 1D9gkHHOtuVvdUnhhpo/y1rK1JW18QdbdbCOugtwaPry1qIgprC+xe4zIDzXYBgjk9684cZ0jcuS Gvzek5u6ZqLMBFMjeQWEZhjpEeQ1uIAqpU+xIJmkNu0DGR+A9fomYsW6R8OTfS9GoVRc8jp3dHIy LmoESygo4oYM645SzANTlncHUWg0Kb886rHgv6YLZeDbx0urjfuAaIA+a1+RRF9W/ewzKTdwnysT ZDSH2MvPRSYacwYfr8odInGCb7w0deOTBSRxWjJiFc3RWIaQHQt8cQL/7Rd4+5tiszLVhW/J+XV2 wy/wmd2qgRddm0oYYYzdCd94p4U5L6ZpnKFXXa8DSdiy3tyPUcnJPGkYHDka9nAjflCumSOIYJv8 jjvQa6lSoeJuRp+QW58VGCQrKvknDmuwBfn+0QrKaUwEzsWwNm71RWC+OpWD+uPrsm6l1mD4r2wo 9DK7XcxSQe1GLQj9Ga8woQQx32VcxDWgkqQhNnySZUF/VIv0vkdUJlH9lqKkJL/DJATMDVyxym/+ WCNklmJGfV91bfnTKFUPvDW6OZO7dZFFKB4sLhYWVDIhO1KIcGOW1GBnae8tyxwrArxn10T+eLcO iqM4d2yT96vBSHiTOkSGLz+2cc0rgH/q9QsCsWNlCPrnqxID96JK3klbFXr0WQiKNxJ8e/zRpGN1 70gIAJ/sGUGOnItg6T17L3gROLz2VW1whb70f6mXVNFx7afYCOtOAnKPPEOBKSZ+1TpSZEp6c2eq Djocq2et5hA+Grq6f22hVv9TV95khB/g9Nct2pvf5oyQJ4q63J7vVOJeLDJJc/c9025JRfwREOEf 5iGOEVyZHaT5zUt4ljKvjGqDSb0sHYvEeJC7NRzzXexlNRuE1PwiseT90NHFQ6gZf5tY51523FNs yaYECPdnfcn/R8eXljnYW/FgGGEn70mxuZCUrIGATna8I8EJnLOF120ZY2/poWf7D1IZTg+JrGHo 7GwXXAqkArnHNnIzLr1tCePTPbJWp9oO3tV8oMW7sFVbZ2ydjiMP4swgHWCb2FIqN0PPI7ANOm4v FjkNMpUfG2TY7zxnHQkW6LVgi1sNysZ7yvFh3FPJhkeY87g/YbWCoPbw2f/XYzXgcxoUMg0bD9U1 FOzbzvVQTJOge76aMoqD27LFKKhEhL3EbP1qRxq1k3R8JweNm6T4pcQcr+n3FnRcqtfNpQEoXU3W O1Bairm64O6sNFQoRaW/X5AO+QVATfryDSKdUeynogOxe7j6hWyN/Fzem6Bf444bu+r0W/ZD2hzb ChEzMrqDOsDCpsXvC4mBkkJQNdqUvFdjR3T7S6eSwrQGssUh6w3pIIbfZsZ7XfKE2knNOs37UbKx jXSV+IwS23fxBQFijorNk4Y4jNEHW+uG+OWqiA8am+EURCXe/tZSKtkOOC8p+OONGYl40UrKFf2h EDA+UwfpQiqf90nhyP/jLwUFN/dcLZJ/Kaau5PHwW5mJMRdZq7ZntD9ls941f9J5GwYa3yaYLIdO IaHvUcp64cr88J2pvGTDD3D3JgGwOE+l629+2ctQU6Nx1yAL7oO3BWdhzGlZheCEgMbmK8WBBH2X 940xuKZIIp1oXQ+Rvucr3X9MV0BRvok/a8Hm4PzapLycykTUQgJsPfMI3sxO72ZSI7lVsC54UCAu uO34UH+YqxXVXYoNDhz2OYI79XbOMVAcp7MxrTzXz/keGk4ed3XUv0F8KBbx2tA8PEtGhL3sQhky fjMR4judq9W30C0lOLu0Fa4fq7ZvtD2x73iJiIZARG1DWzmJ3UmVlxSU8C3IjyEN9ZqSBqpRxOeV cTBJ6sNpWd6QG1vn2z/DS3oa/ERCsn8Do2Q23mDQP+NrqWAZEM9cv+l42J7MWuAJ8UgbXsmFRa1F JHQUHvrKnzgCxf2qB0O3rhjrvFAM94AeZeO/tzN2h+Y+trENP5tnRxRvqqN33Sez++yPegy4fUsJ VqF3iYvUXQZml3IfGePSHepxznS3v4hU6SyCbtlGnmj5B4yDCZK5jH1XreHZgGAP8Wogg81CTZKs chw59CJuZcYNCOG7KBqCWYah4ZszVJWyjCOCqq2l3vs2jRQwlRT99aPHPcMkWb8IC9H2h52Jk/6y yoG5jx3kbzLoD4RRoplsTzS+QwjSDVp0fkKoffQRL9EvwMSNon4AW3iBGM606NVRHnfAyw91lYeM Zoj5NJZj3eJQ08P19fWpwZiMmtCgVQ0Aub8KikjV0LU2acZReoat2wBHlsse5CMxJrmnmvOXEsoE nNoSoPoP7iocq17PLPS7htoKtMnPxUbZazwHBsNRAggscBK1IEfdJFStQE4bLO9vQr2uuRm2AHrC UNWk5RXRrcvpT/Ij+it/hEl6+lpTOE7dmlQniYRx11iyvxCBR6ny2qf0D8dFDqicgpptanoeny+1 ZWbGURzOB5P3nN/UxB9Nysmj9FVQXI4mDvTVWBeLZzOWV87d+viTfL0eAgDj+ithY54fAR/Xa/mP kmVfY7fMrOZP5wtbJM1UVsNfp+/tDyXMYpBlJDLaMmtkEVWKSZxWg0jR7hfpaFTKBh+Vbe2v0V5x BfGSR/T3Zul7ylPWzKcOtTv8Ma+eM55pFKm3kIWEbKF8nyZHo20f7b1TydMK1y3jHLfW98kCVbTn pUq5PgJ89Zh5x8jge21gYt8j71Sj1Ox36XNFAiQtzK06iNT4lWhVEWCPUdEhNwwtD+e0nTWbKyCh CGpn7lFRAP/P+IkiTJOMwT1+bHO7hl1/jty6vf5QgHHMGjWUwIrylHnvvRcDfYNguPNILeKngc6n FvNzQfpheS3IB0hy4O4bYFCvUOF1sPOnI9qrd78+TWZ4erQj3xgOqNnSSq7/rT77sUAMhMrOdbvU VVMrxH5S4M6vlRxFChbkKDwRKI/ccwGtNPrXXvm52bFeeAmPd8NnotOK22rFD2ugBtJwHGRw88Md urrsujZ6bwQuhgsfOsoTNPBoYyQLirwvVOV2JS8XquSEesPgKFLvZ7Yr/9zxmryAuu7Bh1g1wG9w WJfNOkyIricl+KucHC0+TtV0if4dz+/Kvb7QmYjwsaJCSsz29X7yEoXMbpjrC6LO9d2xXn44ATs4 nn5bVmuxQ8dpB3scJUShPw4qM5Ucgxmd2w8Yrv8o3Dr0oQ9K0PnNyWfKg6ASbAa4zstM0XmGVdwq LnbJddiz1+0HrKFnBQfjMzY1t7DiUkoHgG5ezzNE39KMlM30Sq1z3stnGYfLPJrvGIgzN/efSEUO 1BIcSwgtQ3x+uBXNuR1G8lu6hhJkM60fwS27DXMyUBKMWu8YmWqOd15eTvkz6vZY10hrCleH9JZ2 9qB+ItwbgRLMZs6sjhs0ldA1xlQQ9tXgo+zF9z8ZNvEeSyIS7Qi/BO7CzQrNw7Ywk3mvCc48Comx iuqeMpzxcpPktkzQNajRIGKqCgn/vSQhYOJt2ucPK+FBZNoZwk1K8I8C8/aRS6w7vsUrOfZEUAfp euYz4/UNeMR7zgpfou97sb7ncOOM+i0pjXS8nOd0mEEUll06+Z/dmJPCRXFcZ1DkRzwZ0Gs43NkX Zb+6G/pPsCotpMS2OPfH1MT9Mq9ixuIIBF0MGroUz7+7FMVRumZjmHFR/ghgL9U5SKRcEhN2oSRd Fxxsft3v3S7gZkhhI7jqImbXBXIB8lOdkVV/zZ5PUxOsb3HQ1bSY4Jisn0Ch/PSsQXI5YkPKtF1L PjOahH9sUto2MbPMFy8bsPSgRe349b3V0p5AmLN32k1dTY2E9DseZqd95El21EzC/wRYizFJAlG6 2boARWki+lL1hthOm9XwFsZuWC+FngvnE68scbjP6osUJywVIA00xtC8BEuaWX8Gi+n2n7+M6Ebn fp1EqNxOml5sEQ5n4k+J4O4v10l4RJQsr3y/ssW9XMxt7eV2+HOneE7uKBGAMXIDvwqrJvQh0VG7 m/zMQ68zmtUG50PmW257sJl9GFKHWGe9QtoOUWHnZy0q4R/uFuYetFvvXGOU6DokQLPxYJ/nQDmt BiYxgtxpOFZAjLco6zuMFg9iSF/CdDrPB79jlOw4XRvw6rkiIlhbXxkAgCypjHt6YWSuPsALeU86 nHHZ8Mg0bFUNhSRabV4G+G4gpTkGFWANjza/Y7AVmMFHdfEuLX8IV1J+fgGMPVWc4vRd836AgJlN JaB7m6dDCaUp5dBTKO3xbWRWBweVLtoQA3rzN5Cjsi11oTyjuLfceO3HMsON5e5IYuwu/U1oo1/b 30gFMEHXrSz9KQqZit4pGJe+zhYmT5GKqESExP2f4cDx2AMou71abkBQZSf6vgKkiTGJYfYPfn77 x39lqJxkqLRBGTCy0+6gG+7BzP1AmN+M93ENYLdSEnflV+OdZzam5x/2eAO9m3rnFq++EDY3xjlY slkVedk6+8AqdQGiJSPsXJr4OLBSjxfDnhfPDGi1sUGhYawekWuiyYp0AWbjVMNq05jBlRrfbFWH 6Hmk2I3uZKPoBGm5CCDwgvbjmd/1iAhlWYZQ/EAZw7gWNthSg5ydEdWeMzL05wKYgAvED64YYyRT 0oAQ5M04xYqtnwmzxtoVAQ1jYTkuSbXb7bxQZvzj6JqUjVyjC6uj93AVHpt5JSFluGETZMEZGHrV iEor2IwxngOSGIjuIO+vynKcwai5B9NCbduRpW01OsD3bwOgmQC5GDMgYLhB4uozYf/8u1yaHKUR egASUike7zizMfzQhdo9cSmBXbWb5V/gP5437zls+e+Db2GwJzNPw1Drqp+UpKKQrFPuhdjynN4b eC3YhxYtDrrDUKfdYi119MI91BLkyZIilHjb1bmBHB/DLZFkm7DobCYPUBdKn112+ZlF0L3YY/mw 3M91JBFYosXkrNUxOuTaT5zA+1NGuk9CFwjOBKf1kRZEUzlKGh365qSRmRZNa9vmVMoV7KKtNfwh BVoTwtIbL3ewQiz2akVgU0XAs+c74b2Tnm5sZpDSlugvRWM7xwGBGujT+c8Wt1QsPWoLfUxA2wPg O+fTF3Qu6miefygCyapQenKH3scL3Pe3Nx3G79KQUW5g9f0VbIn16c3xY/fmB5ihtfh78Kmsg12x nh7Vs9iCjfqk0In/6yGxwQinVDUy4fEEQs0/nWeKBhnv9AYpYyCgH/eAaAWtjBmvsMks3TEMaL4u /tkDa8kRx+teD+7lIcpOzQzyeGv4KRtSsBWfik+KOHWa+QSM70hqDDjKw5cI1IeQjSQ1Y3Nl7Gvh 6Y0D28Xk4oAXWquPdBZDpNpzOWnZKmFDRGZ3Pg7unzYbZyyPL+RlCOCjwSeyRQqGdoF0VpfJ4biR q8E3cU6JmF5KeutXpxpbMUAl5KkEVZCg/ZZ1qHvXeIIyTceu5GvMIIgXcIEnDrd2cTq1Dm8uAEjy I7QzHKlVwTt/jUOS9+SpXBLX7cI02yJ3rjEtGBuzlsms3u7A5KDyhsVo2IOe982sWnWNi+gscFgX wn9qNbjvI5NJxCXXVUZCK1gbjosr0VHIPgLtpq81g0Ly/Md3SYtUZy3/1TG3T0KgeZ2nKhFYSahb /2Vr6fIYYtGMgCX9PUlWbRpwqz5jQM+wobwSrIZgxwH9wliBwojktf/fDMw6UfKmpHQQ4obw1UgQ i+aBuv3phyWAl8xYVhMGimq5KtY3DTiob6J7oKDgxtxnxmRYVAkSZfHtpttVAB3u19USyDtpE15w Kb+iM3E4fZ/fkPy0uFZWj656mwgb9WkHhRPdY5VEJohyZ8eD5EcFShwr+FMgYDbD2NcSAWrHMKUg r1R4bcgIjlIaUosiP1oaF+5cyccxAaKsygPGKHlTUo49rjz9MwKSiZF+tABq2IjPRt1SDpZ7nrRo wXDNbhKYnKaf8MFI37EWpb/ofbUMBdQm62N+cPaJZgUus8AM6c8rkmugPXgm//vQt22JCx8PH7z8 jxS5duzs7Oe4DEFzBzVD9lltVsKJdba79NOvzMfc1LSy8T3h/O1nB6Fp4/p1+FKEMUkWk397EUaC XhgvHWkaoCKEs70txnP9YOBYQ/4q8iGchyIOpHbgPATF40qHw2o3JrczcRLSlNwGW9//dpzPIq49 CB50toU1ZYDmG7OtokcPohutT0OGlvZIZcge48POCakRATt6Uie0Gtj8dOXQWRKBWvGMK+OKvTgl c7eMXpNZlWg4azBrv06ySHTx0y09Sih6qWlwSDcBTVSuSJ2Ao9CScteU8/w/A33cL2guPtwslTvU FgRydH2F6/cuE0CSl+mFrwj1kqE9A2H9UEh3EKh0B79GKvMtRx1GXxt6vl6pYrMTUitVMg3M/Yi+ /tUERcZlcUwtEa/lChnIT5wTECJSO7hA5jFXZhy3nMIBfAzjf/A0GVZb+YEqEokQP3ELRlzulylP bJmvx6DFlKBYPE1bFW+0KEqn7O2gng6MO+LmGq/9Ib32zPyoBU6bl7RoDqqAbu+632TRuMoGGyEw pM0caQc0EalkUDAoSdBqabbdvlCNb0LVqkPlD08zVmHkF2B95dwbelKB/RVsE+cgEouPCRFWV58E VLPyd7CVHjXXwdngYKwBdS1/ejhifYEb6JwZ5OSwiG6wCF0WQ/+MCrK96H2AN/8k6jO+FA2aiCAM SD5/25IA/5aq7zVugJqev1U04VEloa+X3GHtzI7uI4Z5l/QUsk7I3RxTVtPz3Bvl9H3MBypvLW1o J+U1nu6ALfYBZiiE/FjklBFKX45q1dzfYrD2Cb0qsKYzy29Uo3zN9Z3g84Qsk8/WTKr++qfE6RzB 3mzw4JfFCEnCboIWFuxd5J2dHtqPA96674Zlei6IIYItT6nc+COSpwSgu+xiGGtW91Ix8s55yHhs Qp72TtYEVuA+Di87ie3JaZJBjTjNlkWWihxAsCjmVuHbz/67eoWVYF1k1y13VP/cZgFQrRMLtxid lWYsXqF7+y5OYm+xll8zvEKKuayqQSbirjl5SIfzN23hfWTip2e7Td5Lj15khNB73YhYo+3ezRzm Z0NnfrkJCBW4hrEWFCb5/iZf4mFq2lwj8X3sp2wMGNYTKo6wTexeoL+9MZihSaZGlHsbdg1nCMaP UJP26AhZ+5+oBFCLcJeYAWwsR6qKNeCHhr8kOIQtdPWJUj9o8qUJNdF5ORioLGjPdvfPI15kbeFO 4rRBJcwk9BunA+nv1o++rrfThyTj7+7T4wZljCPFS2nRbSrXv4hh9jPlB/pRPzCCy8r8IIWjncIu 35RMqc813BLheJGNoBHR67LqzSBPUrnUJcoAx2UXhY/XrT2FlSOvknsK1jFiMwpN9FZZCEo3Iy2f cRdDdatH2J8Ubuo9ipDG1cCnkl13xD+N9K33vR0ojuRl3Mb9ho+Ab3ioXviXbSmy0T5/rRLh7gdV iWpxVlWm0MRRRPcLg9Dlg/Bh7dkkqM9S1jsTFnHuRpdBsRGKB6lIDYAXXJPQEnMJRiUndLOBpryD DLwQDMpJncTB8yewMEZctH1cxn7a/N1NeB8NcIKgHd17yIdT395o0751trpO+gd8D1B/M6jj1zM7 uuLjcQAW8uxo96TP08GyNyMQHe4KtScO15jRVvRVLekzwk5uLP6Qo+BNxUBGdJ3WPHIFnbcvBZWy S4qm3Rs7BolOsUYjcilkX2XT9Inr6/5pTQB6WtPlR4Zln0W5Sl9RmqHW8wMbkkJPWpWxrHcID9Ys 8j5gxqamANjSlV3IaYRBVlTHDi0IUeWwLVns+foF7/JDknZ9bb2YKUzD4AqSorjUKbqOW0y0OEjZ MI0gfyZAkX9qPrGS6wbZp/TLuJ1/VTdP5OzBQnyCacf7SW2ABmpHIDBJuZ79ijPYDJx8dBhQoela iRAIWZ2coPrz2SldtMA/c4yWpx0Hs+SzQvJf8iTpa/Lw1kgcww6NnPXbVvxwdhCdR6xG/DSMrAny FRSYuMAoZoz5SIWvYtcsQjDqGL0nMBlskQ2v3Q99XPNZHosELixDMrSJa6YwLK/j2ccJLu00amJM o1UQIXPidZ9RmV/FksJQNAE+4cuVK8/6f5YDf5E3n/5Old6RKquISI2RskdqxzMilbk8EzE8scMm efK1cg6q7eOOeDFWOLELUr/fo+E2J92jx68UprvfJ5E7JTQrOFMxzAHwHjDeLQh4/EvS7NwAGf8o vi9GO79F2KK6tAe1i2Omp+LBtgrS6UEDr1rl/JhNizAHgir44LVxa0iW7pOxcIRYqEOVPibXduSL k0f4ZjjBVc8yQ/VE+jxlx5mNB6qBmKe589e1FP5tuvcGXmUKX9Zr1ufgmVq9YTRdCdNNkrP9t9Gy EKeWKJ0EOA+V0qaPFVPkIkiETt29gnMTZaQ7VIQqYQy24/jHYjfRdSeggosnKqRlhlj1Y6BmN25T vOGfwsNJTlhSFbd6lfHY4GIADvJ5OcKHELcnxk/HvSW8SXRRntYIN23abYpg5oyPy64p5EPD+1HA xR6UHwaGDznqgJCbZwZks8SEb7/QBOOwHNN0FhXvBYezGu3J6sDfZE/WyQuakkN1XWUbtfGJ6onm u+pP4N8CEwzhnxI/ooahgKZrOtlgJjGXGEN/r32kX3hCIFHK86YTCdX2yDsbLe1IkpgmHRAf0pV2 ONrtjxoeyDUitS20lsrUhXKr5in1yYGBUjYXrJhENK+bdHsWUIgsyyMqr9m/Ikc32oFMMSU7DHWD fLMmiKCehvHcdB71/k4OQGOKF0h7OVM19PVCs8BW9n1hk/yPH4BGDZ03OnDFCurVFCUbOKORf/Eg ikjYD+vqstyVBBHiK+slnTcST7gkSHbWVJ2wwzFKctQc7b/FliuPiOP3k8/6nkpPCP/tkYeks+06 fZBJWZcfpWF7FcqY4jNXLxPpgTS+Sh80pY0fqKTaq1dGi0GdxjjAzdMQpw0gfHdRud4/Es1NzXOV U63NUQCRQQBYbNeB9oDCv+JL/g+UalTMLH1Gkz3E6xDyxRtkr8qm2VaojTOZMeXe5yNnzQOzJntB q4rd9hRLNM+zqBSGZnIFghlMB/q6CQuBn1GuC5uPm+sh8ru3n/gl5JmCwCzOTG693UiEKoJQ1a5U Mqsz/pbKNwizs+fxOAxfQR/UOwVYMXzqV0aJQqDYwYlWib1Iv8KJwmmZxqtLTmgRm0WuBOXLrziJ 26pI0BICDutrE5+P0zvCPX0InHW4v/Jl50HBvKqHbU0c1BlUzqSCJU+aXWESjeeyebNDWwg7C0WI Cwp+vkbeRZ2HNdGhx1qm+znyRBUdREioktJs9gr66LIroXvvcZo2hs7yrp0kKIkHBrwPl9zBG45X TmxIE4cRdl0emDjIPMz1Rn752d2BlEr8uJRDKEoj32h6YmRZBqSRv235RccbptYY3vIu3ag3Gvq5 iTWZ8sWpJoHpDB6q8A3AuJo52brenyBNoA3mLWZVYoO6uGbEy7TUYJAR0gyQDU/acw1mD/FMkplm XePk/GrM8v/dsArjF3U6x1gBzviu522dFiZSgEPy/rLKGnjk9+15XfB0m/rvL7IoUUS/3LGJWRMI a+/BesdydDx4vughVNEWSV8LGoALX+PE++EQY6W1jUTyNnHgKbA49IGeoc2IbZXiCj0DqIRlJ64d cr1ISmdNQtpTCTBFfktnPpPmBWNEY2TOh8UySpFecj/pZZpowHPuC5IhFib0TkfSogq8dKVEB2Lr 48sXR8zC9phLtibjuLF8xaTb4eqGutad5u6q6I3thyiEhf1JuxpN/Spn1EQCTebq6oE0UsfqV2EV FixlOHlLaSOB3ppWBY8AsgXGEhzd1mMX6N4K7eUcuh/59PQboPhrWuloG2ogBYL0NeFHOLTURIYW eyWNro4fHyUeIKXPW2bMoT2dFVpD3sLUgCZZJ3XqP8gIXQRs131a7RLP2/1xUucFgDWml9bkoxyV /dNGP4aGu/dz8gBgsgrHu9UphfshA5jUSBftC4DDX671/HKqD61AZXXz69Q2Aa+7TD5uGsEndg8y 8y7VcrjfUuL17C56I30rkZqjnN0Q5GqTkxlKFwccYyUuho8ADeRcxT4uNE7MHbxzfb5FBAvKpq2+ 3oJHxGTgiwYjem1IDM2s1KDp7Clm7LQ/x6XglSP0EhDYGP6bra5iFrv27GkxUIog9vkgVNFbJ1Ty ysigg7LdL+vVbLi9TjO8lOpDwA5mtcgg0zN/jbqDYFei0WoMTSMivcDKOjZnlZWYYYN5M9ts013B JABbUfFuzGvLG+0+oLIJ4JG7dgpjaf58Ezs3IbjnQeDUFsahQWBwj3Nj+AZ2nEMBE+CGuR5p6Vbu 6+KGMZOY0o7EUjPc/fcPCvgUobI7rfUs0S0ds7f0Eqxv6rLIi17JeK/+GU0lW9Lg7oX/+cc2NWb3 gtrV6ssAcDZoOMwOAnekAs+wJArwAnCfyc856Jj6XzZil6pbhk3LE9SueW2mqtDRIVzieEMqU0L8 JbzWbAQawBnhbIQ2bABODYHIS+KFsfK6LMD2hNfYodYyMP7Cb63NV3mjiy+YM9UGU04jRnYfTjA0 ZdJzUE4yE/i42vtn0IyJWaWtNVpwH3MRWw7ugSkV0pWzNLAcFoGRUMrdzj+InlWOdRsncCkQfzbj aoBAHUIxEiwhIouvvmmrZXt00YIPESqLV6iCOMikukXtrcR7AkRx1R0V+qnq3OqhcYthXHQgBLns wJab/3c1ZrgZFzNDZavXq5xICV5/m/5odSrcemMi4P+Dvgge2x+/cUUZmyrZe8c4+ZuccOjU+KUl jLBTzkWUAH0Mn7cbN0U9T2fYyvdUQf0Lf4sPqYpikSXlya9qrCUtAJeGhUtpuAMDkKEpoBzoEy6S tLCv47rqXsoAt6bgocqEheJSfV5TJ6zrWQZmzj4MB7J5lKI8E5ufkjUmAutWaXPlk5cVmpwf7G7P yFI5ETDO1J27flwnkMnz8IPuwLTe36fqZOeYF/9PcPD7iuYYUu0UxyoEyBNu5cObaB3H8MsTmTvW hucQBqoO/cE1Qnln++QSVg3lw3Dt7cWm7E9pj4NS4HEOci2DC1rdTt0+SZRw0wqXmw1EWTj+CE0X J2T8/BXE/tewDx8COvs6fIGAVLDOaM6pfHYnQ5c+hVcdCwkUpKgGnWKkyY/Y+QbOZBXSYHSzyVuB qIEFr9Lwcrk881s4Fpobo44QJiks+KCMt7Kb8x1VGhWFxB9lLYir72jOWcdplhL4Lz0WT92qL8I6 /b8mPuu3HR7j6Q+5++Dsc3HneM2wI6hJam/QfEJPNqqC6GZ+KwoAXGjAL5z5Iq+cxp9ubVE+TjzK ibx2Sa/vVkZV1CQVC8iu8ldXrYARlm/jvxkPSF1mkV1TOaKJ0CbLWK/mrUm7L0K0qlA1gvpgWkb0 kVZiRH2l8gNNDnLrmMaXYhPHTlvUcZyvsFtfrZEjXIaF2mtpKUzCqwXb0E3ttB9WlDyDylQNYLzm 5iH7c3iW4ykD6bDXR9JuBGfZC64GgTPYJQz2KfX6ziKpx0WkNagVrwwAQUkkf101e+BRwUUy49QM Q3f+yxC8BlztI6LeBCAEJHYfhQL+nN3G+oxG+G9A8UdutGPMIpfP5VG74JyuTpoidb0AbuFF4sfL ll98h2YjtvhjBAZxYtsSQ2AChimTq12dTw05A+Ccr6WSDkiXqSGg1Q5DUx9yOuWuVvRHqzrIebuy Ewjwi90fSbERb0A1ozuJ6W4d3GZrVZpYBT7HTIg2eoYDMvCr8lY3VJd8mgblzUZeWrLG9V0+aWQv /HgTej49mSx2gPnIBTk1g+aZhChcIsx+cd9IluSen/mUtulotSl8BZscXShP8vcuoyWO4rp0rLB5 8TL1jgi0tsJTDkUz83x/FdgISE17V304SEtCyTxH0GqLnqojDc4whZbgrZ1EbcVxzxKzKTrRDril ZC6LPZHZkXbOcLVnbYbRvnSuUZKffV+xBBli9kon+5lSyG7YbsydEvnpY4p1kCWjn/eV2qZEFWv+ Nvj7oKYqJPKvRqABULXdYpO9TKHzNB5HfpCIEWqWP3oiKqL1FJiInqiviBOkPoejD3Psra6mUDqb ND/K0VRSBpXg864k5l2v2Oq5+bWT2oqo5eWJRDlhtuLJTGWekTtjFkUdEdu2MHiHct538cmetLu0 yhu93BbziGUopGepbTZ1JoSCi/B7mw7V3rjNMmNWzSGNa36XwXwGMzTUbe5J9G0oUJrJViZlwa4B zHan86gAmFTHZhcZipa2upM6hmK0v8i6ytlBwkAzEbT1iVBkmJMxz2cWOAIeDzNaHRUff1lwZt0B uJl2IDb4SInknZrHGWYJRnErEZfpU34ngO76VJA9eApFoQprXRAbVYtSgd7nw8qm66CJSwnduZfV HpuA6ZxkWiKruHg3X2/zSnAs/cjUbcdXAvBL0viCcwD3tKGkaKR7s4RJxdNF1nXjoADLOSgpIUWs 0CaxNHSAYCLFf3137fpARi9Ngi2TOSCY3ElqOm0lC+RQ6JyhijHMHNqiKCoBsqDn3iRKoc5pOl4y Ouo/iLCqEng006CMq5BfGBxYeK3kMyvH9kqn/oeZq9xoOcNiGhYp7tSDM4V4AeHjuJcVwgJhc44R +di+M/fMCh3F4RFqRWV5hH74V2/cZBtjurk5A1ntSxG/cDyJkrJcGWwCQhgmMS0z3wez48O2mlOv FA7laivXAYRfqb7HX3JnYTLA/polSu5M859lLfu5xmUpai0BpcQLM3E/cNXNZYMw+eaMoVq7f5Tc 2VvNYG56z91C2pHBooK+A10CX5OgJVCSXmx8g2XNf5FBiAITNcVqsAkBKJDI8mQ4tRbmvOlTQILZ 2mANIJbc5XAixEil/ZjsfyJGUxdnV3smKLHXPXACL5UVjJU+WcWeVLyQfm1MAomhZdYEVKMaPag0 Gw/e5Kg4aUslHUqrbKkfK0T1RFx5J2vZeQJDW66Oo0673UWMsfNldsd0iAQBeG0mppM1rAvcqO/G JYrr69Y9ItrG1p4gckHGAwCmK6iMzLtLLlvg3Xrh/vVVCAhHBcvne5561o3+mcPkihX72IHfswp2 j4kUJWBeyxa221IlsLaWH8DgCqUyTUSc7G5yRSo9Abe6i1Qo6M6Sd686JhEz/rkYsogjno0MycMa 9wzN9pgsI3OguNvm44UYYCekZPYxHla2EhDGAU1zrCd+BaS5/kNM51rel0xw0LK+f4mYFBbwH3bI 66YSlMs6u3pZc6faEOYo1fOBp5vkyv6xXWglWUg6nvEpz87g54NqWQXGW6aXV6LC7uvIVQAUkwCZ AiGwKySXxcQqctHTYjM7RecJzy5nisp8o5VxPM5wyfzdWSMZWkDiRqwwEShzVvOsdpgNngC5za2K 8eF8pDQgLzkxIqQItuhW6WaqxaRJcvWkOVIYrHmtCpxXJYcf4zWNNuWh4nF+luwS8IawSWw0TW8o 8kNTIRo/mEdZ3dMMS4WoPmz/Gm7M1HrFElI+tbMNXiwZjG4FQnLBGmOAeiSEwDxvPKBy991jiq7s nPENdlLoN70FGSs00BTUakRZEWlf5/yH9TCw9y6sHY4zSqlzTt2b1mB+YuwOx7L6Bcva63MR4pYo MeKRN5rbwb2kBsrXfZPlmbRhLyetWa//e7KOSCpA2P00MkIf10NyLne1WUs6qR8rLFqqPygS6AVp R1/P+gVFBGmZ452gZB8X5b6+B5+mTEHT1rMGJkHAOyI0rTpd+I1jmhENeK5BH7m/U3uwdgDxnNnr FLwzr52lxxSBfvP7VcWF0HsHDCxKZe0QMWEKBbBtoWBglICJ2fZJ2dDSbDZwxqNCo23gORkLrq8d C9Sj5I2kqBIvG3ZyHGIvU5o1l58ktKeTZAiGuGoPvW7WfCgXmchQljc8E1hckOJpPdcgC1Q9Rz6f yNRoY4NDGT9v6UItOk91Zjv2eGkA0qrxQkeCMItQ7S8FVldl7RHAlWuD5cHJ80NVd5hxUFj5O5j1 vc2jQ/pkFKO/v3JqxqUlT8uVYtZJgqRvoYYFbuztj6C+HHd4Tl0iTtj6Cqqp5NKLggIg0yCTxT5g fCYVsj0fIs1rgaPZvh8qrceq4EpzJzeQrorfWfjminHNHtQeQ81OHJSJhvXBBABZYlVf35PtD3jx wBZQ5JsjlUDpndvwPBhqQWw3g3KkiBl90ZTMpzdZRsvAbYSBGPmd+5YoQtJTPsXHQoyUO1vgeHrS CVrcTH+DsJEUoPhd+MQPxwIOX+la0KKY6BmDzCPGx+dzaR6GNXWo3qJ9bi+lsFTwUzu9L304l4vh dZZEuS0Jihw1y7n3AKOEoAmrEexsiMYTZPv672U+2YJWRwk6szUaz/KM8G1jrQq8MkhLIBqiBmuG wIEyJbIvycObDYYYZbaK6ELNfdgJuWpq65KbVJMcKW2gwV/Q1OcwlJDTOiKZ7OD3asg28rX1XeiE 5IkLwj252c8s1J3BypGjlY3ky7nBAvmC5JDayGaC8i/W0WQ4xB+iydhYCXIeiYs6bwMhAWIEbjzF N0jM3LVXjM7TXKr1+2qCsGLPpHXp3s9HD3C/Sq0lFbLMmtEV/Z3nLh7tFSmHjFoHS3oArGKJwjGZ Cg0iFyAztIhuKEG7KKYfky2A9+RN9+M6RyfPDCI1jAn56ziEaNxH01Q88xcvF1mMGX2vvXiqz0Gj RfqTNP6KxBsgX/3hGX5OF0qNDwcoH8YGiyPlCNsYQ+4WegEg5la3hq106vKFddGD8X608xTwD/e+ LMXJ1yaglyf/Gbd3XXiJuQAFoqnViXx/tuVAWvJAj6Hy56VQNPPFD6jwhxk3LgMiUNvO2N31r4N1 A3KDywqlvARxRXNRzD+I1ckPEy+WEEOyCWZR6hs1kXMo0rRcMLZcMXbERxQs92ELPXbPC9ycCZib y0Rm757uu1fGWaILbr9pkRBv/RwFB3rQbVyR/yf2L0lSlUlngVe+M4sp4dj0l7IfligTmPXhqg6j yroucy+2NybsrkeKGGjWhYePaykzCAaJ6ddwqjt0OSomGRgJzb0IgWCgBGtRiSIoUonsAzk0s0YF UBbgsW1Sx8Cqyc+JwIcgGYmCstMrTZTeg39Ck5UtwhkjX2e+HEoPHxbObkUtfI58ATYU8eoHjfN7 ulNbl8sIBgTxu1yC0r2zXkH1WwH2TNDBl0z6scmji1kqSgEyDpsp20OoE4YvEE7ZByAW/rJxQ/SK KTl+/QBgN+eKOUyXbXBQVGS2wdZqizPLNx/WXXLP95vLLDwFtwXZj4wF4iGm7Tmly8fKLfOHB7nA 8NkRM+VwNtpC8MrXKJLqvM7qNCGYmBntjHUrhzhLOwQPcbgOowtjgcJTizZNUHE1NH5nohjexo2H DIPt6hiTai/Q5HCX3j/s8PrDCKr+erZbaRUZQbfymIxusdOaO3La7vQq8WGhYhXn7hx+JT7upSOB EomcRCYA65cnZtilsyZ4NQdyvnBWnY5sARiWNGz8k693ScBDSJFEroQFC78O3E1pt5WROjPgL3Ct nMlG3VvIqyQeBCNznVpTu817e19myuV5ADVrs/ha1BaebWFEy26uhNFYMo/7m5/XoItS2+m+dlPA cbBBX9wB63cAr2H+6t2CxucjUblYJQ9sRstj5vfhPP36xlTFBaLmvMzYQeY/b35E+rUCHefCikP1 1mGkM4FdMnJDoKTk/VLapMRwVV1hYB0cMkNsXVB0DLBWStCTevuvmCI8A1PmjwSjgm4mGr02j2Cd 9tPvmICAP+Cq3fXI1K4x54R7tzvCggRhvIlp0vIApsUE/8WiQdOtzxzh9V8aD2+CBABMZ25jYec7 XtDTVgx60xLDKNP5SEr1C9FE6BGMBUbwt9f2MFp7+nnfnJV7XbFVSG7EUFvVjy6GFZ2bimhVpt8S 93siI06Un64wT5avgfwVvqfLcyh6yTMwGkx70BJUwuh8eG9ATYU2xJnbgJyHdMmAbtjTbgUuyYQq jWIGMbg2rH3pmQ+8sOtfCJNAdru49GgkvIIQJpyZi4fvksLNVr/kH1dnfrZ2L9Luk+2QmjU5r+nC N2Lr9S55zlJlVPvEXEbGCBlP/b8wg9LSm3Fh7Jv9IEizGJbO9A8NiE3HYCH/deow0gmy6DIFMZWb RsulOVRiRGUpRUw6LFUVRmlewSNYudNm9kGbAVk/4ZQhnF03Mf9jis/xA49K6W+XZ3Js7gnCNFdl mIYP5UbaVdt8vEXAZ6w9mzi832ytN0aP4ZLDqLWkjBv54g5QIBlV+yAUCCRuUuNUQEdpxGJw0iEI TTCqXamgUhIzO0rfVUvjbSuMzhA1W5CNBagKqjIKVdxylTrmBXRHt9uhrvbDBiryC0uK9l1ZCl+m J3hVdJn3Q6WSY/Q7Q6Yfz71hwLsy/3OA3ChT3gsq00d0wxhGDwek+cuE8r3FaBgwXn1udeGAuZLf +aBTG7me0m3RSVCzmkAEoImsYudiXaBQw7lTCAEcrdx6jYOZdwoei2EFLcRpRcuMq/H1DPV7RGeU M2MF1iyjZbBAxsWJT5LRajkop5aK6iExTDJ5LEtuDA4eag/NqOzUTU7zNSqu+tUE5xXVs97Zx/6Z KmsHsHEWzHNM2cqqUxcOFO+pHj2WewuqSekEE8PnEfOL0VZapAkk3tReUcbFnxvoIBthjdPhxWc+ AAQicyVFh3WXpsbukRCWxTJj7HKv5+aaMv8Cvg5WPXba+08b7XkxSyvLM7dYKkk8E+lBFVnqEuH3 iWqd9UzoZXye+bxEso7Z8nDzHFHGB8CVJdh/g5L3huA72iXqnvbUyOJUQzP9HdHSj6rv2q7dYiy9 aEcPQArhpujNmer/ZrJEIs9wU/8i0w3Q+hneDMjEa3SWXLzvEvStDD7c2ItIZ87cHKoRGkUFhMn9 5eqxS1vR/0MyJPSdX2wptsRmSAUManXbjxwIXc5IGg4FpmcREPD8S6NVDz4/xc+JB7CSJpeO9J/4 6z/UO5uxrDsbDdK0tIKSG/iNHggrQo5QsDrd0SlPTdd4oNw1xqxyq9pr81FoINmAuaKa3hsUn+e0 ij7kpJLn0LKpHkag6oI95x6vSXFalVSmpk4Ux+aUpN3ykpM8oCOIf/geLHacT/SnHoYmKdm8X50V J+Oy4xnTmkL0YfeA9IE0ZhTDAn57AMdlOfcJBSGGliNJrEwy4gvE/gZ4LPDeAp8Uqie3OqNyd6an SZlp3BuZiOBXWIoibRTgsxWCBZOJMSvIBmKkImlubpuhVIGkTIFaGkzQFRBCq5RjI9YfY5wE0X+L MFvwAcNzgxTXoJl/wDqm2qi2aXziLBZku6mdp5zoLOySdz3FS3ErsP6EqS1VljclE039tgcDMnKC 1AukNGK76SSPlf4QwFpcWufgsFaB8yn4CulrtaD3TKl8v9K/0IHoh6bBZJDC0my5CjjYdcYvcWJM bRBUlz7j5G5/+IsqelN1Wv9XxgLFqMkVgTEn9/RtI4LEt4J+sHkVWsP95GF4KOHHt4VbJ5hGGXYU cCSpCrDpx9ArAfPd718MpMhhmFuZPmStAKrAY3VEyZ6TfdbD9qHAzRc9SJIsPTnjT/T5XWO02D44 zpMX+qbsFdNH4yapin3IUC2/PKKHc79BGaq70ezP51mdVbkJADDa1VBj7bi6x5ZBgUUwJqIxbE4q YNAFHmziq9YWFgKcSEYSqXmg7zBdq95vBDx3ziXS1ILxOMwb/ZqSSpHYF9bFN8XhzxdqCKWG0/Sl OEK0HPWfT6iWpCnIYcgkViQhtjj25l3hZu2QjKcxg2EsmDwvize91Ktx4mWrrySN3M0OxuFDHs3I 4dgYNFvcxe+pCgqiVdSta+aIxTP8o8qIFOm+TsswsKExGSF+ynujtDN/bYiL5rCpORVazuWPMJKQ qiU8gCi/ECUhzWJLQfc0nnSxd4MPJmdUmt8JEWgYSWL24tefxfo1Ir5XhXMWEnYag2fwIwCPt8cn J71FXKoucnBK7SSD8yKrL2785haEccPG9YB4fBxnJD2NJxaJVtHBfbz69BssFlhjexvMYZg12w2S y+gQbkCPz8vvKDMMkTcs6ECCpqEdiWtZ3Q64BUHQ3BU9hmYT56fE8zltAUUOY6NCBNMiZTPfJO9D 53dGxoeu7hXiOEvx5YexkBvZ8wPlxBuZvn1IZb0K7Q9BdnJMgKlI4/yN3NStu7jMzyFOwu65g15X q/gLRJhddB+/u2oye5ZiQssahGgrgejbzd+HlJu9Yc81lRcXe5nEM+KyDdxqd/CRiyZ1qxmQuVv8 RmICTd3ydCkwpjht1nVbPhe/nyKbtSTixGqkGsCfu487eqwQd4C98PnCGsQplQUfwuSzZEi3w7DO abQDLbyYBTg2FdBlA8iAL0agkFUMiFhb0rzMYJKoRgIfZxuads9Edt4UzmRplDfRWhhNb3H+DBIl SZl7KPA3LrG9k205ZB/0KGy9mDGXR3vjX3cNXfwzJhpHBPXkxoH4awihf537/kO7Lzj+qxAPLoY9 OaHFrRbX8ofNc5BK7fWMJZiQAY7Bea5SFCRhTGMwHeijp8+SL/R8YvoJUv0C2qnS/ihCanffT0vt mG0E2WEv+e3rQOePUEJFLL8R7vboCgbzcjIkZyrH0VsbHDRLj9lYtaGfcGLyuySKZybtRH47BU+g ExuBy2A71NfoQo8x66zfC2DoQnQCBiLoe+YhxZV0tbd1u1rVvDF/G55Qo04LS42dZW3vJbUGVBnR gvHp8urRwvufOzBA9CK0zEzguSBW74kVrk3Lv8FSa0LWjjVZEXf2nbziOYQ5NA8WYQuqTJRMNzt4 jCYe5YoJl83dwMMeyJpkcTxEdeC+U4wsCMdhRVtqChywSZbklQhY99IfKrn3nt0D6OnYNqvdCv83 buUCiqHGZDnP+B6s39JY5lLVAHTIDlLrK6dmnII/hlOJUdKpOVEhGM367o+04uX7oAkevI3iNHzx QzqQvPxCVE3CfZ8DFIckzG/ZeFYQNk4CHivtHzvOY/mQ4vyci+g5rpb79MX77jiPyclg4vdjxIIB 4YHJAIEUVk3/6P0dhVtfQRjmeEg6X9n//2uFmdHHGqjcRglDsPkTfweBLuPVSaBEnWICK6gyQ257 EeZS2pujYQxsa5970b5SnCLDrl/KVyhejP5lx9VDx+wGq1OI3HcLaQWS8r0sUtz/J1neGCPwXY4B FXTzAX8w2xyt3fKooQKBvvJ3TZoyoohk7wRAme5i8fn1WLF7CuOjm5DgdjFC+ht/OH2huIaGP0eK NEYfjo8qMpktLCIndLLQtGo/tzozXmtEp9iVMPu3m1ll/QkulRsDM3TDomxLuVrXv3fs5nzYTeOA 7pRzH/hrJEAdxZDv+sWjWM92Gu/oINtr7H/gbMxhTRnx4p0p9bazKOdu77NR46T3xlSIGK5mFPHi c39VcwGMQoxTnCQkltt9tQXFM7e9JUO+xsBNidWBgYTxXxfifAuc3SQBNUZP2rkkvK2a8xwVd5JP q1f8TDlJbN832XbnpztaXdsfRpq+MYjRTqOBgtE949w53c16QGhly04iNzII9aCHTE/XeNGRJ6Nn VizMWzqnvC4pmnbHe26ji1Bb96cU/cI8za4uWbRxCzh5m/wzkVRxhbycZjQZPdpgL5Il7XM/a9oO LvD5MG1YGnIUpRHK1emYODtqppjVOJM2SOhITpky9TBRBqBR+qh3Z1XHTle4h5YciJNfoG2yfjui HfOJue5ceW6GnyHJ7+hV7F42NSxMJ3WdOunatppxk25WGTYdAB0cjVoPG4SWu6p5p5qhWUZleiLL 5T7MFOsKYwxs+jYpBbMtdyeDgOteKSvjw8MlxwwsCi/QAaXGOjGJPQ0sSCIYfs5d+BYDByIyXqw3 jQkCd5RP7lDT5b5pJshtgVIseOf1ZYRkcWmieWzPQI7i8ag3ZgjwMxUYI0nRuqWof329ET/xaDN6 VHJCnCMci2i3MMhCbUURuGQHKV8nWu+z3CvCrD8ZKIAbKoK/XN8gE/caOWTdv004UUfLDPJq/Y85 OyRjfQrMNtVICbKR6G0QeVyiLm5Qp9ghIev+plr7O/22UMfzxZjjPynIKU9HfHpp4QETYj7H+G5T rHLTalpzDwV6obgHLs1YitJKwOb5pqTZVAEp//20MecgV5fHUAOFDrLTxQqqFlEjltTDaMGABbGp CrwrBHvS9cwNOPFufmOnU9BVRss1/nyktIiF8GNKgnSwx+kgKnOlgKSTclJ2n1m4I9pKhbW1RFeT OJyZ3cTl6XJNibm0t8PY3sVA99IrEWJKLCMwVuhVU4P/0tzXjE7BYXZj7GeE8LD6NjC8Ads2w/da kb6USMYZPvfzY9S0x1Wv2RA4sfLv/lpTeWrC9EscKNPMUU4ePc0ERV2eTkKoXOFM2cs6va2bNVEM yHMYsKVu9WKeAFP3ojKptSMrs5MLet8UucFtm8Evnrz1R/pqz76y4vw1lfyAh0jrv/2iANXQCzah qfEzuykAKzrnQq+ZN+4aAz6VO7veAaXSV6nZHK+Kp0v/x0BV9T4nJMiRDeDCtp7tFMoEVhBA61HJ N4q++LJXcCWrG6Lev0xxccSYIN6NGG+0cLwAzqYoprIT6Yb3MskGmJm75pbyiZHQ9HjvNwh11of1 PzHW3iDlmPOwMnq2XGcs/u/thxZhMPPL/jOb+7Y4NKaQlUq+ekaBiIuk0RAdE5a9hEeEnvOYRMz8 7DX9SamNLW4WL/o5DzKGR29U4INotNORwbu4h0i86wQfZqLPNE+29I861cQnzpu49bS+C9wPwUcB GCuhL6qBBRPo0lACdBX5XBpiB+uHggxjWlgW5PMQCrivdxgTlHVEWNo+u6cv3CB/qwqyUlV6hdJR IOoIzrGqIhC44T1MFG3CKWGsnpsMw2hvITfPplH+R8gwMeiTlfk3Mxj7MGvoqzH/EjkJ0Cv8fneb 1DfMQ/Xdahimz+HVAK3LOQ0yu3ulOQTTVzFWRgio/3+hDGLibBX+RyjwDsII5XZFrTLDMsXvpaD0 dzB56+2IcYot469vK3HEc8fyGhaON+eK2s5Un3UYU0mHgZCGBACS+L9hQn6+pBGUvUUQwBs3K6vi 7k/PO9tIqhTV+WRIxx6GMIa5q+3tFiI+l49DYFGGDr2ezrQ1AFGBxbpG0xeXRbK9/PW1xPtYYq4S G0S17DpHz4Ut14nsk0LCOiEmGrSXY//KghhJ+VYHULwLjOboRsT/gzzLBblwqF6iMBPWJBZ9DuFT Y36dk5Yh8Qb8PkNrZu9qkJFb22z9SybKyZbF5bnZ5gyYpOPMxzRqaukWw0FDfLeHY3e+O7ookk3D u4wHj93gD7lkTOxR+5uSELjPbZ7c/WQwYXmznN3WH6QXYpawOzSiI/+zfXEtZJdO0e5cdzJCFWQo +3UGRHwDyG+AEOX+V0f7bhwdB58S2ymwvoEa6cNQG3zMk+u3wpNsSRpxn90F5TSRI6H7olcXW2aE SA/QCOU32xVdzOVrF6gpZlaySRkEVeeUR9DSXdlPFNKyQj3Hd7C3387C1LisVRKm3/9wQWFGUSEx ls0igZaEo4cSGua5u8xk6C9qdQh6pNmCWUUkLMslU7r8H6yBpQNe3DSSjmrAX11NYf3PmePhQK46 beWaJ6mQnp/xmryJ3mXZikefVkiVROexntAPxkIgopmIZzXqb6PFcaRGnYllp1XVqn9gKGd0B2Av kPPPIlCwGISW3DV8uhhWkJEwCGEM89coIZw8/0Bcc+FgdWNCC55LLh0WcM2s5evjrK7GwUUXKx// mpDPvgEzWeb2HXZguJ9496FlMcpOXWYkDkMK0A7TlhVI3acy6c9k1DsTeIeR+MzqewCoztiQCge1 dw8bPmaxplOQJhhrLb4PiZC6TELWzi6Ta5IFzODQfxtfzF89Rq+onEGyRIOAKre2WfIjY6bixzTR VWQk8hQfeqKV7awrgp/lWG38tSB757aHCP9q92DrBKkoF0hehvGI5ShiNTE0aO6rVSoRa+ACuqW+ 9SS4c2BxLYW9sH0q9o4mjCtEl3HnP9Puy4UWhP7VCmmKqeMh6vLklWJ2i+mdMxlNPl3u0aqj5RXp y0CoPyo/HpT3RvhxeEGfRF4XnFScSt8n1ayxILa9J6DohxsWShkIFT/gPhK8I/AGu+wntbJJfMGm lhtsYD4iaKnaUNauyxtcpqNZefiYjfcQcrucNwuLzNhmOa9vV77ypxSN0VOM7fQ69BnHjGmhTmRL VQKPwtBsmHL4dGa9xqEC/xfBcWh6hSTOJicCd1AA4vZIR03dewY4hdqEJyh1P546qcPbC8WycohF 7NxfxUZ0fyRM0PMpXaptqRN02SQXwNcKd7zI00MNa5TLBUCV+MHta+D4WrrizIXyUBLKv1xXF6eY aPQMGgJa+iVid7dgdJl0dSfR0Np7Ttd/GidAwJdu164PGmSX2P3Q2fW+sWDes4uYG+G7bpqcsKiG MnXIx+31YcZtEM2E0r3FN/FN2KDd45kmjfigjF5LhXVWw9anB3sc6gMFjy3VZhFVpMtYYMkpXRDM KGo75vz/2wSN5Boh8fQ85t1wXz9OOFcm4xrABQIQbytjSx5B8vmYF1hOiJSvlDdnBrMfTgbgYKof MQzzkMOnpkembEQoqTi2h2fgWh3IAB3Th19jAHuMnS8LzTIaRGLD5lbkf1px+dwD0cAwPugu0SKM M9jzZilNeP6uX1erNwd8aU6oSMo0FiwgP+VbKdebIdc5q0lEqcJgjEEDh382ceR9km3Fk2wxYvSY iKOwnrjkqpLJFa0vbPmlnQ8lbX4cxht00J5H3Nm8LWjyezr04/mgYsCKhQ6MSw5JCUqz3f8HEN5C k2ZWoEvSHj+VwbwYGrkfczElihKr8pSIEAv+nVoVsHZPfTbK4s6O8AAXtiw2u8xGLwgJdGXZ0GXj 2UBhkSQAM1444LdNsbNktWHjXf0JjZTEY9fWHmbWiP6tNFTV19KtB6UAP/kgo46vQRMfJ4M4NkoP DawwCd1sLBNsrQylkF9XmGW+oAljrhqBcXNQxQ8uo2JUkY4z/GBJ61jHVRPlyOTeMbbAdyVO74FQ S4Fem1n7kG7942XRScosBChzGSMNedKzWOukBovt3yQLgwYRy+vHUheIJG+Pr+f3S8y8/LsvoV6U 87y70LKacUh1dWKwfelg2II6CXKU2XHJYUX6A6liSeaOYgu1TPNNC4PjMgBCh/a1E4UsxY/K+ZSK pdpDtmfN3QX8XLQTCnof7mFgBvzhmZc2ec3uqnS/RIQXqQfClmjAVyQ9BiQznuFVWGzWDGoHa50H p/N5NbmRmafkhWX4OjB/cX5YOWrUyrEDkyORpZw5wVgQ9i5bFKCGTj4jbSZpcl/3E4x0FAix0jKk 1eESagn75wDHuCbxViECsmZeG49QG0I2dsztJDwVy2gMtcQGSjG7KCua1Ayo3dxKAMfNovLof55D jfm1tmv9rAqPD++3Q2uvDtJZLqJvgE5yNSsu6m8dtEJyBHw7mfTC2pPFBkFw6I2YMcYybK2UxVTb 4guy51JoD/OmTQtWiJcWvpYec9exj9HehuDyLzc0z2m9rhHBtEHVg7/DZTihUcSZMggN6vdfxvxb xxlKJDF9McN/kExjihvLrwAi5tiYFRCn1ngf3IKCNStJKvpy6q1S0HcFolOOqDUTqjL7tEL4WoeP rDHwJZc4ZJn/Zcrbvys3VzekBU5M5uGT0dTKQyx3Tql4UD6+DWVqf5/9eTqi4YT8YUwuEPIk6xat ge4cw+UYVBPPwyH38isgzRSk4yZ2+AmlemYZEgPg8NVXJwJCxwbaPLcc/yeI6J9J8PUAoRMewMr7 Kadi7RqT/X7ZOn7jXotf6XzXFyoxyR1t4cOkaTgDg/Rg8eBTVJ67vfq4hhInmcWs8L4qHNrBMQLO Jti6rNhgE0clwWddIZKUrquWhEHO3En+ylCahATuu2ldUK7Loy/ko5T2pwTLFPew3R7JnMb9TqX5 9vLvCuPCs/pVSe4zPbNwyseGvmEJRtjIhHDzGDwFYfMIRGSojfr+TgF0eqGuo4ik1/Ah/AZAmeb5 yMAk3bHjQtH3YsUb9Xq6UBsFqt8WrNTZkV4Y2gynu9B2Rzmkp8YT+UoeIz2d8sy4GKImPqO4Z6Ca Fbows3XP0qCDB6WXHjEs8zDCgE2iiLd5mxbJiE3U3Szg3P7D3THCXc2VQH083yBtsOS1EANP+A7d 5TD6NaHB97oMD/eP7QcCnyMhMISYYm+PKKo61srTOI86B+VOgTgnzEZyM7I9dQOI7A7OPnBDMwK1 tiYMyABziw9DjZU8+SRl4zaDr+jek95Cbok9xkjwEaR2eTWqqf/QnCXd6eHOLCxEnTQe4kwwJiAO Sj8wwMebv4ui9uSSaTI+rrAptpn0w6b1Az4hZEHMFLtm8mQf5u5BHsyXDgGkrA7H2AvRs7mx9FSm kD+ZD9ZN5Zt+YceELimyywLvvXkiXqz743GdQLEPVNpR6+Vlcg094JPM+98x+J84I5VZUVLq5Rlk p3dUsgS8V1alZbE1HxgQjlR/sXN+wZ5Vx9CX+2Uh15Gg9WrdLpvY00sgYiyzeiBNIsPwuwG3VMsV EnGqSDvJSLkM5GdmzgfiXNSldIpPALIyWpMN5jVEZcgQRa5+iJN3+FSeyyN7Owl6Q9loFOOBVP9l 3ZCYDw4MD0CRY+QCC2dUQxFXTz+FSMYyr3gmaRcTJN01HqWcfMRXbIigh14HYCVUlfsjcQ7/rWrg 3VBaonmcnlhWDy/sP1Z17w5vaB9yLCWfKUXH4izWpdCH1UsNwFPLA/iN1SW2ACEYe2A+3z8U78OX HQY0TVsvJLcD6FVQ8sZyOiQyMgBVjR3mLDFRBwIHlDo7/4OjXzgJiMwH+ZxPSewWxzynuX1wu7hf 5eiStA2xB5wN4KBs+sgYw6cHHohTXI7WasGTA6SwX/WRHeKRYtIrhylvh57nmLgQmRrvvK24ImSK lYx6jmQ+KUuGycQubtHFr664XEC2W+Q0yNi1zvgl8FzYL35JXhDQxujlJ/koEEI+2W8ZINEuWNEL Cjz0+rKlSkOSC67v6hr6iHfKVxDG44d+2BzZJ8uJH6C6SH+Jpxlfn7JnPZ9RMnlXVG6fKDXUjYpf 9BzCx6iKk85spe9SoPrara3HoZ18Bj/or7I7rusZnSVI8P6rbMvoqzCFibV378AX7lUSy+qoF3oH GkL3AmZDs2dIHvBw14Gx6yIDYPotpdhv78U/ZpxuM4w7Qyp1IYb7iq6WN3VfylKuwfHFFthOHgFI +XQBVyh9+WKaSYEpJUZ0I/kCRVDkxQnvJa3PCiTT9HC2nggwgi++2LD3RPMzHTQ1DtmmvHlnqyF8 fEqlDKB/W5ZGNM0aFPATxy/IG7+dFY5bU5OtyX52nGtHeTooNWvPcA/UTJxkHhEeyfXLjzbiMLbF wrNSlqKybvuuxLMP4C5mlGZ0y+Yo91bu0OF0qMIrE42JA5LsYI0UvE0cQwvrOLa8sDjq0a8m4qbH 2Zs3FyvlbK/wyYjnWWeSs5cgsDlNan/EH0mwGrDYqBhPYiwnrqiSoox5vmTkJ0PoST8ulCA3b1Qj twSkekwAHlizH8Hb9scstB6gLFrAlqIoYMWLC1bsaB1HKFOuCg8ZJElyzh6XcLgzDmTGywjYqqiv BXoYj6ou2413E2gc9NMh/WvO0fcP1tWsPE3RXwg2fzCH8YjXesZE4pC8lopLCw/+wtfDHBBaLLHo IZeNnD4UT/M8SdzwrrJ08sie+fEb7BWvYtbJHjFr5t/95UZsnFbW/Px+kCgwH9GOvFFvDZfc9CmD 1VBNVYzhuxRRGldeaKP4HaU9lVwX8amgb7W/F5ubq3328X3ixPIJXGapP3hIbneoJZWd7TI/7SUz dUAyIK9e9r0V+WP+dgTUX97N1GdgV2TrzQ86q0gDbvFAIdiW1sKFl/vCgwq8bFL37Vplli8rxkeW d/BKtCcWstzuHLo9QzbWAL+xJVKVwomrPhkj4VnOismSt5Rqoka84r9JPO0/ywYM4EoElroGj8Mz HYZCbqEbLHfpfTuYxZzrkZSWBqWuOj20pMonIAixF6uweYbQGkeHl8K+pTnF4xrp3Kvlj24RjjXp CwExrQTVtg8++PaTddf5oxMudi+5QiFr5ABU+pJpyCo8V7Efha0KJEOllVwSBJw/sgfcF3SYsq9u th7JuF2BI2Sbgu0mA/1mgbEkWY3FfqqGcb9MiyM/YEidf+Jtbpaw5nhoWXHx+GmZuYpGjxYGHulY gV444c4YPAqJrndo8AlMthIMu56pYE+i5zXlBTXbvYUwBh3DXxlJV/sz1cJHczpwOxGnGqC733VX Y4ZlQJ6Cfof1j/yYJ2fqc9b3nJXVwhRly7h2NYav6zf8skQpeaXSGhjtzcUVF972JG0sjZkQsmJs JBcLnQuSD34lNZWkbqxV8J4Uckg52VKQIsdmd+E7/coGtzPTmKn6tjx6GzDM18dfN6KoPafiy4pj C4PZcScFyDpdSPx9ffQpH5BranmtxXZS7HQ62b3KDCZeAod1B3qbSQcCk14vhhH1LVXq1zPCl/MD 2id82ZuoHUmFFj2SBGKaqnIpkZAOPSepiD2liCc/x0w0ypkbfZLFm51sTUkCiCDFfQ/h8JVIFGki kgMFsVoZfpsqbQUh/Q1Ay3VKm6RM64Kmj+aIvnv8OYA4pfu79Jus4c22+wpcdM5CTPSaEOu5QbtD 3VavgKPcxkOheINie9ns4oZOx04tLLqzZpF8cwFxv4dN4Tg79Wh6Qa3WTjiMDu+Fbrxe6ijGvCxg O6LAERlsoYpu5XPGQJ6czPu3BMSOvduYi3SpzBywwpv+qurXtrhBjlGqczrxcm/cie+gH+5Fde2S 6Cq4/+HPB+RiJ+bpd8rew3wdopCEObO5qMXx2Im0aXsmG45Ei6p1ZJcfJOZyzcrHY8KuR5XubvS8 CmJMgQhbfJS4dfDM9EVxw0uIWbVlv6X2TMbgN9d9NQFGlJTumGNJtCFJSBnWA9kxi8reW0IL/IQM od+7sGx0bz61riI1ugjYsT5jZRJI0WbHCJMVANXkppseFuWmlfcu36lrsBLMlRfQ6pYQdeFETeJs gGlT27UWvjhhjXcDa0D7Wgb/Lvi3OZacbbVdJROZjGAep6sPHB4r4ozus9LYvY4sKPQn1SzSfntH mdfzhj+RyImDtJt9on5rlCLbplG3anhZA8cvdP3Bjd3yATh99JXa4toTYQ83qeyRh5SrBaAmUGGA XilUvwMKzrcRMjjpYDjqDY5dAlzUA1xCPOqx85MbJgGawUIYkTYDXGzVNB632PanX+1jGiZ0eBcv iLKqjQXtAqgj8R/wX0VE3n5yf2xCMThnthDScLjTkDf3ANe5Avu9EN8Bk96Ll15uzxMC0uFmS2pV Ls8CVIazO+x5c3SSnraJiOtMjLxCsDP/PsJZDx4zCriY7p71GwpL8tZiFPZXQoP2guqTBS7uMqm6 TjZvi+RaKog1Pyskle4SoLg1NdeXFhSd5PWs+BNWGZxnOqI//U8O+wgVjU+Y84iQRoA6qNDNg7as faEO95FWldK+1P+/MjMO6zGScylB0AKgoKNrvFDY2ARdcrWCqpYM5ocXGC5Ny/OJguKidtjCIC+C YAdWbyTpKquOuTMZjhS4YkTMyNnG883VwdKlZxcIHf99/7EiSY2zMMuIlqMyJuXB9ZMaLOal8hsO ufMlv3I7RBpL4bTHmyZZntfW5ULiw1XjfqWClBsDKrcwvzx3S43vuO6FSEgeMn2Q18brewIrKs1D kacCik9gaguiNbJKDPaAzW56LzhOtkKG7slpU8qp3zEpaejv9uA1PPuac0MdiGpl03akU+cVYm9m w/OB9EVe+JjUUUCM1Lo/gxntCFpLUmeRI2eLe76dK7GnJQQ5YcjgrMzB/oZcCcwioF6PXCDPoZLZ 0c/ntsYnA240wBmb9fr2QIBwd2zobeqKdMnKzvAUoAsc2heZ2r3LRPMy75HXOXxRoW6SUyapYy6J YrlK66f/thTW5rZLsx1sJOuOJhHovUKd3eogpxarBFCR8Zq0z9a1bkeo5JE/Nyi07YRPBaosWPye Y+xzaxj1SAkvsTa+a0fr3xNH0AWgRQ620eHS0gih1MmC8Xa5VMTF9mas0UOQ9ISDtAxhKLkqDlI6 wDRzF6BWAEC4NkgHrTAT45D6ja+xtgnNg4EUQgmeiYzehxiVbtSIPdPkW6gEEM/joVJme8QXrtu/ quX5LsMhg84jPukgLpSIy9xP/H+QWNs3LLdhxRCVgyy/WLn3/YrIXxJAnJujo/7gaxPD/Easyc8T Hlu0OrJJKq6Qq5+hqQ3RTnh0iEeBOnUPHeyf9NdiTN84uCuPaeorZm1jITm+vA5JN3cS6zidn2Xn IvSQ6bZPikBT8yD1GIHjJct8rPvtFnqJw642FANZSckEf5eFYV8iD0ixod4AUuTD9RYNN+r5nOoq ue5ZIKcMqIPrA2M1tJ4riffogzJa6Ll9pb3kt4fnyMsDg3NlAolcqemAzlmPOLORMVWkG6BX/3gO GTHFBFi9m5M1UciGrFO9NutipnG1zAJcT+yeiRRe7/oKk7NSH/0sS0XgS3q2BM41Z8UoHqJ7EsU/ gs3023OwFyYcYdvZ6dg3g1DrlyXUxj4lkGdzhm42mO33PeE/TQi47vYsi9Le52bUivRRWWARALtV ExrFDqTtfeIqG0IM80PHU+xPvHZu/aHTssHpoCrcdwR8N6usxf6EzXz5aY0HZvRBYRGd3A8YrdpV NtSEl1h7qBGIGkb3E5IUj7wWwn05XvPj+LItiF3NWfHzUQxQyONru8pXRlTdhkbnFYfRf0yX1wUW PHb2lOtkGn3376WzwizdhF7qBWF63i2rNksEO4J3h8325EQtas5kIOBFI5XZn9X+cpwoxN8Owbz0 PNiHfJ+/3S6I8K3fdljw9UQpZunRUO7nh2Ci03FU1YTu1E27zjDJszHcem1LSPljJh/E28V5phBE T+61baHBDHsGzvELTLh7jVP8YTjimfYkua52sE0d0ezjfYJbQMor1roBFbebNhh7cuDMLCW+/efF fO+ROzW9VFNITORHdshUgeVa8UcsATh/o0FjMvllQlrhTFWJts6Fmm7cFRpPQGwpTZkbg/iLobXv evCPhbiuLwjipVOHo5EacklYcS8yn/kDc2J0A5b2vciBgzqmQjw9EV+9rgHieDfzShCElrBUf0Oc Zp2qapFwFTI+ikjlQD1RlXQvtr1/LBaLz30vuEgpv5pZa4nqdvPslY6ekZkLoQmKEk1vTMwaJ1AI KGxchIRauamsI5wetlz4vAUpEfrjr14IWDYNHd1FM5bocpX6NIXs0pPVIQtWlbjvKxOU1WP5p6/P 4TP/aDyEQf3kSZ6S6BJKz3+dDFFrfWt30Lbdo6yIyABa3lWEwPw5AP7+fNOnSGeRIgOlspmb1+Ji llIgv+hd2uXxLOoyNjxGcxnpVes43C1AjdUHclvk8Th0OOyL8+4GbP7hp/24nNubQS//V/w72S3E Iiw1TvbCf4RtW2yXOPb2R/Hv9D0PH19Gr4/t5iDJJUb8ckSgCvPhJUQZD8MGpgRQDQBVQwmeV6Vl IwKLdqNB+nKGhS5GGmv5l12h5lxo4NjIrN/VfUgbjEyTb9Rfnx7ilv7kFTU53pV1Nr3nDKHcPxG/ oDyCQiwFa89El0YNl9mL02BiEFRNI6nKPeE95pmd1OX4A8lmZnGkEbnUFlzMYLYHlRQuuS11X+QH h3nYE8IYKt1OgGc/95DOqkHqqOY7E7zLkoF12IEfSBiMj1LjaRiiDMpYbDkdLdKEgzowKSdlyPso IlRgLuq701/zItNFEfPzogocwhUp93R1hdH91AeuLmK3rpAn0QcYWOg0we8m3l48D5UXL83oL3Vu cZfY6vDSK8qqzacIyNykg+gqvbxsOvzAn3wYiMNASIBOY1RpSEF6SCNy2LbY07HGQK1GiW0duo/L 1MG9IbOLBFzWNd1fTHLnQMGqrdBvwAL04VQQtCir61EAj4cz+ax3vkLylwJhdz6qKdb4TqISOxP0 ZdqjwjwzAAPOyl3sTOkFMQVYWdfX9Su6bQ+bQyl0/fA3861ugvsVGrfq+6QstIO9FIqircz4lMLx BUDkTviXKcPjGYlOnXIwkEE2lXe17ibc6EwiSi6Ieh2zNCz4475QMKKFQi2Jr/SufdURlJC/Yh4n qAvV/0xsR3SnsZaamdSuCWTKUZZDiGL57c+nnj5WaQdmG1pcbcqbu5C5udTzRbFmbfAXuzaxXvPz qn1UkA3IHW6wlSKnZInhjQCxsAahQUJtESzF/58reZmN4bJoz3wAEdwgqGRVu2wr19yH2Ow5vaDn cve1IZGDW0UE6z69OF8rVZQDMu/HE+LY+VVdbrXJEyqhIUGuBBXVKOq9uwfPx2w2mH08ejeUGzFq YvaAwXrTGG7d7Fp+cggymBZEjzyJLQHSjWdNHn+ACaqrD0voG43Ja+XjV64k+XUv2JkBIlwAPCaY 9HZBxuuqusITdnKO5TrgQqMs/ZxOtBpY9WIXLmx6GL/Zz3ur5SUfTLsaoRcDiV1xV9m2yYVVJozn am3uxTxZgTfRkhYqahMlJpVi8G7yUrm9+s7rwu5CyGw2lVbCNTEl3siE4TdAXcHmy1ZGOVyskl57 +ANxFmLe0HqoZsGILSodfDfHPQL5cj8u81BfnChQcUczYV3KEef2+IRhWWFeJVPYaRJCkQKa8/dq uFU0ID2Nj0zVe7T7lt+DinLmUuxju6UB1WEpCPhVa4z7jibPG/Bbt27jW1eVBpLFGKCzuP9QJpsk 1NAmX7KMsIpisBywxKBK4U1uF2vHvFG1p/bNmjIczyAVa3JDZU5MxjVkfO6LxNwZ7vOC9go4QaS1 hk52Tk+Uv4/nP8z3fZ3u8I07pj9dM3i5KxFa2FfhttMjGIEUT9wY6N/lESz8lOyKyt5WKLhh4/GS tNQNjpzGZqGdNIPQkjeODuTSOeYxUs+A5DUTvKy7WZ/K1Nb30MmnO3kxJEzALbFM6tnuU20k4y0Q rXIuybUX8NOJuFeh3I51aZVsYzot7wuoRcbuhVuHImzGCW7CGlfz34062Hs4EmiGAokuSkQnlYWd LAkGrav1z5YkwM7VGE6IXTMGur0pV4aRpi2tVBJnIysfdVpdEhws7r1XbcKtLhMmdWkqxeGwaOqj 4BC5xVe9prNSyjh8Xr+GqELuIHyzabPKobIv56YrYKOxNDbewEsXY71GPK6X/JOXjSmlFRw7+HLt hzy1teNxT+KFLLVR6JTbm+3wATL0sWs3JSr+m/i6+1vxU6EgkvMH/GgUGOERqttzl9McbZDDhMpf crmF9jw1cPJwMJtO2YS+ToQZsXmsvCdMNKFjXxO+z4UWbBA4CvFWWqcpY545oio0Vu3nQkQXH5SO 9wBpXt39KeSctVbyZitp4GV13wpGCR33F3YdqsmBEx4AdFbi4HOW4jaDuaQfK9QP5qSmc26Ya671 Azx3CyV+yTD/riUm5rQERC3DnqGDZ94XrZ7MlGtYrHfB4hm5VJgcHhhCTiUnbG85HjIK0W/0bItB pFPG1EkoZNSdi4VJ7gLVaiMNCnI1sJKIWQjmmXhFBs3oVaWjd66u+0enmc3UsPWT6TYQfXr+dWNn hZCQQc7mK1JJiE3OelTWNnmCDidx8OvfCwVXxtqneg/qkyLyDvRo8WkkZdMnTeNs6UuJ+Drd4f7c z5cz/ZbSnml5Hb/rLDGo2TIN9D5IVIeEM4mIJo37LzWUOGeVown95aFaXr7HrxZFzdKFqmVYIIRr ND6f+1wZsGhxWYGD5lqwmINlYdqO5kdvlZqhNncyifH2SOd+aL/uSK30z9fGiODdsfYkyWBiGheU kpNWNNi4oDtxIguCjdGqKR1skS0fLR6q2GWp4qFsxjcTz+QRB1zWtNcY2Y0nmqpoBVQPlj2eRmOp 8K49zs7RJW7KkE0zekukfj/dyQFCvfdlVHeJz8Q4HiOwrACLECwWSUtbqZvtupG20uEtqjYSXGCq wfhpN2eP2KVhVsnnraeOwEBwkVLH2TtN+b+mSx19BaYq4K0mb5Go56jdTGhwQZfeFSkgOCoSfRzR JHV90EzOjiB1ecZeAEjh015nCfwxodhcu/fOCMAEuNJ7R9AYbf0JS8HNhTCTPc8IawjU3zbUxhPO 88taLw8trnLMYKjf7OgCFRZPeXksuNUwyNBblb2/Wolo7lk0OXpTSwekyFiCmzHN5ahEYILGbw4z JFY3qKfLuZVN2OSELoCx8n9yDC4Pkr28gvUamiv3uW8j6wFOAn+/wmbplwztYRASpwDyyoxvOZf8 jdvMphwrNYlOAMvQsHG7z2IbSq1c2LUFMr+ChY+UIWFAVuTH912FTSZypG2OUR4AcygdvG/mqtfc Z17r/xFKqyWsgspO11KvBja7mEAAQhtDOHxHuOlqjoo82XHj4t1kPvFjVX5okULe11hORLbWKLLv olnd/dH1yrFa6jrXtyg+X9RNs+aUy5RuAla5FyhHRvZl0ugThlNdh0MsGOCYyjuRcMl9X4/MODtI aAD6/plkZazBeTv4wBzGwhf7Gv8FXsYExVMRGa9vU/Ogas0TpaMYhFIZfqN0RF4L7yuhxy+4/i5S xq1P3VW3ixV9FA2nmu/qm3nzbGYnWOm2UCnQE4UESwiNOqV+4fKFDvlsQ3zBAg10sBmSTuvGzpkl pEIfFh96toTbnspyMCuPgGDLj1/NKXJURfyKFa0rVqiPpCvdmmPXzZjSd/82M8cjGD7fFACLMjGH wdeAKY98Hc0HaMrSCZWsLAtqQoXuaPXUqBjyZp9Aej9ascVtzJt7PHiB+Ncl7HJ74WnNil9p2HT6 ERcn43/v26J+A+4YWq9v4R0aqDOzncCLGb6bZBNRQYNd5j2ebp8YssUEWRCwZ5PkRTWFGIuytR18 95B90QggccmKbGo0L2QMjfQwcP5DkE6mzCEXvWEJ8/eqWqwnm2trqFfI578Y9eLZsstIpD5DlTQ5 jKWriGSDlvXYWef1LHAHKoWsDn3TUFVH3wHZCDFpXZqKdj+VuUVGWIvcvXBajhFaG/qgIh/QB6PZ 2jhnsun/NK2YtGkGIAhLLC4iVubYrUa8LIl0ytJrDMhjiWSeuYyFQUgKrfxtlX3VKGzA2qlohTGT ZO3NL7zX4zOWeG5kHnwGnOxkgZtC7loJqa6tDiqFwOnwKI9cxDCAqdsu4xPOLpkwUbL78vhpmZ1m BPYnCd0oknASZ9qwdamj7bOLpVwA2YJDpn8kgtcSlzMbRhqrQ4TKx+TKAyA2i7lnnDBlJWgpR0xg vt1nLm53dvpETS0uWiZ8YfLskeFIeuZvqvLWDtgeJD5RVjNdYWeGU0RgX7bw10q1sBA4rvhVRP1i VNFwTumKMWIGZLwyLCVm73l+m8hR7CqfVQjBsd4xh4/AzctmvfQRGJDVhDZ+9fRbXHbEpRZ7R2kP MZEa/FzKbVNhNTD0wMJq2d+xJ6LgfLYTTi1+URzk7DXbqUMLGQWeAewlFffAb3b5h+qdTfCXEkZ2 VKppx/rTKYdSQWAZ8OrqMU8mB6KbBq1cXktGe4CbivtHtA7auAZaz0cbNlLC9mhwF+ke9blL0aJz hOcP4o7CpYqjiP5eRH7rGhER49Gkh1FXXREK8pSV3eGwbiXD+zebL6I4BhL/g47i5Jw9WhXZFF/B UcScuAAwMrlPrjcCekln6cSTCQUrS3GpT+fa0Md18JKvvnlKn3VNaUYymoywIkw3GjxTYQR9Nv/H 1TwLArK+OnlKkPO5wLaPMWxNH3TXAfvGInwGkoV9BsIOCB2CqoZGWWAuPtYGYtGI7P/0O6c0jIUf woKumJguRTcNoGGrXR9jX5+p1nlRevTIsFMMNs+G8Zl9a9NL1+o9BJLQW2uqvGfMJ7Tk/1Tu1Tlt QHE2WEfi22bZcWH5yYz1G2481Z9YfI8WbcYAqfUEtNiTaK/l3uehHPE6HHXnBNU93Jpq22aCIwkC qdOSALU6sBromGDRgixm1hT76f2UfM+tmJAz31PSufm/xUQYsvCWEIvXU2C5Kb5AmNXYDgkWS8kl jcq5LocMSdhY0TdXBRSZXWffQ1OysWA8NiLahhIcFyIfvm6u+An5mm00nUB4qMxF/aL3Qh1ynRHg 0p0YRfmBcQWtRp25shtuJBFhord+8x5Z0LhYmdEV3bIys7N45CV/b2xdbc/mCTkF9gvYIH6mXqO9 spmoZLu65NHEIuexw61Gbjzw9375apRRfMtPbQq+BzZQI9qF/s+tug5Wokooz6MpI74dS+XyCWOJ 4OuuydygVHdziv3U5J/EAQZx9KDwRT/eJDZ0sQD2y8k1Ac/OCLr+sIHTonlj9AaBgDVbL7XGrx3G 4vFmhanWHLwc5SZNRg9IoZ3r7e1r9OBkMv5ocHQeOaS+hryxuQjcJ3lG4naTITxOWCCo9WdmIU1w cj7LyWmKohPmJDP25Ajy9z5qwBILrPi2N9wMtX+LOLtt3+cYBxDY2xnm4OrRLoBM9OByYPxXcTvl 1sxWRZ1CXu3rG4brQdx8EX/mX0SWYMs7Iifz+ULOU3Unw+YpSGKK0x8JmfYKCCejXN6xhgd7bSIa 2XNBd7nt3eDGab4WUsq6ZSTAmjhz5kcGAqdW66hzc/O4yFp0m/cClMZfGWZ8u0FH1iFAsPMkYml2 sHUHgwn/16d49OMHqVk0LoyBJ+SW4j04U1jpKVb+LGjbJJ0fJ4BWhqZA+xscsUakvGAOH+thM+f9 XWudMiGvdObiHt7S2w7Hvt95nXwtIeCvyHxRxAHUP9gVilRTEYelwZZ6ruiXFyfTqb8od1GBejhi tarHuHmreebkXwN/8kiR0VWkmT1W3nRjioQQJE+FOyyo1yOdjB3XPbTPV6AGCBJXAZsSLNOAZAkx B34z9lQ+wIe7KCNG1Zk2dvkoNqjD8OhxhgteiaCSpzIWwyIZCnGNxo4ROpVtELsEqd7yEFnyiBZi EDZrTIkxGiJII0WOTRxsV6hDpQ9CcF3WauR5LWJRSsAHlRtknOOD836oTmMsJRuiQlYFTRhpY4nY QpIElHUeiSYqg8m4ei4mocefTF8k/7DS1RKpyrPupWLCp9rCOOq9gsirG17NxDCNuuEfs9I/Jr0g SZ7V0bAktYp0jzHuuH36rArczjST2GIaldKmdTxL1EmSk2vyaygWVPhGd8K22i4DKIhft4J73uhp q0Sxor7ISGfd0qco6E59nn7d10zW93i48DxNpu6679WsTdwA9z6xnfw6qIclPy5xR/BQaL9xTfyj f/I0E6txJLj9R3/+m50ob2DVYDMLHPntyJ9As0+RMqYFIv3BcHnWxhHbq5xga1BG/LjLJ3nz+8iJ zRPadIPDJbBIbUqrmzD1XbOUsMiTTTiXRY5UiiZj8roJBNA61txn53/C0MnZbT1TdN73g85lTjzA Y3ppwfB/CgSmnSOlWBzQHlZt4wXOSPuwbK9IqAxkEA5iPJL5ijDnBIP8OHWyaKqcutBqpi3xHwCh LrmbKr7kvif/5mdrBXSJi3YwvDtU9xLH9R9eAc4QJ8PDuEXDtkvrsJA1FIePgPVjh1MsRCrxFNUd 1xb/VMDtuq91vR4Ps8B0qX7os/aIPLvv44BoxJL8QUHGzSg5/poq6uLe4zu+AMtFbq/oPCEYoQJr O/Q7pD1CqxZyhquw+cK/a4NpUAriPVcpscVmfWU/D8XW0gemKqqhulBSWclfhK0zyEFmXxc7dLC+ 5IEWiaC0q2+1CYlCAa9+QOQaqwGF0ZchsTUIm7bCnDkFDrUKgCm6TFIbFf+8OxbkbGXlHDkhFWAY jnaGWrP6NgOr8EJdPkU1wXqK0aHi2tOEVX+K0hj33MM/+PAgI0Jr/SZJVPk9oPp/ikIyKFt1heRM y8lKJJX2vOA6RJUVz+qWpsyLRWQZNN2o5nkFkvr8aVa7tmkw9YGSddTD5r4j3I5b/pj0cMizmT1T Ts/oeOYk10GvYqcv/aa8m+8hpFeSrRGxQCMpho+NCwMeb7BbaVffSgyqb49NDzgJxwD0B2w0SUxe Rh/CIVx36IPB2KkWluEaWyEXNMOo0oJQWjWWguxzbhczP2FKserXhyIU2ENhLOvli6P1WppmHYHS Lpw+awdOR359Bkblyr4AUUxGLlpOsOkOf9CS8fn3t43Eootv8gW6ZvuFBtzfRs/5Cwxn3g74E++v miYmiez5WWKvqdgX1w5MLI7sXVcNsMJPNmO9TAbcidrKO7e28Pe0xKZf4w29xb0t/9w6ysBl9Z2P vFLbsGnzqALEFbQIFVmFHviDtxQZQNn5CgLo7Aeig55bE821/8YWsAhRX/NekdboZWIlIe9u3ha3 CM4yvbrQ/DNIaKbPMebZ+1ZfuV0LHkXzVwRsIDNxuQfdfeEksetONTLYxe+xWOceaIHeMg8XPBuD 6Dz2Os0ORpbYPJHDdeJShxS/VOjmHdjHhapTwCq4/3CBhdVErIH3XC0czqxlkjWanzCk5BpyKeld toFCw/1Emr/gF+//eU9+qhe3EYt5vnMGdDonQlrTNi4BrC6ByDugZt4D/0A0bZzj7GskindNBjES OiW/h/0BXimDKHQ9P0anR2Xp557X2UhTvSlecaSSEDjxK4gI0noOWPzoOZ01Uff7G2EPrwVRGEaI tMrbGBmnD11a30SqNhyknO1BEQz33ZwJgumVHhpBni0HW9AkbzSesXOs2bUyFoj7ZMdo1j0Y3u2p brKM+cc8b5/UDtET6yEngF3kzKjZKZ56kZQWpijWgCdDzBnG5J3AKVMrmpsg1ndepQsEseF3LKGT ATEUWEdUsVkzNZCutrQFMICnJYuoVdj7qIe831vHPdpOOIba5zi5cssU1jBvmgp1pM1S3LbwtrHG rvS37tTzXC/uMj9JQt+DNFP3RyuBknB5TQdGxuQt2qELMMEr7OEY8gvvLQgb0nE1589BMfshcChJ ns/CepxEIZWjwKfjHTJpsuW/gNjBYXhsCGq3pHcRx9jTZ6ylcBgCBjY4eOpmS6I47ROg2qebGxsO toqcyj1+7L0AxZ1OevxTWLjFzNUEobct4oDj2QADutSc0DyBbaYi/5m3H0r7QKlXXipvjT5+oHdE aEKQ+WhvAE84S0WgVSEzdr2437ZgixnLwHjk39Ciog1VAKnFySKODRQwaOuYlYn1Rn2V4rqRdVrU 79eesxORn86YorRG09z8e6UVfQwPo1MFGh7OSNma/OjAUUAuVFqCqmxhvWWm5LBTH7qbVodSpDu5 VO8+Plo4GWlitDxMEOtCdV1XcVqEfa97N9YxlHblwI7lpefSxOSR1kTaUFbuyx0LPKhd7RiWKrc1 wkw/fu//uuXMYPgYOFVsaj/dhVuzZEZgdeRPXAuHHgGvlYXZbp+G6nSzGO2qT00A5cvPQRfzuo9X mqt8POGfYVzeVec5tYQDGZZazXtv0rNTZUtEc5aT/0zSiJMnGUYbbA8BpXNIM+E2DBWcrKm39tN+ jpmMcWG93dvAmOUa5d+nValdhFtoparspeGGbnqA6FqLyb2BXsCjuhwJSH9gfqE4Ofq9MOQCmwep yujJuggplUrljYUzNY6TBQ/QQ9dCWZ1T9bE8G8zTcNGdSE3LJJTna8LKMxkwgj4PvDf1Eq+uPblE iOptkug5ts/PX7QWtixsDnBuYCk43x/CwY96+S8Jkq7GUPgEgy39RhvCIHu2mrVpuouRVtnnDOPk qWMhTR8MSLhdM6dC1lyYTFPDNlBjn8EDC2bYM/nOyIm3WnRN/Dr9CkipXTteFewC9Nya/O2wPich cjBRLF4eNfn4KC/GKNOAy/sCKArK8a0qllOdN7JC8Cm5glPxxmL8WDNv/es/XftDg2MNvtjpQZUJ EiP1ZI11MinkMQQsPSEdTyzuV1Td3OXsK8yhkofQXx9+kKnDYYw1cu9ZD6SxgfL+EFWTWenpVyp3 SuT6PuuTn+vCcV2Vf1VdToHG+kUuFq1Xlk/wyEp/ln+yaVqWW3GptXHvfYsNIo3VeaASlaESxRSl 8N+w2GIpzNIw0Bv2AF9YyKGP3mBeoDTciCcwQnTryvpflCjr8piiP+7ld+NOmVE5XsA8037+g104 IUROnWSC7wXdvOdfnbFRyAyskzNaAa4D1I6Nqw9qINJ2Fb64RJGA587slRIA3WqumlrTOBslazRy VDn1uQmfSgYTPJ4wC94BeireP0dWuO2XGBQx2MRdovH9RaY6FoS8/YqLq1el4lMpue+f0Gr44aqE tVKm7pPhHqPZwekttqHoAF/FtnpLIXGLdhvg9BTf5qM4IN0OYyAgLYnAl8pdTpv8ojgpOTgMgrZF IjuiHzaZnZwvAztgK7qgryHbzx+kp/P1X2CqAIFyEZ5DS6qq6u7k4wkgDutpjgrpTF6eBL+wKZUJ 9PZgO2k5ESnngWhlduberV57BQlaRRWTPXJQ+vj4CT02Lw+5Voc2d7H+iqn5AED8LJo5WDXkoHjL bosk6vTrG+YxyaJ1HISBQ7YDoDmpmQSUmcFpg5KgYHFUEGQ9fqBkmHap6qS+WxIJakVTiexYPfGo 0DI7pgxhfHqzWX4HHBp+DYN87wN5Gj++EDqK3XrS3XNbAZFNrUM0Q7BlmrUBkSfgy7u9mUmqTWK6 9AYa78WCfrP1lLw0AiQPoNA2naBLwAjVnZcAW112hmN0Vx5ouLgEAkfDzcJ7OorulBhEFRA4UrSH bAu2XyVbOthX+nfJyFDtHrCTUIeq9KdVbvnQGV+L8gB+FP4tkEe0sB0BRGr14/mVtngQwq1XqeMP qZ85bZ8+DawJnY/mhQ/+1YZ6n+AxUKXJcNQjFK5JIBcPG9dTayyOEXHFheurB4ocro7b6f64w2F4 2ITq0n93CuyWfDPOpCY2oLTAqyW7WN4NjyDplmgMnTI5Ze8gkbBEfY/xXkXGJdyOn/wGJ8+ya3Rf bGm5SshoK2SJqpwu8WR13mIcTVMueHdXZ1xoiY4dy0i9G1Y4tYycECg1+nW3KXpWsUUmcErv1alu WanYwY78ovPY8ACPDgwItiX93l9cILEfbrx/W6FW2uWrs9OkVz/xOJocS5Pw3NvC6k6EH1JLUsDa 0y5yTypbCEN8euiwhhpdc/a5jNFEbGI7rUk7hR4xqB4D/KELreW0Op+dbv9V5jVro3scPyuJOOpa wO0F9Fu1CN5haAbrnQV/aWXYn1PvfeQgfDqiGjxrNIKaLSgTmu6WjaiUBWZPhJI5txKPXXMthIPd PAyI6JNk8Os190Ly3UJeqCTKv/EbRAqhHz9c3aPZoG68h8DRGjrWz+m708N1mn9cIqpwC5AqlFvs GuTBMSphftkSEzlrOmqvbUUX2LDadJUMoUjb5FDRHFZPNiXSmrpjIwhcP5rF4WkmzuVp2i4XGyxm hOocuH2QxFS/UMCL1cS3OL1br6yTaO3Pr2sAci+O+ZgOVqYGhs9T2C6jNtgR0IMqPzmzf+j57CPQ XE57caGbY+3FbiPUFfOe1j5O+NJKp17toPLNRRLB92UetCYWGB6O9n5WiOYmzOpU4yIhfV7Tum4S /UN93sqoqpbLNjybt7DLXCQwgtegjMZa2cFtRzx984gdXUv/IUWQ6mhwmnjt01THOPV6bHwcjaHh 1ye69vTYDVtBSBTzZ4IbeuSZh+BcLM1Od2MIPUx9/W3vBIKLWyrupPbr+kHJlNdOrH+H4fK6/iJJ ItME5M3wVaZP75AeOykNtCmVh5pYj+nXbkcfRqwzfqURabJrZzslFkTV9sMAL3pHV3a7uNQEehmW GoK57Tlw9S6tpbZUoTkM4n2IgmpbYvKmDrj2Z2wwDYhAN3SG6CI+iw8OTXjivBsUk4LX4tYB+AHw Jwp3TnDKKNlg65sA26g9wLEw0iTcVCosejhp+9Pnk1v9tm47tLDtaD55zOgj20oljUMxQKP7qlFR M0qJ2LzjLlwRqDELhNfGX7iQWc1s4a/HfxYdfaSsZlqd9GckJBRuwtYM6NVsCkA/T61g93PUkC3I ti8Yi6WPwdIs6nqSK5LygPiuSjiI+7e/5w74J59gKDVahI9r18EDnRaKbBeu4RiSBbLut9DughvM kTuxwQ/DPjkhUpwMOO7vtBhCaEYToBhcXsQH0QV8AOmo6DFgCyJRy1HmelTqigXPQN1vBXk3jHke zLylmA5bWeHhitgtjYRfth+xlXtc6HRRqoaL8JSy2vcwLLcj7xS5z0CGu2UuGtp6YP1oj2KmNWID FyHeu4T5QSmWOq9/R8N+J8tAM5b/jJ0CPrgeHVhwnYV+VDYMSVhGGkr2cMMc1SYWOL6TkUG0U4rz VuHitctFCND9b7i1fJJXchUHmicJcqD0t1AlmL/iB09CHC4r29AQXmh7pn1EKZgwGRVPdXTW/FhX +Mmb1EWg9uJ11vm05ZJ2AZhQONGUvq3+DcT7nCj+Me0r8qP9UUr3BF2H4Eze1D/ILkjwlfJDBC9C UERSw16WFt0lT9LKOiBOL4J/k65cgjkeE+JcI/jOVx3HSWINSdKjNLDaEoFvgvst5bmDhtQgM4GM b+LjSUMWx1q0kElRkRnG1Zj+KteRgGA0ye7vOxS7RgNGRcElpzWA9PqdeJWiODQlLh9EBZDn0033 C5WUhl1YP7/dEgEoTaoJ3z+aFTcPac4sOciOFJIqqMzCQUMGMSZM2voXr+Bb9//4pCQGBAGYY14c Rrkl1j1WkNWspiNXdqZIkq1lus02G1pScehgF3rIQyYQ9fQ7xelG4CC3J63V8kPsEOzx1sWUltPk DFpwaYZ6Agl5BjPGsBWOJ4/Utf8yewd4SgeiN1JGE/y5MbTdwQuUJHVGer8dq1XtFgUHIlXz/UJ9 vV8pFNVI4h0QCsiq+36x3gKlL86eg6XCIQsqUgFD2dZ8+kMjl1YazRIB4WsgBubVesXhjCMlNYuS Jo16x/4WZDzjhSOXTnY16efLdepjPSrdadOyjjkyF+VTyO4AfAqQDCVzde/cnV4B16CvvVl5anWS IsWLB/3Tm2vKZfQmzOUOrnbb0SLYcOTSxxF4rvzbdVN9dYUigc43uHSZw+FPmWHST0LKlXiKYJaa 39XGaU5KxmUE9P09VWnt7hmTb3UT2RfY8JfpOw44pEHM//E/943iK+o7H+NLIwsq0nxm83KRDUTJ aIaID4O4l6XZIHaCEHP9ih0cHhX72i1hqjoNHzHWa1Y5XTFxDQHESvaZ5W/z9PusOFqI/cRS2qUt eAjztPnZrwFX7jRlqJCcoyujCOxJyS3lca734A1qXSFGUbYh/azoXEpcmw8MKzNevqSvQpWZENgm 9O9xAfTrVPzJ4Nlp76sksld1TqdXi0bbVhp9RC7y1PX/JO0M17pw9Dj9v4uZh9u8w3p1y67q2t37 YblkNWf5QE9cX4dHmpjKks5o75QNWCqGXNLvK+G/TvSlluoMeC8vnuip3VpL3g5LPW89iViNafh7 ZgMCFJUmoP99zx7yx1Cj8jBTqmhgxhn0pqnQ3f4PS/FcrpEhxZaeHp+E9Ce+DTpTJIv1cajFCL+8 mJA9/Gq87gtict8sB42IGwZ0iyTcpVFkSV3+fTH3ErvQzUPxxJY3yJR3y7S93x6cbFKGQ7WjVeOQ K7AeSuSzFGMb5W9Lq669pTaaS4gPqIGYi1bz6KZ95BbITPX9sLD2Q754STjOyrgdq4AQ4+7uR4zc IkiIvdjqntKRW3XFNxpSeVgMZhmBRXLvtbBQDCbW9Rp6ngzp9UJQmY7sndZ0EABWEpa6LDpDYEb3 LV4yRuKc64eoAMK5cmcazBGzbooacnJ0hLqP/k0jGrgRCZaMVXw3NfgYzp5JU4xbR7PXg2fruy9N 2j1YmWrBpkIxvYSdx2tZG+xJyscphbWWJZ7FCd1IBeaNQj+Oz+Z1DkaOnkVacTnr3m3b5H7lQvhh YVX0stZKEQXB3ZsGivdHhCod9uUj+inSC3aGajiwcD0VSWELjN68xLUZ7/gPf+5lEEQzYsXjxSuq v93TlQtMS7G2BBarIC+90mVfjxebyjpaQvE8bbPb1cqcrtFgFcg97+NRYh6Oh+poYU+SAhhEw6kX RMmw9LlS7Jha0o0of5xGVcOr8AxqoToHgUJ82r0G+Z3M9I9jISENCynQLdgTz3yXsaRk9sd9NvFL YJmScQHYkwpmfAU1mIo6eQ3BCh82UfCXvE2Ez+B5/xySB55ekxjM4EQBcC0bVEO3WeznZcqFujN4 o3kivVI3X9qKd0uGu+8qGf006O9bjncvjFSFn7lCw+LYVHrDt4+EQKcLbt2PLA7Sdu4v6WnjaVOB mzRcCLLFJlHlHpquMSpvKns16rBzpirYO0SXp7rZHq8wUf0VzrDhiEDSI1ldL+xyA9QIa0qr2Cx4 RxA8SirhhKp5jr+JJfybNQ4m7EH9DHB3KFned4i850nZOHqmnndz1ODbEnWOICWEzgpmIlKL5nlQ bulBYK41moO0zc7H4nMQoBTZg6H114sbMBLHv/tnjzvNvbk/cPAnqKzwEYxMQiKc36IyD0Vu90ih +62rXmFNAW6QnBIdOgOIZ+KPGgAOgLEEFDaqqwmmdUbei3PE8JsSYVTV9rgdEXaeqYrzPEpPRpFz YSQLPHMLhMa97VVCNeUubuZuW7rllcZI0MPkgGbjWpq/JmLHD/Bu/m3+abeQypkG5Sc1d0rvhpj/ 7GSOX3yQg/fWqYLEUs/tSM5GKsEn56X0ktpPWXdse7czfgyjD1HViIKpnyOvF4B1R7pxc2uIWLqz tgTJMTv8atM/0fj+vs7HRCvN0v0gTwVFt/jGajoWhHA+OPcvMbIYM3BC6/AYwxoKL7soJL33gqeN kWxO6HKDHjUm13Ps13Zsz3+478BLpxLp7uqgziBmUDZcAGLAzkDq98waAlhU4ziTe7jc1lhIW9li KRRzJuMTInI1qqIVmgyS9QjReAemxZWHevZ1OsVrDJHRgvHIN3G1EjuWZgg/DFlephbtQ67M094x poF3WaHUgGXrvt09wlQMLsUUVu6Ox6vc77PMmn7Aphc5I0WDf+iTMg3MH+nMicLEP8/6Bcwc7tOK hO5OmBwVIP6V2+yZ1UEcOjoicezaDHWE96AkJ6v35D8t2xuVbs8Fx2/a67q+mnxHSYKGH8wQRG2X h5kOuzAKw18nbtcxeV/uQj/zNojpHBXvWQzo8gdpQQnk0OzmtaBWo+8CodLo71kKF5Wx6qwqGT41 94w4evmMfdHT2WtS0l0sLxpSb1DDiAMD6VMoi3edj3GaIcb3uj8KACZ96YnfuakivfiicsvfLwAS U36VXpQ1QIiMTVItAVV9oLPhQSj8BBGXGtZMo2Iou4+6x6EUzsMbIyhY0f9Nyu7ti5r4OPmh1oB+ Q2X3vDAIz9TbdDqGU+SDAcXPeS4C0vTdLXV0IqrBXJE3eS1pCWcpXynp8LZUkTRxUH0wDvQfQLWz ioHgk8TmnFMdCHBzZAV4nOkS75KLhlyPJlJ6OgfUTYzWjkCFocUGCRsG6IOW61H+qednC/pWCBXO 7yBiHLV3FT/D5d3mEIRHThtDKMLdru6OolE4dsiohzOjToKFs9BlPpcvgHQT0SMe3ISBGkkoIytk cTJc7hWt0Zoxq0FbOZOoixWhynjXVWQ3K2cYmXHI1Cjj3P36a+cmn/dUsMpwgoTDCF8xlb1+iK4m 7WpoHtxR35XE4bgJspMESjv03RTkz/JJN3C1uuKQlLQkoOHbDZPorbqCcHUSZw3hxKTPr+dyUilD XovfGpGvEipHwBWxL6yQbih0KhKik/AKfWQRy3JM5xhaNDgnLJRTeSM2z+i/l4AkCsrDuDk/6Dt+ fpVigtWYbz32yEZfrO1ggUr5S6nNVpVoNFb2YqZgbooAJNnGRGEOgRxM4nbQVqAmzDQ9N46jWcxQ XInSRTKmMBP7HNwFYlWRsv1gHVBLTPi3+R01AF/U3h3oXjemz7HoU4MB+TGd6F0SmkoD3lbA62S4 FodakqQUTNX6ttS6nZgRoYl2tUGJYtxgX+OX0DpzE8XiZgeGxcKOfJN77O2m6gploCTYOAZ7BRqP fiJgAP3YU2ObxVAIxK/flc+3slzNo0DL7UBJ4G4n7VK6fIcW2/KLt40lMK7O0qx3iZCSIp9kkFuU LKngB5tGRevfdO94GAz/rOE80oYrFe2ulnFJBIh8dN7L1Fxm0aDUtMCNYnT6d096cbOsSJ1A4xxJ JcGq8XbG2CQ5ScgLQNBChLM73Sp9hJ5D0GM3/8pXy4pVIsw9+dbFQ0zCF2b7osENeakC28tTJxtg 7iyK8DhbtVzKqVOXCG2APlNvn4+R7Ifx9cswblQXFzSbGGXf45RdDH6Hw7FJFNW4T9r1TxEdhELw +4VRvylvad74dCwyioiSXcEBQjJVGLaz0t4XlEdWgdUJLj+uwhWqnf6FrpuM7P2MSGTqdvVH8r2R T4kyc3zmsrwVPKHIl6yF8FgvXuVkaxhS9ddbwHYsGls+EzsXJMBW2eT+lehryokZwTEexWzKiCZw Rc44629PiJIr6hkGOWu0hyMJEJiME8nGYkL8KNCfKEDXJOgqKi7wcCt0NA3NFUPYTmwQzbb2ChM/ epIgXKTofBdRfbq6tj7FQuXLrea/AUFMipoaKtJ1pxdRkPoz2oCWiGOTOBEzslHdXkRyuapGHTf2 9/qtRe/fD92RiPb2CiaUxqzPoOKfLIz9AF5tS/ZigTQfPIIfp68EzsOCvQaeSg1JQCsgyU7wJN3x ftsKiZyYDRwfbjQkBE+fKgzwAR2D/wRPkQ0rqUXPPTExkU7uGsMrnUDnZviVWBV0fubjtjmVPk3X AcKniw0a+7r3lGJWHs13wPvcIq4ApGNhAr5jyTXQzdwyMj13huRE9H+hksvLJRxJXIy+LA9m+h91 Mucl+OR2KX4vZsqEVvvfByfvXh4IZGtL6qctssjFtqxl9Uvc4bHLI3T2gJA783bgw8KtlY3MEC8H s/jrra2IWMw3R0hKgQH52FLoBkAER+K/0uUBpAPUhPy6b+K9FKebJqwEIN+TuAGUD7QVDJYL4m/c xi6r/KOEkZ5kdZU9qjkH2DdX2eQr8U9yde4KUC/aE4P3l4qvM1LBAsk6SGbPwNcQxMrwcYwFc1Fh oIkr73RaZRlsbhDHAOz09YZXaVIqE5BcrdmAW7q2MX2xVVNyxXkGgsyChaLQY3EAXDjUyyaLOH7i 4QJIl4HOhIZmDnm7f/b83kAnDV+DVmBlJQYFqWNNJX0puhybwDppYPioja1pq2OOh3q7oza7H/+f qQoozPozBUrmQRg/HFY0xHn8j+2492leCR1s45P71DMQafEdqW5FOFcSfNPssFL/MBrzSXck7RNm oi/jY0ZIW9m9wYK/dLy3wQ7L9GlWjrxFBXvzkqFcfFajMftzNxW3PtFZL3/bAYjJ3XA6LCJG8XBQ Kq4ZMe/WJokejke1dqlMZc5ehg9qjxSQwruH3j3IaPVcDtlO6LbnDkIlTUipJ6E7QBG1e/ShZxyH zN4qgBg/PyTWigkMURKEZ6QBCDRHPyQ/ZZFdRlEU2K+QFtNCWMV5NNgorkCEF44wGtnD2uZknV3u fXiRwiGTsQPn7hJzP67ze5x0fD/308wak3W9n4WfOleHoPXY5+Etlrg5TqBgVMjdy/T2Hiy2l1tF +Q+UDMRIKYlm/9jKwzXzRpx30uq4SZ8HKs1XlJWG7CrrC/CVGi1Md5c/FGpHYQA51qQQimTrN/he v5Ox2MZMhO+2Sz6I45UZzX9xfMRpIVBvPLsCiPge87uKrSuZPLs7fDyND40VbCXLul+52VNAyxqd hEbZeEXhXUeFgAiEicslaDgHl5OXyglEOYkRcp5o5FxVTgjmxOVMICaw3KpdmMYlpSkEomwk3uQb EAOAsGyp95CV8O4JeDqdskJD9l6bWdZd7/BNxqcNwN8vBQZ1BewpjIQQkWqXvh4uMrJD/WZqYMW8 sKx9Q8trMe3j5q17zjU/HNzQr0q7M5tXWOStFZdvyKiXClUL6g5QwKZJARJ4WsGhSkWtTaOhsvuC M6aPQQhOOeW+HNLD6N/ozZHDAJhddYfI77/gmYQiD0d5MxStuDXy96NjW3ehU+wvoV63OE008fLh UackEnDGWxUBXRMRRFKdW29GBqpZsYJbI3RzInvwGa93MQuwI9Kd9ZfGdREVMbQKhmiT1yP/DEoY OsnerIoBmm0Y556hkXtIK/JPGfZVQDO6qw/nqRQyUvumDSJCRx9fWKC1qlM9SUbPoRBBW2aL8GZg ow06QzIuQVPfw5+YUZa0IVDRoZXTG985szr8Lh8AJAWqgCX5Mu8wlXmzHzK+oibxUF+5BMXqj43S z6lmTOzitzFcNXyGvLMrH6dUpnjb9setLRijWsbTnraBwfzndv/NnlsDRMdQSimnTY7TFRmgleI+ NCUPsxzTFJDpdOi4C1BrlbT1lLvGn/U3CKYTN+o3k/z3BPOxKaxjOhrc19i6Em2ZrNmlf0xshkYh mxsyr3p4bW5l9Nl9hVmdooxt4P8EWgQcWNfkRAAIaHzEobCt2ZeLhc94dxAPjIMZ/QMpqiVFoWq0 /7/RIhyKnV/vVMKKCF5O97XN44YpST7RFJRSGIZZ6XiDcYo7WJSo6LaIauZGwerQa8y/NW1XzWQ7 Myhawvprg318OJaNggc46n1p5PpuhgmDy1pBv8dXbC40Z8p8wwy8KnggiRY+2fBL6pGLugg3KQXe V/f+jQGIQz7S+/dlqEWnOe0j/lDOAWoepP+A1f8PK83L5cft3wmUv4Jij4UO+NKzno8qFI6vkwVG pt136G5fN98IjIQJbxl7FBSIk3xnFg+TsWAXTJIYDseA6+dKJwQUjn0TR/zMCXxrHbPmXLGIzpyW aHdAZuN+PGdBHQ77sBijAcDsz+u/KjyxMKwUd81ERGnHlUwc8NZNlSm4nD9oZzYuxQt6k6ZF0YuC jBuorE9WHQMd5Mapk2kCQgPaqk0WoYhj+k3imXfNT3LjXFJNSkMIO0iTPavfipbI+8JylW5sCKHq jZXlsmTdKpsKhbVdPc/MkHxThbR/JauwjgXElcVgGTi7jbdfE+g1H6Uj6Tdn7VZJ7Ay/P26kQMV6 fxgEjnR5ZWprrkCHcRNysLKWk+vejyYO8x+4sNQOGfhT/Qv338fER64LdyPhVsWfAToM3QfxSds0 gRjVTZZ4aISLRp457AFlN+cW3OKLspfL1lqNKDwoiaVhycfddL9C+GcdbIUGiv/dfSIbxYn6AX0n YN960+a5S3PRjnIQRBI1vYnZwxtWVRtTCSa8JeaPmntrZars9skMXinwjqUXVPc/tcN6ECHH4ifn A2mJ8dCpJnIk3lwEYpUPL1nEL2BgY7qwyfklnqmIZ5zRffsgrRix4cGqEjwtjGutoI9aBh7Qe709 Wr03NHB04CVL1OxHPLDlpnq6RpUQUOEh9bibsrihpENftRuNcoqLngxR5lORqtFHILhpS6qHjEPg b6aLX63viv55NpJ54rVW6RVgvcHc3Ydhyk22XQjjRh2rXq0r97CGBFgBZX4AfKgG0hEaNAjTSNQy UFWqxIkxnhouNX1DSKgaSYsfIzGx7gNPdPQktyGX5F3L6/pVSzVnOgimJqbEn+fasrK9y8OFhPYD HmcmC9IHWX+wbxd9rWorINbwJlvcy6ClQnGygovMXAc/5JVUaetA01Wl4KbLYX6cSQRUSA3kjrA4 EmOYxp1hYyxBTBoVL1Dqf+9xBUDHP/3nAJSmP+zLYN0gwZ/hC9Rms5H+Q6vR4qR02/pyrs0/tkha glpBoCVEUUpvSMqqQSYteyelTd7eHfl8vNossZqzysW+qSyTl9CnADRBb/4beVUuHOsQ6N8QxL03 awpG3WNe775TgPojceiEB1nO8KudQBq46ApHvUMir/HKd01zboxVfrsZa4D6HZ1oCvWFZ1NWxA0m FoDZqh1WnTsNjoj+GH9ABqhEtCloeOCxzxXv7KpgAPBsi5aPoxSrbxYUoo+jqbxPqkBgxNS1QvpU JzAYZ7pvb0I13TAILpag4KghQPZgehyYAaDuTh2t6baqsaokmdF2Xqv2YeLg1t1aLDUlfVmuCn8g V/rU4ejAsCg3OuV1nsKrHMYxirHvwo7x4L74aMYg8j6F2d3YeShDrvW0cSzmb2C7Sw+ur9w4ps3A zSHXHUa2Rsn2pQz7Z7Rq5yGSMw42TkZq5tWUK7IMfqgFbxO0X2oAFfWSe38awC5X6c/zKsjHbDkt Uglt8atf6spJdtY493ApXWovOdj1c8cfVbiwfzzbS6SEFevTTG0+DuUk42eC72yXLcyCg+tBGuzL Tt8m66hWso0YKmTU+LQXxrRv+8RoJHF/KEZOVy60g/PqkSgN1gPnN1505N0dC8tYQ/jH2iWlJcdt F7w48xVT07Bq3IHPHqxJrytNLsE0IYPsHBIYo+K+fQG67EjKDr7yLlCkSTHhpVXuJKu7tFSm/fcM UzxBRzkmwUSxlDJYY8lFHMwTyWwQA6YzC+WfMqp0SqK/qNlDvA7BPquzdhyuwH/NTAJYKQXeUcVp 1834Jse+kdGlUfAJyLLW6dvPMNMngrNBNkLvxHtyuPjg7y+qqh4+73kMOlG6w41yT4++fLLkRt/S IC3ZOElUp7/XuJ1PA6TrlfFL5aufQnyEc7TLg//KfMFa0mLHOkO/1GCyE7EjtpsnZLPy9zCwILiO T9dTp3uFeCMHIMDOwPkSKxve2/hB7i9r3S75BWqrKHyr8kU6B6qGcU3khfwJr/EzOLNuUAiX/zA0 SZX8SJ82XEcyNTQctSCWK8+sa5WaWcrXQxcbEsOTkCc+V80tlQszR+AMHPm3ui69TPcQDzAdbskG JQdQ2Ijd8bvZjTO/6RRiEYSV6U9UjN0lkmYnDlulFGaOae9BJ8xsggPZkSkD2hkGDyk2FltrDLnG GaeRJ/uniVjpiZDM6XOKOo9E+r0NZ2EiZt0oEJNO2I0jYVqEcEWditqYnfoKBRrpELvvm31ubpm6 Y0/J3Pzk/OvrlItp4bE3S3244nKu+7OKcCgdjuGKPEIokPbo2vp26dYX93LhcSUhyXNTqPISxSAJ c3yxb69nPKMM4fwzAMeExT4kh3tXnljW9SDDzmwT9V+RyUBnPxSd5+bBPbMHnz+4Ue2fBHXBMQl2 m9Vw4Fa6IJNRrpVwJiFSat7p7EBpULMryjVOoAD9fvZ0GWjSpxcpIB6ezD30YKZMbxfkevYl2OWH 5HLGnBo+ijC7bIwvyReMbRt6HEFQED2sQHTb8wIOydteuXhw6Zw3ounjoFnxSTrNfZ8rtCnk31qT q8x4sXwsHwNKv04io+fCLNzquWnWBPpIig6X91zxYEiYZyKh19evpbH5HZnnlId302dfyNjpKJsZ V8kfgsojL+HpuUPonsLPO6Hvin/ZEiRA6Yck4EhDJ1xGNL3ptqI+S3uMN37iKsUYf9I13bakWtpW tmgl+BuUuFGZ7GvQeqx9CrMOKhwFbicbaWdy6/3sJHiNoilInHQbiivQRv/qKft3QrrVujVWzQHV yCpbKtGeIjyWz9/1KyGivtui0KMfY+9y+fE+13FArNwJk1xrY4DZZazkhsNrVGHhqpZL8kIijsQN c1GHGw/kr7V5HxAR7hyHrXUHud9CAGQCdc1NEfZ4NOwZBr+wDRciuj06ZwwwMLCNKhtMPB+JN5Dm VjxBi/s/OS24NwCifv15PFbLmSRGnI5twSTON94ImuaPh4UW2YF+TfUkZ3QFTjU2WYxgrVz7Idiu GaNn1at1EM5lC31jZlqX4UQTk0ZStC6yPgaOxJ/Qx1G770UTScGLuelJicGBTJzu0LlHY8blNxo1 kuPgKLTdEhctfHzczo7wJL2hbr37rbNAXaB6oNNEVgiY4LdD6lhEejDVZ82oQTuSlGy4+jDWfSNb ln7LEN3NLMv07suf6hUqB5d/oaAR1PitgJ4ncClv8g6D+2Zvnx2troHX8L/CB7E7V5VTPIFOvGFt zeVI7tIPGm3JK32jE3MhqXIQS5UGogXvMJ7Q1VQ2oveijrFsU2EmOKBUrNNzvZkwItzUhUcEtN+r iysapTCGDjK3g6H+2WcqhXEHegdAY79cA1ZJTlGd0LVEHtFf6yeej7YtHjq2hA0u20F4A0i9pOz3 1GFFhlWAsX1afhQWUJt40nW5EC2Z/cEf7x4X8OiKCVFaEE5fYaSmVWHOuLltCo0kaVsPYLwdqsGt udyGL7ZvnlQv351YDAQtDlPj+TCeS1q01SRg2c7q+/CUi4uF5/WRo2yErsRFIy1tlJNi9FeWo0q0 gU9RD//hlFcJdkDWkmZ0AKYfJ5CHnqZ6d7K8ZcHatzc7WTzTvsq5hE9xTpzm7BpiKiIqvNfkpErp 7MgKBW+8OU/yFYoG5WPPpKic762ffl31QsFU7EF/emsBMvER72rc9CuKyOyoL3ySLgp6AtP4TegQ e2QQbKQIF8DaLUwrPyksawvOzX0XzbD2c0S3SjjFo9ePD4T71lbuTK4HfOtjxm92Tucvxsp0sHEV +iVNezYoZmteGH7hcexPXLG4XYUftIK7JNhB9AvxmVEcYv6kO9kWp7eoLnGw+jXWDFDOraQZYrfb BdHqTNVRQWnlcc1fVSLwnKTKECxAYLdOwP7zKN6EBVs4CRWmDNgEtGMgLP0Shbo0eZHvSAvU21Qw GyI41b28/v6n3qA7kSMUMTO4CC+MSPK5O9dh5++A8fbfoX1w+CSq4PFL5040Ynigj47qT4HpNj0j nRQfpRJYxYn8oci5N9qE4e7KkfV6kKcs7R6cFgIrou+vWNfFEZveKFydwJcWCEbiegf5DrAgigF2 sTPZjZy+P2mhL+aRmgLL6flrCEPqCOdOVHonmgc1cwqxAQsklPaCa9Qp211UgqpJAfn+idh5KpV7 Tk2h1Tbnq7zQb/7GJDXC/Ngd81P02xI9ODz8sGx/5zfTXPhoRmAlo+NJGqtoYqbI3IvbuvQhtiV7 4fDcfGRNmF2NxBpKVwQS+L8oQpZ3OWB6Txh+CIiPGJ/uiMR8edVU0d06lUpUR4rGU1jyMtpQW4H3 WKwL+tKxOKlVVSTj9x/Qmzz5E+7Zjy2i3dFYqNvZvqewK34a5XCVsmgibgn2PdfV0A9/jwP/DBkb zciADISinoanNZ+7YVuOoaHPB2GFkdzLDdAZkiIGUvnNo6k7n3PfkYvEw/F6iwI2c4MzrdgRyJt/ E84gDAfRK31Z9o31lJjpBxedVCLGq5A1ooLGW+VcJtCUXpFo/ctdiPOzexSv0kxbniMFuWdbgSa3 V1NLMUjgrG4J77RkoTopG7z2i3mDvPe7y0+U9xO3SAEaFGGSStASp6rXwO4Xg4IVMCROh7InkbHI K3a+sEXH6eQAf30dlJ+NxTFdX3uk7hRLkS0d+xFBfvjJqPMQRq7Po2n76pECIGgsj30MUtayQTdt ieVRPhxs2clHa0femhRdBypqcuqhCgDI2OP5heJDCP9ZteCfGIsT5TKc8Ka806J91t+reypPvc+B NQyn2aZ4q7/c0vDhMpXCKqu08CbGC/qOwy3ts966Sm8bQkq5wpzJc7xVq/nuwSOhLQp49cYqJIPQ rbmOTrCxw3qIGLU63HTH8BBq3cBoZYKq74eErYG+a70AwD5Y5CwVRG/RFW6PuW/Xv+nQjsVz+Gkk E1vCiMRGvnBQFU3k7KmMgThR067PPW7xVuU8eNF4RzSUzkTKVjwNG8gzFa7rrkSLDGi0pfIhGt+8 0enc25ckQ1eZ2DGeaElUYAepEbigDRjz2FVAQkQIp6ABNaY7GHlivvSt/ndY2VJpg4ZMA0vcExMd fhP5RwVaIA2OJU7RtmpkDe2/Xr2bfcAUnHUNRdfRzqEoBcrBlUdzW2lt3vaglm2lbnND9VVuuq0U JohDtqWvTnH1qKmV6S6xuuqV9WNP2YsrrxKmGue2+NCln+xVCjczCyDe+Dt/tnEYK/+NV6HG4Ts1 biPzLBea6q2lfYNML1TWlQcat8x/tl1hsKedYHs+qzRYTqBupd/7zSW+wVlWn+2Q6U9u2pYj1UDm CNf6qXUuBHsQG8iuZ8DSjMV245olXgRNfAQ2IP9JS+eAcjfNhzocIYWEMSd5jk/E9cZDwBzT2AX0 /IMh0o/xDN8hzHMv/mdYPQbzpvvseU/9zpgXeWCBBSTkjsDt/tFmRr4VoFoAGPqRZpaYi6qvc8gU 5vj3ZmQm8c500MArZMoU+Uzz0Pj8iBpqnEM1F+DWc/blUG5xCJr13K9lsNSAcTXc88zgFN6rdOuA +nAIv7rS9AFAmZ2T+2yjW+lIpR8HHO3RGCQfFaKHWufpjwlVUb3dciUizDXlm6a7DOLe7td3C2vf El5jSchsAku8PmoyOO5tXnI/ugAGwLh8Y4Pt2j3b5EmqQU9DBObVG+VkIWqC4yZ1tXgIemTdEZWu k+FRvZ+h1NnNriOdNHliaT7HeFWMuY2Ci0vlMoP/K5ktDnEi9PuzyVBXRYQT1Exk2Z7kuoO7RL35 GpF0KasDbDhuUNhL9CbkvCr/FZTSsVix+94ElVSjqKI+vYP1mqWm5cmH7DpVd9ctoQpl5qh0s0QB 2/p1jsk8WIVOfswkDxjOQVI8Nh/07/Z+rk5JFRaQZHuy9ApDtdN+SW9t/f/f/bonKmZl9AkQx5ww 9C2gL5qTNOF/K36a8+QZCTWsTqzN1H14qAaZL2iF8iLCD/Tba4xJhOyvz3q+66W4lUX4WVI1u5S4 GHmkQd+gZgAd50JHV4DZcYLMQMD58V+gWL62+Bmla2WUfLBObMv43W+gpoAoPceaCT163/4GQDzx 6ORS4bAFqfx0sj49b/OCWm6dLAHPOf9NLN3sH0m4btucwHluYfsQB5fD7Xjsho7q+/J4HRtwW02b W0f8pACoUAl6gM6HsMBeNwtDm84qsOnpAKM21K/Pgg/0dFwwOzS1iFpvqVYYHKXsVcUh0n8KGzzF ucfcePnUp9jhmBqqimYaIrSN+RJdMtFBMnP/XLAEJhs/bcmeI13A/Vhnp6zK7FoZUvp86KZAnIDM 9f+Tu98yksQCnWrLLd50DnAqYgJSncAie+7cYaAl2Sgl1jiuAECDlQuSvXKHzW313CC9l0TqWnKE nu8uKbePuqgsST2Jh+ZbUmHLWJhTML7H3XlZd4to+nQRT0IeZSCz1AnZptzTmhtB2GfHizDUZwhP LOhKLRm+lzjjpBVqBF+E30cwHbbjmcpD0dJWR2bqNhrqAawiv7Tq4puj4pR3HJLmdQp/Bjjo1bDM dRukyUozIxQgrV8iqDR3dr+5dr7rGAUT1K7kle4SBeF+IdRIJ7NbakENiC4+Q+7VgVpSdlw6VkJu 0OLsACZVATolr/5C7LlxgbIfxzw9jJsy8XevNFkrolYh0GTEJYmKFaGHq9FhtGB6mJ/Ccsxk7PLH y4N9EW55F9Fmxc+L9cOcB3NvtydGK3fkWxtteKBOlxRnU5ffVdADKQcYhE8+ffCLj8kI0nLDFndK V1DXPBqlBYfbkfVXkYGNXp7GQVyFns4W3kMff2LDhxq7nfHHQyoc+7aSOT3dFVW7ymv0Kxj7txL/ nxSCz/e9LlIHYmibXCtzegHO6p9IRYXqJj0cZGhCM1XnIHvPbClThmLR+wWZqdYJLzN/pj/37w/q lFBieuie3CjSSlNSUCPCUOQ/YgpLMJvG8omPngXcls1nShNbfIdBcH9+VL5HtWpAkyx5Zw39V7hj HlY+gSSQ28HpmMAmUB3ErCORK5w5IldkfQvbgXDM/nSnt2GahYtiMBy20hXP3Xu3R9VC84J/v/ws TWgmHGd4Ou+oPGF4pdTIrYZST5i2FpdAXow1uAg3BR5rO9h474kmu08km4N46g5V6lTj94tsKeV9 uXzz2igENthIWFmUs8ZiDuNzHVEIH4rudikYuaxtNbj+iKwI3wI1nNV/MFdUCuRdV1gRC4wpnwr0 guDv/zEi9tER/06xv4PDG6CBObl/ZAO5wVLYmzmKOXGVvnD71AQhuW68HcBalCXu0+SAahrlo6NX 9AHdpezBHxu99qw0kOaJNmWxUISwjnMn42/gaIZRfSRzgQUKGVIO9wCOGt0HMeDy1SAPPZOQHdBJ 1F5BcZ9C41fQiEcHVq4OjnAvCsdVurkkS704yLN7h6tUzeL2pz8g/GXmPQKoF3MltkYRqtunrJFn Vhb9ulcDZ0vyX6gDcw0ZrDDAU7wFFSl6RBg4PwoEcFY6fRGnLc8dZNQXA2T/9IDnRChzXmkvZp0L 5uXCgVK346GzlGR1GqAwuFez2KuFlJXDjERfEOAahPUg9tdvpp7JU+srJ2oOSaf8YuZZlz/+gvHe M8mIL9hRlGbv8QdwRCFXOKJTohwkhOAzm3XoeWKi+fK4FkHuEhHnBinEKWndyZ7SpIAoXJWnC99o h4L4befSrz38kVppd3/D0kG0HD07Xo4qyFiJ7SZ62Lf3HSj7tXskmSOC4CqAVWJne7SmOvFkm1+n /79I1MgljiS/29jIdXY5ZshhVxibYdcK3t54GpqD2UUVX3Kocdd+Q9hb4Ak7wUaMdZOF+t5ES1cG NQZgr9iSs3GplRIHYw2dk7EGUHtxVufMOgd5Ja+qgESiv9AP73ppsNBDZQIO0ggVrLsHM969r8DC TQtcyxGcE8VTMKsDqkNjtiP8qLnjvN1HX+IBhncdouDwxlSke42RLHNydKYRwm48VRMK+UXGGMen TZVO7qKvwuwt/1On+Y3OckfXOsW4UQqWVK2HtvxK7HdabAV6PFK1FLYLiWCWKO3BZk0okRYzZ9Ke y1mdMnDr1v2SiUMK3+eR8zf3pZp7W8icxeRNOP6yzPeRd8aI2qq3IPfX30a6YsfIn+JKciziEBo1 NyBzVKMHIe+QZULLfqgzlYDVCjqHgD0RqD6taffpNbnQLlhYBbR1FUbNFDGVTCpUWZq1C4lBkiI1 ZaZJICPGFr5bL29Ida7apO6qDiXWHELnWycRbixmo1ldOBpTshj1EtKEIufLUpvkxOMD6qb6Chkt VCWnaDVclX/Zc4dSk1Zp7YHUUOnyydJY2IC4nvPfgSUNyxdT7LIb2jHQN7g6DFxb2egf16erBSoZ ZDpkRszpTBezqbZEZLvDKQqTstnrJpfrT8qn7XOpGS5kRDi1ckNRh4tpjFaGkL2vkqDAjzfhmXkr p+67O+lIPNZMNemu4zp2dllI67UdPujZd24WhdvwRbNd/mi6Bp9G3De8CRUP/MFq5V8l0DoWJc5I EKWNEDi0d2ACbFVVLT6gIoTwUCCeDg1qEa33Pp5hGuoRKF7Dj/XPQp4CY7v47h5C5+CZeTC5vsFC 9rNnMvgscPW3uyjMf5mJFoCJW+TC2OmcI75Otf138LftjR3wuaae9TCOXeS9S5xexKX/Mq7XSwXb PBq7/TYMs8B9z3nKFiLFs0VBn6e9y9e3JLBEC5V0JM206hEQ6EJh7mEcibPHjHOwG7nGLmu1/9/R cpACi/dTi5ToxB96QUGqqqDeQTsaMdCOrAlZbM6mcFuWUq96VZRrBF8LqwoIZXNeZOMsVpwwxQHJ ACfncKMmbcGz2fGWU0w/LZxQzgiZdmohThuE1AKtWc0fdb6EaABuAH38j/OCfH8KgcKhwtE160XQ Okk9Bh9K73cwuWpOIlc9Ghp+IHZz6Qo/KncsULxCR7+bLjFDaX9etH1k/Z93nK+bu0XSvi9aFUbU FNnyHaHSmQabAw+RPmiEPdrPybR/8VjNZGZf2uB3w9C3qUMyYaIdVB62f3FHVUYonFYS184Gj5JJ +rj4vLafTW4gEUxyBf7OT6UGK1+x7yarlQ/Yc3KSYwKehO6jFKGCrRcMB9Mpntvuq7mTyeQggDvU lEXY6n06D0Op24PGulgKbFJmbbgKWHsQiVW4cQig/t0Lv879psv3OhD7QVlg0AHL0MNBLF7ZAeBy Dpv2Be53xK/kD1k171P5ybRjv7RqprjqgIfwGdHkuwE1+qfPv9wKoNzRZ+xjBKP0uvBjHPjd9dtI 5xwi2R0DpW9s9OwFudhQnyPnieu1Wub5twfKTjrojnCpAP5OO+GRvO6EwUOs6TjyoVAB/9IGTpgO 0u2x6fMYv08kDYcNX2BCuyQmMk3WB4sZKIJC8cl04EnLtN0JfBbIf1Xw7stDoxASSOCncA89OI/o SvxcgcJfB3ctwbgPn5pwW4nBm9PhEA7/7HBhijr0s1Q+CWsuOJyIhZKgAOnHxtjkvouOzp9wS9rL ByTnfu2CCVy3euPFlWjBnepM3ZQeaxqswmX5fKXV7mlFm+80X59uNFcR0rIvif2RAfys71lFzayX XnLOAPAWX/x0UaySJ0KGgFOZWyZk7coLqiro1xceDnB+TvskDYOih8RCLUIQ6unvU/MuWi1pLwU4 1EdeuCyovJSTutYjq1S86jBDHX2lIV4Fw+jxGBtGpe0YOfc1OTFpZdDucXqxTKtGBm/AS91SBHJa whDoJZUsInL97jUmXyTMMFyfs4GzkFoDtMr4qAjNZZNiTL00FEzebSoL19nYMx65rkxFbLiI+3+C dpo0BAz5LRfoOfIqRTaDglawHDVpNbVOCjaFXeacZVLAHrg9TABDgwtJIQo2zINjDTG1HeqiEgj3 CYZd0mzPejTjvmFdQ69wST6B8efx9wDg+aveoj+qoi4CWiyBg+q9aB3SGhJ4/AFMABH5Qk3OIRB/ TRcxFScomO1ftLyJmeInLfpQmEeG4aPo4QIwW1kWuB4fP8gbuwf16SeavCRCwYKdz5Lno1dx3pcl AW9f6lxqr1wSFM6uRGPgQmkWIowd64cHz3XIfHJFYMZlI2LxMOiu61iAirFMFYw9ac+9VphZESvY WZCYAzEDW+0Syf2tkbS73w/UL1Yu3rrJVAf9yTk2aimJVP92IfKGVnjgZVUSG8GTbOU/KDxR2rPR EWn5aeVEAByc2NvjWAmidHslGhUb0m36Pfg4fSIUGn8C+8O8fx3Dqo7n6NuQ+inwFHumWy67HRws 8urild3U08CcWuvX1GYRDLyMZylPkCCBZmVB4pmCeeqIXerdB7kVBRGveiNFqWXTnwJvHbrv7u25 lT2Rkold2tEUV69qlI9GdF9WaYdCa5UkOhg0cps6d90WZIBQvTdPnVGJSnaGFXRYibuXq+iAhuq/ 5om2l7Jk7o86jzqpuyv3n6TDCOjZfumZWaWOlW4qxUFNtaWP7v+ZYuaBGizVqBKvMysoUOOy39hZ JB6H5kWB5pzQ4YWdr48zRTs8rIfeeZS6cOcxYMV/INcba4iJg3pYKktxZRXPrTA+6Z1xDLhT3NlD tIpqZjCd3BMhfLju3sFAEs6zWTyPyMHAdQFX9q1YLsaptja8IJD5u1KuBLxf7Ud5DacokP1s5cM/ RczUW64nUB9uT7GN6tMVBzBQQ3BFLxIfEsWiZOEkuKCh/Rxt+YYKyFtKJklLVDxG8MsLojzDwj5i KuAIZ3jy1Jxm7GFoVQgGRL6ntjT46nd6nNVJmPoOhXQHDaB6CMyXP7qXNID7WJ8DaQ5FeptU8xQS jbEw73/0B9yM6G5jqFgdzgjoOsxHaTzqJEYxCZAyXAu9NYkfaNHTphZ4pPJXPLbTY1+kj7yIDK9o 1o1HDAWUS26ZjSsZ304mm/euMlw3JXa31g7cBA5YyhSx7xyJTzaEBATtR8RJiYFORvga+OjYH8u2 4C4/DAnml2DOkRKeakgN8IQZMDUM3RjAYYJdNqqIfAz0/njiFFZtYb+FvkSuqzDh79dqRr9ix8UM zBeK7b5vvEEbp8eso5OXF0qFAfil2dfQJgJ1TLCnmhW0UWRE6IeJFMo/85ayzejIwbcUSy6W4MsR A4yU1CcWuLm7+dbUdyhZ7WsKt3NiLqpqaQk/eMCAVfBGQutIU9yYZi8qJJN+GCnGzBySPploMoXQ dw4kr9/Oo6nWGBrtDUdPHaZE/Yse4yTESncFqpq2DnTBInppyutCWBqRJE3JHnnuWsM2/a2nRBgq seqc3QHZzXFVrhfAfgqlFUo9Ej5wLwwfbeQhH68Oz/Hq8DxccXbmnpqy5xDosmz/5rsRw2YzpV0d Ug3EDN+TxImGZftrYmMykNbMHukmOeGId8IZBKfCebsYeLoUhyPj8SIHqYjCjVp4uKeg+Na3YMxc +9JlV+IdBCoUUmlDjjSEflc3Jqf2zIbRqyML4F8ptk8ZqWMrhIJu5CtbIGpk8Sszl2nVM9+06wCi MWdSgLeYGpNF81RUWB6IPlDBkhqSoNC+cVlZtZMFKII48zJVCfcdwy5jLB3l2oWtgJwXPdwiTASb xAQ76CjYci1L5REl58xCd9i3D2p9ZSP27zu35d9AWumkrXsrN8LyQ2QXjRiIq28IIiIjX4rsgYI/ +gjjhRoBkz+QM9Y4Od5XR9uvzVCUf1/mRTPbZrymKiQtXXO8id+yR2GcAnQ6DacH3BubWkavuWe3 Ijq8KG2mbXZv1DyI86FnqpS4zi+q/iySpx1VkR0K8KIPBE9GLzDA0iO5u18nLK+6TdeP1R3eHbzc yqsFGYD4B+9HkllwTtWEx+H6CrLCJM0LsNVYrItEEyKpqjen8MAwtIaENyTEbS7J8BwSba2OAZh4 q/VEq0v+qHMys4T1F/NwYi1KCnukORDDYzQKkVBqSW9KYzUUHs4vdWw/hcFllvRsQL+vKWeec69H 8ToG8qp3Xav4h+G+TRKqlH4nRY9L4rSVhp9U0dhYJt7IpECCNWD2DMQodo0IKKfryxmVdkjTmiUq 7UG9t5tbe31qywzpkRr3B7WO0z59iWQS666s5Thbw2PxilHQj0CR8ZdXBsat83Xb65dtwY5Up/ic HY0yHenVroyC/7H5vGxYQI6oGEvHwRQ4jX5ufZVBpgmbqAmmPoQoqPvaWbJPY3xX4FwrT1NLNY20 77jzkbaLkRRCUQYZA2sILuWadatCFa1rXCUvtYl0SwROSJTSSJeDizCaeEwGTgIVYkEsRRP/TeUP eojJ4/zbF5qlPG4clavj40PSJxn1C/jpSFP6lhibrOLGcyipw2qyru/2NGk9PhCqEm0wkqDPhBq8 FQ48UEI7efEeXbh1XCfqdrLdp/NP/qh0Wv96NP1kO36LNl7oGJrgaYc76DyJ05MiIraGMS2u7jgV VxAVJAZXcw9TxAjPkZB8a8iSbbE0VCjGZRq0jjr9JEwZ/u7jNlNY1ViKpLgtF48HCmhyriw6Cmwx LwMFhepQ76alXKmMH4oweqzRDHgyQq9KFZVH8Ulh/OVxF2PjkDrqjJL8gEIwffD/WZT9z5cX6P3F OvCWRC8dK5CG+0ODppc1Uvxk81ob6zCuJ0CZC9Oa4Sev5qCUGPCxvOq9lOpnY/T70qANENUqjJoY XKAnnbJvgaNJPPLm1XAGtlHxfUx9dtNUwRe4xZTHJX/wjtnarfP77orPE4U1IR7gwdVzG2cvt0sE lbSfQZJWPdAC1JHU544n99RHoe1u7F/H4T7IVbUCbrLcvjHISX9IiuIe1HmrdLZcrvJRm+oqsHTX 7+dRG3WEreBYSvJh4iXsdM9czXRG8oPRpgsFGsKWL8utx7ACqmytzhDABDfwLpXWaxPYAtSpoLx9 1dU+1cGKdV4VJA3cMxJzYE8UQGjJG9lm0Hr/YgzjtCxTBvPpCOBGEUzYlSTu2iJfbbnefRZW+BjD CpwI51GXCzD5o5YkyXdEKIPDc8HbvVE3nhQ2EuxTGOFUSYLp3OUSTzrHbzTP+tVo7HrxqusYbdO/ InbWn5Nrf5KXdUUibPoutK+TAWJner4x2o+5CSICvxrlOP153VYy0lpzaAL4aImyQemZzBWtTw1+ MR5QOU/yrZJAwCFmVP4na4DR1H127wBpya/d2nKqcLsnfWW+ZNzKMj7WKX0f5msXOvFZEIznnVgc aHaMWm9KBZe1kjVKpAQk/oYnuV3qU2YpMQorHx+vfgwstY9/e0wczRlm910y7+APxNR0X1lKWLp6 fN8Unjt1ktavp+p0LvJO4SIhoNZlVO0G+YQWvZYdSQOIcyorEYyeXebiBkaS6Jndfqqi/SqvDVoo uOMiv+22hJ0yRSKr5FHVvNpzEznOitgt30QKVOPqaqzSyh84bZjOzQgyNENm+7ZNjXAt0JSZe46z kdUP7g0NNVTluFB8Cf/6+rNcgWUNa7PvBHA2TJoZPvcpiNeXHUJHjq2aBz5ch8IfaQOq5zddPPKE 3rlOnCNzVZb+GrqC4zZI4HTrwG5nmd4m44meSpjT2iesMaUsqLO1mT1/ZNOjTQdQMZQejJY+XvgO knrGkpngMvDHEfe3zZlTEDzFgBoKg6b6nyhO9Tb80gEo4pdPzTImsCwS/UsMqKWXWseVuP50c4px x6rZwnG2Qsk6JGcri6pX3HcpgVZCtKheaxqg4F6XpwSnWkjQbBKMCP+vGcCLQJczLPBp0NVMlt9x RgE0N5HTWOHNuowyNJ97BTPR12Db77aQdnk6lczQMbcR4DSudXeoMIlGkpu+CoPKvG2oicfBMhmr 6A1jgplVhjCqIxHrTrtIXPP6wLD+8+dz2nqt9J0ejfUFa1JTLMen/q4ydFSc3xVT5cWhi6Sw8p3K 9IF6MLrKDQH32CzHm7d8rpeqCI4Kv3oSBT5z9MSLsluUpJXH7hVXTaCIctjmPUzVpJYhnNc5z2Dy O1NKAPr+SxSv1IehJmJq753WiwdENvBhA/D33o6ynD5a3gu8n5bIR4W2xy+YV+Asvf+cgNpQL31x bJUD1CkQo07gXhl/RZiZRHl40i/mpiDCs8cDrW+jRUDlsbXA8/3lZqcoe9cfDX1XBOl9drksua+3 /w4aT4VWggCU2Bhbv/CWCZUk2RD3rY5bMTOcysdT02PQKL/aPXcgXsGu39OTHwziJHrIS0lkyBp5 AfCEUf2HHOSecG5qARey0ckySvpgP33cjqdqxl+vLGJIi+kpr87bfZswQyv5thv5RXY1SqLYdRTw Bw8MxKMMQrnDSchEwgBRw9az8zhsFqFmDHbzMRVPyxIam8Y+bwNEE7pb4KppiZPkzmtJmtuODkgu lhaSSNUR+0bLtFV+INSOBVfXhXIXoo9FFF7m+lfT5VyZ03v3DNpizeY/ZKs2BLBi3T1cFu9lNIQ3 0nrE/cEdY8NpEKIoOPFdtNDBVzb8JIVW+oslt2xjrtI/2Hxm5jwU9XN9gtfxvpb/roSk/PYRCYpI 9aEOzWaUeH/4AZwYjqMaOUNLEBHE66tKfzzkJrc9J0BM5M+3X9/mpBibsRZInJsBlPZ5SPFc5lgx muf2UufFglVOoy5rg9o1eTVDBH9mNYErb+fLUPDSNiXuFHPDGePvL9v9s1b9KMduRgVvNjzhkXlu q4lpiBWAvzyHUMaH80S9WsMfDtMvb9YKa4E88+IRghqqifPOmBUWszMHLAmPfC051Df51hZvTriH /DptAO4BZtrpUlrB7P7bQGLPjC832dAtSRq4/dqxL1kJaN8YNyWSMVRGnkYz+l/FgQUtvbLRlG6J ZhAAcralU3/sSsLsa4E5mqBSL65FfIVZ0bvNtc7n2GhPjPV9ltSJ5fFeZ1YNT9HguxUJxBQBUGgA ThyASvkiTtmjmn7Zf6Ftagxlb4TMMNqwX+cF2XYDu1jPWtTlRhSCcj2XHyEURrvvJQAr6jIdDd0o LoReLy4qQ82FREWqgW9PVFdqLpMhUVwzVPHktxMsfI2GSJxodt6AERodjvIiCNUa0Qbx9LgZ5gSO 9T/1WVuI87yFvCOsNgxdJoL6wy9/C3WVIZQdxlahHHirHSEPQtzpkCOYW3/Z9o04OuV1lxpQSHwU QsX3UNyGQvySbgZTuMNofELuAnZFZB7mo2C636q0nX11Ik3FbhJYu4DskaFUXrius+5/USiUeyqx QEYlvd+FhjDtHJUMxdhnosw+vJYof5ZY6mrNpmMBNcbqSyk1AlZ96MgRDIPbTC7jDrLA7BdDkkA9 JsXnzhGgAIgqf2leVV1hL30vu1osdKaLaIa24uVJE+0YPrIBOM2nkATUKHU3Ho0Tauuuc83i5Vlw kxk/f2RaEshgrH0LDCqSwHdp5/v4vA9tMAuIWX56Zz2TYLwlA3+nUQGSsMyOTO4EykhzbYe3/ja/ rKUMGjhUwGCE2/pg88QbqRHZL3JLr+JbTZNsMWffG5HfeWukXzajw4kEbZROyGSSlDSGcfoJ+b1/ CuUnFMbqap356tHVd5CTusNuVPICGH7RVNZDbGWaRX/8N7oEPN+gcWVkk9MYWCRA0j4YE2vuuvaj BcnRaGhnF+rrldRFnsUd0ZE0tweul7Pn1LYybu0gp3CE/W5RM7BaE2gmvGj5S0/kXEZsU0nOWF5r 4FszgPV6IMt/qK68sGIsZ7FCnTx69CuIl251tv0Y6+l8DTwzm+18NArRAcUZttZ+E6hClEm/Vw45 Mxrgn/jehdVVpiRB34NcOIn4d70yt+PkFVHDQGowgTu9+mRMFWgL6xSExCy7Z/hD2rM+MqG75AGs BSiIONMdJD6qPhUcmKFQeoKJHfwCnuosgKGEfJInaztWHR+iy5r3RKD9j3OJmgbmtb/vIq8IVGed FHPASY0DvZTM/Gw71Yv6muz9H4W8cEj9SCl5hMcnHrsGH3NYFtv/Iu/Vkzyv0DkQ/QFipiVs7ctU CNqI9sXaX7D30tmITsBAXLy6uyR1yTJ0WTk3+gJqlB7TXZzlC8mD5BoDcc5dfkH205B+H+7NMcnR +xOADy0VB6pXfq9zuFVWsYjUTErdQCM62JylchGcS06V3y9jXacLmho7CyZ+3qYmvRL1j51zzHg3 uXnW/PpngcX5OZ7v4tamTQwDyY47D2DIboQh8QxkZG0AsukBsb7/Iky0j9X0V2WpMXCMqKKXLgze 5FF5RS4wtU6dsmTXUApq1DA7THUDDpLyQR//sxSQiaGQGZOsDELciJHHOKt81Ap8RcFZgWrtoMfe EDcEcBlq6ubLzy6EoS7uygoMr3xVA9sClGosDuP8lWMpKAvpFL9GnDkCmbq8ia88OUIfCn8tJ/4O 66Xe3u8LVv4Zp1GbmPX4qNkB6MgeLN+FRzuY3ECxhVO7QWY6FBRWoSLoQpZylzGmT8BvCAGcXz1z l63bJAWMRQMg89SlmyooKLDQj3f/7ON7kwB8VHFSrEh16T6LhvWVDJe4KLvSvEKRc1ell3h5tBgH NhJf1YpFVRwzy68YEMZRTo0MkrdMaqstcBJplUueNrucUEXEqgOUUMdQ7TLyoAr5lKzv8RGhoBAh 60D7gdDNT4XekDVbnssgyuo3GtZLlSojSbYZ39tG8NJvWTzqMtXEJvbaSLVBf/ZLVNQR//YPYj20 34DWyDjMHjYdhjvlHHy4/4kiSuJ9/jdg5qPD4tATIl+BGmZDhqgzflYvdeehmzoNPuVmRaSN/m4B V5aBmdpvubTNS/6wBmdbAapBa0g1f7Xq30ZTX/y8Mo9zOj2YkK/EH5gZ/asyFoiFv1MbISxJibK/ qI9mEuwSIHW20Or4oBr8phkODDsYnUSQ12Kd7j6pZp0db4rQh+RIz5PN5fdxqHrSj6mB9kNHJF2X juS3l+zqNDXPuNYTdIgtJSbfQRtI4If9hlVrabhOvTbIX5vypZMu5x5dAB1ddzGKnHDSjV4ZBEuc kJTnwYnhGDPu8Wu7nBOioWYYd8qBc3KJorpQHQ9UDKYxaPCn1WIWsClFX1d5gkSAXuxZfDtpL8MD XX2+gKbzE8xMLODwZes0wzr70QmamIAfVUVeQr9CwSRSfn8GnU4Uc3ij3mVp8HoLTW5bCGqUl62c XoLmU7IOp//i4SBzlsdRVprRLfxrZjB82n3fMn6kVpuE40K5E/lfzKq6Ql2FDp5sMV/B7LlcTmFb 3PNP1DZx85HzhKd1VEaGwjBcDRYFw0aXbihJNuigJtlheaTrCvkvUZ0PBPF1OPXkVI/1lPZAUabD 0fxoaedOC3mpVjUO0jv2xEouYMjCtESy5u0Gc2kdFz8TF6O2dTQ8zdlrCqzTpO3O3RPy07dADv28 7e97Jhs0PoX6UWN2va7cjzbA0OTo203lgfCu7N3f/8z3YJlHGjZaQMfPx3YmxWJwMjWu4JM/22uZ azKsiRUYbp9x+puWEjPlNY4RMvNCrPsMGUeeuNd8lCTDyTDEVE7eDfEJaHtvc3X3u3vP4K+K5Dkm i3kZ4Iw7Q+4G+yrPhZhWqbjIc/mKqPgUewV1g5uS4s+Ak1dWuWoHQvkOZth6ugGw94Fs0lP0Tw2E UX2/1tAm+6pVtUPd8EqMnFn7C3EDW4mlmIxT7ESyp5XECnI9MVHPgl/pbqxSX6rz/as+UpEVdrLt bI3fwFdPDNlXw30X0TrulEE2rYdS8/HooDesUw4PjLvBFjQMz8rB0jtv4GXJ/nad/6/fQYQEc6Zi xh9lI6+2LHXfJ25nIsHNfJn/m/dhJ8jcIbfVNJR8JPmJRgZXikRPqnUVnUM9Octyui2HsRJBISQv q7WO4CJFU+6iQCk/xCEd04WtB54dvK/rwDpBL5KXoWV2cUoAj0FvSsmxCIamqjr6BcMkNIeiYAPj BVNxTKYLoy9dkdpacTUkPdjgIok/pgVaOHNWbA3qphpBsWJYWndVtVKIkX9rLp26xXuo9RKEhS2V 34ueCvPeIPpFNdwWDHx2AgO/qS6T6NZ7t58DSlEW4EDAw9N61PvPOfxaeDSn9QQubimVUO6VCHdF kY0V+Bu78Ig7S8aK1iYdf4iVTmzObPubzHU9GI9ymKdurPmgADIT/tdwHUXhDlYGW/kpW6UhEUqs 1vVbmq1QzdtBQMeK/wnjnxEjZB6dbQ9Tw8F5nyB9XlhaSdYwKPz3vet+VzLJDQQwlpUrujmquDlr Uor8Au/An7XLIlFE4qRA64Vs6c1mLX/yrHuFNMZ48zfYl1STniyZf4+MkNtLGFlZdwJyCUsf0QFE 6uqRblFIY+yv+fhPfgNQPbTHtZDyMLTAHxSGsPqc7ThCZlWryMdzgVBI3s+vn9NA1aA7qZIUXYnv RmUoklACFpVrBlKM2xlyI2a6gdcPqGaPcdKVgziHBn1kmRhHgE2i2L97LGm3r0IwZiFP0VpK+Ioe gUf1kQqfEmIIRvy+W+kCfB/kKX0DHgOUIUvXQ4aI+mW94zR2405u9/OJ74cloQuk+U+ZRSK93EwT Jk87NIl9UJlZn8at/y1iwXbpLt+2UAKs+gwlGgtVClTyMuXhPup+jZiuGDQqf4KYNhh7pFs9eNdH aigfVGYKALcZh6TNGqqZDVClq/LdNxGAlY6PuyAhEuZxAYXl2A2QmKndQDheisH1fFtpESTYjkfQ 3pO8/blhZukhUkwPtR6tVFPzLxQ0AmAiURZONIYontzYhQYbOn/W0MkDT++sP6PZ6GputBX/zqZI ZSFzTnuQsuF02fjgU+Az3sBpDdcxtgzKZhkd2yaWuU4ERtQktiGxF/Y6AgSo9Ui33ZYg3kOSLf9H lp9l1o4wzb23KyE5IRajObMeJw6fi7sbF3lZiU0b3TdhVdSTevcgXiG3J/eJY8gGaIHrCPICogHd 0gNtfoouYA1/7wPo9OL2slZKWdXDhG5ePp3d81bomwAA92mEG818P9Xstyu4KHk2p30LglSFT1mn Ckh3+6Xw5owOlHShYhuSy/TiZdid4p6rthU1T/rTLde1d4RJVRbp1b7QH1y0bGMGNGm+jeivFCDc pUkoe64kw4rJtDRuXCfbxaQRObTiIL7s4GGzT5zdxvTDlizK6+TQnqYG5O3donSqucd83+Erym2J 2gP5Wdoxc4l+ASQHgEVhx/2uhqa7oXBu6xFn8fO2lH99fNRIWQnAuAtrxcFX08JymBwyHfBJFlFg VQtzuiOA+GT39XN4w+jWzfnRuCGMVWANrcCJIVKb4fzrCXVxV6Yib7nXkZqYdyWgqyHxD/+8H36i C4R82nTXHW4G43CQeXmGDvIa8SYPIsKGivxzJvW0dqC4WXsvkQpPYpvSuUbdHDBRlOtlI4z0qbQb Geh0V/A0lah9g/BlGlB52VqS9r6SV6Gk3oGOIzjGG5kAQu++pFUws8peACuA/cCQKyjHBbg0msMs fsXNOqirPlTyQCMFN3ZE7zhk+vrKnKCBjo/yX2Ys38Xb2WKvbjYr9LY4NKcmoGKdGEiSPvMjlP72 ST6WS77/ZSgR4kgo2BGMq7mKY8/uNgq6Y1zRY8JU0hCglAtdK5CS8gRiHwWtG+woOfmk107Drzrx DC/++mQj8VWVvegMZpS5ZiCNTgbuUvNAniPFms90wF7mXW+IOMdDySbhhhZQKhTYQExTdpKxCW2t Z2coZLVXY03jxkwBr0mfwG6rtf5un12HeH9nyhEuB921W7mFvDWee8yFnTwynaXNbit5OI0hc/p2 C29zaHZ6HyeopwIFQIKUB4d4GoeutbgXQWqgMxid2xVXhU5l5aMxfec7MfCoTuLoC5e3xYNQpWCu mjwhY9I4m1MhH2e2LI9h3EsBQMRaXXa9m3EXymKIKTxnlzbB4132DgFJzlIcJ5Cgp2haha20BgU9 FbjTMcDjO9BPRFBEnsdoMGn9uGng3mUu10UijB+4A/bQHL9/7NULFUkqAz8Zj7v/c3LSIyxDFLN5 /lHvMFGaY2N+kxBgiHS2Fgti1/ojgd2efIGeem7oRx78kdwEA++J1QEbsSK8qZphZFwE4AYvShPd PHsMe8cpU5MFsMUwMtIWPGgx10UqWTJ1HtRiEckiykUs1WKm/2zUhUzsirG0fqQhWxBzZI+LCF3Z XC+N2Hwjj3zn44T8f+J6E6Er6vtCWam1kKSWLHzU8XDWPOjKUpF6VBYEBdC5u7uhyUcF541S33PO N5CGcBoPORyb8ySO6N+Yaigctb50JkrNagfAIwA0oN259PSSxqeVWKQwYkgp0cYjSW7zqIWptTgG niXFIGhpBRvrIgP8LeW4nMA7I6o86SswhdjViFY6iFMdsQY4CVq9favXazPbLeq8EyicVKRyseF6 mK8pa9DN4CUxYOfwNCL1eujPI2f534Gpg/q3N1jHj6bxeMaHEM5gGj5QyBUwcSoTj4y+QBxaYZ77 g4IN5cgQpnLC+4DTyvXL8ORic2XhcPz0MxawdMB3AZe28NyzKmIzn7RISiMP2ZKRqMUepaMLjo47 Hj7Ilpw+o6FPr94PlYFCBnhd1zi4FkECYSP9oUYRnjCpJ83NioWAH5xdp0q+j5KHLdctUh9YbWmc skZ3ydfV9Mv7HK7rudYkLSi2ClaxWDw9u3oRNKUW8FMLe/DE53d2OnWThCPuF+TL8B6HdwVzH5sq yuukhczpdBqcLRE7esbZbMv2aJV31tv/zcgmLmwpWoFAZ2fWie7EAulZsenxg7nqfZrRUYNTjUBI mQkW6XFtXOUR39xrrL3+0XL3pNEFVF31RBsPhQDrIiiZ8rhyKPSWiqANcdB2abobP/KRUe2usM/q GcA7/qiGX5OV9QOkch4tQ45YTaixn1B+WiUU0js5++z0LMGphC0OAGUNa8J/LX06JxFjNtGh6eWx rmLusa6zRZ7cFuYKVNOTA88Xb/q6mLyhmPZlu4SQMAcgoEItjLB1JEkcb9A2ay216exCVJh6+5GP a/SJqd7xZ2RREtr1YM4jucNeu3PgbNgpmddiycBjc6+Sg2L5BpkulkX/VI4awc/jyhLuMe/aBkC9 q9XM/rgLI7+6ShCbarHpyaGXGg9xGxXhT1qoaffUR62Givj3mvD6QFRy6hgMStu9Sb1sDwAlL/sx ESFA6hynoxq0xmM5kpSRSbgy/OC1nwIutkwcvCCF2jfRlgET+HNWcfhvfILaMkEVx0lahLIUoP+Y VGKIiF4+w3vmopkErXblA3v8DLGQoXLZnl/ZwfuE4KX6HtVhVXzDc8TC03FbKm/Xa2PQWqOXa5hu N4UN2a2qDc+GkPTgpNW8Mcyp3rKIP0onfqtF9UAIlS1otsScj/QP8PQ7cRAODs1ZzFloQKtWlHIE VVjukZZjbAPWKPTVK84GeD9lOSF/IJ45xudznOrUUbkk4hBcqEg1p9I5o98W22cD7XD2eqr/GCvo dV9dC81nPlfVVevsjLn3SQJnCU7w1R1eSexMu16/+8ptuyIwotxoKj0i0Au1Ihc0TFER7iYblV2T PoP5cHHicloFQWnDbRUvOnB084HlDEHzV9OayC3zU6ftyv68Gk6uXkQFxNJCfkUIoPDq2ptx+/QQ 3mEX5ujS7X1/MlYMW8/RT0cgxE5eANfN8js+2dK5a/mbEA+Y4RDhUHdXh7yLIC3h7/vagEVAQaHF jm3VqAD1w3EQKLriYhSRDYKbOu9tKt6djfPj7Ys2JfY5LuHepvIdbzqZLIMInuUoEJdv1/RTA17/ lg7w+JD8CjsnydJAYpdlFyKUy936L04K3OtWUHfi0VKd5oq6Rjh5eMgaQXsnlKFW45fQ9h7+UMCH ef9IIHaIacvuPMaIrelmc9oi1mkxUyizl+Mp98BZoU0Mp9EpTuSt2O8H4voKy2OEhHjBQQi4MiLF g0zrtBscQ+04m7g3abGBT2fse/+o5DUDDTCUFtEV/l/WpiLrbEYXLL/ajY22oUUDECX7jgpVNFII t7IBsS1dnu64HAF/2f6ll5VcuUEqSQrYMMyvk1vJduweP6ZzjS1lGdF174+F7rpx8iFYjlDoIwLn AjioEkbaIy+HRxX3qGFLgzoJU7zML8T+h+jLbzrrKirlHqF1dYWd+NV+T+yH+25HMVrN32U7vcLF 0N6rQYEjb8HQDTyljrrcTEhq6EDrRbrhAn9Kkc+qoe8Yx5gK+mqcSY+CXG2ngVCwUrSoFpNBt7uZ x5qWVAo5oF9nOCDaSg+EWT/5lnzRTF77pl7HYo8W940EXax0Jkgxmltc6ZigY6SwrnqxViSzwC21 noRnF0WrxtZ4b5tM2svLwK4cHpU+JrzQHP0ENx7s5rbq/SjGgNA3q8YPTah7wr30OW7NtiMgPA5W 6C4C6/HQij5GCDuShXLR8QCD9RZCI3CCHJ1KOvNkAHS3mlY/JXGBcoUTSQzFNTChRNBBJXB8DgC4 4PBTYQh6gizIZaNm7KNRJnl0qceRYIKLpBw18CFLKcYNbfZ1Qe+ACcYiRKfDj2wIvOdZKuPLfPxo BI3/zn78BT0oK6ExEb+O4uKQnPOdU8I/ncppNvDOiRu4y+wAN9F8cwaUy0Zb1BDtYgcJoyLJSHN1 FnIiyq9c7nALMpdSvQsJdSD4L4QCQWUmDUtpnLS8l2IvvsMuHL9tswBOEZsvzy3QWVatv/boVkYa 0KQbc9072KuY3DyC5CLFhLsCXMflV0e7YFjo7cW9ByVoTOXM3Wtvr+3v/UVj0PjkdKxhtu4RQ6/w IOXzzQr1Z4a01v3DpdJ0RDEi11IMsk6JXKd5k1bDErZt5aLuGTE7wgtx+MfX20rx3RKnKHBeDYRc CwLI5k0RvT3NC5+sbQ07cX23P9c3180dsS9+CHN5KxV9mZ9eED+/sdhT5dPsDNbMARdsCBustP53 Hr4loO+xMWr+M7ZEpnjasCruNhIc2QATYQIP9ZLPIFiLyKJIR3EOTRBtiuVGfO1l5ZYA3tfx/tUg xJ1+zcH8tlxtLQbJwH8sv9nCKfoWXOzHlDT0eIlWMp9thRhCEpja1jyt0CWzjiXpnOPJL8DG9dYy oz1FIOFdIt5leKZx31XG9BSnTsm2bG/5XRdIpAfAfp8VuDDk4xuI6MSRuwDlZ6Xeis6Jhtm3/h8G Bd4zqcZP+O31GpNFVLRQHcaJs6XKZDDJZhAx8TI9t2dk23YVe9oh5YrNfo7Z3T7Y4B0WKSkJ2Lsh 0on/UwE47gW04hucDDnUk1gDujmQoVXnXjk1aPQ41cvggffTB7DLZCvTCAI+n7fMsprc8xSxmb7i k3s4ojEUlctifpqhdLcH+1rDRJicpL4ETvhBZWaeeBg5pIDTyosAtN4y9KdOrTIOXJR/XcFs97QZ QuHdj3uu27RHEkaoUgABAXUm1OpYoAkeDWhPpgTqzcJrdM9ntuFSvEVvRfnDm437DqipcXvvKodx xRfZuqlIzMm0vOnLx5XF8aCpqPkiqi8wkoKggXY0yQ5qUFV/teVtpAagL88ESPbcpNZTK3jBcVJq Pb/wF2ew3erW/OQkLhxeMb5mJr7qecLW5HIC3p5qXVnN5LgrcHw+yjnHQcKUm4l+B9RS9HPKf5vQ wU2gbLnO6hjsqtJvnqs9Gmz8C2OkVhFQZ+OwSd5oT4z2t+ljCVQbQXDnCMS2G20vkjs7JoW9LNOL uWwZiQagfC9Lvp0T5t3kkXcZW3l2Xt6/loNIVb3CpRVZkgxiBjezTnSAOBTcDUx+5PzvwriP0Pby HL+YYWLL3q5ch17UEdMREx0R40hrktEY0V38SlCZC2A7l8sjSbeulHV5KgALVUMdBkxf+0Zs9vxP /Itlaa4F9zmuz/rUm0xyE68T0909KO+14gP+vyrZ2Eh7ljpyeAtxwUEx4VPsvf3Nmgr2YPfVnKPE 0LjHftsJ73aHslctXJTV2zQ44AcbxeUF5Y+chqQwrJOO8PdAmaYgRXyRhG4qJeTmRotBnFgsOuJK IrJdk0/jLpnzKUxNyXaRm1v5PrqBogNRDBhSx/1tFeBgrQbs9KlXkXANHnwWRFcy/IjXDXKjZ4mJ pVjtmw9lQk1gwFIHjlYvu9MGzsI5M1L/1gab7+QJ3TwX8/OkeDIUJiTNJ0SpAhNYvr6Fw6iCR3Mh 9ppL+XkB9LDrd5upSWAzOX0oTltyCEYqWbllvi4Ve6isn7olyFoAt7NZ2E//3xqUb8y7r4eeQaJz CntPebQxrPT4MQrQZSB/Ffz7bIJ3giLd1K5qs07CFja0t3/K4xFAIYdmBuxLfXOZ9QP7LGqMfETB sDCkYTYitIAxFoXXjlgzsp3qjBiwzPkv2CHJKFPmG6AH4fh1ehToJCXTDcOIq7lyclgIdg5xnA+a Ffg9cRNg6W0cZepVR+vBKIpJq0iSLIAlE806NNfMFN7ELINs95xthI8X3EeZ5tZc620MAhh5OjDq HuTgVwVtNolB+h039rr4ZQII8ec7nGBM8v1RAVqqtzLg29CS3XzUFgpeSg3buXWy/n65BAP0lsYX aFKVwnsElpiRRJoTOKxI2fTRoqHJSwnUp7Aaim+VOmhZR8lZQKYueB0toIe/C4d8hjFaFzmqztXO lwvD7lR5WF5Zdn58KSs79t/1LsywA6LmOa+mubVBRJUSQ4ANV+q+uFeKoaujYBzFXwff/3GMLrhZ 0P1n9ukU2HuhiKrZQvq0cG9Z41VvfhYkXr08K4ySdQWHWrpSi+3XTzRCjw3iU5+9tIGrA/YIyDF1 nywGfl/GLmqeyedEeTD5FDUluiDiFUkkp8CFGPT1Bdcn6Hq4U7XNTVi9wBJzgw9bknokKOT1tEe7 AK7fOtEtswOlfsac6n43DuN4w/0EQ/1/FYzCPavwN2mD2qUoWCNggyBK1kSt1u6TRka68tsFQX4w QlQ8R9Kof2ilxvtU1V2iF4HTJQWWpi4nuNO/iDF00yd41uAkIFBXac/jtJ5+5E2lCx+hBeN3sYhn GXI1W6mvEchN7Vi22qxtIIaUw+UZBMWqcSKjMrAQx8AQ58KtVDMkx4YURK3+4LFWHX5cGES5biwl +dy3mP6oEByh2KfKsgkcIN/ymRO1Sz9imP7xDCPt/xjqcUta8csDyXN1ArSfoC3OMFpOunc8KMWd 3ZTzJ6otLS5iDUyt7yF3YDg+uGrbr2Z7bcLFPem0jG9bc6XEvY5QIYrh/SXvQgOxitVtqcbsf6ff V41G6u+AKBCay1dDl11L2i0EthXyltwP7NUzkTvyhsrq5dg7VYXcBtqbRFBO4GRtOv67QmA5bO0i 58hGjQlCjf0tvou56WcsQQVgY6cDuzoFKN9FhU6atN9/i8y/YVx5r4Y1Yi5SjkH65BVYgYrbJ8pY mMAw9nHZymlYFsg+6uEay7DK+wgdAvrh/Og06PD5rWO+Ko8wl4L6+FGna4w0YG9xbkOn4YakMHAX YTk/Er3a9Oa0znnJx9vTKnylw7U7l034BVkh/PezvfbmVLeyG4n92PjPlO4EbvRG0Vq88kOMn8cP KybeLTCgUiRa1EkPGq9hOR0nK6rJeaGFmtJw+AY0PW6zqt87fiR6layomX3z9SYD0IFHmcNnW1gO eiuaR5YTZDCq7GeT/+Cy369PYtqWqz7aU6L6R/QrOzsdZsrDOU+HWPzNK/ybbpC3pNhWzSGYiqSL aWdYCoHQgG7aFruoIIGjmTr4Boo6Gr8mlRsXBsYaeHGl+sfwaQwEFErJPSwrcIx/oeAQpFEMNrl5 B9vxsDi4hZGLrGKeUq++3h9gHwhUm16/rr4hLgcayx0C+emFf+VuoSB3xTleDU4moY+c2HpImpiZ ueDOXsJxb9Jz5drbAH+mRzulpRY8pXuJNN2Owox7j/y/fzQqyfE/qiefKdulxSkosEUfLgUheCkG rd3+8PNp1oaZX38dryCGQL4eWLd3b51FCWhA6PBJcwVhMdnjLQ7kmZEVe0Zwhlstt03NRrz1llIB tHv/85xP9HU1uxXPI7YV3+MDyM0m53yzISH9nNHvkUp8+L0U64w1LbYJ7ibHhPSO2EURJvhMQ0yE JVV3OkllFZ40qDzcgxyaP1OOqNtqZdOk+zE2u5CpGqH8U9h7LVNjmD1CaMaFfqlxVeZhZp/U6HOc eKmhoAIeBcIU5ombfiuMDBIKMqbCI41qvMXn457rJNNOkcu25vz7lFHUofz0Y7X3Mn2vF8rXyWJq kK/vinzxXyeHKuQb9lgWiBlKVSo0MrgWlhcr9bt07kVXXYRoPfM5QQSEaJsfU2xmqUcAAqK6sPM9 Od3TuIaSP8ZhmmUbHIYBLt9txCKf2BLG1lrTcK6Wp8CKM+gIx9tLR669TMryN8a8EnVyt56dbBWU EG7xNCHMHwa7SegcYfu7jj2RLdrRKC/5Bckdsb5FaH8VcCWPtg1obRTqYMm/K2w6Ap/WW0MnGmIv VCj8EJqpuZ8DAsHLWEP4bsG4bbvPfqe28AnpyQPuW4J2tTydyJBcM114e2FpKQc37art+qITBhzm WKTq4xn4IMRAOKIBj5S6xhWEjgiVBYkF/CU5eeKBbYSk2bABfOFE3SMb5NPkbJKMJJ5Lg1V98hV2 MPoBT/eN6mWocoz3dFwnAyUc+LAUNhx08ZnnnXViOdm+Io6Ig3snCyQLPYRAHOQArNxibVvaICdg bMhT+aKc2S/93whSlLWuEEhMOuG6e7aVQBI3fS5SQhkCVJD0fyKaBVQADFGGHn7OvUMVIfR+h2sU /2lOaKPzPnj2DJbeHjM195A5ujHMedPNTioL06keQo1G+yHBI+1bWPB7rva31ORNlSDVYqXVf1dj IbrmYNuQwQ07XhFN8+TGFp1Mh8IYAKNflon4TbnII8IW1hLg9SCG5IqzN5FsTGbTtcm8yvS++8rV loWCyb2byaVys5aljD2Bz8G7SqdD7uMqWrCEV8tVV+y/OEWW0aFaLBwiCbM09ZZNDdNuofJd/HSo V95rKJswEsQsh1EWlylWXtgFXIK/dI+y9p7yHwCA97dFEqVH8DeadfZwRnOcphPDgEbXs0S7ueoI zyzWboWqoQ4vdMGOIzyO3inUwBsRCC5pbWYxRcVbqxzCuXw5YLSeu5e02Ss5fWgQPIR36eRIMq8S 6Uv0KbGSwNe6xvAgqO5rnCTGTKDRUlULJr6TpY74mgVXBdCTXeE1T/JsD7BYx6Jq6PGetJnalXnV zCtzfxY34k22/Eh4ZaZBxVm3ZQzH7bgv2KG/9zADjAUakrX6iioY4Y5P0+I3fze+74+jimaUyEAr yxTPltzG6JLCdlnVVF7fuKHRCK/f5mQZVPyyY8ZoANLzg88nEUW3eRmTz/0fYKf/GhQ6uKq5KXGR PvMhg9gNMqmP3Fhj+JejJAEjsySC0m2kgme+5/SttvyT697AM3ZxqsGHTWYukMzIDwwa2EbRdhIW +JM5dIWEeBqtu4xfNr7M1AdY19glKGtq4IsGbiOVhgci1Giag9lofdkuu/phtHmbaiFxnM/aQQ46 sMPVI9w4pZ8ei8AnmPyjahPm1ZgQpx+Fmf4vnS1nt8tm+MHGvxhgOxlPwHtqOw69CJG9CFNhF+OH UwrI+SRnoz38O+j7Z/Tsj4MPgGMkr+C1GX8/GN+2hcRINViMEqJiDpm4pogRi4UPd553BeM0n+ij N9+xY9G2ZAF4NENkDpiKFNfEB99eFxUUsKytKWFWKqokoFbXGTTxrDJjDDRphYXn/QbiR+opn/hM x0dvcDLpIifVqP08l3vB+SsZ3lrZkoR/j/LnUKd7xYHAZvPD01V0DNoEvjS5oIZ1MJJ/Ow0fX9De sxBP53sijDfPDYWMZLYoQ6HvZslZX5g0W1n4OG1Vk5bgRshEdN1gjonQ+S6Inw19TckXyvuvkK8k xY33WJnnwKoTQZ5IIxB2BRQVyr9Po4yxQsSGCAncKEoMOfKnKGQBVE7MBHXGJVNoPnhLFnWCdkGz aJ6qup/zqvi+L5i6APeFrfqchEtUKE5Tq2Cda6BqMyO8GgnR7umBqF/Q4hwsZTPXQcgeEGx97+Fl QDb5D2qYLvPxpzXy//gjw514x7beJgj6m7P0oU/1eMXLnGXFT7XrLwVtJ/SfcUiqVi7jy17rEBIz 3WTg5I0jsnDi2GVGfVYVKAR1ZvrZU8BgX4ytfXDsCiVmG8rFbgD9XTIkU4JpAyjQxN8PAodUDyXz 4EBEahDS2pHXBrMXLJEP8juhPsVQXIS6V891N9BYXLh6wJZGeONSd9FgEeLncwMS5HgFndb4zCPC K7WA0Hsu79BTZcX8J6mdt+4D8iVwjEDOvIFOvkenzwT0vvP+cQjSZpomifgW4JtA+P1TWiIaxUz/ jduQuBX5zZ1HLYn0ggf/gZYIQXucFL0cTb5ZJSTnf9sSPnI5BHrs2M+G9snK0nsjZAEFRfW4ceSH 1NS2j4EQ2ljirt9HpsFhe2spGsAh617ruE+IjyeKcVROeIFOvI9Jl6DipTCmYyisqbzPRWHveZ41 7ra7aeRU+Tukeu475dpOcM2CZHQbzEpr6scKi31qOPK6MtNtZOVCZ2jMlSgCU/RXP78d/ekmqiQG 6p2zr5k7NlaOfOQ3ScN6vlyWHgDENVI/nmPYQxkcGIXp14F/38gEPeGt39qI1OOiEmv+YeM3Ysqv XjUunEHw+PMwe+flCxdUvfC3BXnvXZ5v5mljV5f1YH96zNb7cih5/sJyAfEWV9TjJYB0VLQ4eaFK 5u9BhtaHk6rv1SY9o/wNOtoOCNUEUei89Nb9/85iwIoiU+hmqHwqBeWFBE2wOtX3hUpFiXMPfxut kUA0o7dPJ8BouBikIKSpN0k7zX7BNjOrv7AXDwuSCQ6SXUpZASxeAbBZkVeiX+m+2vQamixbUDr6 ZmbjTMoHyfXL0BZRl3HZLbqIlQ+7LOojtaWM+HchCxbOSomAyONzQwHwByGIIfDqycVXlblwBQdh oqMfHe8JrAUGuSXg1YjymKPwWpwp21wtCvVBH1sOiCcf+kM0yuzmQSJ76zgetmgol8x0pWNEw9wR 8J7T6pTIOZKKaX7g0x8zA1PbpNEUCl3sClHqBQ6qtUFYAkD5EUy11+tiiNljY3XmdfHXlsKA+cN0 6m4vrTKITIjMTKk1rB6Vx7V7iaho8R4GOnJ63Dc86i+hMFYtFe3/byKuNVSKOrnBvd7gf+v3BF7f AELzlZ0OvDivbEfhFnrnIYjxiqmkMRjYQUUTcsYXBTwM5XyPvcMB8lMbwKBjripdK/lt8NbguCP/ mhQEHrag/fI0IXluRlNL5yE8fZrO8zAouG7N4dNG+tdHYbQq+xqf//3XWS+KQlZ08mS5eeciZ/F+ kiOhm136vtkLzaLxaj0CMdyDxk8b9x90JNIA29aH3PgGNfWXNJ8UOUdBwWvd2n8fOvbu7gY3m5p3 k172xtFVtD5ciT+lJa/mA3wz2Pi0oIOIHlHLUyeS0WKGj+MzaBlAjs1NJQWLjupIlSQ8z1VQuy5U kkzERYhR7+8NJgILk4u9Na/AokCQkDMlWYZ9ODkumH9O039PO5It0AspIMlWwf7Y+t0rJ8mC55TU BXHTKRSLNoQHah4e61jFQ2m4K6HsePv1bhIasS0XiBSEPllFVieBmOk8Zks66R/Q/NeEnqBCHr6G rCa4DsI+EayA8gsPVDPz9KqS3L08WjHIANwSDwFY3D3u038Wu1TIOn1rSfRoR5/TeeHwvjUUgRMT nldLh5HW8NpVrxagYRkMp8E62762p3whS3xRObeSWWfwkzDtCM8V3gaRHgSnF446i/ay1QUdaODT vfmbukOz1Sesf4KxaIm2ASjl9KrrtCEG9swfLZzGL7ZTfpqxPEtaPraSnjEk489r7RTXUB5Hg+mn yGstnjDf0NVat9UjEbHxYCXvwkoCCICYbOV5OwBzHzBJTW/vK3iYNaPezzDpydlNCQ71MBMoVe4S KYerkIG4GrfAnTLx8sz2XJjO6dPKRSnFky5ACrCLKDSrEQta7duSQgcWEQiVGPfEYGf5rPCUd5my yN6ZTut2F2aEJergHsnB1pa5jCiZlIojrIUvPJFsQLGFjy0gDo5pwN1unU6+uGm/sgAyc87PNm1c kT6NfqvXq3og9JSF1F6ckH9qzNO6nnMD80DXX1cGqw8YG4YdlQa2n8/ai2uSMLqtFmfGVcnsxOjb IvvuP8TlZVqB6HBzjNXVqxP/mtXMDsKsnXlstNobgqKUl5fnFugVqfHzwDjtuIxriebXPFRYoR7o ZS5eJBpSSe9+ktlqadkQiIL/d4y52rDuLuwn9aDfU3VaW4sjTDkgUvphckeM0WIwNKslTnEutFU+ haogRDsOMG9UHWo+4JJOC3OQo5XhQaIotwBIzOr9P0i+vzMIYeEHkF8k+mlAHkiFb5NAYk4vfbc5 ijipIujW7q5XkSVPuGbC3/iwdQeqGoM9eSSFpdJ76qGP9aEQNR0MgUT8BnjCdzfGJ4nRNZZmv00k RH65TV2tiIVTwIS1OwMU5r3iFym7TBae7mbkWPkd7YUbohLRKMLQ0wIxET4AZysirXHHlwlrWDbB UAp9cIL7UwVQmxszLHDRTdKdHf7C+44j6/CXbe8WdF1o/8OMUq/Ca4DTPLniW8atiWDzBLyOoFUH tury1sI3l7iru+pig+N/6lse5DnK7oaFvLb7rNWIzZ3CmcI4zHucoG6bqYF/qwwqYHecU4mpQzTC cI248wzVyljKU1nkzvV1XmS+YChastOWMSz21iSvVbDJmXvFzHOCDznHKGge1tSTpxhD7s1ua9B2 18T4KRaelXhjs0v8rK7mtt/by+4yipTNm4aed7/S1Q/RWMYdkuNibPumKia88kXh+s77l9KNwV3M qdd1Z5Lm3v8ZnzjgJhOS3+ke56Aj/iNMERmJZgiGRlKUG8rsLce2dNZA3AkvXHzV3iyZVfgRRflD mrMDoVEw4zJWrqtQNGugcQUmhtCuWiG4PbXlAPrEG5GN6rq5eYQk1qm/46bmdp6eBi1TGNzOF7t7 37AM5TqbICquS3m7O3xm9lvz5r983CnBX8sId42Csh+jx8sMkrQqbGKoBVm44mWwhA2eJQcPsUkY fYB0Vx95Cda+uyzd98IQGITduP+Fc7hJYe9OyvqmXgfVA5M8x2x0ZiYTtfWiKXMb4GseL5Zt1LAT 6xnHneFnhf4EQiiCBESYn2nmQWoaPj28n66u+d+ASAlYXptlu3hZM9v9ewKPFKCOWugG9kJ5g9z/ CXXxKHkQMdL04oxHe+6nQ5kXzQ7U7YUz0fdKDPK5EWSP8r1MRMBzaRR3toSkMRO1NJb0JPnCc9rI ITAyhhmS6sv/NSTOttMygaFFGO+e4LxBbQcdsEql7g/MQKQfXtCTz02IB0PhKlWQsZFol8L/cxFe uEw/XcwwFKDl56VBYb9+zZ9q8gKL+Rtba1No3veK2rfrG17mPz/HfB2ePeI3su0/sDDyTRtrtj9u b+e+i2SUMRk70e5wdRDoT04b0PMO/ZAjjKxOyBn0lzYrH/49eN3Pp0F/8JHywddV81boIx/zURLC LDasJvOVBjZuQvsNH5jxj0/9oJ7DgnoHN/lR0nNCGkv+oQ1wIHgEO/1y2Cizd5Ux3ku9a1iJxVpg YRgieJeNAxaX5re4CoNyxN3zsVhNkK+xi2UKMjxkRkAyEFbksSwK1ZlsJIiect2sezmJwrQOfusH hQrAdBsZ90gD28/ZoGPsAdyGZPn8Q88krOsSrBveYh5Do46r9uuXOpn+gjozj/NDjYZtbj2MQnx6 vdt1Zebn2P7DeIv5rNk22ozErEOh7pvjF90jB7WsQbS540dg9hJumBrP9doLGF2aKm68ILeZjfnr 4nvNYzlXzeHb/2jpWDAn33SMKrbfSMBA850K+8o8nANQon1QWZ14DylJIw0Mx6zvSUtrJxXeEDiR Fa+pfFQRNZgbX5fa99Nq2BeYh2EdqjsXdgs0PvX2bAfm8BL0UWcdYV1M3r7pNyt2wPhbcuAcFoDP 2rCKX4YvZPcoV+bI3seJSwo8VT42U9V0NGSdrc0UVMFyRwqIcHLHyPpHiP2AT8IOfQiu4JUWCX3d TjN8i8yLn7uCKKF0UTcCEeV9W7oM48EvQfcgpBh96zKlYgiQDFKTCqYWKK5rYn0iwAhl0LkmK7Vb xAa+yh12Ykxsfhfy6vcJMv+/Xks/W1tOzMnIpvsqg2AjFjNk8hh+5S9CahD3iRD9ncdoUy3TW7a0 eWExzomHXw/1629IM0CLo5/07YeqFWjbUkAfnngqE4UD2P0WQOj8SguElqrzF/6l9sMXlkXKQckT AvAGG5pkRC494Yv8Vrv34GCUjIonCj+G0WSn9vG63BKwqVReti/jBv934XOrshKESs9LJGr36oCy BANXTYVSJz71TJ1TdB2q0q/o8fqP5NWF+4c3haBmEzupj0uRhFLk7A+WJmak5KJyYEO5uQySaOnp NGN/5h2qsBQzwP8PmPSRnLeuyQ/6Tq/4hRAzu9TOedm4QkMCcw3DCe8WBJ/Bt3Y9O0tmsdBWhsKQ Sruzidoj/ECMCD4E84h7nB3uwP1GKhx85LzaSjWPaBHOYotNerVy/3WGWM9ZET3MbUL5bxTu6okT b+EiPqJhKWbfZYsb/r8ecGvV/f5WOxeS+0IO0REmub0qc8LfPsWEKhhuVukD1BfmHwtYMaBMF/nV hxn4bSy2UX70ENIhpEjtjORUdlpnEdUvJnAAiFaoxaPnmzoitmKp1KcosxskW+e4MApIPpSk2RBQ 1+fJi7Hf1xFbRtU2FD1/ANCziuQIl3jXj5ZJugPbpsvOwUWG6reJM71iYmvrCmJfaOWaQTN3uyfd HpbdTg6umFkakehLjVsat9cJqLZ49mYzIFGGqdok1IW+JTlsp/6VUoNRYypNLgil/0VqTjH1hYm0 73LtyB6W/HdYXtqo9AInEMDiCxQkIhxLKXSIi6ITRXLFodtijMuqlQonzykd5/8PmyuUXIyT4djp E3rGJxAfKFzcTC24+BX6y7/VEgh/H9l3m1QPK16C9Eb2I5hFyCMSAf0NlM1BjHmIpBif8249+PYp b59TVYKW0FakOapEHtCcIq1trxuT6QNnqkATRoquNfN1ox1Uxl4AQsJ7P21SW699riN/AsWhWWRd WvgYOl9ICSWoqcOOEU5L+AISmTDYVcpiKwsiSb4iZQ+uhLK1yx/6msCem7LLSnjMs4tP4GOcfn9J d+wRnchcXuLEAxVz5i7WPjN4ikfYm1Y0mHK/TSEU8Pug4sN1dOmIl0FTLXo5fpM6NprQkS1F1FHA dcz1YHBanBVhaN+FPuUYE8Z9mF4yx+HQUS758yqzIoXPzjoyi/5c3McCTisiQ42ZzyBYwd3605v+ 48XcvDhLTbzuhabkO5+6IScnvEvrq2CSM9kMXar4Z/gytzHqbuXt2P9XBNExb+AfJToL7f7AVOPh wXmHcGOhTibO3pJQVjwrIU8BDOONsNtFumJDslBVW7vrzlQFddawbbYAmguf6fAE689WTGYXGEkk FjhqLx0EWKWw8H9kBs/hFvQQKTnOE4/cI6WxHQBySFWNZ4tuZy8yhI/reqivmZwBn1FTjfbLEw/T BMK4CzSdV5RFdkq5/QyCv2tC8RqCPRGKY9fScsP5AZOW8a+VERKpQ2vBMUTWWKpPlbQ3g5RpfvB8 q6UoIJsfxC36zclYrXSXCQHhZf/nzkvFypjpoukm7Zb3eS1N9acf+NxPGLYsx0c+XUGoijH/zZBv 3LwH2PoyFVkjxKt/7vMfRkxVT6V2XoQNb4LY9s5KH0/92yn5pCQsKuxJOrw2eX+9PyQWIriaGaID YPDZdtrjbpAYOGPPRUK8Bdi26Mp/YVphCodyYrNaTfbc5XE6USWa9OSrdvdMucNqSPLlB1fHWWqo s1ZXuccmgILXNSGO8L2EWM2tLAzCVsmejTfMO1S6FB4zyjRkmGekpEgW8g8Az6mAPHdoeLCA+EJB T+llC9MXmFapKgNhCsAe0kpNheBNjWJzyeJ6P7XGmJmjniOGrHvJG2VPZIqnscnFh9A0Nh4I3R4C cI1Z54L/XHfdlLiKBK4rRRh8ApEQr9exjo6igvwEn8MItUAuG2KBcxcg9PH4CsFvwWOpiyKlV4VQ jexjKq3OqN246nebgpo0ugVDgb9LA33pSed6HBiMocp+8fjCCBrEDWUSb57EYix/HQAx02iEnWlW VJ6axDgXbLh3Df7znUEDUr/OSCgAQOs6nnq/Oued4jVwKtBkbXTg3NPNdo8CRpE2IyeGkHR58Q4i 0Sz08+GUy9RzaE6ClwtsyO7thuvsECCU4Siq5vPwGGC9u0Od+8ajHUz2ABQU2xaxK142iLn52tgr wRwHk83/84fmyMaD+DvN2en9dlapBd/fMx44HowZnX/Ip7AQQbm4G3xyK9qt8biK9vLVc6xLBGoz dRvH2V/DZEc8H03qdB+I2rfuCab2aV7vWzGJVk3E0ItSXydqQ8MySlsPSo4yFwlfZrRvaMmT7ZkX vmatJahSyzbgUBE0NybXrbAN+zTTi3ZWh6CEBdtIXmZk0IohCjmZ2Ozwf55PKUduALIc3gDBcP3g UKKF2h7msmYwjtA+1tbkKJSWxZ756UJtMmBqqGVLrX3e1IRZ5CUdhFFu2LowZ9Af+gkwN6GJOX2X 2j01NHLOGcKd7m2BpCIBwTtNSh0sq8sTQH63FbrdsX8B40V/vF1GOkGqobn85PJ7qOl8mx+BC6fQ l9p8wZ80s4Tvb6kUEUE6cc7vP1WjSNdPzRYrblKDF9Cxf9t3aURgtePCKTSmNw0WHatLE87NTqd0 kdzv6OfPaKvxdROPsWB5Z4xabq83AShWSv7SCMY1y3BmWiNRXVHnKavXi9F9pbfNfQC2FdOCTvYB U3CXkk8U9J9E5KHgZEsVrY3718bMFW/8ny7PG/3Jvn4FQXjLCKpzBNlzeSSSo43g4ImQgPNj7ch/ NWs4jn5b4jHfFvC6gc+4eiIDOe3MRBurfVjz43V2tCvGpfkhyfW1wk00K+VLJXRt/pjMFAgnLrrN 5Nxt03BcW/NtCVJaXsXUiNgIwYUNSFHbgmfhRm14pX11DOZwLHg0nqYkdohmbOZU16GKOvtghhId Ll5Mza7yYZufXeRQpp3LRXg0uNbADiKiNS73izpEVX2gE4JeFcS4k0ZKTn2/urmpv2k8KeERnEux zLnNDdjExUyoya7nvjbS5iglJXGzordvov74zL/zX+cvd9wZvSC3hHMJCarODdvuVYx+SL04t5+s 3p9JOjV5dP3SjPCKPDTul/2pavUPIiYVulXfbDIgZ1tc9clkZWsoW11ug08uXSX2o14HliqYIbyC Q6qDP+UGvJc+dgyR2wGTmu/vCiIaoy1NuudkY5BJC/BpcV9laaDWfPHbpyGB/uNhf4kSrj7LG2Fg 85dlIDeKqtOnbmMeWrvDd4P6Q4K9PchXGVY5GKXV48gtSY5SJzIgOdPdRYKVSgasR+7V6pKH5O2p Vrtt/xKaJjXHtGu0GpUuwYT4A138sSq/mGRsDMPvtOf2NmgdPctFEy9wKeCoqICE8U9MH0htJSjk +4u0bMuELves1Aar9Ug3TJBSkCNGZcAWe55OIqC2W9UAWDTgsRKK1o1vuYjrB4fVbSGnSxy9pIwO 0QyIxAaUSEvR0AwzNxM0xza2HZuaCDf1jXTxhqklNczQDZowgFXBMpddYAVfOPtzRsBmdafvSPzy hwtqwyc7Sc9WhR9aEpOr4Eygm9GXaRMAw4SmrrUkrdUrFfeYt/J8I72dRpyUt7ds2sAuYQ6O6BCE i8B4GOgm8kxw76uYtbdxwpa1nJyELWUuZO8szxUdsJ4FoMZSWqfBTlXAfnmOMHufEFH8EZbIU2YS EHct6MAZIsVuMWDZXj7GY8sfY/hwIf6Y5cJ2dVCMJr6gMyCH5KlbrkR2lvzrGOye8tAqdcI+TJaN 6BjZ82izQKF2minpE1yeDAXs3R2Hri3baxu1PBspFib7heGxENSo3R7BaFpPXF4B4PgTyDcqFIIR tHrm+pqKE2H8TPDe7khfpZaicm/0eL/JEocbwhZsXTFEMLwqmHyOgOrL4nzsno4BRoq7k/F9UylT 0ahzuT8wlsMEudJBWp7axQ/urojPVt09rSaZ0RefBVl+matNLhyliWgFylc4VQ3B/035Vukue1O8 xfb50tRJFysocPkDAOXK6va63drI3R6vapkyF1OncuOBhjdh1xXYYl93FGS+h/CHzLaEeUG63ZV3 eq35RDQcKIo5XNauWe174ItrWPE/7NNc7e2vWWVOUHNpDA3gtAoAGig90C6lqznNUBhRGXWrUmIS gYea/MScKtNEVGxqZ3U5u/eR1bQIkMLXXJPYFhZSC2rsCB0w74AyJw7BaAt97X8S54qW/Knmo2fB AJdntx/W0Wwo2umj2qgYjM6ymarevwr+L2m8d6E6wIOeYshPYO5m7rKz5bzkOvbs+LrSKduxgo1t BKFdOcNHHwDXUMTOIk2ws7hof+405XQ+EL9WRPofVi9EZ7oZMcEKAiG8h+I2YUVCd/q0TrNLvRXW Ve4hkDvOrt1W1MB65S90LlM7eyinxyjaJFoML2zxDSNGoqU2hUh4B879i6lpzPFEN8c6vzh+0FgA EUJLQw8Pjn0Bwc5tqHtmA10NZS5+0J825D+d5Y3DouHzseA26WWpgpGmyxVEjaaqEIB6UDewI/XI I3TsUNeMI8FpeYMVtNGnuTXanAcBrUKJn7mVU9D77jYEAfIdyS77ji8X4Wspl371eSOjLSbogJOy Hv0xP/p7b1FwqMc/jc128x/qCi1A8bM/XTMX8Z7+/EUjyw0aEFiOavzAjaRCh6YVunlRDhaK7Mj4 jyXQYvqeWQBSEjxCqw5z1CqiRSMvXp3Ledwy/cM9zAeXb8c4MDok1MA/YU0+EBC60GKWNSQ5au1P dAEvjlpdsKF5IbmKJXEkVSy39CDbRDeUQzr0oCHyzrqudX8m9yubzQtvtHidm8lC+G2WxTa0P4/H b6TRuRN+VFVG5He+nIU/7mkJ+OzKMk/7xZYBIXAwgiXReiA3JmD704bzzqNkWEddpu1PX3WKcPUv gwW7i9aGTgUt4E/0uL5aL52Tn1L1rC7itCF+uEN/i0vVflecBDe41onTPZ9zClv6jPoP3MyzPjZA 7GIoetzV/9FG1SoVPWG8KIn80VlFVjHyjInqvdxnrAYUtgWjicptwMk3/MtCkFvfw5awdurP5vac Iiw/CIoXJZ3xzQ6AKZFEDVXGw+QloKVlYZnLYWXFP5yCSqnOtVjlltbhAndRRhLBUSOBE+sPo5I3 thOPU7oYpiIzcMzYjhZAnH8UhKlCJx8iJ/NQvD0w6EH7L7CW5z1+qL6PZRH62isbX5dV5wyaGkNC /8w8k3KyLVobhz502hRSXJ5xCp11haJFNbI7lCp/jl7gAzFd4U1qc4dYbZMPnl4Z2Ya9WfyYSN36 JYVNDm6RsIgySzDwO/RjvHsFo6BDeJy7sXBMfG+bx98h7u/SXKmiHROuJSLBVnj+b4w692d860Qm ZDqdM8V6UlMrYV09wNPNhJLvs5vuc7vU67RrOrGZ68gkXzkFkzrsLCfE1BB/g6L4xC5KuWHEH9eA P5r6EW6UAOGadO9XJ25jQSVFTpXHNgWu22IrsvcBHFcI8eOx54Ho+P0tunqJ6MBaiPxzK9Bcgfkm wpr+H0D12LjziFSJiVadrM82XyjdLle44FPuUcfiTz6LWQxkbmX8xGWXzDIOyqRekG+tBpFHUZfr AVs2X5KO4e+t+8Q6DwgHEFzZ3rqt7Likvg+mT9WOThz3f38zaYxtqnMLBk0EnSkKF5b0RTfjIxRK Zy472o20BtLut/m4xOjHcilY1i2qgISkaM9TEQfmxXzBzbaIfCelTsP4t1VFQK59g49Rj9n0UE7P bJ/AgSWOfHWFFrNQJQF0m3JaPWBwP4dxhsZPXTOEakLkab2hqd0OhyvxUux42qKcEgx50PHVdu6O Q8Gz4j3f4fCAzU67+F2FGB1XB+t7WK7Egc7zM1rCppJIVL9drx2WJ1lfgKROxxv8wX98B44W0pwv EHWcFcCGy4a/5YjCkoQO4nSp9slZL+ooVttmbbnoCxBw4cc0GNNWeJuz/1sFgGauh1bS3rp7FJXC 7i9p/VSpaNOiqZExcafs8JX8ztUDrfW5PJtZ9OwaPJSQrprlQTcZcU4+hN25WRdcGGfqbXCzFbOb 3eVqa87d2b0PkNK3AmbRSoSk6jAvSFnKxkfdcaFC5P1LdjsQqs068UvRBMWw1wVT5uviJL426DU/ OG0OfpupzCYRg2xLhDDv0fyNi2mwfOwDBxnRv1mbm8Fmt3h8iCqdWcnfLQdVaYh8bnVR0KwTpZ6a ZgCz2rRs6e5VvIfA231qC+Y2yHVEkoZXwmw38MoMan/IWMoNDq4xdKQjvOrnYbxcoEvQViYAirdU /SrxiaQ6BO12JIde94ZILtcmcFuk+sFThrqB3ltq4kgvW5WuQtyKKaPAYgJD2H9UhFNx8JY/eFyk 8tWblDTtiGnucP4gQKpaCmu191nkvJRKtcYcfJmhOAZZ6O9WGGW83ntiKXld21f2KvC7s+oe7qcV zUwaT0uPlSca/rSG6hUsVSYkiqTnILRjQnPDx3woH0UkrWEvYd9xJcvXFGPI7yBGCoRP5pBfKkpz 9NWC6kZ9OeLJofTlC7dqAjQF4CEo8KFJuTUNUaj6AHrlqk/0BHO8X7zAw47WpUQ9cJnFwDx92vBo UGzoo2VvS2/6hJMkZqiU+fJA5fLIE9oEoeUVjDieJpUoCSsRlqpCtOSO4pnoHKZz/gHA1u0YLITL tdvLoh1DuwjBa9tlHjnWGEu24Fx5ZxB+M4QNhOIg5KdDZuIJ81qYyJl6q14knU7vxTOg5mVCVqlv pTRezy1Mza2QKucPbUPDx2Yirw2+D9YA9e13+sj899jnJgWL7esfOA+GwZPaDz7K2pSgE0ASyFhG YX8bgOE0qFpFyyWCWDaAdDHKNTLQJ4yxSSGw7cpqOh9kNj6zzrpqlcqDYqmQQjMqTDa58x8A7PT8 jB0kYlonNL0gE93fwht+X/U8x6FeWmtcglax/6GczfmtjXnyx4u2usThnuyqCBIwfIFHUGsWtbhC VFw7RPOVMsGmka5ZPkJJIr21NGe9mNt1g2VU7VuVTQtFFh3HLSJaJJpV6ZlrRA1JdbmUEYO5925t nMwPgKNawffv3Dya8cOQJWd4xBf3wgbAGX4gq/yFL6kzLpAtcXytgJvDZm9Fm/fweb8qm50Icpds KA9BY/XoH9BKvSt3UUesp15LijIWcoYFjhQlGhW5BdZ+OdsnHBKBoaFp7XxBy7hMivLj4lPrspU+ ZN+AKr9GxLIHmhgEK3KJaovGaJPXajWNEqRiUg1+hgU+dgOUXfk3W8zWJuN9Pr0ntKwrr8z2+lWF hKGzVm/kbyogRIJ09RNkqyTvv5GEGtfuhzgaIxg3CVLPO3KpiCfZqXHJ16767TojVKo+KUj8/YsD ZRfJzsqh/k7HaS8vgzZAcXzOdmbNGlGOos/0TudhEPO0/ielVIjGTn1PjXipiO5BYsY02ssiXC8b DpWsiTvNhIHK5McseqimCrGB7WRuNj2wA5f84yYPYkAis9CqwFJDBg5FEP5DG8/WBMh81wA8+7b9 5ebY5bcMidY6xU2NDHnfhMRDa8ncLd0vNSaTCziQHMHoh8zIUeAVwuPoXMxJF82/tV3mL86HK0ku XFbQccsX6pevqzFYogYLuV4jkxj5tV/M/73XkHdZsglsLvOUr/lDRBCf4S5nS8Ui3uE/dBKZl1ZT DSdZ1sKAJZyPJKuJ6S2OyifMS/75VWJHDQOnaTXGLiQJkcSj+dao42lRN3bmc81+uiAEvGRY2k50 L/Th7NYY4oifZriCtrFBfFa54rYyRzjVZ0W7AKU3KnzxOPVQrpUuLDeS1oo9Vf5dA17zEWORlEoy qkKblUND6q6A4EUiKUpMniA1fxyCJPdbngFMRfCOXdJaE9SlNH3fLc4brfJQM1Wc2bdheQs6gFHK ut7nF44yoONX3/qnYDcuQG2Uy1G/dVE7MIaGzktu15YrXXARIXC+bL38yh/bpvAVzYE6chzmAz4z JWkJZlywrMuPUt9MV6mIqhhrb5Z0RfZw0DOoA4bYYwh3QN0enWDQJedT5eYa7uerobKgstJjQawN hxnbG70Zyj1P5OGLssYqz9dKIR8zph4G8mjXw03mvTBGGTjYhmsv6s22wn45169bqtVoIqCX51b5 KYHzLf6RITb+tESOftgcOdUapDzGnScYLYzltyrbVCXKsEJv1Ug9DY+ctR50Vpsw9STnC/axQFl8 PgYKlZhI0Jgu9WRJXh/fl+mg5SH+Pos6FtgWMbNGB78IErGnxbzJYna6/bMkA9TK92Cg6SwIsmT3 oIVQ7UP89f+kNJo+sA1ti9hkf8ovgtBVBiCcCeBhipBb9wvDiiS4NE1lxT4VDslvcAUHCCokiVT4 8HwUT2M/DzY+iC1BfGfxmP7Oo90QdqIrPn3PX0vCS2zHT7gTiXVmWdZJjoqPnL6E3lMrIxLex3Bd CXaJea5Jtrf0Dgdaho1nhcuByzpQ0tfHpC3ZKCbrSJSQYOYkYcTltUgcWAhgrTWyuYSGjdm4UDog RPeVonlKo0OCqav2+bnqC4pDJuDCo9Fhw8ETY3quv5P9dX9mGAz7cxGEJ4AfDt2xaQ0UM+k4l7ZA vax7aoxzYEi54AWf9hS8R/kw27WnbIVJDPCOYm/syrAJaiPG/ebMro+IldbQqrcobCu+g0/F7Pwk VgZdcQ4e+mkSCBd1KGb04qsqXK1N0ynDwZd+L5GCuGhnqmNxSBrLjHBuBCctsMTPiVUsIB0Lmp7f IqAF4NoiJb/qORwr9cnhRNgSI+RcXvRCCXvpfYHmhTZMw3C3Ugk9KBkKDByVFwAb40oWRbm+cCcs hBu/k0kTHV+vqODeXgXbULOg2yl8Ryzf6e3+05Uaj3jKk9ZvzhrfoAVwsSQFnk0COuBL7QJo59AI sI6w5ScfbNIvLx9y9x2TwyISWyZ2XQvEQ6uZiCs16elvhestzL9KNctB0odYCypNmx0iei2QqB7R EEOvGA1k3CMu+Kzmyf+67X7ARqeyYJaUSVWF3l0rqVe+kh959C9pZCAuhhoS9fzrEy8YqUC2jzxA wi7hAbaU/tqq94bmKdZD3ftaW7OI0BRyagbp+tnqvEQyiDGIwsPXeFzhNox50Su0lH6faZFXs8gT 6w7crD5ybsoYmqC+xsyZWIzZ3tWjEx3VRIt36aglY80Bb4f0S8UKrO4l7AGkwRASUHYNKTSQSfhV rhMu2WB69w6uz0od04QP6MApLccgZ5MPDXPxaCja2JRtnpdx3dsBE7Yk7ZDOEAEgk0ItvMOXjqkS eZXlIUrXN7d0WfrfWmO6xtJkYgYmXqbaoR3C6LqgHMdwb3wDZmjqu0Wla6gFfJ7/uGcx7wW2labt dPnyijqtYBnRb0asat3PY5gGmgDukSR34CKcj8AyzWlJaGwpPaFMdfl+wb2FXB6f9h3KhUwImE2w K3SqCwZ6HgdPHtB71WmElE0vfRXY7vFlR8khObgkE0CNSXbVRfEwRR3nZKeTevuFcLS3rwx13khA yjNOoRLBx35xA1ZKrqlGoAFFFFdJbo7SkyAIFf8chZrMjnHSZBd4RAe10zXasc+gYNF+vSTe4uYR 6GBTLw06gk1wDzy9v1iXIC6AI3AimZ+jNHyeTfAdRO5GqlQfEuf5SBJo8hamsOeofiddkGEcMZcL VTQWePsY0dJVnOshhD/Y6guXSsArulxdUgbcAk9qP60KRpeiumPV2Bx58sCb40qK5s9IlfXh7+rA aDHJIpx5AFVqtEXsfejXg3iTkVpUaXiiKH99JlqNJuEnxxAz/OO3zjCXo/y5MYYeJV4l5QeC9igP tT1tosk0kvdlxOW9y7FV4rbz2staRK7+sod+bMKsOFaXGyqgCCXf3nijkg0YKOpHVJHyfdrrLHA0 sBvQwP7X1TijiwsWcS4pphz3x4N3XL2eQHEUci27xR+foJcDDuOJtKQg/2Ib/jv5g1pe5aGU9TmT 66z6L3bDweingw6hZprji7BVByTYYN48dTFJ8dIKfA9pef1KHtenvtzYVbC96HZLdqE+HF1hoYAj 7oi/pyZ2Em4ZBW0aN/0F0zamRRHywBgsx5O5fOEnTiE8eUnbe7ykGYUAGi6NKnXHRbIDgdE+dgp9 gPaPK2nJ61xkNh/er66nLcVyMU79AwXWp84IopKn8N/4qZ0JEdsbn8+0ooHCTSTdaN053Kj7/vec RBMfhAYp7hAMJ8Mj9gz/bFsYR8lpQrBn61Zh9VMfKsZmtKHzwnrG2vVyN0E6grTjI/hsn5t/RUUx BacEvbaQNp6B+4/sKh7Rq+0VI2KEMQPCyLnzv8qXXo8gAORHiT/G2ZBPLhfNxYB+WIYlI41Gr+eK kyR4C6mMqFfp9gccKOgWI6R3d9QZmmGTnAPQvNhZoDGVnZ07bEvQiG9+7Mk4CoM2HITff/GVQKbC 5x8lXzhGnGhbMY9xPS9/zTrRCAdQE92kRKWo9lQnhnsI+rhym0b3FksSyJ5GTQNeI7C0zgvqnAWv VsOAZ1695yo1/C7GPCY62SP7fOZ8PeQ29J5gSY2g2sttdb1s0LwibGiirpJ8aJ/0TrwGqETTiORz BD+e/6ojK6HXIH8tG8ZGiK1HmbbPvLTwLmqwnZtNJvJalI/vUgrvpXyanIsH0PqWx2gIX3bA0diN DdJHSJlNHl7DWkoojE8CjHDSw+2N1pf2PR8Y7CUCwesBYbSKAp2G4+zb77gk7+IVfaXlRDfAzN9m 7d11dR4HIA2xatgJyh9xjBb/Qe/LEWrQW6lVOr8e2k8sD2EVUrAi1cVNq/05tv5tOCyoMuSSQxuk P2GKhHrND6or7DdLMtL1/3JYUsk4wPTLekpNRaZKlr6kbvaKm+A3SoRFNYzILmYGjMxyFoNfI8xQ vpU4DVS7d8GNC/y9Uebh83McnzX+PNbA2umtnAtcELaW+AAX8JEuyTZEeO/v6Zo+rBjBGxSr6Exf 3/DPtXg1PjQ6vX60q/0uEGW4bVgBcflUWQNHCb6dpKCrnCSbMb9Zm3yNbJB6OX+/aT2d1Ce0Y1JM jE/tSRS0dcf3W6mYhcAEOYDouJ2fWsbmA8vA8lb0FmLbNZ60k2jQy8NoJigvtF7tJirOi/fnsXCH d8ldoQEEb3r9nllzf+cpMFigvR9qiFgvdNRkGx+DVdorAsYK9iH8Az6Oet62QnUx6VFjZ1oyHnpd f4SOhjn9DO6M/1RVWYC7DDhY6gjYkKhlVqhMjOwUcFCRAa2jI6bc1CuMNSlcvg9DL2yYfUM6CzpA 5Z83GTnoZgc1F8RZaLjcTiKGcHfnAJD6LMl4R/bVU+XUfvTwUegbLtgs6y8PkpC/GDTf+E06EvHq cIxcqxYyi7wESSXZtPt+2lJixp0APO4dWLx5u6eRV7xZfPDx8fgjeUOWAIfBR+mbWz3TceQuobBc Vdi/Z61vw8zjOEebsblbS+ON1RedPio9OI8kOpzN+8MLrmG6qY6RxIceOb6AHFYHqoQQWc9wpwU0 2ZxHcl53k8MdE2N2QYRMUfc7o8OjC+2qwj5dLfVveXfrj4Nzm1NG9MUD+v3hd7y0e4W+54g9NXcz 2EjosxDpCKoFc0LD4+jg9pksf3fQQjnWxqR6U5dRQcPpXV/vjVcDjPcvAgrNzJfXgvlSsxkZyELf Jwml2No7x6/e3qrrq9c84YJDCMUC4U6z58mPZXUCyodcdn2DxYzZGhho0mUQ2s74ZEu1XbhBjoFa jh0Nkr05rdmKxv2orRzGO6aVSzR5Q1pN3K4uRGI2UrNvEleIhkb6uQ+TFxLhW6FUrlElNfvn+MbD Xu7fLlAbj3W5nJpDInjxc45ZnldKSslxnKql3K9s8sIKbMNwBC78ciEzygVXFZMP90u0+y4xW5jp vSTsNeRGWp8jW8RlTKgI8m10ohJd7ONcxY4GUwY0W81UdFO1GyOfbDG/oEnStww6xe0dxoVD7mi6 l4tNO15zKO64yeX3Amqvp5wIgHnggPdF0sRghRNcPd7Pwj2lS777mJ+Oc4LEhfcbmO/qPjELw0uH 6cDklEpexzE503P9T9H7+wfCiPCeLpjGMI/wWgy/xEg8XBS9QszN9rGSZGTsvYJ5RC1hptdz6Yng N89jQw7593d4USwd4k1Ql1fq8R0BgsJmCcpiok9MQw2CnwBTRnJpsV3siCfuMHpgubmiLvN6WZa3 ZM8TSTtSMQkXODg4LQ9PFuHJhCYaydYjJhbuX6f24CaEqzqQ3HMilxX45pbWv+saZYnl48IV/EcU MQhuGWUIfpdLURA01HADV+cRllg4OsQMx8cuwVXjburoExh9m3nbpBJH1N+NuqccTT50yjnzV+4W JykjYM5WpL2XIVKaOY3bVfFLIubac9UslgiqtqlwTq1txiZ7qTshH+Ahf0NSrBrCrSd0dAxbNRuc XzV0Xlbx3xBhaynLfk21MlWzNs3g75ijfe58ndn3uH++jYl7WOWD+L6DAZCKByOnlYbi/ov+Wmcs 9aw2lRfbpm+cSVayCCRtNKSrnvgOPiIb03jXeT7yD4K4hOfmRDnSZWNDMtQ1ocHkq22nd3sMnwRO FqBAyEwhYxqVsDfRRZOh+HQ7qlRdS82lzg6Zbk9YTf4p1p+mOFwvNHNQeeb/GNm7ST1wbx4lb7Y3 VIdRRwUMeFyLV359zZMtws7XQ5m3E6xT7QGqWkRKLSDNdcd9g5lFv4/HCyRIi6bexrl7qatxVdEZ dVmWh8gj1iWkr9Z1MrKf1cHR+OiC8cxXN4CnQzq4PXQGyoZYaGaHXt0LkSNSbN5Ni6O776chTsyZ PpElYKOIkcK9Vmcc0Gffg2Exd38aOfplnQR3oK9+6SVyZzTwOmc+f0GNfKIvxyRBHB6qQWV6dKAz MoCBpsuKrA21yPgzqfWoOZnOgtOgVDfhI/aBRblmNq12sCoHwFpXC4AlCk6sQh8dRgOguKuwQHbY 9oQ5MyBAmpbYbW02TXRiajo2e7GC7v3mDChsreE9kZQVHx3LokL7HySpXaXA4Mgk5YymANCY8YEL A+Q22llCn2HmXgLG0+6pPL6eCh9p1toZ6NIzlBnwSovdEY+iPXGsbLszST1qeeARxIm0xyc5Usxg dZ1iMXA2xH+zd7TA2FcTgM+NFI5IuIZC0+SHrB3NbRuZzCdGaj6p9OeWAvmxWPAqMtgQMpt8SX8m KjljSEM0m2vLuKPenaSY8T2eKQq3dfkD4mPNZccfGnarIkawk4RldNU4EqJAptVPDJ88BilM8V7I rTfZoOYXK7bq0UYhcPP7OzDQUqAUuJ2AENn5rBZEBW+1tq702eBaE0VKlq8I57SWuNTBIHr0HNey +fjFUZ4eHaIdQrpjVeDCk87F1xYhPN8MvVb9v4eq5NDR2IlE2aI8b1ND+EacLWd1bTGUE+Trl4Iy vujb1J2AbvAw826Rq6bJrH6f6Y2ZoAzszrjji6QDxcdYEHDx0+WhnQgDdy9nAXBtRa6o7v4tMej6 sldhEM6B/EL/abU2ymcthc759Tig/q6TBSGvkydKn1aGYUDD6fZ5gQZCG9c9AjuJeQxRUHpUBSTB X0FGcB1jVW5S+E8f/EySNm33RcDnqGSBInOL/MTAggqJFJGS9HCqVKAYEmvT2d0HUHVv+sUkUIAP xRrZSPRrHRYOSxq2wQ+BcIml8CcLYspAK3FFCI9fBpJC192B4c5Gvl95S/ptAd1/jprK+nhYrvhm ZyIMJR+IN3ttG1qkP5Fe3CW4fRHj6je/30OeDjYfYi9B+VoY6fmutpa6mJ/xcJ1M5ps8+Jhoi5rU fU0VeEH8blvVxl2hOnA887m460GXKoPfANXiIg5huYRnkeXwD2kilKC65LHRqYYfMtl+eb2w27Eo CV6wN+RO9pw6RfrCkW7lDOFWt7rftQZJDdxedwo4tNTIJtDvCBxYCn16urWpQY4r8c3TTSA1/6ai z1THcYmO+1LBLN3oFI/MTk+/Md/uz61lJb/ijZoEBervG+m4i/J5iH3Gk5+vxlmrHC90mAff6Uj4 7h98DJMYM+ZDyg+G53npjciXUCQVRl07MfuxaCNA8J4qMWZlp6RIg8ZObd05RbTygUMQkta+0KtZ TUUcRtEOQsYKEsclR0R8n54rBOh3Q+NMnBW2/0PeG+ZBGL8DlZgHHuIwkmMd8fVnIuJoXbOqfgLd 91zKWpUx1fJo2lP11oL4rHW7Pv+IjpW7Q3PBzl93QX14Q73P27Zcsrtw8zMgN+IuE9Il8yZfwXaH YheYNA6IbMBS3c4iaUjks/EkOU4ghjDdZstRS2JZsXMgcGD78F0J3LzkDgVHzh7kz+pw5IDnp9+B 8uiF6OmiyzytXAizmLXVzcaMAFalj0vcstnEeAW9vRSzldwtsa3sJcwzcOILt10mHDxoK6m4xBwR +ZgFtUxLGJnOb/sTI3cnW8uhsn7IoUlsmMhQxE4penNVvONoD+yj+xKCROBy7X6wXSStBn0DSqjl KMFg/zSdGENEYXiJE9R8mHqt4xG/NqSeBFvbHhxbzdqerZJVsKzZrv1oGeByvm0+HZyARZSlJouz vVlsKeKWTxF/kfGIIceqzf2FpDcTeRKGBshbiNXbR8D7qu3TYVgqjdpPT6YO6D1ag8f0yDBq8evx rmZQlEqWuFjPfGlPDJGEbRfLXjckaapGM9c4iZ8S+y3pke70YwNiaOz0f8sBB71UtL6+ikDMjEw6 v9BsgCzuwkkpnBFEKuFS1FefmwJglwE/7tCf0N3fXfLGLZ8hp/RP6psIeXEXsVrmQmrs9MHvGZ08 ilTwPLAwFwMUS7iBUzcmzgfpE+NvE4xe1I7TdeIXjagh9aOCjDMe1UkqIgcHPKYF7oiO9g1MHA71 XRB/xkiMPBJrb4iaPM6teJcCKq3LP/sM0XpCbMOb4Ehr2qKMqdOa2MPLt3bC3w9ibl/wrh0wMj4G OqfZvpGN8c30aOqQnjVMnL/EbSL0EKyKGzAF8xlvUWqbcpKcsg1heKL1gdqJTC3BTcoxJfi/YG45 YHC2OmXQajFbBXGOj2oVZQ0Z/5S+5zEsgF047OCbnqnF4b7+FA04WoywvPXKA+iOz1V0pdVcddnv Qyxuh7dEIS/NZPtn4CwacoO7j1TR+DWQ6VrrJ3vPFgwZgk8wpfa+PsP7OoAo2l1ZQ4gZWA1qf5jb lLRUEU0jSP8yV0jGFDzfwMu4zP591zDAVZplL2f3jak8WqltFGZh2cU+HbEgq9eVsX9PNKEzQ5oM sJLvJ2X8JtXOEsYWTN2jBn5++cG9wlLZ1O0JBJIbxCO5NNzfFKrlZh37MRQ2LnIJXDNgHKpf4eRv T4Gz72WWgcgcYEM1yq1tF6UxN4cauuwhbFG7CWRUgHD2778s0HX87jJxcovG5XXdzfs9Jtyvxbe4 RTh4/H9FoNgpFH0Qju75jBBmZhaOe84JWboFwMEH3sK7ssLDGRi/0ev1t4VBYGgFrfxqZH3bY5cS WBGKsxFP5Ewayu8TBeh4zPb8Fxn3NlqcONxhHpPsEyrTR/tvlN37yhZg87H73pTJMY6pumN54pE7 kn4otYC56YCGDq642/jWQc+zFhz96Q0rin5g3tnux11Ph75UrqXmgWnk7u5mXWR7audur/qsqwjC 9wLg3ihTBcBAiG9TS4bWVTHNtLC0b4JCdXEhOh6T5NZN9wzHMgVqYcl4cKI+9LsiCMolOTNT8zw8 katR+ARTstcYApo/7rbdlfkWhZTuPtSHTDLyH3z+nvfh+l1vfidw85hFKlOg8BJoyEdsAH4IiAvg CuEsKnytlG6aB32+3aHwkHoN69KABu3VckymGV3fRRHoWb5JFkEISofqeOEQpPPQAO7KWFggb4dA N0d+tNwm73PC3HFoquqmHQvG2sgvMTq97iNpInh7w4zTwjF0f/8D8tpcfY34+S9JnpSAOu2Psbt9 NgBdsA8XwE6zRTi86c533Zzr06nFzwfmX7CitoDRy/8bzHS4Ud8I6foHccMKwVUB4JnQTwJ9BQs0 jzAlZN1HmggLvRsAJphEFWY6t2t8bA6eV/uaGn6K1qScAO8vRIrEXs17kpx5tEgYwR0Il2+kv5S7 2INHEn+i4Ei32Y1qpGofS4UoUnv5fq8zmZz2IgIvrq9N95739zkJ9YNLVDAzR4RLit7xS+1JQZer wm7+DNUZao8LU4/DzmsYogxWfyPTo+NmsjTL59llCLctA8AGYT14xS4/GZBEEmV6FZoZfoOBQ5bR pdtIC5h+6tKdQZ/k5z2APMZZ94bKMb5zECJ3vgLgegI79yLfN85E4AdIzi3c2Ck6Ja4gO8PHAiU/ jbReNayjQvZJ6gUVnz3NsSD0juLXlZgeIyIJkqeRy/ukcibA69VVqSbKIa3+eWdkMcH2xH2Q5aVv gDaUkUZJ+ymBIEap6yeoOAqXC0IIHXYlRxid6H/0aQcZyqrvrSWDrv7i1tzi9D70VEs6kpBdRGM1 6usX0gmWXZY7f+Sxu6t2CNw3QVQP7+k1tE48ef9AAhg8JUso6Wc4kNeeKVdZ6rlc2+EE4TNgg+4n /JuJAfIt1j6ozv1zPLf0uWEV2L6hOniLT3yDiIKiSD+XApOm1kmZKqhBVPgmOpgAc+T1gc1WrgT7 yBrJQ6egfsMoJXeJhkxkdFkHSqRPXHEZf4jo3/KrtBWC+e7ubr0K9GryvLM0K2PNRVOqDTtq2wRF n3RK9Lg97pusbbi4rkn7aLIn/spTmslVUxAnMGfkGPKHDyrcj6cD7Yc7YU/qD/7Trf7pxZ2XzC2L rDM1VG7BN5Nbd62z59a39VzAlw+uFEnFuMAdj4PkIrDGAqZ6fm5F7C3QNuxwJ4UNGT5u51bLHvDR o0AeZluiAeNDeHL48A0XnY8p1apUt//2aMRSocdQM+Z37+GLJzZhRAMYo4OE+59bZVrSEPPYcWoj eJUl1lStm+FLrRuTIMUxPWzDQ8mUvVs0u4E3NErP2sw7lG5tUX4Q+biNDvSugcJlL8w5e64HbGPc RFt2CqgpC1828MZgZne9lmsRumMON32WwRbD9HPMz8eBLZAQLT9bD5kPt8Xc2W0zpvhxZKDocRNc 4rnDkR9CAeai/26IoqOhB6a7p02hed1RhElm1oH0olKbBIh2vpZcCt64dTFPHIQhsbU78HLrXFul SWSzewsLTG4ltgQiwOjnhWXXZYSKSZG9H6p+C9N0pWoQyHV6JVwwtBaqJBT5wV/tYYccey/oKr5B LsTP1rq+7Q7Z/8GcHyIjknSpcDgc7TPMrE22wvWt6peBmei2AzI5KWR9oo+zXLfQEXLigZ6O+3zI 2PXnwmKNyhHUkkqxVhkxU8tYu0/998sW46l7j42FwX1PVqeqp4JlnjPYGOZzrbHyxtW9QjuIBJhm QDqwUvlaIQurWgxzhLoQqHYoRU6YrL95oyJVcSuzBSnjBh/fX9S+76RTh4hBcaBCoCvul7IkFtMM d+HUkaNjS84gO9Q1eAoAyDWDPyoGFXjic24AAqcRKatANsDPL2CcRR2PejuJzc4NIPXjCgrCOywx jESxtRt/SkQbfyo2FDi22S/+Z23GlUYyW3D0c1YllQwZ1iweRCzeM3fMpuVzVCU771ZBeU/urpxj ZRYVuWIehUfutnTXl4FtRKYlpCgCxip42iVi6EPGp8gAefR8HCQC0ttm1vlYrecEAtSjWqj0Pn9X PbgEfnS1Ye1nC95pFlj6GFsl9XIvLAsj0Tf+sYgl1xkRbrgjtY9kC0JJPNnyqYDEdwN5MmHM4hh/ n3RyuDR6g65ZiBw4VSaz44cgdee74RB97nL/bKU0/6hOjDRSVbmgddcm8+jLwjRt7O3bhKH9FaMx 24MBpQNMHnGVnplM21wtuXsxnsSERXatrvBCiM4UMlaKw0PLOKVhANCLGdNAn9WqVeN6u8ske4ds g+Y/dU4ErBARo8+v2e9vo8+s09MnvlD+SA4sVxtr06cWFuqXOkKhNw6nt9eL1zo9anlvHMlMKFMn lQ6dG4/8V3k2/8v3JHq2y9QNyhgcVMXgxXVk1M5aoIKAjIm0s20yS05lDEI/Eu1p+CahE9MOn0fw V7GuMQ+CHPYNCIHeggwcpz0R5sAE0EogQDptVfPUbLlInO5pq5L+HRaKy0UW49Ky6vg33XlDWJux EwBxWE/Tyy8zIhhmpc4d0xQdQL9eMs+92zwHdulTtmcXx4HZT8ZVPctZwBFfDe4Zgjtr63QNgzc6 AHXt+BO2rgJaF1QMEx1gm4SVYYSTsbx8Djv/oWp0KPHuyP+cg6RtWFUtbUYq/dQdUWmzirWUNJFv WTGEpvNSONT5k99zuFCNtg3pao4qwWcrUBQvFj3TNXX85xjd33lAkKJLchEAFZgwGZ5uLIaZ6p9f Jd6n5kuJWyfGQI9dWWrkxhkFuXDz2O/AlXp7t7pf0SKldI31O15vz4sTav3TOJazTarGvxkPsqi0 t0P6Afd9F0djtwxWvhSsmZQKi9vfTetxQBlRyBdWh35uL/ucMhw1DQ9S62SBP5WPyNHVUDNbS6gd fZT6MpiwRcIa0hpdnZ5n1Gp5CYJ6HeO/eOHJf5Fyh4g0KbBJseJZJzome+J6V3OlQgt8y1Sfz2AY 7b2Qk2nwdfC4MsEf/ElCdIdhxEZJyedbFRYSdNH3SE8ggjiF2QM0xSXUwtGx4Qc1AvwKdjoZUtxA yTHcVEsPjreK5lQyPzozgMEpRppGcxC6b7bmHi16pyZvyVanpFpsPAagVejz3q7Z37OGhcyTEfL+ eXlxi+3D7Q3fmD6RNX/l0ltyY0/YYTfo5hKzukFOS97FyM1BsLSkrivOJaV32eOoGGFsmCuH6sQ0 DCDcCFwznroAMuSXPGE2P7Guq1wX+PyUn6ld6duOuzCFB578tIU+JrYPn1C1C/FbbY4dUz3W4NLW MOU1anUl973hyYpwMpPr4elB1s/bnqlxaPVhWuqxlAg4U8YRtAZZZ4h67j+/HKijWp5R3YDAKMhR ms9kjO6WJ9ToU43sdwTi6Bt8EcBVXVxTL+3OL+NmGZG8tclEFGPGJvo3wRne5rl7EGOTEsL3/UNI BVLdTGb1VXtu9g/upW0wFHMYNBDx4C2Xs3LJYdKCjaoyO1kc284P4rskqCP8eNvVGcNhYnPysdYJ h69Ve4Wam9OP1RWf/JS50X4im+Kc/Ci+bfdyEsEMu1T4mlm8CuADUNAfDyeP/Jy0q26KLSViYbfT CpEgcBekCt49cS/EpjEzQN8HC+0ABuDYQeWflFJhpM5z8n1/IJEtHNO+4i+Al7OhTFbOkPD/Sq7k FG3aCYDW+fZfetvRKakWMVAYlmYRmzpX4WQgEDgxfaXQ2IHtdy3xRYKc8aBjy7QdxCVNoTN9cuFM K3zGR+RMAS4zPEXuQp2qFcUthIP265d25IK9nENmU9KXDfjrIko9p3GgbcwdZFrg2H6gi/2qiX3C u4VJvQrW0QmNKmU6gm3lQwcrIuk4LHgY0kVSVSBjTg0I23C14QVFjrlpGBSGkKXzEksK/xNBcRk9 tCiE4JPTla9eClHFok3b2x0MuMjcbvI+HRJaO2rj69ThwR2sPSKRdNbpQ/Q/pYAPXz0Ej20glUqh aKh83NAlUdzxEOFY05b3eGMVRP4GmO+VHQtOcyXwv1TUJU3SoNa0KbnNZxd6sspIbQwDHloVTw3m oCUpHN/m1CIzfOKUguaVyAnZOMsk3+mQuhsPwgq+2OM/3dnT7tmXhHCh582G718dFN0W0cskA156 iDtp7cpADY64xuKyY/AEnhgc8LAMrndnH2vLCwke5dFhtZR+tBHkMw5ZIxFl7UlrmXNPNpGg1HAl 2wNW/7fKKuI4+r2Saqo6Gxt+/Y3iOWvxj+jrR2l617PMqJqP1kbFfaWKAMz4ytCRmuxUGt7RPFAm 0+gFONalKBtkOiQILWugxcYtvEX3ylplna1oozYonl87fd7UPvVdIr8BH205t5jgIVsMg801hdvI f2Iu2KIIPlICNdr6fsMF+xhEg9bMgsNmaepYiTcN00+PPfm4bdwjG/bCco4QolFzhDYFcIcmUWEM 5QDxok76nU+1/SZt15Dp0kY3ABBvGf0Nrrn4w9I6ZeIe5/iWpIY6Iq/iHUjgc4lHT+w8RWLOIHbS QLMjwpZ385c+YtF1tVyBPyv4cCNwSIaVqU9Y8hBlUxtBd/XWxn622Tynwg90IvC1tIYv+AiJnc7q HSGnG5KoCFonwxAvzGFJU6OPyqTsNjgKQA+qEUmNqFR+VpyClNBP5CQSSsDqT2Hx4Rusxy6HN0uu +H4pH95YhEzTu2ww74RxchjG9s8ay1YTxMs35mRujUMSc19Kl5U4b+oAxRKnbOFT/mxSYQhhFMPm 3zcyEpQ8mbaMHAVtwn3WaueMQTPTTAMtdLC8VG040EHMGWYreR94ALHdkEYrkIrN+a/c0nRRBO0E f4wOxZtyEH/1N6Iq8nWmZAo5ATXlblePtuHP7yNAWej+stwbTPZlPLkPq6d2OLxMb5vLn42/2/cb z/zDaOZU3ywffwEOcWlDUFGWC9cv/pF0qFC9NwBJHTXEynYwaFX2jRwBlNYVIXFRaA1VNKVGCX73 rqU1AkdT30m/aIH83UDwjgD2/qi0nswpYycGWwLvatvcM9W41PQ/eAxulG4kydEkARqrhKl7vIBX /noWAo6C2az/3iQ4ezuBoUhRM8h/nSQEz+E4aPdjRPzgR5D5RfFr1o4Lya/rvkuAtMYKeGwpmzt/ OKoVWY2jOsA1jkSYEocGAX1RflXKMlxQOqgYk/AbuA4rcVIsbsaAbuc3TxGNGfJ4s9YArSbvVZiC c372PHpBQIOONNCI7hSonCfl99vEISc4LrU5BdqWm7WLoL5dnLspUFOe+dCWa17NnY2o5YcONMjX k7cG9BeTVXd381wR4tNl26D4zjVbcxdhcT2xKVkgd1MlJATKu0uWtlKIEYwv7FA/ESiDCv4DgNWT DJaLrYqNZ/zwGNWVIqd9YkvXYBotsLix4+hsE2nRDS7UBLAG5EMO4yrvKE9LTLVq/vupyEvGihI+ JOD4UrzzcUmqUoIMB9pK/1Ac9tJ/qCkaWr8V62VtsOvxiRd3RsMxvV1VHFLE1cQ0jrszoOKtkjqb zSyY8cZ5SMWu6sQwHtYiaxECfYodmyQkfDa6/RxzCCf/ABU//ihF0OGnsOyZ7L1G8jWcFoo1tkL6 0Y7oh29AwLjZ8OsepbyQC5H2SDmM7pvcPeLX2Sm4wmr0ogxhvXzrgjvlbqUkzTqI2XtUG7wwEuwi xRcrvuHrZiqIfbDoxCMV6pKgxkuGDkvz8PJzBV0oh8ySTzbUN5eGZKmdCT9ndKZ8FCtRzFyfPBcO R8HUyTb6sa3OtYrdkjRuA/5Iq1L8IBprGOcM+Sdb/96w86vY4atr1xAcI2JArJZ4NPxSZ8nN/wD5 r+FcCZbm1cKFD4om0cZf8b+fAPwmoYnbNBblBuR6aV/MvnErhmn1KS11J4tTfIxLGO24USeF7yaR 3K8MouHut6EheeI3kGTmyCazY/3ZPjWBPUBF+KCn+RNn6vbEbSIzIbgHer5/9X45hyxTSdWgZATy 7SdCLJtY7wsdEbtXlm/qQRJaxEwt7Ih+4cpJh3aKF4jOZ+og4XGZ1N++v+P5ykzOnvxPozmTZeP1 zmpmH93kxT/EkrCSvwDAy9QV6PhEOPG8Z8+DJmjNsq2BifjHL5WGzQ5kzANzYKt+vLkZAmsGetmp QrLqABEUKn2C5BiAs4oIpC5A9SNaNwStXcvqJrX2WZ+RbFWJbBVWxdL31yLR5WNcwRjuvdvNYvoS U8dQy+2j66La9TrtXvsZ6EGVmd0jIMajtNxOrEZrSo2ywRuMpFilA7TXSLC6974su3PEWRWO9kO8 YT19AmK1DCWmTLcBx4h7dGdroLu9mad8PTZP7M37Cg5AtnFF99DZPonn5bfr1J7pph4GFgpJhhiW 4A26BqUtGYGPFenMgKBHj4mT5JciCZTMR3bNkTONsEznCRVo5/Z9eBItrtWJSvLqssW4MbrE9Z8A kE1QIROjNZx5gDtil9pwqjEcoyhC44vuwN5TsqPfbbLb8LRBJQP3G3dkhFAXYMfZ/gH54ZxSeVbR z3jX6VVwcF5ipXbQr6ZXM8PRRaEdxgNKhJnk5YyUNaTTSYDNsTTdJV82cqqaXLru9hwDfx8PEL2/ fFj5fy5lNhLTGTLK0sN5syazBF8PrExICV09AyM+P954wpFuKqhe+Mn3zIx2OqaUxaf0hqZg3LNT 0gC8K4qihKMDVp6rcoQqWJAHNWw23/aXdoEvQRbAcenfv2GLd9Xr74Ft83mBzhuFL6oXO1zdKRkz Yd2d9DMD/4IUF5kZaz0kKRYYLHLqpjk5EcBIEUU4COZBPSFvm0M3QZjcb8bnGASBEIBCruLzBH/b 6kcejE/+YyMC8xdz4KEv22NdmnmdDNoaeOlmR+Wh/3+8ctO53mtyIw1NVE9ZhG3C95m3OZEE7rie hUH5YUe/9ggvTY1Vwm/xKoAZCnEB3WHfvgxfKFHQl8JrbywUqCffFq1ksbncD0g3Ev7RQgjrylSs 23097qA+ABtWJVKu8yhVLV5fS9R5FCDYJMbXQzZ4FTifdug4IR37jH0VWzkDylpev6CYdFjlJYYd lr79UULnFiLhBIf4PXVleZWzVfBqc9AjuTvNfK5ChnCppyMdb+T82sI5GlG5arga8Js11qqXUxjo F0CaeP8lC9A/kiNeZZtdPymejYB9lOvcmU/JFYnoIjHVmbWzkeMZcPE7fj7qPk+g5RM3p74DjcZf Q+CT5moZymnZutx3YV5R1b0VckcYOYc2DYbLt8nr8Sh8z6iKxYypI9vpOx7ElouSnQT+aKXMsCk0 S8OFulLu13bJ5GLn/QrPurY+BaDcNwN691J6FfRQk6/vbCj/gloCngW1AyWeq15CYGwXRuSMzm4e v+uUNpCzAkHonzfgfJ+NB/6Y6nD3pqYM8k9Idzu7pb55e6Uzd34YjHM4Tt3rwi11RmS2oLteMkG+ +ocZ4YlcuEU+2hxr6LsWiUwJbyJpWTcygONPQdmslzMz2jPFpn14DjjCqGZiJIPtyErmE2e2of72 JDjkbPzTy9Sl4yVPSAEhYU5fcMk0MLkYplGQITDCHaTqkfJnKHs86BjrPNLn8hQRnRYXjbxjiCJk 4psuyVxA2SNz9T2V/oUMoCpeBZHi0/Tei7NzijjC/k6JH8lkS8RWWY8Dx1aFUK29HsZJS1y1+w+Q B/mEGnZYZECC3Y4GM1sDVauUpjj6RMT1QhShhtziN+WA8vZyLkxxRbnvYV1OKwfn1WbFUqp6yTy3 6778W/uehASnMoXy+if6BFgd5iAQaS5iEmaSRxjouDvK9M0zTE9KYOeo6bV3Ljm6i7bNUiK5mgIZ 8eL2q6sGcMAxnWYqQ0JJtJVksYz5jvWUQ10xCTIbbiRXFs14PqAUfjPNMTutgqXg6ezZP9d5QDBq 1RQnzyGBGgIIwCKYwjg25777dnUlB1zh1KLp1GjbYQyTnwAfqt/GsrQ6p6zUwgbutZDRf5z2Sbwf Swp6iropIaYZ+ZYxsEGAj1/kNQJnDXI7vhvpXXE+vB2Rm5sPQUcdorxAR1sqQb5oAr79vdfwZ+B8 B1yPLLJ5qOdcelMMfDfzi5PGj6SidtSgOtXsZVwd8RtlkiWZ4sPIxLZ333VeHa6xGFpMz4rKyuQW 0fF4E2JmZVi8X0IwbobC3KpSbid6b93PPDHumZS7g4iuxBbG9E0qHaS5t20Ym/h54vdYNvooPRkH h43PaHvX7+p54aJLD+XtOg2a8IvON2BRB/IJJPprDs9bvcGnjBgOF2EHj5kdK4zQwagEB9bH8fTY dNqxlnWtQ79PPGARl+1HymMWwiMID+yUWEifo8zFH8TVxYKQ3Wjc1hQ8EBp0pstcJnqIXDx7Z9Hd 9zoYmuuDp6xxTZu0nvDjp9oCBfaMix8UQ4NvUyRuGbYuGw4gmJkU0nQjzRLoyH3kmMunqv3c0pZb iNcDadg0yQOQ0YZjzxJ+9eG7I/cYMXS6zwhTQ2juVrtaFxX/YhyGaB8k+cgkZN/9SWPsE/+9b4iL 5rzGN0QRBiNzKRy8WilAA2Uzn5yLDdmH7iPHm3NEsdUjIx981yxTZG98R/cF2Tu9eU2AmmBzYrAU aPE312Cmt7TTQJtsMiMu7T5JCGh38uNiyJ9jfrucS2590+ntu5w8FaXBpcpCc8pJquJ7UtfDipAf +kcP6PET7vFcugA+gXDcqNlWR3bkIvOS4/tsXLslR/VZv9N5LKvMzaGzHBDNWxoDE9rHrQfPHdO/ cJjyyjQK3sg2BLeIQwgLP/If5KlSFIO7F7mSEf+s0AZ9RQqbEFLsP0Baa6GmGiuH/y4xWeGWhZL0 K9gWPta8OnIh57YX+8swlJc0/bZOh7J0GqqmVD2FMQcEwaCt8iJgenDgUAeXR9AUiV7irY2I3U9N owYM724UxyT96+vL+sq3hxnVbruh4gkFj7Cu2+ln1t1VfC/E8KoMl7weUd719hPMyiJXDkyUGVNX d/UXHGhgr8CpP/3r/AWHtxEVN0+jrh1mlxQgzBKajlSNwIxRMSQ8iTpODxPFQ63k1phW0cSFOHMd mMvq7YMeTN2TMVNzCzheVuO4o4J8oB1COmwgY0NSHdXi8A0l37UFGCg7CT0PtXN4Zbdf1Qka9Hmh VV1Mdc/Y0frdclmJHVwNMhs1b10U8yKTCB7Vyp3xygcuF2jQbStCn0Es3ByZQg2Jv6Ar04KzTunI jDgDo9ZHt9efzEbliwwTWFsCEFtkYDR+6PIW8pMA+0Dbl5Mt3KwsMCQPZRYofOhGAOLJuZyVpAN7 YRPakQI184Haz6pQFekZfv58qg8y9Nk4aW4cSNgVkJ9ZAk1x1ESIXILUZwY/5g89uiAdVOLuNzx7 i2/jY0N/CjKSaxnrfkKxOH8eZJWYB/Ja+QEE6sYvZG7PWtKJ7fTfnfJT6DvWtiawQ8UfCjfcUvwe a98OmH7kZCxsKyDSEP/gx+T3lYtjvt1HGZx6AMtZpxxJNMnp0dQixtzppBEcincZP0xAJFKKhLtg zustWOG4ogeHo5BCz4Hh1TS3VofWNASzHSTfr1SQzrrszsWJAFB6lpRFVvG+pic1CiWQ+L8S0v20 xnul67sNgZ3Z5GrU+0Ep0zYnom8IPzp4MOXzCcuTDTC2kPHUJr5MvPJXUPIbnVflldaEP5/h6bCQ UUIeZ+B9BfgVHr+OknJKXvyzxJ2CxPqIm/h3WMqX2/XDR0+/MyhLejDe9otDgSvebEBD4AwvaCOz Q0MjhBwM9xM0oM/aYds2SORwAzMkWhjvramQi4iGHs9cR9NtIHJYf7gFq85Jq1/IE5Ged1EQr4bk NJfjllSORxeb6/3eNh8zmAn+6NsuJDoYuUQoClj+GKaNwQ8zDlBFspCccPFFI17/IM3PVw+kyMCT LlHyyrZ9FjavSD5bNJF7Rxd8gSd/rbecNUvB8j2ZEZ6E6FsHTTcrQ5Y0Vt9S8ay+xu7XfLMIaL0f clGiNfhwzC/eCY2puKOHrfq5d/eaxOhocZkO+csKBJ5+ohoGg38yiTVOrvDRzI/JaBRU305OmkM7 WofHDKHowRD5yK0wg7KJX5+JhKV/kEU3se9E2z8ynNz67q8kO/yIYJQ51Wdb7QqcMrkQVbldZYSd 8VkeT3IxOH6Gt2iJuzWOzEueUvBFSlqoqiTdW4Mp5890HixJeZE4m6X606LcHc9zGV+lxjfuMnFy 5UIYSfThXXjfLjp1jG3FWzCCD0hNWDw2NEUPrgFvSIFVQdYJYe2Dux5c/lDHflNiODUsd9/PoJPO FH3GyvjAnYhB599tz10L1hg5kODtisvatQ1lK7966AaM/KW2GqVhrZQccc55rxoqSGfLZ10NGoxP GkvIHUHdSeZCE3Rbmo1HkqG2oVCzttDmQMQhLSpXJxyt1uyXSqb2JzOHLrQj3A/WbGR05J9TTeJV zRX+ieTRZE4ly/bsSFSF4OFciCegtsOzAIBWD02YVspX67JJQCIzRKLDDHwCoSaf6gSRuXJZiVQ8 +hK9EQgXnLS9mM+KeUn8fmjW9XjaqjbzgJ3X6YKHHnMUHxcdKPHcrq1fzRhM2bzoa8PYmqnplMgs aksUWdL0NeM2mxSnAbxM/ER35oI6b6GeFEPcpA/K624qYQ8/aNamioVgCBrKxbBu63qDCgbUEHua krwsei/pVKkBE93VRoda8CRxEtlO0LVm1nFUE/YBgNJ1IB+x58KOKKeMVLDmSFr22SAkm1e5PaQR Ctbk1mj+aGMwQliEF52sFos+7d0v5CwP9ycoXnBqyqHzN8XTL8hZYCVYZL+o38lXwxACBctuowgZ RfdbtRrqsxDU079cI9FFUpfcALFZiWy6JdL4jMup7lRjZDZ8bRfD2l4SbwE/ElgGJIH0gv+Itog6 i9jqo/4HJB5xBtlLQ1n1ojomu2qr1W4LCnFAUn+SSNXgu49dwrO6fT0Lu7hRXIuo2lZ6cZSmuOyv nFMPV0k434XDsAJrllzaVlgTOdIxatRu29pKvLkF1zIZmnR+a8MM4KJDkwRxZhd3AV/pM5bFkIBe Jzf0gSydCIxepEUsBlK1Sr9E+2qMeYUGaL1bnOMPNC4ynU0mUreCiRBvXvzAI+/evBwJnMMZ48xT OiuaYiNE7GZZ6xCiw4x2AcdsYzu1pJ2mLjBzlUWq7+TksamWKo2Y4Guzkr5023N4s9qSQIK0BRso lUhWIo3tAr1L59AUyE0yiTFNC9idSeWAAUa1GrLOP11JLWhnJUJ62EE/6wiH3D6pSFBcvnk47+7T wJcXoRGbpqVLReI8h3TH/KbUQ9k19avbseuJjhDvxnBPIeOoyr3Ob48wimXC/3ZqNcP8DoNiYqY4 yxbkvmNFPelmDT6cIZP/9bTkf0hR9rOGcsRnvAp32+aSeZWNMxyT+f2EzXib+PNp9PY9K4vmhLTC kd3sqnw7wOeNNcn3MQwCTGhgUSKYG0Lr+SiPWIyj4KvlSY/vIBFsEsu9SkSDMN6N6a8QN/2be7wJ yU5eui+hLJUrS7fbWzuv3BEOTEG1Nzn4Il2dHtN6kPpdRg4ik2Ce5EZTPOzH7sc7Y5TGhU0U4BD6 BDiqMtn3WSK0zXWHO/wRr3chkIWCghuo+hy3daFKKe/5hdv14l1ebx7gJksPAopWtpdivjqCAqLD z680C5RE/OvqSSgx01mbLXPeykHKcgJfs22GJn3zyVpkFbLXKSHNS8H09tiAJOUgtwAk72GvsBwT GMzkPho0Bs+hHujrDyyvEwm/LLI13uLj4DCxJx1j4Hv4gIrAyLDlUyTIUtri8R1GMs92YNhvqcPF j9WE6ttQKlYc58uXoXdNiXjnLpkpkl2p5jEB0eqr/4h76beCSxYslNpUCLF0tHlFTQMoh1IJG8dp wXdPjlxe3bQCnoRzucd6tTl4rIERu3atH8lr0vyCKPGcOL8MKtS2wwsgDWjy4/Wfwy37MPVarw+p IOpgy/z7WUWM2jJximn+9JG3A8xga/RjaEFK+nJC6Wq8fre9J53krdV/+nNWy2ArONWte5R9LjwG WgkH+17LNDxjP61HyUUgJj17ij50hUQ3+m+Dxq599BWI9kMxwdMdcV/zK0n3UXsiRyy9EsgAkoq5 2DtpO0JUd+txu1yoG5TgeWzna//bSRJd03/42SkfiFnrwXTmpOTQ1Q9LHHFTS4wgOYO2C9IG5nLo QLqJ2zml/QOeutPpHJgXWw+yDMwG7UWsVs5yJEnkBDHa/+BoCm/1S4MDRMeUwA/ExyyZ+b1FVqTw 81cfA9/XjhaiRgdAVfRRsAo7WPAtdGwK0VlYNevX7ZNszgiHfiI9ZuZX2p28FGDqhOH/q6Udvsg4 vBZycgOBVZf431gXwkOkyZbR4NTSZ7xYYUXozvFA4Bt1xSzRlvO9hU/nDYLMo2YKaQTuzAoQWl0N gOJklo4ykQzvsjbVwcEZ4VU01kY72zvlGrDex0tVaXY7cJ8SQOlF2W4PDUsgdidBJX9HvJJG0Q1l DiX60Eyo/8IpDqzg51BfXcg6lHsVQUGN9L8IAKquGvSfZgBFUzxugdniBozSgAGi9VuZGVY10uO+ TQqM+u5dLEqUlQuWDaDghcg6KwShdssn9hcCkXkGBWzzQPCOdXuI2vg/E+7ZZPtZGxVhOJbgk8et MrLy9w3aRdqzKDJp3y2KNaP3Nv/xoJBP8fpTOfSgHvIxK5/YZnGiTVPOItEg8E0FMbswqOjl17aL aSHCNGZO/qRp6c8wtGoIltX8zY9kspvORR6i672HW93dNQ2jcz++ir7gb76WCGDbqj8e1tzPATcQ scL1aBCLQmrYUhyNA60R1XoF/HJU4+NtLJDGOKTV5bvrJ0/EyttE5SWsZY/uE8zoGU1iTh9CvXCM 3an7RsH7T5wOsfVFCk1FXJOMiP0ZBD4Fad/2gmA8/WJMNVNpcWO5ABeNflVjw0oUj1OUZUB8Ye3t 1X0u22z33k8pWe8YLTngFPzgzlHtbjRdi2V2553vwdGS7fG9Dtu5ESvFS7FNciJl80Wh95dHzuRP inGBPNHeZyx0syg+meYYUF1Y6rXPJhFdl9PNNB8gch6DZPb6vM45wfTyUzEoS2MmMnhmrVXwNnLL GxIrMkbvDD4VXOXx0oDAHCxZfBnsuPKdvwVzWqM9sisK+AOatwQKrSUwlHnLA9i8mauvS4oW1H36 dJEyB74aRIVaQj+qUi8e7XUvpe/iSky9NQj8pwXEbUb/YI9O+/9xi1MB7L40IRv/FLEvQMZrWYJW zTK8h0ds9Ytwx7uzajJ7JZ0NVdFkTTtu5iArQCO0LbNYBRBoDQBdrlUko+zgLZztQzPW2Ch3XCP7 1XdEeRyInVSDpslI3G0o8rsGWz0jx7a9xz2gD+qWhUeEaQwv5RioyocA5PXD+aTbRhyl/zo30MF5 v+Y280+ZkIz5bZyR6HA1L+TX+XtZ4AJJwaF3s2iVUFemJkQdQZt1vGYf+8VDjQpF4aU/eGUDU03C cSdP6VLmwr6BxYmRWmjqnj8yK96DB2C3WUmoGXhuvGu4NtudF77mR2/f26ezB19QtZa1YZ1tVoKd NE8SKgbFJAoYO86uAB0Fk9hWxvnCqMOuYqGG32NrMyOkiyUT2vazo9/4UdUMBRvJu+tjplcU/+wW UJxgPBTYcvn6VnemjBZVOxHOxrpYv72tOuGBm8z1cGKipem2erqZctLkqNG88WzNb8xk01YjN9pi wQTdKjugy/+u+NAxB5PoD7KNi0XTgQ+NkypnOAWwkM3oameRRJ+1brv3vxEaBC43EOPV75bZauUU 1SYdil3SldgS3JX6Zcuvc2+AMTHah7Xv039RrqoQV9nSIwSn8S/qsNhae1imOAH4GjLIc3I/3Ht5 BT3Vmg2s6OYu0Bd93oBGhJNxl2j9ftS2NmfroT/aeuxgnEr6ZSuXCsgBdiQ79FHRaeTlJ4fn2rua iKUZGk/ZeggSUiRFpKUSLORakz5LpvDxiiuOHbbYgmSk5+fv1Q6kRNZl91X6MBxpYfUKuOWD26o5 s5PulHivYG+SMXt1uK8vOj2WGEQ87I8OCnKvR734JtfcFUFw68vkngm/tpd79w5APgMNCUKYMbRQ JDm1LZz0llUgpRriRJKG+BqmFnG/P/9PONiocjjHgLKfHeHONEtQTut3fXyni3JFViTdWFTGH75M RYGlt11MFrANF7Ss4RaXaUAzwkNF3tMbKDQaQl47zcApTWKJX9FhGhX6AgTspxnh9cgfdhUN0WEv cJozs3/qp0KQsI9N6Uir5Vr3dFZiahAt1EQ6bdCG49KAGdnW3YHcNkjbSAAMgvFU19HVpsMRYmMa QsGiOz5E4FU9P83m1EhzadDYVyLPwQi4xZUDTv3f+UgvenVFuGPadQUMFThNi19BgV5iK512FO7s eQx4rnjBNrS+oN0is6w+aJMG2YIR0YbSJVHuYoGPw5WLjzonMuAr40hKD2QQme0u1Qk6p6eipE5F JOtcZgWh4UJ/VLCsvX3k4QmHSR7B2RXVAizzUxLlD/+Vcl+jMS9EZ/h4NRUGOYC/FrGJH6GadHAw zT53xRKHq8y28zmLOdpoAslFUFN9U5+a+lMSxjZVLNfaqfK0Gc7Sii/AAw/+iROQajIwQZv7pxfb 0b3hGbqrSPkEFl06JUFjc3yo0DMBMty2bEclt+vxmxDTVZ3T8ijkji0qCCVJc5RmYrZVCkBrgaJu coJWOvktW6OmZflPTPctHcWtUAcNdnDkT7JLNnueUxeIOLIgs8MzNcLhexLP62XSPzWhOuTxoRs5 5/tgVLxxH09CVv3rvExOdDtMjrNCVvahxPXl5GtgEDsNX6NvL3p8teTuWobIwpudtRYU5cI2m+4i HsKEnptqgPBt1NV96yvUDEidZH5W1SDDcsQQdGyIJzPcG67ucjAN33A9X18U41WXj1bGwDZa7gbs gWhMtwEolk1Q8iJfnF715qmPsFkRN0nq+H+fbzmWA3F4xPs8JxeoPomLAq/Ep1JRm4RLi/bu62yQ vF9W1LCFsvY3U/Ke4eyKsBSPHD0kE4OHTbAbZDOZ1u6g5nF6lrVxgg2wL3DeuKGGoy6gyWQsNBRh vdGQLc9hZlW9bqNZCTMn0UHpmerHuo6714dRlc1MlblLMJmhRA4vI8DeR3rDKbUeOYQKT4QBDj99 G8Pin93bTE334aQS8lPP+r39sa+cGDrhNSj5qR7f5z4E5U41cBZ2ByAbGK1XhBrFbPEEJuxb+owo MCIPIuuEjaY246ww3fN18G7DBfqHGB44gYVisWvqR1QHHPFA1ilUASDvWmlapN7hwDOyNsh44V/6 01As4UThFM8jHk5sY2UURqh8H9dCmR3WwaIO4GnhD/1kYi20TE8m0uKbE5DslTqlaJ+QnaXhAH4S 9DN+KmRumLLbfISXMBAn8V/ilqD0/BoxjXWzBH8i6Lm1zQrp8bi0n6pZYsLoR8MCtWDv7Yj1hn7H vgfRy+LC1K7jX63S1Ui0VnCfvafNCMj+RadV4W83UnuQa8UFg2UpgCDnccvUKG1H8Z9bAjttjNsy NvS/Xy7OszT/yljXql9bmpE684X3okBlvK8dR0ocFqErh4aZehB1gjMJboszi+LeYRxEYJFz7FJw 8DmBDWzFBaffwm7H0sF6DANR7qRg5eBZlEiuzmUtnprBTlk4/UqLhne5YHhiSFpgq2Y8XjAU77e6 iobbnM9GB9uxwvN3vw1+SG26e1Xa0wwbRUc4PMK9D9Q0tKre9drLlyFOMSUIrFGMG6qziWtbMm6W xWvkBu1vFNDk2wSNKp2pOoxoLlz1Y00ePt6yl2inQNw9VwiKahrRdAmyBURC+lDLnjbW6bJ3fAUr rqp5gb2CxKVksFECCcvHyBubYJn2CrMn0dK6DzIyUDSSuZQbl05vs2M4WSEBqlyCwnCuJcTuha6W IFHlB1senpcMcYqLjs9kDBFOE2e5cnw1WwnY4nIfOey5AdQ0sIZI9asbIYPzyDyK6XS0pCKB6jBS ifQgpbK8j4K/etfNs1vf71tYut3U8JErJCwxBvQhPS4P4e178dkFPNvxKkov8iIGSg2pXxG51zMD xkw5/FMen8h5VV5NoJaHASX1EcBFSHJx6b6fJ3jfKhhM5rmmGXYVIwfUurtYYLsvWAd7I6ED94+R xC/x2uHy4O9OZhQX2Cc829CPUD+xUoRSrfLauRYofm4UJhMIWhlc/I1RCdo79WIDPglxQByKotkw vgoPVVTJuzMyCyRmpLMl67SZb4YKfKYvskuUwQCqrc4jxaD47Jap1mdSfOa6AnmYr2cg77lPK8Gy 7DUJfM6i9hq2CH9lzi0CXHsPYu8JFjvPtAvJVN6egtDSRWPFAaGX3OvtTtz1M4ltVk/MAvaeHXwh KZCSPVrpIideceIwr606/K01SxfI00EFsz6QN7jzns2/Ay67RR3ONCCgXGlwJcZ9fN7g5Ge5iwWR 5E+GdM/bdKQxAUkwfsGKhKZZTAo2DNGKzc8t9xGKpLY02yV6xpAqWXdNYajFbgdDIuOW/Q8eeOYs 2RpbfivW3ptokuLNIeAYtyrzkkQG9WcDRZR7V0aFMYiv8iDYwasJ3Aljl6Z2el/9iijKPdF6QtE3 h1qkmtXKFs+AnXnQb2kSC/+6zABF5yzKPIz+tpcN9TaUGBUfSxzvr26Uhq13OOQA2cN1dS3RCOVy +2zMoUb98s33tfXmIa4oHvwRCzfuJk7ujLIRA8y+tEBaxSi5rRT+tTgarnSVeTqUlbstxGD21hYm TG+sv8mQBoBsI/YA7cfehh/jt5x88B2oPM1fL0lZzsMIfFSckpyyxZ8YcCU+tdYgofzenNvAmoHn h1+WdjzVT7ou9YBJm7WEfAZS0H370gUebUe8ybvMq5cA1Uajmtx9nXnhnwvcoDHr+dvK/hz5vjeP 1rc/BQ1T6aQNZyNQpDtOQlRUN3FMhul/oLBpajzl0kf+k5BDwKnWGQ+8hfMPrFI9hIKYsTCwecvZ RNJLSlDh6huwBhokX2HtQNFzSmk+G9TX4/Es/Jvk81LmZFeqfQsl3U1XCoZpxG/awSuCOZd0Fo/1 1/bV+nbN2Y+UfGtLWQ3x2nSf7owJX1XE3UcLwY0fYa0M9v6C3XPhqZbIUikT0e4kV0QSLMs5Hh1z 99vQclvfToPIuT4n4hKcSxmxy5PRQiAuIDo12yLo/N1GMC0fO3uuznzOXEWvVOQGRilJcqViB6AF yigFuwMqwpZqkeki6D7w1TZ2ogJV/hItO4AWosSz3TqY+VXyW3+tq2r5De3Zd0QSr3nTG3/MsxdF JjZFDkawMzh1vNUZZcOrf/3D3qzXXhgjlOE1jQLpOT6l0muGKTvpzm84/rKPPiOnJAyLvjyZ86vp bI1IKa1nxjGmjq5lh3JizR8hFTYFUgKete/ksm2mseG8KXoVArQmbmxUJjNIYP6vRcbZYS1gBUcL oRJcwP2d6JWNfW6YlHwKyacLlaqvw+pzpt8B2Hxa7mRoDSXPK+zLUCXAh76JXRuLd+t+Txi8Y1n3 s1QGKNOmALUEoASF9cmM4kXtZHLmZ+uvqllRnpDotbbKWkv2cPo5IDjiXMhN9m4GfPJTgFl9JuJ3 2PSahO8cybIqCBxfaWH3ra8WJ6vDFg1rCY9+esYabAfRQ+pnFE3G6p0Hw/oH2TgEjcLcyH4CY2oI b2tBozeqUdoQpDMEOlv/lwENXoFFbNBdulAT1c3X/wCYzBgrGVF4HFKBgtX9I2OYMUnBoOhqfjNd OAWPq8vWo209Qe0GzXyn6aTGjuEV4t1sG6E1EGYBSoCwddmDGHG56mtAA9j1rOcSlUgga02zcq3y itigb3fD7OLfLXDUdayXL9IHjj6aH3reIPE1Wuu6TOvikvQ1H5o4xZ3w2pdOeHHDvBf/pkzxPR1v ZZkL0IcQzOWKJWKxJbSs8jf4/htAwkdrAG2wIvybAJivCecQ8CnU+ey5fWlDjAB2/zv2bJDhWyAv rIJgRanOnIInHLVFNduurO3d9I/W4IP16kHWqK57M9mOVXCHuPxv/EV9dxJzv7WOuPhVvA6t6sS8 jKqpdsWl8805WIto80IIfNhxDEMxVwj0NnpT+treAKuLaoMGltw7ZuuFd4u7dIUKXpkNrTA3MdFE StgMSVeCPDXUu1S4bWcP3VGEjl/matt1TnXLIxqf5R2/rPI4ZPro4BR+3RExrlNiKsoWezFt7Q2U KV6HeVZgWy9KhbnOE/4Mw2m8k+90C4ao+J8WjI51bbNFv6SNa8CHOjbQJVmawb+H3P9L+fax+nfX njmM90+oSChjbyaI5V2OiYbGoUYO3TPY20iZoOv9mUKH6ifjAiUlo6AfGnthNBku4ItwP7a4WnY9 SMBuBn1tHw1u6DxQGsopedjoxtLNo/AZCxrFZz7+tP9fjTNRmsaaHLBz+IfN242ZG2ZZyBcF2eqX 4t/aqLMlPlHMenEzHJkbFjRjEa82dfyZkARchee5ipu/lEb7aEDJPnjpjaNdlriIkoDDL6oaoaYJ Hzo9MnjkK5V9auzoI8r3M0Ot+e0lqloIY2/pKh1+xqkwgz2jFhdAv0NRLwr0Rc36q+htQqjoFDS7 Pb6IDOAUT+5/nf2Q36EyclGnOerZuIfTdtYPUJf9EpAvP896kddIK+WigrVyg1ZC9tcKglbp3V60 h+o2OkY7QOS07ZmPc0ir/eXH8RrsjNIVi4nvgkIZo8JuC349HmRtbVTrPChA+yY6pnNpFMizLmGQ ArcRx8f8+CNzZgM08jTrhRBXg2WsD513QvC1TtBwY2PnJKpItCfYkv7sTGKFjTDr9c3Fug8w8g22 2p+l9k9/cwtPvb6QQw/QxyeYTe9H3lpPJIYAEOyIQHfkKIyGaWZb4TASX7bsF6IPD8vH1nMVmtlw 6pfgqRZaZADLg6hxuuhh6/DB4A67hrKEsn88kCFjKtTSc2BqB/a/fjdt3DhLqkLtChyCQlOxutzm UuPiFofV7ylZlmbAt0AvOJ8adYywVGG4XUlvZPKxZCBgX98puzCXyHF8ntVCccd+AeYbc/wBPBdu 218Sqr04HAkpuUo8Ncd5p97FTsPpdkREJLcty8R4MJLL/lWQ6apS6/ggN/91gFX67yUwHR1z88nU +TNPrIg2/K06TBsyuKDys3Gj6zQ7Wzc0TUoc+12GIh9nBp2JsgevsJVKNeFceiexxWkmsaTbpzsB g6MsUjozCk8VyIpIaiVR/3JDDc5C6fgjhORaTiQyE0FhculCzLo6W7vkiVpbf8DIYmPbPhEPEJB9 l1N6j2ETQ3GTcfmlnZH/tQbxWMhmbxnvc7UN+wHc/dZS4L3od3hPlFQs52o9qCa+pFmJJE16/LEL gNfjCas707Pg7lQQuG1Yqeo0O/VBeXMr5jLMPs4A5yA/zfudAzS+fVyWyX5MqiW/jVcfTX06DBsy LegydKjeMdEEEnJ1INCRCg0Q5OeMlta/Eka49mYv8paDalGDhLGXWI/z2VjibZeZ55QcavczNJNT 5QrP8da+u4z52izAtCCsWxvHNS7BmSmwYm6fk2+j1xwXOaEDftnOCUPuy+YIc911sibxY5dP+OgD Zro259NUWyqeuOp4Zka1p0Efdo37JN/LQKdq5Yzw9w/+vzB5eAzRxe4Y2eYhPZTMcUQeFB9ApZmh BdCcQ7P7LGoI4rD0gE65TyPbsaLT7PPriRerDLilQPiBYPrgUv+SDDU2kYR7ngQTCxNPt5vG+Hkc VM7GTbTj9jWNq98lmhveo+laFMivsdovS7ZFcXqaE1Dg1bYMwRjD2AgsBoIiLAowH5eQlUFTNPwq X1ky3EuVquEG93GUH1+S9/YnP86+7bQp4WLyfVjl8bBtE2qSb9LeM0o72L6RT7J2m9Vp5oLPZ+3K dztnPrnySAiCYyQhnRl4Ohnat7rFbRwmE3LONRji4ScUUGkURHrFglhTtqjY8vvAknS7z4ObZ/WR DRFs79mf0Z/JTFO80N5y/ljblAqHopQAm3KT43bebOcRDBSZjmbtiinGgruScke59ZjVJL1oBR+8 UD/1rm4DVvR6ezlM5+pxnrehS2lXySDTGoyrlDMgAwe+c3v74mG95zsBlvE54mF1vP0xQzWVJ9Eo QxqTNKw9rRxjW1V7TbyGiHsR1fdJpHa4LwGAao1fsw0oVM9aMlXSPZ0MVSrDs1WS+kZo3QWxSq05 5Msoj1SsoCWemLquLnKdUlwgI25TcqtwzKJXtk1Uqls/wcMBySWKUjG7W7zAnJDV5T1WeA2zSyX4 hEEgbHpZBHU7WiDctSGqhI2HhHq56smep5LClok9TRCipPWfCxwmLfdFtBLchGaMU5/IbF6+xpS3 UbJdiSr9PwZskF7BSqh6b2RQ7/xEZG3E7IaxgwyfEgBUvb2sQ/qX8EscXBuqp3hzFbyoz3DgGRqf ggyyJooF28JqOQLYjg8X9RVhvN+6dth9geSK2mhImEaAGJ6uuSzryxapUZNIw4JUDq/fNdIJJdCi 9b648p77TfxDG7udVvkacxWsROJGRfQkCgVTqgJ2VyMx7Dgcu79Mz4yv4LHMIZXoES7+QgYpBd4j daprQWziTiGHd+Nt3poBB61tZtqCZuHzNzQCKMAxgqKQezZIocuonPmW6pGvzVqfIwhVVcLtdcfV pPrHO3YEEvcjc6wLREgDoR87tEtLII7XygtzL0RI1XXt1aouojVciQ8vo4hEoVgSWq5cDzlzQQ6M kJ3sbN/wHZSR4Yzh71tOlt3bdMpylRQaaF0z/9EBWX/2YqEuWMoyGzcntVPYptIoGB69kUcUA66W VsxOhcYf7f/NGq0DacGkJZJo7GSGAxRiMBb7EXiVp328dqAqf4PAcNysJW8+jV25bcF1zbslcn6d oDrd2kbZRvF5OdDP43rGzgBQoYkTgeiWHgdJYNZemZtbddobdd46156Lm7F7wFggq4fqVPIJsTJn OwL7Tl2kB3B/sBz7ofgiyRX4hc8YBvw0SLpvZsLujEc26aLMuPbqxdjnoA8elYqObAnX6DfPsDHn SMHS6Nrc93rSRQfp9wPyoKOmLiEd9SRXZhIgn4NoxynE7UprAyuJLsGGWxB6Izu5tFokqVq45cpi W7J6nz+XR7ngo5klAlQ0bmJTYxyocYQPgB4yFHIwkjw61qWV015C2VaeqvtfEXp7IT7mKifjCdjF SV0sLDMmsym4T5hJGEqqYq3xWmuDXurJjwYp+h9ATQqJbuQxRtdmO32MIEVorWvEonF+t12ukWk4 VnRMNP/SMjBa8RRV0XG05F0jnCiV1/ALqrkQV6T7un2rUvqv1NgwpzVvVgzCPC2FEhRcRrHsSkQj 6isUJlXN59wux4b8GEJjbnxzVtCIhPCx8ZEmfo2EsNuP5nrspnSdPL3YQP48DcIvqdRf1aaKszOm 72/Ms1S6nUPIC4PvdQJnY4WJGnpY+9yWga5QVcHJrS57N3dAABWRXylohrfOGtJNafY6Z0My6Blr kN1i/iOi233fJvZjXbyejV5tfomT84WriNCuKseBjlWIkwcxrhgEHGx8zQI7DFY3cMj/qlcEs8eM awPIbpgeQZ42tT7Yt1uvh902oepTqab7pSs84S96vluZ9rDoq9lPgoDB9i08smcNqJ5SPiMN8xlQ NutW+PZWyhelY0PkrguJ7AL9PP0hrfjDZuk7yI5oZ7AHfLmPduCOfwuuD4XeW1Zh55/xtDadl/p7 KubPF3CZ3x955ht3al5UzXI/dG04PpCOhwEbqT6Jl253HtkND8PM261IHPAVPoIST8MdpSeRuOHi 7IGVhjfpd3JMe0qWqNPGUXJk3SjpZ+ukDZEA7jpcoQJTeDupOAMu6qVSuuualYlGboibImovkMRD NQYeYVJRnaJwIKgdBZ6+FuWKzepN2XAQsz18Cb3tVb2Wg26YatoyvZ1n3LKAOOmIYAscr3ikDvzh JgQT8+ZjK3wiJVMSNOifCY3U8v9BI8UNNvcyNAucqYBEkmKefclVPDJ70MwKWEfmTjmRX/ns/nje KTNCa1DO8zaXTYASudzTyT/k9P6/zHQPHpUy/YpNvXfBJ6Y3eGE/OOKvvwJdVYWZT9jQhL+0oAT+ dLha9t5ACQyByazhoCWyRu6ZLZbw+sfinwsnWzybVMEV8OX1HV1aFv7fzZMHPVu+Y1E8nqEuhv/9 7wOxhDgTUwHb755hv86bRvdtk35bA5y5ZHYoPua0Tl0Da4f3JWPTRcvW1ME/K55xwlD/HeJUBTPf 0JujqHm8tJbzx2/rZ3ox2cQbZBEi1tqL8jFoGJwzZbH0l5e2c0vdJSl3SyXCT8PTuxGNFtyq/oIv whc0RwsqvRFLIOpwbC9Zk7rDP6Y8uXHmIe5fCSk3mWjIhn8Uje5hldln+erXnIrR0josVSB/2x5M A4RYNSXDVrwoTL7kBYxjsZVVYScXSEcTdatXvREJjFwOehk9hu5oVMy6iCp6TXQuWrvJ/UCfnE97 +PI8nFF9unGdB911KLCvUxCZrSbB9Cf62VpkHJZOji+sTWgkJUO/1MgZoh3dHV48UgmcgkklswdD BY8IYJraAy/9IZ25rszYrbdJx2Il0R4ugGQtJgnMys3HWznWzMVOmUF78IVFGheJvhzT6tT4riDv Gr4f3XVauJCxVZhnsC0BpWG45MjkW5Rd2jvdx3KDvgmNlmXslk6EGm5pMPmDqfP87Pii02gMDoj5 9HxCeWxKuH11d+3Mm6TWHhFAfelPnZjI+ha9eH1prtWcbvpZdAkR84ymk8bqx/y/0j/eXBhd4m2u w5w2jdbHTcnQbb1o/llNQrq/ab14aNbtkv/hUvjYvuoM4xooXeRGEAo41VqCQLtROpRnP7KgvIsR OMi6e/HIq5FTIddZD6JZLE/hbe5gHo9MvmdrmnkULDaEEQr6ErOy9ihge+6fu5VJIHDKnE1n+G50 1JugJv9AOqne5XpueQXDoZKfaWMt54d3kS/rqwFX6tgvglokTl/tzaniLBz9jZR5FxXLkpRMF2HI IYhW5NqrXvIipHSixGkkolNzW9NGD+a3m/CjZJ8Zx8pErCRa3K3SdzN2ONyGEUikGfU0vppt0MVi 8Gj9iehr8VdXw2+hYXLxJKIUPVchPM0zt7X5P2fIp6EBGwenWVPZmC3LJwwCSvlOWt44AB8AEl8g GgZA4IULAc4lCW0m26yMBNH+mP41jlDNXG9eo2+n1aflVWQquyCCqhPZQR+fmacGpUxGc4eCnpeX YVBzERoBCgfHFlt1R4DVUhVclVSCy30/OS9Hnckx4akS10kmUhiq01w8SUELG3ws94j6BQA1s+gh IxCdSjDtbWueV8HI4Rd1AdGhwpIEJMjNVMITb5MzjYw+WLNc1tvEnDtU5tfWyqqAaQ0kNU0V69U5 0sbs4Zxz0XKT6N6vsvzmxVKxsPE3OYsRnePzd8FwiM231AauuwWQxoYujq7MUCUVwyaY9dFXPotr z39qgk8EXCG7KbGF8aWaq8j9gxF/MyJByfJajDXUqU2xHX3srx5hipF0alWRNvlnlOJJCTksv0CE FTpbuLrpwqWjdV1Ho6Jok53hwknGp6yQMUStVS6/GvSX/rqwnnJcpFR0SsS1gD7gmQj5lQ6y0HgI 92BmvNDuqDTpmMbRCeeOTVFYpoZcomvkqBibgEJk4PRXK56RUfqluyf/sy+HrTji67c7UvnSLHyE A1iLDp9p1vWZzHFl6/ZEmMIbvoj5H4jOiUKY5+A2LYAoSuc5uTBDboxqaqObeOrQqUs6bf17e5qV gCj46jFEarDfCGsA3DUJ8xKt9092qySDIwOLdlQ4KeJSs1azzy45iDZ5MJJtSSV2ay1cWTzsNeel xfd+czdNgTfjTzty6kZr3AA76SpF6DHuWD2w3liVS/JhEys4xf2GEZB6In5nb+K1xs0w5XxWmo/l dqvJX4+SJKE2Xyz5Bf19rvYo4PC8IwjWGTHLovSI4pTORI+N1uqdzyqAxe0GwJYXC/8GZTL3im98 Gdvmp0X8M8Wz4fWnKzyWrRG/KEpiTE21lLS5CNMHyf7QXf5bTk1FKKbS/9QlSo8Mw3Yl8VyJ3CMx Rfr5C8HnYtwDv6SvTfY/m88vy5XdXxMxcS4XGBrLt8dloVb9LEiCKLhHdNJ7JGxA5TIiqtDnqE2e 5/uF1of9jGUmnyIzPueOK7dwNYD7Q6yog8/kGLm/PtcXOavcY9yVutLvanF9bJXKVYqmXFYmwWHD hBvis6K6dQn6XiaL9wqcjHtHj7EOyG2TcYIyQFFdTFRX4GEEVdCaC6lReVDVSA2/1jEbGGHAa9Gu exqwHgpZmqaZ9liRPKB9dfIymZwjrdvJUok9Y2JE3FvlNoR9aqDm0AFhqSC3zeHnklzwEEE6IMsJ agd65ZW6hc8DgZ93lZKxjyiuDuV9pSX2axT7Tj3n5x/EOd1wJ5NrKaHz4RtmrQcp7cKeRQstsIBP EpUJdq2Jq1JobwebxeiPO44Fca1WpBYFN4C7NWHNLa9GXEJMiHqk0IpdfFeEDhkecYOw6TKbDGlF t9zQ2jjCUUl6mx9RpbDCgNbYHWcqyABw7dywxZih+/KsyuucimSR7Nhiyq52QqvgnJ6jAM+k8h3i k/AFkws4rXvazue2ZNomQ7+QITKjUkHJfAvRsSrZuZT8Ub6tEpqwJzwsOzFyHrLWF3ju1bpgywer 27aOD21vR6d7LdDkc8AUauJiwHG/4yKxAk580xW5YYcV7LPdulNC/esbhp+aYopylBLbQryJXCLb yZeDDWQT0Jg9TjtNlOWl+SMX8w3pkmucDm4hh7VyP/+nSm4odpRGW2R555qjJlLbBwg2rmUeftl5 HdwBHd5WDA7fiIqRqmp84jM4zvM0rQ611B7x3C+ambEOnttmvGCdg25qfjDj32cHbET36nHXaaaE oqHlSe7wejYy3FK1ZQ8U+SxCLKUTxKPiMTMyPTt2K6SeqSsV9arQ8QbE1wx2MtU/LbVCt9M+Nkqt nRX0sj9EF1BGpbolePPgwspSN7ZE22AcG5JSLcR/cvtATNGRlbKvtkxZ2hSjSQMrCAJLX9eUcnX0 3E+3+pNaPaqzib3tbU4TVBg3KloX2vQRd4AN8LJIPSH+FRXjOvdb/R73oIbUyVDmQI/K9MDQiu19 Kxq+nDwFbii/JjBhYXNUhlY2Mjtd07ceGDcuR9M1YzynkTbv9PGI4fe/k7WA88zl+S4SeIL0lTY+ X3siAQD6zKSCfXQvp/HmH90QVrqPPANoNCUSJy1TyUCTDG0I6gKH9a5CbVy0dYyHgb4AJAvIRI6w QQpj/IIfb/6GGysHbs6aNWGD2TZz429a85Ufo5mKPHhQM19ixSrSfROfNSYKQjZWBYQ85TYU1U4J BSJLFkFIKxuSEtOZ7p21vBlNd5EL1wTLD78EudelvZn/EEosWJbAKJMmmwmc8H7A/SHfGzCJr10v TXbn0pHd6L1Cno3JaxYDcKwZqdBh7IBtj+b2uHWm8k4dzOE7dtu1fTAKKwjZQryAlFaM/PPZirC4 mx54IKzY4/oGJhYq45Q8C4iUoEqW+T/Ur1s438RYzOMTySNb8SxxqTfM/fzzOXk29sDyHvIFAR35 7f9r7CodTzx1SlOBN7G/Rs0ptbRjjs+g6llKyV45tOsVEap1eASrnwPoZf/+K88ReDHOjRYyuFW2 uY9f/u0Vn4cTzN7fnDBMU0QadJf4yU4QF4BhqwIbEF81bkFU3yDtiZbErsY4C7aqANqjKdXu9gK7 M2c3iSHW9iLl23O6KEjexyxYddpicso+7zSOARbqGqY4pPsWTiBlC8PQCVm3oU1i7/yBmbtZspn7 mMYXQbnDtyumaTUGpQDQ5p5xuBb5PhNRqT2S6/33eT0YxM5Aagr790zSzVVPB3fHS9CZr8r3Ti+8 ou7kxUbTbnS8NHI7QaSse6uj9Tx5ljByMapjk9k3OcKCAfk7KCjZkrc8UFrSYWX7ft2goirGe+lI BEEtDbJBWeBqsgWNhNitpIyEmCxzVrIOzv4Aum1MnAaOQ6TNgAhgnoS4I8HqkvtfvbeRtu5ZsnR1 cM/qlV2sGMOJJST5rjZwvTQF4hsVDkU/i3XagZMU9j2kiOtO83q8UBx28dbQ9RieWJP38YZkUjQs PxzIkq0ruXMpprAFEvEKoFHCDForMi/dsRZcOTsFFcpjElXx/2Aao+DDnEAyW2zQmglmU+SCCFeN 5MappsedwongVYVmTmyB82j66/3hPKA6upnZiKxi5ndVB/8r9qxF1KqOIcBQAgw0MYyi0BCMeKes 5uUM4TzOo4S0JbfVwROs7fFqVgFtxfHZ0ij8Edk31WBH56+jEhCTXka6enImLW+d68oAfnJ+O7O3 5jETVCbFuw6TlUDd6YzHQIkWZ+aoxOzDW8gzd3O/cx4YpxCi9sVpRA8D+EtIaf2ZNKESOMpcaPcr sas72C98b2TzsCTcYIpE6Lv1A6TO6Vy4Iyfk4yxHw97GZVGMhxd1csCC2QUggrvdBSkKYki84d0H xsIg3Mf8+ZpISvpg5Hzy+wH7zXO+Xkv0A5zAvjQnThBpb7E1mqgEb13OaZUMW9EGFhcTSbf2FgMg EuM1AUYTBozRUyqpcm5nKA3wL77WFUfamrxoigLOD6D0YdMbDmpxYZn9aevVjhebbe9lhB3uG1/7 4KOyGhMrDyIh/knMIkxRwvOETpnU+IvVGZo5jh7Hago2xV0hkgFctCWeB73+rVYjVJ2B4kGd++P5 RbVp6bZPel2T2DnivvVAQ+fgrmkvqcjr9OTR+hIux/57gaYHm0EK/Iya6s+CM/t4S/DACI1HTQSB tP8rK2UFJqq0x/gcEnNYI2KD0hDuG2//f32I9y49utxbfSNnTxasxXANSdFI9e6XrAMffvVPOxwl RTWJ16h911dEBWhzbZV4Qmr/TQPYpR69EC6UEJXH3cSMNIjif+mNIkdrvNwHGq0SV6hkfs8MOwIO N5EjujoE2aK3wG60csXPNDotnkgYsmX8JTrR2BnEivQAqVTX7bxH+XE2hyyOPfx34wcGKBSt1G1M hwO1HXYiDCY0bgH/GpZ36QOKpP27oVanREnALwNWGHH6qfHzXXVQDy0A00Dx386/iwe3lDMwn0+d gfGuKSnWRCd53Jdm6/oYtUuEvorFqVqUaxO7juNxv13nPXvypkYQlIwY21Z4/8r81+UE8N8TtK52 zCOC7cistyr9D3CBbyDJAo21Fni5bEdBhRSqXyhtJkYnxMR+FTvI3vqf/AuMCfNxvtCGPijEOfI1 PwVzpJtNPFv52Txsrl4BEoz7pHCETjNF/zlLBzmYAaEKZiSNcG2ok9pRLI0bMLKSqVtoMclzQBxF 3A1jk5g474TbQYgBuyKbVd+nvvkMFyzWh6jmlm93WSTZQwMuW/7m2pbxyDtrUmIDAz5WOKe6OKBq O8dFFXSTKOayxhYpsjWXdwKcT3xQpcxUJQLoAdMjQjZiz+HYsl3F/Q3BzwmenygMQaS5IFrhMKHx Ibdk74e/Mg2Er5ZO56ZLFu9LiX8QKafxZDmMjd4w1GAT8s5ymFOou7ChJviD/KWL5oHj2qNZqPtN YBLAGy/YTpNNiebgoiBC0n0Sp2apd0a82FpgKV4WwUQLGEqJGonWQgeflBeEuUXJ+WkLk3yc2kAm Q19xdbcQcPgtVe6yi9vT589eSCQIRtRhP42xH9eAUn6ldz2UEM3fVKzjdO8dd9Wrrbz4AeFeKQPu oPfmycD4Q0ZhRHaFixYQH2eObdMXtgedA7onFuSoaVYYJazeAdozygRHkAu6pmkLik+M8T1cDLdp 3nHG87hCaNnkQ0jnvgs9bg1/P91TsfgbUI8thg5pKL3DwaeNWIxVl2OeMTUCPy6evGH/LQWJsLfm O0U= `protect end_protected
gpl-2.0
3845b881425e464d3aa276a23bdf886d
0.955871
1.82887
false
false
false
false