repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
nulldozer/purisc
Global_memory/MAGIC_global/ROUTE_global.vhd
2
13,554
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity ROUTE_global is PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_1_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_2_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_3_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_4_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_5_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_6_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); ram_7_sel_vector : IN STD_LOGIC_VECTOR (9 downto 0); OUTPUT_A : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_B : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_C : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_0 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); OUTPUT_1 : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end; architecture control of ROUTE_global is --******************************************PROTOTYPE FOR REFERENCE************************************************ -- RAM 0 -----> ram_0_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 1 -----> ram_1_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 2 -----> ram_2_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 3 -----> ram_3_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 4 -----> ram_4_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 5 -----> ram_5_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 6 -----> ram_6_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 -- RAM 7 -----> ram_7_sel_vector = A0 A1 B0 B1 C0 C1 D0 D1 E0 E1 component ROUTE_SIGNAL_global PORT( ram_0_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_0_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_1_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_2_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_3_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_4_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_5_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_6_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ram_7_out_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); select_vector : IN STD_LOGIC_VECTOR (15 DOWNTO 0); hazard : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OUTPUT : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); end component; signal select_a : std_logic_vector (15 downto 0); signal select_b : std_logic_vector (15 downto 0); signal select_c : std_logic_vector (15 downto 0); signal select_0 : std_logic_vector (15 downto 0); signal select_1 : std_logic_vector (15 downto 0); signal select_a_1hot : std_logic_vector (15 downto 0); signal select_b_1hot : std_logic_vector (15 downto 0); signal select_c_1hot : std_logic_vector (15 downto 0); signal select_0_1hot : std_logic_vector (15 downto 0); signal select_1_1hot : std_logic_vector (15 downto 0); begin select_a <= ram_0_sel_vector(9 downto 8) & ram_1_sel_vector(9 downto 8) & ram_2_sel_vector(9 downto 8) & ram_3_sel_vector(9 downto 8) & ram_4_sel_vector(9 downto 8) & ram_5_sel_vector(9 downto 8) & ram_6_sel_vector(9 downto 8) & ram_7_sel_vector(9 downto 8); select_b <= ram_0_sel_vector(7 downto 6) & ram_1_sel_vector(7 downto 6) & ram_2_sel_vector(7 downto 6) & ram_3_sel_vector(7 downto 6) & ram_4_sel_vector(7 downto 6) & ram_5_sel_vector(7 downto 6) & ram_6_sel_vector(7 downto 6) & ram_7_sel_vector(7 downto 6); select_c <= ram_0_sel_vector(5 downto 4) & ram_1_sel_vector(5 downto 4) & ram_2_sel_vector(5 downto 4) & ram_3_sel_vector(5 downto 4) & ram_4_sel_vector(5 downto 4) & ram_5_sel_vector(5 downto 4) & ram_6_sel_vector(5 downto 4) & ram_7_sel_vector(5 downto 4); select_0 <= ram_0_sel_vector(3 downto 2) & ram_1_sel_vector(3 downto 2) & ram_2_sel_vector(3 downto 2) & ram_3_sel_vector(3 downto 2) & ram_4_sel_vector(3 downto 2) & ram_5_sel_vector(3 downto 2) & ram_6_sel_vector(3 downto 2) & ram_7_sel_vector(3 downto 2); select_1 <= ram_0_sel_vector(1 downto 0) & ram_1_sel_vector(1 downto 0) & ram_2_sel_vector(1 downto 0) & ram_3_sel_vector(1 downto 0) & ram_4_sel_vector(1 downto 0) & ram_5_sel_vector(1 downto 0) & ram_6_sel_vector(1 downto 0) & ram_7_sel_vector(1 downto 0); select_a_1hot <= select_a(15) & (not(select_a(15) and select_a(14)) and select_a(14)) & select_a(13) & (not(select_a(13) and select_a(12)) and select_a(12)) & select_a(11) & (not(select_a(11) and select_a(10)) and select_a(10)) & select_a(9) & (not(select_a(9) and select_a(8)) and select_a(8)) & select_a(7) & (not(select_a(7) and select_a(6)) and select_a(6)) & select_a(5) & (not(select_a(5) and select_a(4)) and select_a(4)) & select_a(3) & (not(select_a(3) and select_a(2)) and select_a(2)) & select_a(1) & (not(select_a(1) and select_a(0)) and select_a(0)); select_b_1hot <= select_b(15) & (not(select_b(15) and select_b(14)) and select_b(14)) & select_b(13) & (not(select_b(13) and select_b(12)) and select_b(12)) & select_b(11) & (not(select_b(11) and select_b(10)) and select_b(10)) & select_b(9) & (not(select_b(9) and select_b(8)) and select_b(8)) & select_b(7) & (not(select_b(7) and select_b(6)) and select_b(6)) & select_b(5) & (not(select_b(5) and select_b(4)) and select_b(4)) & select_b(3) & (not(select_b(3) and select_b(2)) and select_b(2)) & select_b(1) & (not(select_b(1) and select_b(0)) and select_b(0)); select_c_1hot <= select_c(15) & (not(select_c(15) and select_c(14)) and select_c(14)) & select_c(13) & (not(select_c(13) and select_c(12)) and select_c(12)) & select_c(11) & (not(select_c(11) and select_c(10)) and select_c(10)) & select_c(9) & (not(select_c(9) and select_c(8)) and select_c(8)) & select_c(7) & (not(select_c(7) and select_c(6)) and select_c(6)) & select_c(5) & (not(select_c(5) and select_c(4)) and select_c(4)) & select_c(3) & (not(select_c(3) and select_c(2)) and select_c(2)) & select_c(1) & (not(select_c(1) and select_c(0)) and select_c(0)); select_0_1hot <= select_0(15) & (not(select_0(15) and select_0(14)) and select_0(14)) & select_0(13) & (not(select_0(13) and select_0(12)) and select_0(12)) & select_0(11) & (not(select_0(11) and select_0(10)) and select_0(10)) & select_0(9) & (not(select_0(9) and select_0(8)) and select_0(8)) & select_0(7) & (not(select_0(7) and select_0(6)) and select_0(6)) & select_0(5) & (not(select_0(5) and select_0(4)) and select_0(4)) & select_0(3) & (not(select_0(3) and select_0(2)) and select_0(2)) & select_0(1) & (not(select_0(1) and select_0(0)) and select_0(0)); select_1_1hot <= select_1(15) & (not(select_1(15) and select_1(14)) and select_1(14)) & select_1(13) & (not(select_1(13) and select_1(12)) and select_1(12)) & select_1(11) & (not(select_1(11) and select_1(10)) and select_1(10)) & select_1(9) & (not(select_1(9) and select_1(8)) and select_1(8)) & select_1(7) & (not(select_1(7) and select_1(6)) and select_1(6)) & select_1(5) & (not(select_1(5) and select_1(4)) and select_1(4)) & select_1(3) & (not(select_1(3) and select_1(2)) and select_1(2)) & select_1(1) & (not(select_1(1) and select_1(0)) and select_1(0)); route_a : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_a_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_A ); route_b : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_b_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_B ); route_c : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_c_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_C ); route_0 : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_0_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_0 ); route_1 : ROUTE_SIGNAL_global PORT MAP ( ram_0_out_a => ram_0_out_a, ram_0_out_b => ram_0_out_b, ram_1_out_a => ram_1_out_a, ram_1_out_b => ram_1_out_b, ram_2_out_a => ram_2_out_a, ram_2_out_b => ram_2_out_b, ram_3_out_a => ram_3_out_a, ram_3_out_b => ram_3_out_b, ram_4_out_a => ram_4_out_a, ram_4_out_b => ram_4_out_b, ram_5_out_a => ram_5_out_a, ram_5_out_b => ram_5_out_b, ram_6_out_a => ram_6_out_a, ram_6_out_b => ram_6_out_b, ram_7_out_a => ram_7_out_a, ram_7_out_b => ram_7_out_b, select_vector => select_1_1hot, hazard => hazard, hazard_advanced => hazard_advanced, CLK => CLK, RESET_n => RESET_n, OUTPUT => OUTPUT_1 ); end;
gpl-2.0
d0550a7a9567d58611cf688ce6a3ea57
0.524864
2.560257
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Practica/segmentos.vhd
1
3,260
-------------------------------------------------------------------------------- -- Company: Universidad de Valencia -- Engineer: Alfredo Rosado -- -- Create Date: 12:28:58 10/14/06 -- Design Name: -- Module Name: segmentos - Behavioral -- Project Name: Practica 1 de lab DCSE. Ingenieria Electronica. -- Target Device: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity segmentos is Port ( Reloj : in std_logic; Reset : in std_logic; interruptor : in std_logic_vector(3 downto 0); abcdefgdp : out std_logic_vector(7 downto 0); anodos : buffer std_logic_vector(3 downto 0)); end segmentos; architecture Behavioral of segmentos is signal relojint : std_logic:='0'; signal a, b, c, d, valor_disp : std_logic_vector (3 downto 0):="0000"; signal contador_anodo : std_logic_vector(1 downto 0):= "00"; signal contador : integer:=0; component sieteseg is port ( bin_u: in std_logic_vector (3 downto 0); bcd_u: out std_logic_vector (7 downto 0) ); end component; begin p1: process (reloj) begin if rising_edge(reloj) then if contador < 200000 then contador <= contador + 1; elsif contador = 200000 then contador <= 0; relojint <= not relojint; end if; end if; end process; p2: process (reset, relojint) begin if reset = '1' then contador_anodo <= (others => '0'); elsif rising_edge(relojint) then if contador_anodo < 3 then contador_anodo <= contador_anodo + 1; else contador_anodo <= (others => '0'); end if; end if; end process; pa: process (reset,interruptor(0)) begin if reset = '1' then a <= "0000"; elsif rising_edge(interruptor(0)) then if a < 9 then a <= a +1; else a <= (others => '0'); end if; end if; end process; pb: process (reset, interruptor(1)) begin if reset = '1' then b <= "0001"; elsif rising_edge(interruptor(1)) then if b < 9 then b <= b +1; else b <= (others => '0'); end if; end if; end process; pc: process (reset,interruptor(2)) begin if reset = '1' then c <= "0010"; elsif rising_edge(interruptor(2)) then if c < 9 then c <= c +1; else c <= (others => '0'); end if; end if; end process; pd: process (reset,interruptor(3)) begin if reset = '1' then d <= "0011"; elsif rising_edge(interruptor(3)) then if d < 9 then d <= d +1; else d <= (others => '0'); end if; end if; end process; with contador_anodo select valor_disp <= a when "00", b when "01", c when "10", d when "11", (others=>'0') when others; with contador_anodo select anodos <="0111" when "00", "1011" when "01", "1101" when "10", "1110" when "11", (others=>'0') when others; conversora: sieteseg port map (valor_disp,abcdefgdp); end Behavioral;
gpl-3.0
4b308c37e9b162dc317d85ec097b052c
0.58865
3.289606
false
false
false
false
michaelmiehling/A25_VME_TB
16x004-01_src/Source/pcie_sim.vhd
1
103,031
-------------------------------------------------------------------------------- -- Title : PCIe simulation model -- Project : - -------------------------------------------------------------------------------- -- File : pcie_sim.vhd -- Author : Susanne Reinfelder -- Email : [email protected] -- Organization: MEN Mikro Elektronik Nuremberg GmbH -- Created : 2017-05-26 -------------------------------------------------------------------------------- -- Simulator : ModelSim PE 6.6 -- Synthesis : - -------------------------------------------------------------------------------- -- Description : -- PCIe simulation model for x1, x2, x4 and x8 configurations. -- The BFM shared memory is configured to be 2 MBytes. It is mapped into -- the first 2 MBytes of I/O space and also the first 2 MBytes of memory -- space. The BFM is assigned to device number 0 on internal bus number 0. -------------------------------------------------------------------------------- -- Hierarchy : -------------------------------------------------------------------------------- -- Copyright (C) 2017, MEN Mikro Elektronik Nuremberg GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.altpcietb_bfm_constants.all; use work.altpcietb_bfm_log.all; use work.altpcietb_bfm_shmem.all; use work.altpcietb_bfm_rdwr.all; use work.altpcietb_bfm_configure.all; use work.utils_pkg.all; use work.pcie_sim_pkg.all; use work.print_pkg.all; use work.terminal_pkg.all; entity pcie_sim is generic( BFM_LANE_WIDTH : integer range 8 downto 0 := 1 -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 ); port( rst_i : in std_logic; pcie_rstn_i : in std_logic; clk_i : in std_logic; ep_clk250_i : in std_logic; -- endpoint SERDES 250MHz clk output ep_clk500_i : in std_logic; -- endpoint SERDES 500MHz clk output -- PCIe lanes bfm_tx_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); bfm_rx_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- PCIe SERDES connection, in/out references are BFM view ep_rate_ext_i : in std_logic; -- endpoint rate_ext ep_powerdown_ext_i : in std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); -- 2bits per lane, [1:0]=lane0, [3:2]=lane1 etc. ep_txdatak_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdata_i : in std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_txcompl_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txelecidle_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdetectrx_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxpolarity_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_ltssm_i : in std_logic_vector(4 downto 0); ep_rxvalid_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxstatus_o : out std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); -- 3bits per lane, [2:0]=lane0, [5:3]=lane1 etc. ep_rxdatak_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bits per lane, [0]=lane0, [1]=lane1 etc. ep_rxdata_o : out std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_rxelecidle_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_phystatus_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. -- MEN terminal connection, in/out references are terminal view term_out : in terminal_out_type; term_in : out terminal_in_type ); end entity pcie_sim; architecture pcie_sim_arch of pcie_sim is type bar_addr_array is array (5 downto 0) of std_logic_vector(31 downto 0); type bar_limit_array is array (5 downto 0) of natural; -- +---------------------------------------------------------------------------- -- | components -- +---------------------------------------------------------------------------- component altpcietb_bfm_rp_top_x8_pipen1b is port( signal rxdata4_ext : in std_logic_vector(7 downto 0); signal rx_in7 : in std_logic; signal phystatus5_ext : in std_logic; signal rxdata5_ext : in std_logic_vector(7 downto 0); signal phystatus1_ext : in std_logic; signal pipe_mode : in std_logic; signal rxstatus3_ext : in std_logic_vector(2 downto 0); signal pcie_rstn : in std_logic; signal rxelecidle7_ext : in std_logic; signal rxelecidle0_ext : in std_logic; signal clk500_in : in std_logic; signal rxelecidle3_ext : in std_logic; signal rxdatak1_ext : in std_logic; signal phystatus0_ext : in std_logic; signal rx_in0 : in std_logic; signal rx_in5 : in std_logic; signal rxelecidle5_ext : in std_logic; signal rxvalid1_ext : in std_logic; signal rx_in2 : in std_logic; signal rx_in3 : in std_logic; signal rxdatak3_ext : in std_logic; signal clk250_in : in std_logic; signal phystatus6_ext : in std_logic; signal rxdata6_ext : in std_logic_vector(7 downto 0); signal rxdata3_ext : in std_logic_vector(7 downto 0); signal rxstatus5_ext : in std_logic_vector(2 downto 0); signal rxstatus1_ext : in std_logic_vector(2 downto 0); signal rxdata0_ext : in std_logic_vector(7 downto 0); signal rxvalid7_ext : in std_logic; signal phystatus7_ext : in std_logic; signal rxdata2_ext : in std_logic_vector(7 downto 0); signal rxvalid5_ext : in std_logic; signal rxvalid0_ext : in std_logic; signal rxdatak2_ext : in std_logic; signal rxstatus4_ext : in std_logic_vector(2 downto 0); signal rxdatak7_ext : in std_logic; signal rxstatus0_ext : in std_logic_vector(2 downto 0); signal phystatus3_ext : in std_logic; signal rxelecidle4_ext : in std_logic; signal phystatus2_ext : in std_logic; signal rxvalid4_ext : in std_logic; signal rx_in6 : in std_logic; signal rx_in1 : in std_logic; signal rxstatus2_ext : in std_logic_vector(2 downto 0); signal rxdata7_ext : in std_logic_vector(7 downto 0); signal rxdatak0_ext : in std_logic; signal rxelecidle1_ext : in std_logic; signal rxdata1_ext : in std_logic_vector(7 downto 0); signal rxstatus6_ext : in std_logic_vector(2 downto 0); signal test_in : in std_logic_vector(31 downto 0); signal rx_in4 : in std_logic; signal rxdatak4_ext : in std_logic; signal rxelecidle2_ext : in std_logic; signal rxdatak5_ext : in std_logic; signal rxstatus7_ext : in std_logic_vector(2 downto 0); signal rxelecidle6_ext : in std_logic; signal rxvalid3_ext : in std_logic; signal rxvalid2_ext : in std_logic; signal phystatus4_ext : in std_logic; signal rxvalid6_ext : in std_logic; signal local_rstn : in std_logic; signal rxdatak6_ext : in std_logic; signal tx_out6 : out std_logic; signal tx_out4 : out std_logic; signal txdatak4_ext : out std_logic; signal txelecidle0_ext : out std_logic; signal txdatak1_ext : out std_logic; signal test_out : out std_logic_vector(511 downto 0); signal txelecidle2_ext : out std_logic; signal txdatak7_ext : out std_logic; signal txdatak2_ext : out std_logic; signal txcompl4_ext : out std_logic; signal rxpolarity5_ext : out std_logic; signal rxpolarity4_ext : out std_logic; signal powerdown7_ext : out std_logic_vector(1 downto 0); signal txdetectrx7_ext : out std_logic; signal txelecidle1_ext : out std_logic; signal tx_out3 : out std_logic; signal rxpolarity3_ext : out std_logic; signal txdata0_ext : out std_logic_vector(7 downto 0); signal txdetectrx1_ext : out std_logic; signal powerdown0_ext : out std_logic_vector(1 downto 0); signal txdata1_ext : out std_logic_vector(7 downto 0); signal txdatak6_ext : out std_logic; signal txdata3_ext : out std_logic_vector(7 downto 0); signal txcompl7_ext : out std_logic; signal txdata4_ext : out std_logic_vector(7 downto 0); signal powerdown3_ext : out std_logic_vector(1 downto 0); signal txcompl5_ext : out std_logic; signal txcompl0_ext : out std_logic; signal txdetectrx5_ext : out std_logic; signal txcompl1_ext : out std_logic; signal powerdown1_ext : out std_logic_vector(1 downto 0); signal txelecidle7_ext : out std_logic; signal swdn_out : out std_logic_vector(5 downto 0); signal txelecidle6_ext : out std_logic; signal tx_out0 : out std_logic; signal powerdown6_ext : out std_logic_vector(1 downto 0); signal rxpolarity0_ext : out std_logic; signal tx_out2 : out std_logic; signal txdetectrx2_ext : out std_logic; signal txdata5_ext : out std_logic_vector(7 downto 0); signal txelecidle3_ext : out std_logic; signal txdatak3_ext : out std_logic; signal txdetectrx0_ext : out std_logic; signal rxpolarity6_ext : out std_logic; signal powerdown2_ext : out std_logic_vector(1 downto 0); signal rate_ext : out std_logic; signal txcompl3_ext : out std_logic; signal txdetectrx6_ext : out std_logic; signal tx_out5 : out std_logic; signal rxpolarity2_ext : out std_logic; signal tx_out7 : out std_logic; signal tx_out1 : out std_logic; signal txdetectrx3_ext : out std_logic; signal txdata6_ext : out std_logic_vector(7 downto 0); signal txcompl2_ext : out std_logic; signal rxpolarity1_ext : out std_logic; signal txelecidle4_ext : out std_logic; signal txdata2_ext : out std_logic_vector(7 downto 0); signal powerdown4_ext : out std_logic_vector(1 downto 0); signal txcompl6_ext : out std_logic; signal txdatak5_ext : out std_logic; signal txdata7_ext : out std_logic_vector(7 downto 0); signal txdatak0_ext : out std_logic; signal rxpolarity7_ext : out std_logic; signal powerdown5_ext : out std_logic_vector(1 downto 0); signal txdetectrx4_ext : out std_logic; signal txelecidle5_ext : out std_logic ); end component altpcietb_bfm_rp_top_x8_pipen1b; component altpcietb_pipe_phy is generic( APIPE_WIDTH : natural; BPIPE_WIDTH : natural; LANE_NUM : natural ); port( signal b_powerdown : in std_logic_vector(1 downto 0); signal a_txdatak : in std_logic_vector(0 downto 0); signal pipe_mode : in std_logic; signal a_powerdown : in std_logic_vector(1 downto 0); signal b_txcompl : in std_logic; signal b_lane_conn : in std_logic; signal b_txdetectrx : in std_logic; signal pclk_a : in std_logic; signal b_txelecidle : in std_logic; signal a_lane_conn : in std_logic; signal resetn : in std_logic; signal a_txdata : in std_logic_vector(7 downto 0); signal b_rate : in std_logic; signal a_txcompl : in std_logic; signal pclk_b : in std_logic; signal a_txelecidle : in std_logic; signal a_txdetectrx : in std_logic; signal a_rxpolarity : in std_logic; signal b_txdata : in std_logic_vector(7 downto 0); signal b_rxpolarity : in std_logic; signal b_txdatak : in std_logic_vector(0 downto 0); signal a_rate : in std_logic; signal a_rxvalid : out std_logic; signal a_rxstatus : out std_logic_vector(2 downto 0); signal b_phystatus : out std_logic; signal b_rxvalid : out std_logic; signal a_rxdatak : out std_logic_vector(0 downto 0); signal b_rxelecidle : out std_logic; signal b_rxdatak : out std_logic_vector(0 downto 0); signal a_rxdata : out std_logic_vector(7 downto 0); signal b_rxdata : out std_logic_vector(7 downto 0); signal a_rxelecidle : out std_logic; signal a_phystatus : out std_logic; signal b_rxstatus : out std_logic_vector(2 downto 0) ); end component altpcietb_pipe_phy; component altpcietb_ltssm_mon is port( signal rp_clk : in std_logic; signal ep_ltssm : in std_logic_vector (4 downto 0); signal rstn : in std_logic; signal rp_ltssm : in std_logic_vector (4 downto 0); signal dummy_out : out std_logic ); end component altpcietb_ltssm_mon; -- +---------------------------------------------------------------------------- -- | functions -- +---------------------------------------------------------------------------- function get_bar_limit(bar_addr : std_logic_vector(31 downto 0); bar_num : natural) return natural is variable var_log2_size : natural; variable var_is_mem : std_logic; variable var_is_pref : std_logic; variable var_is_64b : std_logic; begin ebfm_cfg_decode_bar( bar_table => BAR_TABLE_POINTER, bar_num => bar_num, log2_size => var_log2_size, is_mem => var_is_mem, is_pref => var_is_pref, is_64b => var_is_64b ); return var_log2_size; end function get_bar_limit; -- +---------------------------------------------------------------------------- -- | procedures -- +---------------------------------------------------------------------------- procedure get_pcie_addr_and_offset( pcie_addr : in std_logic_vector(31 downto 0); bar_addr : in bar_addr_array; bar_limit : in bar_limit_array; bar_num : out natural; bar_offset : out natural ) is variable var_act_limit : natural := 0; variable var_act_addr : std_logic_vector(31 downto 0) := (others => '0'); variable var_act_offset : std_logic_vector(31 downto 0) := (others => '0'); variable var_bar_num : natural := 6; begin -- loop through all BARs and check for matches -- address must match from MSB of address to actual limit value -- address offset for BAR is from limit downto 0 loop_1 : for i in 0 to 5 loop var_act_limit := bar_limit(i); var_act_offset := ZERO_32BIT(31 downto var_act_limit) & pcie_addr(var_act_limit -1 downto 0); var_act_addr := pcie_addr(31 downto var_act_limit) & ZERO_32BIT(var_act_limit -1 downto 0); if bar_addr(i) = var_act_addr then var_bar_num := i; exit loop_1; else -- set to invalid value to denote error condition var_bar_num := 6; end if; end loop; if var_bar_num = 6 then report "ERROR (pcie_sim.vhd->get_pcie_addr_and_offset(): given PCIe address does not match stored BAR addresses" severity error; else bar_num := var_bar_num; bar_offset := to_integer(unsigned(var_act_offset)); end if; end procedure get_pcie_addr_and_offset; -- +---------------------------------------------------------------------------- -- | constants -- +---------------------------------------------------------------------------- -- +---------------------------------------------------------------------------- -- | internal signals -- +---------------------------------------------------------------------------- -- BFM connections signal bfm_rate_int : std_logic; signal bfm_pipe_mode_int : std_logic; signal bfm_pclk_int : std_logic; signal lane_pclk_int : std_logic; signal bfm_rstn_delayed : std_logic := '0'; signal bfm_txcompl_0_int : std_logic; signal bfm_txcompl_1_int : std_logic; signal bfm_txcompl_2_int : std_logic; signal bfm_txcompl_3_int : std_logic; signal bfm_txcompl_4_int : std_logic; signal bfm_txcompl_5_int : std_logic; signal bfm_txcompl_6_int : std_logic; signal bfm_txcompl_7_int : std_logic; signal bfm_txdetectrx_0_int : std_logic; signal bfm_txdetectrx_1_int : std_logic; signal bfm_txdetectrx_2_int : std_logic; signal bfm_txdetectrx_3_int : std_logic; signal bfm_txdetectrx_4_int : std_logic; signal bfm_txdetectrx_5_int : std_logic; signal bfm_txdetectrx_6_int : std_logic; signal bfm_txdetectrx_7_int : std_logic; signal bfm_txelecidle_0_int : std_logic; signal bfm_txelecidle_1_int : std_logic; signal bfm_txelecidle_2_int : std_logic; signal bfm_txelecidle_3_int : std_logic; signal bfm_txelecidle_4_int : std_logic; signal bfm_txelecidle_5_int : std_logic; signal bfm_txelecidle_6_int : std_logic; signal bfm_txelecidle_7_int : std_logic; signal bfm_rxpolarity_0_int : std_logic; signal bfm_rxpolarity_1_int : std_logic; signal bfm_rxpolarity_2_int : std_logic; signal bfm_rxpolarity_3_int : std_logic; signal bfm_rxpolarity_4_int : std_logic; signal bfm_rxpolarity_5_int : std_logic; signal bfm_rxpolarity_6_int : std_logic; signal bfm_rxpolarity_7_int : std_logic; signal bfm_phystatus_0_int : std_logic; signal bfm_phystatus_1_int : std_logic; signal bfm_phystatus_2_int : std_logic; signal bfm_phystatus_3_int : std_logic; signal bfm_phystatus_4_int : std_logic; signal bfm_phystatus_5_int : std_logic; signal bfm_phystatus_6_int : std_logic; signal bfm_phystatus_7_int : std_logic; signal bfm_rxvalid_0_int : std_logic; signal bfm_rxvalid_1_int : std_logic; signal bfm_rxvalid_2_int : std_logic; signal bfm_rxvalid_3_int : std_logic; signal bfm_rxvalid_4_int : std_logic; signal bfm_rxvalid_5_int : std_logic; signal bfm_rxvalid_6_int : std_logic; signal bfm_rxvalid_7_int : std_logic; signal bfm_rxelecidle_0_int : std_logic; signal bfm_rxelecidle_1_int : std_logic; signal bfm_rxelecidle_2_int : std_logic; signal bfm_rxelecidle_3_int : std_logic; signal bfm_rxelecidle_4_int : std_logic; signal bfm_rxelecidle_5_int : std_logic; signal bfm_rxelecidle_6_int : std_logic; signal bfm_rxelecidle_7_int : std_logic; signal bfm_rxdatak_0_int : std_logic; signal bfm_rxdatak_1_int : std_logic; signal bfm_rxdatak_2_int : std_logic; signal bfm_rxdatak_3_int : std_logic; signal bfm_rxdatak_4_int : std_logic; signal bfm_rxdatak_5_int : std_logic; signal bfm_rxdatak_6_int : std_logic; signal bfm_rxdatak_7_int : std_logic; signal bfm_rx_int : std_logic_vector(7 downto 0) := (others => '1'); signal bfm_tx_int : std_logic_vector(7 downto 0) := (others => 'Z'); signal bfm_test_in_int : std_logic_vector(31 downto 0); signal bfm_irq_int : std_logic_vector(5 downto 0); signal bfm_ltssm_rp : std_logic_vector(4 downto 0); signal test_out_int : std_logic_vector(511 downto 0); signal bfm_txdata_0_int : std_logic_vector(7 downto 0); signal bfm_txdata_1_int : std_logic_vector(7 downto 0); signal bfm_txdata_2_int : std_logic_vector(7 downto 0); signal bfm_txdata_3_int : std_logic_vector(7 downto 0); signal bfm_txdata_4_int : std_logic_vector(7 downto 0); signal bfm_txdata_5_int : std_logic_vector(7 downto 0); signal bfm_txdata_6_int : std_logic_vector(7 downto 0); signal bfm_txdata_7_int : std_logic_vector(7 downto 0); signal bfm_txdatak_0_int : std_logic_vector(0 downto 0); signal bfm_txdatak_1_int : std_logic_vector(0 downto 0); signal bfm_txdatak_2_int : std_logic_vector(0 downto 0); signal bfm_txdatak_3_int : std_logic_vector(0 downto 0); signal bfm_txdatak_4_int : std_logic_vector(0 downto 0); signal bfm_txdatak_5_int : std_logic_vector(0 downto 0); signal bfm_txdatak_6_int : std_logic_vector(0 downto 0); signal bfm_txdatak_7_int : std_logic_vector(0 downto 0); signal bfm_powerdown_0_int : std_logic_vector(1 downto 0); signal bfm_powerdown_1_int : std_logic_vector(1 downto 0); signal bfm_powerdown_2_int : std_logic_vector(1 downto 0); signal bfm_powerdown_3_int : std_logic_vector(1 downto 0); signal bfm_powerdown_4_int : std_logic_vector(1 downto 0); signal bfm_powerdown_5_int : std_logic_vector(1 downto 0); signal bfm_powerdown_6_int : std_logic_vector(1 downto 0); signal bfm_powerdown_7_int : std_logic_vector(1 downto 0); signal bfm_rxdata_0_int : std_logic_vector(7 downto 0); signal bfm_rxdata_1_int : std_logic_vector(7 downto 0); signal bfm_rxdata_2_int : std_logic_vector(7 downto 0); signal bfm_rxdata_3_int : std_logic_vector(7 downto 0); signal bfm_rxdata_4_int : std_logic_vector(7 downto 0); signal bfm_rxdata_5_int : std_logic_vector(7 downto 0); signal bfm_rxdata_6_int : std_logic_vector(7 downto 0); signal bfm_rxdata_7_int : std_logic_vector(7 downto 0); signal bfm_rxstatus_0_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_1_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_2_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_3_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_4_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_5_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_6_int : std_logic_vector(2 downto 0); signal bfm_rxstatus_7_int : std_logic_vector(2 downto 0); signal bar_addr : bar_addr_array; signal bar_limit : bar_limit_array; begin -- +---------------------------------------------------------------------------- -- | concurrent section -- +---------------------------------------------------------------------------- assert (BFM_LANE_WIDTH = 1 or BFM_LANE_WIDTH = 2 or BFM_LANE_WIDTH = 4 or BFM_LANE_WIDTH = 8) report "ERROR (pcie_sim.vhd): invalid value for generic BFM_LANE_WIDTH; use 1, 2, 4, or 8!" severity failure; -- clock switch bfm_pclk_int <= ep_clk500_i when bfm_rate_int = '1' else ep_clk250_i; lane_pclk_int <= ep_clk500_i when ep_rate_ext_i = '1' else ep_clk250_i; -- delay reset for BFM by 100 ns bfm_rstn_delayed <= transport pcie_rstn_i after 100 ns; bfm_pipe_mode_int <= '1'; bfm_test_in_int(31 downto 8) <= (others => '0'); bfm_test_in_int(7) <= not bfm_pipe_mode_int; -- disable entrance to low power mode bfm_test_in_int(6) <= '0'; bfm_test_in_int(5) <= '1'; -- disable polling.compliance bfm_test_in_int(4) <= '0'; bfm_test_in_int(3) <= not bfm_pipe_mode_int; -- forces all lanes to detect the receiver bfm_test_in_int(2 downto 1) <= (others => '0'); bfm_test_in_int(0) <= '1'; -- speed up simulation by making counters faster than normal bfm_ltssm_rp <= test_out_int(324 downto 320); bfm_rx_o(BFM_LANE_WIDTH -1 downto 0) <= bfm_rx_int(BFM_LANE_WIDTH -1 downto 0); bfm_tx_int(BFM_LANE_WIDTH -1 downto 0) <= bfm_tx_i(BFM_LANE_WIDTH -1 downto 0); -- +---------------------------------------------------------------------------- -- | process section -- +---------------------------------------------------------------------------- main : process variable first_be_en : std_logic_vector(3 downto 0); variable byte_count : integer; variable addr32_int : std_logic_vector(31 downto 0); variable bfm_id : integer := 0; variable success_int : boolean := false; variable return_data32 : std_logic_vector(31 downto 0) := (others => '0'); variable return_data_vec : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable data_vec : dword_vector(BFM_BUFFER_MAX_SIZE downto 0); variable var_bar_num : natural; variable var_bar_offset : natural; variable var_bar0_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar1_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar2_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar3_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar4_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar5_addr : std_logic_vector(31 downto 0) := x"ffff_ffff"; variable var_bar0_limit : natural := 0; variable var_bar1_limit : natural := 0; variable var_bar2_limit : natural := 0; variable var_bar3_limit : natural := 0; variable var_bar4_limit : natural := 0; variable var_bar5_limit : natural := 0; begin -- reset all term_in.busy <= '1'; term_in.done <= true; wait until rst_i = '0'; wait_clk(clk_i,1); if term_out.start /= true then wait until term_out.start = true; end if; loop wait on term_out.start; term_in.busy <= '1'; term_in.err <= 0; success_int := false; --------------------------- -- check for wrong values --------------------------- assert term_out.typ <= 2 report "ERROR (pcie_sim): illegal value for signal term_out.typ" severity failure; assert term_out.wr <= 2 report "ERROR (pcie_sim): illegal value for signal term_out.wr" severity failure; if term_out.typ = 0 then assert term_out.numb = 1 report "ERROR (pcie_sim): illegal combination for signals term_out.typ and term_out.numb => bytewise burst is impossible" severity failure; end if; if term_out.typ = 1 then assert term_out.numb = 1 report "ERROR (pcie_sim): illegal combination for signals term_out.typ and term_out.numb => wordwise burst is impossible" severity failure; end if; assert term_out.numb <= 1024 report "ERROR (pcie_sim): maximum value for signal term_out.numb is 1024" severity failure; ---------------------------- -- set values for this run ---------------------------- addr32_int := term_out.adr(31 downto 2) & "00"; bfm_id := to_integer(unsigned(term_out.tga(3 downto 2))); if term_out.typ = 0 then -- byte byte_count := 1; if term_out.adr(1 downto 0) = "01" then first_be_en := "0010"; elsif term_out.adr(1 downto 0) = "10" then first_be_en := "0100"; elsif term_out.adr(1 downto 0) = "11" then first_be_en := "1000"; else first_be_en := "0001"; end if; elsif term_out.typ = 1 then -- word byte_count := 2; if term_out.adr(1) = '0' then first_be_en := "0011"; else first_be_en := "1100"; end if; else -- long word byte_count := term_out.numb *4; first_be_en := x"F"; end if; for i in 0 to term_out.numb -1 loop data_vec(i) := std_logic_vector(unsigned(term_out.dat) + to_unsigned(i,32)); return_data_vec(i) := (others => '0'); wait for 0 ns; end loop; if term_out.wr = 0 then -- read if term_out.tga(1 downto 0) = IO_TRANSFER then -- I/O report "ERROR(pcie_sim): I/O transfer not supported" severity error; elsif term_out.tga(1 downto 0) = MEM32_TRANSFER then -- memory get_pcie_addr_and_offset( pcie_addr => addr32_int, bar_addr => bar_addr, bar_limit => bar_limit, bar_num => var_bar_num, bar_offset => var_bar_offset ); if term_out.numb = 1 then bfm_rd_mem32( bar_num => var_bar_num, bar_offset => var_bar_offset, byte_en => first_be_en, ref_data32 => term_out.dat, data32_out => return_data32, success => success_int ); else bfm_rd_mem32( bar_num => var_bar_num, bar_offset => var_bar_offset, byte_count => byte_count, ref_data32 => data_vec, data32_out => return_data_vec, success => success_int ); end if; elsif term_out.tga(1 downto 0) = CONFIG_TRANSFER then -- configuration type 0 return_data32 := x"FADE_FADE"; bfm_rd_config( byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), ref_data32 => term_out.dat, data32_out => return_data32, success => success_int ); else assert false report "ERROR (pcie_sim): term_out.tga(1 downto 0) = 11 is reserved for reads" severity failure; end if; elsif term_out.wr = 1 then -- write if term_out.tga(1 downto 0) = IO_TRANSFER then -- I/O report "ERROR(pcie_sim): I/O transfer not supported" severity error; elsif term_out.tga(1 downto 0) = MEM32_TRANSFER then -- memory get_pcie_addr_and_offset( pcie_addr => term_out.adr, bar_addr => bar_addr, bar_limit => bar_limit, bar_num => var_bar_num, bar_offset => var_bar_offset ); if term_out.numb = 1 then bfm_wr_mem32( pcie_addr => term_out.adr(1 downto 0), bar_num => var_bar_num, bar_offset => var_bar_offset, byte_count => byte_count, data32 => term_out.dat, success => success_int ); else bfm_wr_mem32( bar_num => var_bar_num, bar_offset => var_bar_offset, byte_count => byte_count, data32 => data_vec, success => success_int ); end if; elsif term_out.tga(1 downto 0) = CONFIG_TRANSFER then -- configuration type 0 bfm_wr_config( byte_en => first_be_en, pcie_addr => addr32_int(31 downto 2), data32 => term_out.dat, success => success_int ); else -- => term_out.tga(1 downto 0) = SETUP_CYCLE then -- BFM setup if term_out.txt >= 2 then print("pcie_sim.vhd: starting SETUP_CYCLE"); end if; if term_out.adr(2 downto 0) = "000" then -- BAR0 var_bar0_addr := term_out.dat; var_bar0_limit := get_bar_limit(bar_addr => var_bar0_addr, bar_num => 0); bar_addr(0) <= var_bar0_addr; bar_limit(0) <= var_bar0_limit; success_int := true; elsif term_out.adr(2 downto 0) = "001" then -- BAR1 var_bar1_addr := term_out.dat; var_bar1_limit := get_bar_limit(bar_addr => var_bar1_addr, bar_num => 1); bar_addr(1) <= var_bar1_addr; bar_limit(1) <= var_bar1_limit; success_int := true; elsif term_out.adr(2 downto 0) = "010" then -- BAR2 var_bar2_addr := term_out.dat; var_bar2_limit := get_bar_limit(bar_addr => var_bar2_addr, bar_num => 2); bar_addr(2) <= var_bar2_addr; bar_limit(2) <= var_bar2_limit; success_int := true; elsif term_out.adr(2 downto 0) = "011" then -- BAR3 var_bar3_addr := term_out.dat; var_bar3_limit := get_bar_limit(bar_addr => var_bar3_addr, bar_num => 3); bar_addr(3) <= var_bar3_addr; bar_limit(3) <= var_bar3_limit; success_int := true; elsif term_out.adr(2 downto 0) = "100" then -- BAR4 var_bar4_addr := term_out.dat; var_bar4_limit := get_bar_limit(bar_addr => var_bar4_addr, bar_num => 4); bar_addr(4) <= var_bar4_addr; bar_limit(4) <= var_bar4_limit; success_int := true; elsif term_out.adr(2 downto 0) = "101" then -- BAR5 var_bar5_addr := term_out.dat; var_bar5_limit := get_bar_limit(bar_addr => var_bar5_addr, bar_num => 5); bar_addr(5) <= var_bar5_addr; bar_limit(5) <= var_bar5_limit; success_int := true; else report "ERROR: pcie_sim.vhd: term_out.tga is set to SETUP_CYCLE but term_out.adr has an invalid value!" & " Use values 000 to 101." severity error; end if; wait_clk(clk_i,1); end if; else -- wait wait_clk(clk_i,term_out.numb); end if; -------------------------------------- -- return values and finish transfer -------------------------------------- term_in.dat <= return_data32; if success_int then term_in.err <= 0; else term_in.err <= 1; end if; term_in.busy <= '0'; term_in.done <= term_out.start; end loop; end process main; -- +---------------------------------------------------------------------------- -- | component instanciation section -- +---------------------------------------------------------------------------- bfm_inst: altpcietb_bfm_rp_top_x8_pipen1b port map( pcie_rstn => bfm_rstn_delayed, --pcie_rstn_i, local_rstn => '1', clk250_in => ep_clk250_i, clk500_in => ep_clk500_i, pipe_mode => bfm_pipe_mode_int, rxdata4_ext => bfm_rxdata_4_int, rx_in7 => bfm_rx_int(7), phystatus5_ext => bfm_phystatus_5_int, rxdata5_ext => bfm_rxdata_5_int, phystatus1_ext => bfm_phystatus_1_int, rxstatus3_ext => bfm_rxstatus_3_int, rxelecidle7_ext => bfm_rxelecidle_7_int, rxelecidle0_ext => bfm_rxelecidle_0_int, rxelecidle3_ext => bfm_rxelecidle_3_int, rxdatak1_ext => bfm_rxdatak_1_int, phystatus0_ext => bfm_phystatus_0_int, rx_in0 => bfm_rx_int(0), rx_in5 => bfm_rx_int(5), rxelecidle5_ext => bfm_rxelecidle_5_int, rxvalid1_ext => bfm_rxvalid_1_int, rx_in2 => bfm_rx_int(2), rx_in3 => bfm_rx_int(3), rxdatak3_ext => bfm_rxdatak_3_int, phystatus6_ext => bfm_phystatus_6_int, rxdata6_ext => bfm_rxdata_6_int, rxdata3_ext => bfm_rxdata_3_int, rxstatus5_ext => bfm_rxstatus_5_int, rxstatus1_ext => bfm_rxstatus_1_int, rxdata0_ext => bfm_rxdata_0_int, rxvalid7_ext => bfm_rxvalid_7_int, phystatus7_ext => bfm_phystatus_7_int, rxdata2_ext => bfm_rxdata_2_int, rxvalid5_ext => bfm_rxvalid_5_int, rxvalid0_ext => bfm_rxvalid_0_int, rxdatak2_ext => bfm_rxdatak_2_int, rxstatus4_ext => bfm_rxstatus_4_int, rxdatak7_ext => bfm_rxdatak_7_int, rxstatus0_ext => bfm_rxstatus_0_int, phystatus3_ext => bfm_phystatus_3_int, rxelecidle4_ext => bfm_rxelecidle_4_int, phystatus2_ext => bfm_phystatus_2_int, rxvalid4_ext => bfm_rxvalid_4_int, rx_in6 => bfm_rx_int(6), rx_in1 => bfm_rx_int(1), rxstatus2_ext => bfm_rxstatus_2_int, rxdata7_ext => bfm_rxdata_7_int, rxdatak0_ext => bfm_rxdatak_0_int, rxelecidle1_ext => bfm_rxelecidle_1_int, rxdata1_ext => bfm_rxdata_1_int, rxstatus6_ext => bfm_rxstatus_6_int, test_in => bfm_test_in_int, rx_in4 => bfm_rx_int(4), rxdatak4_ext => bfm_rxdatak_4_int, rxelecidle2_ext => bfm_rxelecidle_2_int, rxdatak5_ext => bfm_rxdatak_5_int, rxstatus7_ext => bfm_rxstatus_7_int, rxelecidle6_ext => bfm_rxelecidle_6_int, rxvalid3_ext => bfm_rxvalid_3_int, rxvalid2_ext => bfm_rxvalid_2_int, phystatus4_ext => bfm_phystatus_4_int, rxvalid6_ext => bfm_rxvalid_6_int, rxdatak6_ext => bfm_rxdatak_6_int, tx_out6 => bfm_tx_int(6), tx_out4 => bfm_tx_int(4), txdatak4_ext => bfm_txdatak_4_int(0), txelecidle0_ext => bfm_txelecidle_0_int, txdatak1_ext => bfm_txdatak_1_int(0), test_out => test_out_int, txelecidle2_ext => bfm_txelecidle_2_int, txdatak7_ext => bfm_txdatak_7_int(0), txdatak2_ext => bfm_txdatak_2_int(0), txcompl4_ext => bfm_txcompl_4_int, rxpolarity5_ext => bfm_rxpolarity_5_int, rxpolarity4_ext => bfm_rxpolarity_4_int, powerdown7_ext => bfm_powerdown_7_int, txdetectrx7_ext => bfm_txdetectrx_7_int, txelecidle1_ext => bfm_txelecidle_1_int, tx_out3 => bfm_tx_int(3), rxpolarity3_ext => bfm_rxpolarity_3_int, txdata0_ext => bfm_txdata_0_int, txdetectrx1_ext => bfm_txdetectrx_1_int, powerdown0_ext => bfm_powerdown_0_int, txdata1_ext => bfm_txdata_1_int, txdatak6_ext => bfm_txdatak_6_int(0), txdata3_ext => bfm_txdata_3_int, txcompl7_ext => bfm_txcompl_7_int, txdata4_ext => bfm_txdata_4_int, powerdown3_ext => bfm_powerdown_3_int, txcompl5_ext => bfm_txcompl_5_int, txcompl0_ext => bfm_txcompl_0_int, txdetectrx5_ext => bfm_txdetectrx_5_int, txcompl1_ext => bfm_txcompl_1_int, powerdown1_ext => bfm_powerdown_1_int, txelecidle7_ext => bfm_txelecidle_7_int, swdn_out => bfm_irq_int, txelecidle6_ext => bfm_txelecidle_6_int, tx_out0 => bfm_tx_int(0), powerdown6_ext => bfm_powerdown_6_int, rxpolarity0_ext => bfm_rxpolarity_0_int, tx_out2 => bfm_tx_int(2), txdetectrx2_ext => bfm_txdetectrx_2_int, txdata5_ext => bfm_txdata_5_int, txelecidle3_ext => bfm_txelecidle_3_int, txdatak3_ext => bfm_txdatak_3_int(0), txdetectrx0_ext => bfm_txdetectrx_0_int, rxpolarity6_ext => bfm_rxpolarity_6_int, powerdown2_ext => bfm_powerdown_2_int, rate_ext => bfm_rate_int, txcompl3_ext => bfm_txcompl_3_int, txdetectrx6_ext => bfm_txdetectrx_6_int, tx_out5 => bfm_tx_int(5), rxpolarity2_ext => bfm_rxpolarity_2_int, tx_out7 => bfm_tx_int(7), tx_out1 => bfm_tx_int(1), txdetectrx3_ext => bfm_txdetectrx_3_int, txdata6_ext => bfm_txdata_6_int, txcompl2_ext => bfm_txcompl_2_int, rxpolarity1_ext => bfm_rxpolarity_1_int, txelecidle4_ext => bfm_txelecidle_4_int, txdata2_ext => bfm_txdata_2_int, powerdown4_ext => bfm_powerdown_4_int, txcompl6_ext => bfm_txcompl_6_int, txdatak5_ext => bfm_txdatak_5_int(0), txdata7_ext => bfm_txdata_7_int, txdatak0_ext => bfm_txdatak_0_int(0), rxpolarity7_ext => bfm_rxpolarity_7_int, powerdown5_ext => bfm_powerdown_5_int, txdetectrx4_ext => bfm_txdetectrx_4_int, txelecidle5_ext => bfm_txelecidle_5_int ); ---------------------- -- use LTSSM monitor ---------------------- ltssm_mon : altpcietb_ltssm_mon port map( ep_ltssm => ep_ltssm_i, rp_clk => bfm_pclk_int, rp_ltssm => bfm_ltssm_rp, rstn => pcie_rstn_i, dummy_out => open ); ------------------------ -- manage unused lanes ------------------------ --manage_lanes: if BFM_LANE_WIDTH = 1 generate manage_x1_lanes: if BFM_LANE_WIDTH = 1 generate -- x1 configuration, BFM connected with 1 lane, using dummy transceiver for lanes 2 to 8 x1_lane_0 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 0 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(1 downto 0), a_txdatak(0) => ep_txdatak_i(0), a_txdata => ep_txdata_i(7 downto 0), a_txcompl => ep_txcompl_i(0), a_txelecidle => ep_txelecidle_i(0), a_txdetectrx => ep_txdetectrx_i(0), a_rxpolarity => ep_rxpolarity_i(0), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(0), a_rxstatus => ep_rxstatus_o(2 downto 0), a_rxdatak(0) => ep_rxdatak_o(0), a_rxdata => ep_rxdata_o(7 downto 0), a_rxelecidle => ep_rxelecidle_o(0), a_phystatus => ep_phystatus_o(0), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x1_lane_1 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 1 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_1_int, b_txcompl => bfm_txcompl_1_int, b_txdetectrx => bfm_txdetectrx_1_int, b_txelecidle => bfm_txelecidle_1_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_1_int, b_rxpolarity => bfm_rxpolarity_1_int, b_txdatak => bfm_txdatak_1_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_1_int, b_rxvalid => bfm_rxvalid_1_int, b_rxelecidle => bfm_rxelecidle_1_int, b_rxdatak(0) => bfm_rxdatak_1_int, b_rxdata => bfm_rxdata_1_int, b_rxstatus => bfm_rxstatus_1_int ); x1_lane_2 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 2 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_2_int, b_txcompl => bfm_txcompl_2_int, b_txdetectrx => bfm_txdetectrx_2_int, b_txelecidle => bfm_txelecidle_2_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_2_int, b_rxpolarity => bfm_rxpolarity_2_int, b_txdatak => bfm_txdatak_2_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_2_int, b_rxvalid => bfm_rxvalid_2_int, b_rxelecidle => bfm_rxelecidle_2_int, b_rxdatak(0) => bfm_rxdatak_2_int, b_rxdata => bfm_rxdata_2_int, b_rxstatus => bfm_rxstatus_2_int ); x1_lane_3 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 3 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_3_int, b_txcompl => bfm_txcompl_3_int, b_txdetectrx => bfm_txdetectrx_3_int, b_txelecidle => bfm_txelecidle_3_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_3_int, b_rxpolarity => bfm_rxpolarity_3_int, b_txdatak => bfm_txdatak_3_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_3_int, b_rxvalid => bfm_rxvalid_3_int, b_rxelecidle => bfm_rxelecidle_3_int, b_rxdatak(0) => bfm_rxdatak_3_int, b_rxdata => bfm_rxdata_3_int, b_rxstatus => bfm_rxstatus_3_int ); x1_lane_4 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 4 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_4_int, b_txcompl => bfm_txcompl_4_int, b_txdetectrx => bfm_txdetectrx_4_int, b_txelecidle => bfm_txelecidle_4_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_4_int, b_rxpolarity => bfm_rxpolarity_4_int, b_txdatak => bfm_txdatak_4_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_4_int, b_rxvalid => bfm_rxvalid_4_int, b_rxelecidle => bfm_rxelecidle_4_int, b_rxdatak(0) => bfm_rxdatak_4_int, b_rxdata => bfm_rxdata_4_int, b_rxstatus => bfm_rxstatus_4_int ); x1_lane_5 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 5 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_5_int, b_txcompl => bfm_txcompl_5_int, b_txdetectrx => bfm_txdetectrx_5_int, b_txelecidle => bfm_txelecidle_5_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_5_int, b_rxpolarity => bfm_rxpolarity_5_int, b_txdatak => bfm_txdatak_5_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_5_int, b_rxvalid => bfm_rxvalid_5_int, b_rxelecidle => bfm_rxelecidle_5_int, b_rxdatak(0) => bfm_rxdatak_5_int, b_rxdata => bfm_rxdata_5_int, b_rxstatus => bfm_rxstatus_5_int ); x1_lane_6 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 6 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_6_int, b_txcompl => bfm_txcompl_6_int, b_txdetectrx => bfm_txdetectrx_6_int, b_txelecidle => bfm_txelecidle_6_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_6_int, b_rxpolarity => bfm_rxpolarity_6_int, b_txdatak => bfm_txdatak_6_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_6_int, b_rxvalid => bfm_rxvalid_6_int, b_rxelecidle => bfm_rxelecidle_6_int, b_rxdatak(0) => bfm_rxdatak_6_int, b_rxdata => bfm_rxdata_6_int, b_rxstatus => bfm_rxstatus_6_int ); x1_lane_7 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 7 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_7_int, b_txcompl => bfm_txcompl_7_int, b_txdetectrx => bfm_txdetectrx_7_int, b_txelecidle => bfm_txelecidle_7_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_7_int, b_rxpolarity => bfm_rxpolarity_7_int, b_txdatak => bfm_txdatak_7_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_7_int, b_rxvalid => bfm_rxvalid_7_int, b_rxelecidle => bfm_rxelecidle_7_int, b_rxdatak(0) => bfm_rxdatak_7_int, b_rxdata => bfm_rxdata_7_int, b_rxstatus => bfm_rxstatus_7_int ); end generate manage_x1_lanes; --elsif BFM_LANE_WIDTH = 2 generate manage_x2_lanes : if BFM_LANE_WIDTH = 2 generate -- x2 configuration, BFM connected with 2 lanes, using dummy transceiver for lanes 3 to 8 x2_lane_0 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 0 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(1 downto 0), a_txdatak(0) => ep_txdatak_i(0), a_txdata => ep_txdata_i(7 downto 0), a_txcompl => ep_txcompl_i(0), a_txelecidle => ep_txelecidle_i(0), a_txdetectrx => ep_txdetectrx_i(0), a_rxpolarity => ep_rxpolarity_i(0), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(0), a_rxstatus => ep_rxstatus_o(2 downto 0), a_rxdatak(0) => ep_rxdatak_o(0), a_rxdata => ep_rxdata_o(7 downto 0), a_rxelecidle => ep_rxelecidle_o(0), a_phystatus => ep_phystatus_o(0), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x2_lane_1 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 1 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(3 downto 2), a_txdatak(0) => ep_txdatak_i(1), a_txdata => ep_txdata_i(15 downto 8), a_txcompl => ep_txcompl_i(1), a_txelecidle => ep_txelecidle_i(1), a_txdetectrx => ep_txdetectrx_i(1), a_rxpolarity => ep_rxpolarity_i(1), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(1), a_rxstatus => ep_rxstatus_o(5 downto 3), a_rxdatak(0) => ep_rxdatak_o(1), a_rxdata => ep_rxdata_o(15 downto 8), a_rxelecidle => ep_rxelecidle_o(1), a_phystatus => ep_phystatus_o(1), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x2_lane_2 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 2 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_2_int, b_txcompl => bfm_txcompl_2_int, b_txdetectrx => bfm_txdetectrx_2_int, b_txelecidle => bfm_txelecidle_2_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_2_int, b_rxpolarity => bfm_rxpolarity_2_int, b_txdatak => bfm_txdatak_2_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_2_int, b_rxvalid => bfm_rxvalid_2_int, b_rxelecidle => bfm_rxelecidle_2_int, b_rxdatak(0) => bfm_rxdatak_2_int, b_rxdata => bfm_rxdata_2_int, b_rxstatus => bfm_rxstatus_2_int ); x2_lane_3 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 3 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_3_int, b_txcompl => bfm_txcompl_3_int, b_txdetectrx => bfm_txdetectrx_3_int, b_txelecidle => bfm_txelecidle_3_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_3_int, b_rxpolarity => bfm_rxpolarity_3_int, b_txdatak => bfm_txdatak_3_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_3_int, b_rxvalid => bfm_rxvalid_3_int, b_rxelecidle => bfm_rxelecidle_3_int, b_rxdatak(0) => bfm_rxdatak_3_int, b_rxdata => bfm_rxdata_3_int, b_rxstatus => bfm_rxstatus_3_int ); x2_lane_4 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 4 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_4_int, b_txcompl => bfm_txcompl_4_int, b_txdetectrx => bfm_txdetectrx_4_int, b_txelecidle => bfm_txelecidle_4_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_4_int, b_rxpolarity => bfm_rxpolarity_4_int, b_txdatak => bfm_txdatak_4_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_4_int, b_rxvalid => bfm_rxvalid_4_int, b_rxelecidle => bfm_rxelecidle_4_int, b_rxdatak(0) => bfm_rxdatak_4_int, b_rxdata => bfm_rxdata_4_int, b_rxstatus => bfm_rxstatus_4_int ); x2_lane_5 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 5 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_5_int, b_txcompl => bfm_txcompl_5_int, b_txdetectrx => bfm_txdetectrx_5_int, b_txelecidle => bfm_txelecidle_5_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_5_int, b_rxpolarity => bfm_rxpolarity_5_int, b_txdatak => bfm_txdatak_5_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_5_int, b_rxvalid => bfm_rxvalid_5_int, b_rxelecidle => bfm_rxelecidle_5_int, b_rxdatak(0) => bfm_rxdatak_5_int, b_rxdata => bfm_rxdata_5_int, b_rxstatus => bfm_rxstatus_5_int ); x2_lane_6 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 6 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_6_int, b_txcompl => bfm_txcompl_6_int, b_txdetectrx => bfm_txdetectrx_6_int, b_txelecidle => bfm_txelecidle_6_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_6_int, b_rxpolarity => bfm_rxpolarity_6_int, b_txdatak => bfm_txdatak_6_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_6_int, b_rxvalid => bfm_rxvalid_6_int, b_rxelecidle => bfm_rxelecidle_6_int, b_rxdatak(0) => bfm_rxdatak_6_int, b_rxdata => bfm_rxdata_6_int, b_rxstatus => bfm_rxstatus_6_int ); x2_lane_7 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 7 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_7_int, b_txcompl => bfm_txcompl_7_int, b_txdetectrx => bfm_txdetectrx_7_int, b_txelecidle => bfm_txelecidle_7_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_7_int, b_rxpolarity => bfm_rxpolarity_7_int, b_txdatak => bfm_txdatak_7_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_7_int, b_rxvalid => bfm_rxvalid_7_int, b_rxelecidle => bfm_rxelecidle_7_int, b_rxdatak(0) => bfm_rxdatak_7_int, b_rxdata => bfm_rxdata_7_int, b_rxstatus => bfm_rxstatus_7_int ); end generate manage_x2_lanes; --elsif BFM_LANE_WIDTH = 4 generate manage_x4_lanes: if BFM_LANE_WIDTH = 4 generate -- x4 configuration, BFM connected with 4 lanes, using dummy transceiver for lanes 5 to 8 x4_lane_0 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 0 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(1 downto 0), a_txdatak(0) => ep_txdatak_i(0), a_txdata => ep_txdata_i(7 downto 0), a_txcompl => ep_txcompl_i(0), a_txelecidle => ep_txelecidle_i(0), a_txdetectrx => ep_txdetectrx_i(0), a_rxpolarity => ep_rxpolarity_i(0), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(0), a_rxstatus => ep_rxstatus_o(2 downto 0), a_rxdatak(0) => ep_rxdatak_o(0), a_rxdata => ep_rxdata_o(7 downto 0), a_rxelecidle => ep_rxelecidle_o(0), a_phystatus => ep_phystatus_o(0), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x4_lane_1 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 1 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(3 downto 2), a_txdatak(0) => ep_txdatak_i(1), a_txdata => ep_txdata_i(15 downto 8), a_txcompl => ep_txcompl_i(1), a_txelecidle => ep_txelecidle_i(1), a_txdetectrx => ep_txdetectrx_i(1), a_rxpolarity => ep_rxpolarity_i(1), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(1), a_rxstatus => ep_rxstatus_o(5 downto 3), a_rxdatak(0) => ep_rxdatak_o(1), a_rxdata => ep_rxdata_o(15 downto 8), a_rxelecidle => ep_rxelecidle_o(1), a_phystatus => ep_phystatus_o(1), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x4_lane_2 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 2 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(5 downto 4), a_txdatak(0) => ep_txdatak_i(2), a_txdata => ep_txdata_i(23 downto 16), a_txcompl => ep_txcompl_i(2), a_txelecidle => ep_txelecidle_i(2), a_txdetectrx => ep_txdetectrx_i(2), a_rxpolarity => ep_rxpolarity_i(2), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(2), a_rxstatus => ep_rxstatus_o(8 downto 6), a_rxdatak(0) => ep_rxdatak_o(2), a_rxdata => ep_rxdata_o(23 downto 16), a_rxelecidle => ep_rxelecidle_o(2), a_phystatus => ep_phystatus_o(2), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x4_lane_3 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 3 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(7 downto 6), a_txdatak(0) => ep_txdatak_i(3), a_txdata => ep_txdata_i(31 downto 24), a_txcompl => ep_txcompl_i(3), a_txelecidle => ep_txelecidle_i(3), a_txdetectrx => ep_txdetectrx_i(3), a_rxpolarity => ep_rxpolarity_i(3), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(3), a_rxstatus => ep_rxstatus_o(11 downto 9), a_rxdatak(0) => ep_rxdatak_o(3), a_rxdata => ep_rxdata_o(31 downto 24), a_rxelecidle => ep_rxelecidle_o(3), a_phystatus => ep_phystatus_o(3), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x4_lane_4 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 4 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_4_int, b_txcompl => bfm_txcompl_4_int, b_txdetectrx => bfm_txdetectrx_4_int, b_txelecidle => bfm_txelecidle_4_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_4_int, b_rxpolarity => bfm_rxpolarity_4_int, b_txdatak => bfm_txdatak_4_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_4_int, b_rxvalid => bfm_rxvalid_4_int, b_rxelecidle => bfm_rxelecidle_4_int, b_rxdatak(0) => bfm_rxdatak_4_int, b_rxdata => bfm_rxdata_4_int, b_rxstatus => bfm_rxstatus_4_int ); x4_lane_5 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 5 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_5_int, b_txcompl => bfm_txcompl_5_int, b_txdetectrx => bfm_txdetectrx_5_int, b_txelecidle => bfm_txelecidle_5_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_5_int, b_rxpolarity => bfm_rxpolarity_5_int, b_txdatak => bfm_txdatak_5_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_5_int, b_rxvalid => bfm_rxvalid_5_int, b_rxelecidle => bfm_rxelecidle_5_int, b_rxdatak(0) => bfm_rxdatak_5_int, b_rxdata => bfm_rxdata_5_int, b_rxstatus => bfm_rxstatus_5_int ); x4_lane_6 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 6 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_6_int, b_txcompl => bfm_txcompl_6_int, b_txdetectrx => bfm_txdetectrx_6_int, b_txelecidle => bfm_txelecidle_6_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_6_int, b_rxpolarity => bfm_rxpolarity_6_int, b_txdatak => bfm_txdatak_6_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_6_int, b_rxvalid => bfm_rxvalid_6_int, b_rxelecidle => bfm_rxelecidle_6_int, b_rxdatak(0) => bfm_rxdatak_6_int, b_rxdata => bfm_rxdata_6_int, b_rxstatus => bfm_rxstatus_6_int ); x4_lane_7 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 7 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '0', -- nothing connected on side A a_rate => '0', a_powerdown => (others => '0'), a_txdatak => (others => '0'), a_txdata => (others => '0'), a_txcompl => '0', a_txelecidle => '0', a_txdetectrx => '0', a_rxpolarity => '0', b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_7_int, b_txcompl => bfm_txcompl_7_int, b_txdetectrx => bfm_txdetectrx_7_int, b_txelecidle => bfm_txelecidle_7_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_7_int, b_rxpolarity => bfm_rxpolarity_7_int, b_txdatak => bfm_txdatak_7_int, a_rxvalid => open, a_rxstatus => open, a_rxdatak => open, a_rxdata => open, a_rxelecidle => open, a_phystatus => open, b_phystatus => bfm_phystatus_7_int, b_rxvalid => bfm_rxvalid_7_int, b_rxelecidle => bfm_rxelecidle_7_int, b_rxdatak(0) => bfm_rxdatak_7_int, b_rxdata => bfm_rxdata_7_int, b_rxstatus => bfm_rxstatus_7_int ); end generate manage_x4_lanes; --else generate manage_x8_lanes: if BFM_LANE_WIDTH = 8 generate -- x8 configuration, BFM connected with maximum lanes, no dummy transceiver necessary x8_lane_0 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 0 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(1 downto 0), a_txdatak(0) => ep_txdatak_i(0), a_txdata => ep_txdata_i(7 downto 0), a_txcompl => ep_txcompl_i(0), a_txelecidle => ep_txelecidle_i(0), a_txdetectrx => ep_txdetectrx_i(0), a_rxpolarity => ep_rxpolarity_i(0), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(0), a_rxstatus => ep_rxstatus_o(2 downto 0), a_rxdatak(0) => ep_rxdatak_o(0), a_rxdata => ep_rxdata_o(7 downto 0), a_rxelecidle => ep_rxelecidle_o(0), a_phystatus => ep_phystatus_o(0), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x8_lane_1 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 1 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(3 downto 2), a_txdatak(0) => ep_txdatak_i(1), a_txdata => ep_txdata_i(15 downto 8), a_txcompl => ep_txcompl_i(1), a_txelecidle => ep_txelecidle_i(1), a_txdetectrx => ep_txdetectrx_i(1), a_rxpolarity => ep_rxpolarity_i(1), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(1), a_rxstatus => ep_rxstatus_o(5 downto 3), a_rxdatak(0) => ep_rxdatak_o(1), a_rxdata => ep_rxdata_o(15 downto 8), a_rxelecidle => ep_rxelecidle_o(1), a_phystatus => ep_phystatus_o(1), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x8_lane_2 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 2 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(5 downto 4), a_txdatak(0) => ep_txdatak_i(2), a_txdata => ep_txdata_i(23 downto 16), a_txcompl => ep_txcompl_i(2), a_txelecidle => ep_txelecidle_i(2), a_txdetectrx => ep_txdetectrx_i(2), a_rxpolarity => ep_rxpolarity_i(2), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(2), a_rxstatus => ep_rxstatus_o(8 downto 6), a_rxdatak(0) => ep_rxdatak_o(2), a_rxdata => ep_rxdata_o(23 downto 16), a_rxelecidle => ep_rxelecidle_o(2), a_phystatus => ep_phystatus_o(2), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x8_lane_3 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 3 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(7 downto 6), a_txdatak(0) => ep_txdatak_i(3), a_txdata => ep_txdata_i(31 downto 24), a_txcompl => ep_txcompl_i(3), a_txelecidle => ep_txelecidle_i(3), a_txdetectrx => ep_txdetectrx_i(3), a_rxpolarity => ep_rxpolarity_i(3), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_0_int, b_txcompl => bfm_txcompl_0_int, b_txdetectrx => bfm_txdetectrx_0_int, b_txelecidle => bfm_txelecidle_0_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_0_int, b_rxpolarity => bfm_rxpolarity_0_int, b_txdatak => bfm_txdatak_0_int, a_rxvalid => ep_rxvalid_o(3), a_rxstatus => ep_rxstatus_o(11 downto 9), a_rxdatak(0) => ep_rxdatak_o(3), a_rxdata => ep_rxdata_o(31 downto 24), a_rxelecidle => ep_rxelecidle_o(3), a_phystatus => ep_phystatus_o(3), b_phystatus => bfm_phystatus_0_int, b_rxvalid => bfm_rxvalid_0_int, b_rxelecidle => bfm_rxelecidle_0_int, b_rxdatak(0) => bfm_rxdatak_0_int, b_rxdata => bfm_rxdata_0_int, b_rxstatus => bfm_rxstatus_0_int ); x8_lane_4 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 4 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(9 downto 8), a_txdatak(0) => ep_txdatak_i(4), a_txdata => ep_txdata_i(39 downto 32), a_txcompl => ep_txcompl_i(4), a_txelecidle => ep_txelecidle_i(4), a_txdetectrx => ep_txdetectrx_i(4), a_rxpolarity => ep_rxpolarity_i(4), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_4_int, b_txcompl => bfm_txcompl_4_int, b_txdetectrx => bfm_txdetectrx_4_int, b_txelecidle => bfm_txelecidle_4_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_4_int, b_rxpolarity => bfm_rxpolarity_4_int, b_txdatak => bfm_txdatak_4_int, a_rxvalid => ep_rxvalid_o(4), a_rxstatus => ep_rxstatus_o(14 downto 12), a_rxdatak(0) => ep_rxdatak_o(4), a_rxdata => ep_rxdata_o(39 downto 32), a_rxelecidle => ep_rxelecidle_o(4), a_phystatus => ep_phystatus_o(4), b_phystatus => bfm_phystatus_4_int, b_rxvalid => bfm_rxvalid_4_int, b_rxelecidle => bfm_rxelecidle_4_int, b_rxdatak(0) => bfm_rxdatak_4_int, b_rxdata => bfm_rxdata_4_int, b_rxstatus => bfm_rxstatus_4_int ); x8_lane_5 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 5 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(11 downto 10), a_txdatak(0) => ep_txdatak_i(5), a_txdata => ep_txdata_i(47 downto 40), a_txcompl => ep_txcompl_i(5), a_txelecidle => ep_txelecidle_i(5), a_txdetectrx => ep_txdetectrx_i(5), a_rxpolarity => ep_rxpolarity_i(5), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_5_int, b_txcompl => bfm_txcompl_5_int, b_txdetectrx => bfm_txdetectrx_5_int, b_txelecidle => bfm_txelecidle_5_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_5_int, b_rxpolarity => bfm_rxpolarity_5_int, b_txdatak => bfm_txdatak_5_int, a_rxvalid => ep_rxvalid_o(5), a_rxstatus => ep_rxstatus_o(17 downto 15), a_rxdatak(0) => ep_rxdatak_o(5), a_rxdata => ep_rxdata_o(47 downto 40), a_rxelecidle => ep_rxelecidle_o(5), a_phystatus => ep_phystatus_o(5), b_phystatus => bfm_phystatus_5_int, b_rxvalid => bfm_rxvalid_5_int, b_rxelecidle => bfm_rxelecidle_5_int, b_rxdatak(0) => bfm_rxdatak_5_int, b_rxdata => bfm_rxdata_5_int, b_rxstatus => bfm_rxstatus_5_int ); x8_lane_6 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 6 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(13 downto 12), a_txdatak(0) => ep_txdatak_i(6), a_txdata => ep_txdata_i(55 downto 48), a_txcompl => ep_txcompl_i(6), a_txelecidle => ep_txelecidle_i(6), a_txdetectrx => ep_txdetectrx_i(6), a_rxpolarity => ep_rxpolarity_i(6), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_6_int, b_txcompl => bfm_txcompl_6_int, b_txdetectrx => bfm_txdetectrx_6_int, b_txelecidle => bfm_txelecidle_6_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_6_int, b_rxpolarity => bfm_rxpolarity_6_int, b_txdatak => bfm_txdatak_6_int, a_rxvalid => ep_rxvalid_o(6), a_rxstatus => ep_rxstatus_o(20 downto 18), a_rxdatak(0) => ep_rxdatak_o(6), a_rxdata => ep_rxdata_o(55 downto 48), a_rxelecidle => ep_rxelecidle_o(6), a_phystatus => ep_phystatus_o(6), b_phystatus => bfm_phystatus_6_int, b_rxvalid => bfm_rxvalid_6_int, b_rxelecidle => bfm_rxelecidle_6_int, b_rxdatak(0) => bfm_rxdatak_6_int, b_rxdata => bfm_rxdata_6_int, b_rxstatus => bfm_rxstatus_6_int ); x8_lane_7 : altpcietb_pipe_phy generic map( APIPE_WIDTH => 8, BPIPE_WIDTH => 8, LANE_NUM => 7 ) port map( resetn => pcie_rstn_i, pclk_a => lane_pclk_int, pclk_b => bfm_pclk_int, pipe_mode => bfm_pipe_mode_int, a_lane_conn => '1', -- endpoint connected on side A a_rate => ep_rate_ext_i, a_powerdown => ep_powerdown_ext_i(15 downto 14), a_txdatak(0) => ep_txdatak_i(7), a_txdata => ep_txdata_i(63 downto 56), a_txcompl => ep_txcompl_i(7), a_txelecidle => ep_txelecidle_i(7), a_txdetectrx => ep_txdetectrx_i(7), a_rxpolarity => ep_rxpolarity_i(7), b_lane_conn => '1', -- BFM connected on side B b_powerdown => bfm_powerdown_7_int, b_txcompl => bfm_txcompl_7_int, b_txdetectrx => bfm_txdetectrx_7_int, b_txelecidle => bfm_txelecidle_7_int, b_rate => bfm_rate_int, b_txdata => bfm_txdata_7_int, b_rxpolarity => bfm_rxpolarity_7_int, b_txdatak => bfm_txdatak_7_int, a_rxvalid => ep_rxvalid_o(7), a_rxstatus => ep_rxstatus_o(23 downto 21), a_rxdatak(0) => ep_rxdatak_o(7), a_rxdata => ep_rxdata_o(63 downto 56), a_rxelecidle => ep_rxelecidle_o(7), a_phystatus => ep_phystatus_o(7), b_phystatus => bfm_phystatus_7_int, b_rxvalid => bfm_rxvalid_7_int, b_rxelecidle => bfm_rxelecidle_7_int, b_rxdatak(0) => bfm_rxdatak_7_int, b_rxdata => bfm_rxdata_7_int, b_rxstatus => bfm_rxstatus_7_int ); --end generate manage_lanes; end generate manage_x8_lanes; end architecture pcie_sim_arch;
gpl-3.0
d7641c587be50563b87573e5aeaaff74
0.470082
3.733954
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_delay_GNPJ4Y7BVC.vhd
4
1,152
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNPJ4Y7BVC is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 1; BitPattern : string := "00000000000000000000000000100000"; width : positive := 32); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNPJ4Y7BVC is Begin -- Delay Element, with reset value DelayWithInit : alt_dspbuilder_SInitDelay generic map ( LPM_WIDTH => 32, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1", ResetValue => "00000000000000000000000000100000") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
mit
0264990eb9f78b052f611300b83bf90a
0.650174
3.130435
false
false
false
false
michaelmiehling/A25_VME_TB
16x010-00_src/Source/print_pkg.vhd
1
32,985
--------------------------------------------------------------- -- Title : Print Package -- Project : none --------------------------------------------------------------- -- File : print_pkg.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 26/08/03 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- several procedures and functions for screen printing --------------------------------------------------------------- -- Hierarchy: -- -- none --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.9 $ -- -- $Log: print_pkg.vhd,v $ -- Revision 1.9 2015/11/12 14:57:26 AGeissler -- R1: Missing now procedure with one string -- M1: Overload existing print_now_s with sting instead of integer -- -- Revision 1.8 2015/11/12 13:56:46 AGeissler -- R1: Missing character to std_logic_vector conversion function -- M1: Added functions std_logic_vector_to_char and char_to_std_logic_vector -- R2: Missing now procedures -- M2: Added for each procedure a equivalent one, with an additional time print -- -- Revision 1.7 2015/11/12 11:04:50 AGeissler -- R1: The user shall decide, when and if spaces are used -- M1: Removed spaces from print procedures -- -- Revision 1.6 2015/03/10 10:20:34 AGeissler -- R1: Improvement -- M1.1: Added overloaded function for print_s_hb, print_s_hw, print_s_hl with std_logic_vector as parameter -- M1.2: Replaced print_s_bit with print_s_std as a overloaded function with a std_logic as parameter -- M1.3: Added short description for each function -- -- Revision 1.5 2015/03/10 09:25:56 AGeissler -- R1: Missing function to print an single bit -- M1: Added function print_s_bit -- -- Revision 1.4 2014/12/02 17:27:10 AGeissler -- R1: Missing print functions for integer in hex with different sizes -- M1: Added print functions print_s_hb, print_s_hw, print_s_hl -- -- Revision 1.3 2014/11/24 11:26:00 AGeissler -- R1: Missing function to print two strings for example text + time -- (print_s(" it took ", time'image(tmp_time));) -- M1: Added procedure print_s -- -- Revision 1.2 2006/03/01 09:34:09 mmiehling -- added print_now_s -- -- Revision 1.1 2005/10/20 10:42:26 mmiehling -- Initial Revision -- -- Revision 1.1 2005/09/15 12:05:59 MMiehling -- Initial Revision -- -- Revision 1.2 2004/05/13 14:22:49 MMiehling -- multifunction device support -- -- Revision 1.1 2004/04/14 09:42:28 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.all; USE ieee.std_logic_textio.all; USE ieee.numeric_std.all; LIBRARY std; USE std.textio.all; PACKAGE print_pkg IS PROCEDURE print_mtest ( source : string; address : std_logic_vector; is_data : std_logic_vector; should_data : std_logic_vector; arg : boolean); PROCEDURE print (s: IN string); PROCEDURE print_s (s: IN string; s2: IN string); PROCEDURE print_s_s (s: IN string; s2: IN string; s3: IN string); PROCEDURE print_s_i (s: IN string; s2: IN integer); PROCEDURE print_s_h (s: IN string; s2: IN integer); PROCEDURE print_s_hb (s: IN string; s2: IN integer); PROCEDURE print_s_hw (s: IN string; s2: IN integer); PROCEDURE print_s_hl (s: IN string; s2: IN integer); PROCEDURE print_s_hb (s: IN string; s2: IN std_logic_vector(7 DOWNTO 0)); PROCEDURE print_s_hw (s: IN string; s2: IN std_logic_vector(15 DOWNTO 0)); PROCEDURE print_s_hl (s: IN string; s2: IN std_logic_vector(31 DOWNTO 0)); PROCEDURE print_s_dl (s: IN string; s2: IN std_logic_vector); PROCEDURE print_cycle ( header : string; address : std_logic_vector; data : std_logic_vector; sel_o_int : std_logic_vector(3 DOWNTO 0); ende : string); PROCEDURE print_s_std (s: IN string; bit: IN std_logic); PROCEDURE print_s_std (s: IN string; vec: IN std_logic_vector); PROCEDURE print_time (s: IN string); PROCEDURE print_sum (intext: IN string; mstr_err: IN integer; wb_err: IN integer); -- now procedures PROCEDURE print_now (s: IN string); PROCEDURE print_now_s (s: IN string; s2: IN integer); PROCEDURE print_now_s (s: IN string; s2: IN string); PROCEDURE print_now_s_s (s: IN string; s2: IN string; s3: IN string); PROCEDURE print_now_s_i (s: IN string; s2: IN integer); PROCEDURE print_now_s_h (s: IN string; s2: IN integer); PROCEDURE print_now_s_hb (s: IN string; s2: IN integer); PROCEDURE print_now_s_hw (s: IN string; s2: IN integer); PROCEDURE print_now_s_hl (s: IN string; s2: IN integer); PROCEDURE print_now_s_hb (s: IN string; s2: IN std_logic_vector(7 DOWNTO 0)); PROCEDURE print_now_s_hw (s: IN string; s2: IN std_logic_vector(15 DOWNTO 0)); PROCEDURE print_now_s_hl (s: IN string; s2: IN std_logic_vector(31 DOWNTO 0)); PROCEDURE print_now_s_dl (s: IN string; s2: IN std_logic_vector); PROCEDURE print_now_s_std (s: IN string; bit: IN std_logic); PROCEDURE print_now_s_std (s: IN string; vec: IN std_logic_vector); FUNCTION char_to_std_logic_vector(arg : character) RETURN std_logic_vector; FUNCTION std_logic_vector_to_char(arg : std_logic_vector(7 DOWNTO 0)) RETURN character; END print_pkg; PACKAGE BODY print_pkg IS ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string with the current simulation time PROCEDURE print_time(s: IN string) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITELINE(output,l); END print_time; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string and a std_logic PROCEDURE print_s_std(s: IN string; bit: IN std_logic) IS VARIABLE l: line; VARIABLE s2: string(1 TO 3); BEGIN WRITE(l, s); IF bit = '1' THEN s2 := "'1'"; ELSE s2 := "'0'"; END IF; WRITE(l, s2); WRITELINE(output,l); END print_s_std; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string and a std_logic_vector as a hexadecimal number PROCEDURE print_s_std(s: IN string; vec: IN std_logic_vector) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, vec); WRITELINE(output,l); END print_s_std; ---------------------------------------------------------------------------------------------------------------------------------------- -- print wishbone information PROCEDURE print_cycle( header : string; address : std_logic_vector; data : std_logic_vector; sel_o_int: std_logic_vector(3 DOWNTO 0); ende : string) IS VARIABLE l : line; BEGIN WRITE(l,header); WRITE(l,string'(" ")); WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l,string'(" ADR: ")); HWRITE(l,address,justified=>left); WRITE(l,string'(" DATA: ")); IF address(1) = '0' THEN CASE sel_o_int IS WHEN "1111" => HWRITE(l,data); WHEN "0001" => HWRITE(l,data(7 DOWNTO 0)); WRITE(l,string'(" ")); WHEN "0010" => HWRITE(l,data(15 DOWNTO 8)); WRITE(l,string'(" ")); WHEN "0100" => HWRITE(l,data(23 DOWNTO 16)); WRITE(l,string'(" ")); WHEN "1000" => HWRITE(l,data(31 DOWNTO 24)); WRITE(l,string'(" ")); WHEN "0011" => HWRITE(l,data(15 DOWNTO 0)); WRITE(l,string'(" ")); WHEN "1100" => HWRITE(l,data(31 DOWNTO 16)); WRITE(l,string'(" ")); WHEN OTHERS => ASSERT FALSE REPORT "PRINT_PKG Error: sel_o is undefined" SEVERITY error; END CASE; ELSE HWRITE(l,data); END IF; WRITE(l,string'(" ")); WRITE(l,ende); WRITELINE(output,l); END print_cycle; ---------------------------------------------------------------------------------------------------------------------------------------- -- print the result of a memory test PROCEDURE print_mtest( source : string; address : std_logic_vector; is_data : std_logic_vector; should_data : std_logic_vector; arg : boolean) IS VARIABLE tranx : line; BEGIN WRITE(tranx,source); WRITE(tranx,now, justified=>right,field =>10, unit=> ns ); WRITE(tranx,string'(" Memory Test ")); WRITE(tranx,string'(" ADR: ")); HWRITE(tranx,address,justified=>left); IF NOT arg THEN WRITE(tranx,string'(" DATA should be: ")); HWRITE(tranx,should_data); WRITE(tranx, string'(" is ")); ELSE WRITE(tranx,string'(" DATA: ")); END IF; HWRITE(tranx,is_data); WRITE(tranx,string'(" ")); IF arg THEN WRITE(tranx,string'("OK")); ELSE WRITE(tranx,string'("ERROR!")); END IF; WRITELINE(output,tranx); END print_mtest; ---------------------------------------------------------------------------------------------------------------------------------------- -- print string PROCEDURE print(s: IN string) IS VARIABLE l: line; BEGIN WRITE(l, s); WRITELINE(output,l); END print; ---------------------------------------------------------------------------------------------------------------------------------------- -- print two strings (for example to print string and time = print_s(" it took ", time'image(tmp_time)); PROCEDURE print_s(s: IN string;s2: IN string) IS VARIABLE l: line; BEGIN WRITE(l, s); WRITE(l, s2); WRITELINE(output,l); END print_s; ---------------------------------------------------------------------------------------------------------------------------------------- -- print three strings (for example to print string, value and type = print_s(" it took ", integer, "ns"); PROCEDURE print_s_s(s: IN string; s2: IN string; s3: IN string) IS VARIABLE l: line; BEGIN WRITE(l, s); WRITE(l, s2); WRITE(l, s3); WRITELINE(output,l); END print_s_s; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a integer as a decimal number PROCEDURE print_s_i(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, s); WRITE(l, s2); WRITELINE(output,l); END print_s_i; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 8 digits (equal to print_s_hl but is needed to be backward compatible) PROCEDURE print_s_h(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,32))); WRITELINE(output,l); END print_s_h; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 2 digits PROCEDURE print_s_hb(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,8))); WRITELINE(output,l); END print_s_hb; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 4 digits PROCEDURE print_s_hw(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,16))); WRITELINE(output,l); END print_s_hw; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 8 digits PROCEDURE print_s_hl(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,32))); WRITELINE(output,l); END print_s_hl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 2 digits PROCEDURE print_s_hb(s: IN string;s2: IN std_logic_vector(7 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_s_hb; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 4 digits PROCEDURE print_s_hw(s: IN string;s2: IN std_logic_vector(15 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_s_hw; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 8 digits PROCEDURE print_s_hl(s: IN string;s2: IN std_logic_vector(31 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_s_hl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a decimal number PROCEDURE print_s_dl(s: IN string;s2: IN std_logic_vector) IS VARIABLE l: line; BEGIN WRITE(l, s); WRITE(l, to_integer(unsigned(s2))); WRITELINE(output,l); END print_s_dl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print the result of a test case PROCEDURE print_sum(intext: IN string; mstr_err: IN integer; wb_err: IN integer) IS VARIABLE l: line; BEGIN WRITE(l, string'(" ")); WRITELINE(output,l); IF mstr_err = 0 AND wb_err = 0 THEN WRITE(l, string'(" P A S S ")); WRITE(l, intext); WRITELINE(output,l); ELSE WRITE(l, string'(" F A I L ")); WRITE(l, intext); WRITELINE(output,l); WRITE(l, string'(" Number of PCI errors: ")); WRITE(l, mstr_err); WRITELINE(output,l); WRITE(l, string'(" Number of WB errors: ")); WRITE(l, wb_err); WRITELINE(output,l); END IF; WRITE(l, string'("*************************************************************************************************************")); WRITELINE(output,l); END print_sum; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string with the current simulation time PROCEDURE print_now(s: IN string) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITELINE(output,l); END print_now; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string and an integer as decimal number withthe current simulation time PROCEDURE print_now_s(s: IN string; s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITE(l, s2); WRITELINE(output,l); END print_now_s; ---------------------------------------------------------------------------------------------------------------------------------------- -- print two strings (for example to print string and time = print_s(" it took ", time'image(tmp_time)); PROCEDURE print_now_s(s: IN string;s2: IN string) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITE(l, s2); WRITELINE(output,l); END print_now_s; ---------------------------------------------------------------------------------------------------------------------------------------- -- print three strings (for example to print string, value and type = print_s(" it took ", integer, "ns"); PROCEDURE print_now_s_s(s: IN string; s2: IN string; s3: IN string) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITE(l, s2); WRITE(l, s3); WRITELINE(output,l); END print_now_s_s; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a integer as a decimal number PROCEDURE print_now_s_i(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITE(l, s2); WRITELINE(output,l); END print_now_s_i; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 8 digits (equal to print_s_hl but is needed to be backward compatible) PROCEDURE print_now_s_h(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,32))); WRITELINE(output,l); END print_now_s_h; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 2 digits PROCEDURE print_now_s_hb(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,8))); WRITELINE(output,l); END print_now_s_hb; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 4 digits PROCEDURE print_now_s_hw(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,16))); WRITELINE(output,l); END print_now_s_hw; ---------------------------------------------------------------------------------------------------------------------------------------- -- print an integer as a hexadecimal number with 8 digits PROCEDURE print_now_s_hl(s: IN string;s2: IN integer) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, std_logic_vector(to_unsigned(s2,32))); WRITELINE(output,l); END print_now_s_hl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 2 digits PROCEDURE print_now_s_hb(s: IN string;s2: IN std_logic_vector(7 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_now_s_hb; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 4 digits PROCEDURE print_now_s_hw(s: IN string;s2: IN std_logic_vector(15 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_now_s_hw; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a hexadecimal number with 8 digits PROCEDURE print_now_s_hl(s: IN string;s2: IN std_logic_vector(31 DOWNTO 0)) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, s2); WRITELINE(output,l); END print_now_s_hl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a std_logic_vector as a decimal number PROCEDURE print_now_s_dl(s: IN string;s2: IN std_logic_vector) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); WRITE(l, to_integer(unsigned(s2))); WRITELINE(output,l); END print_now_s_dl; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string and a std_logic PROCEDURE print_now_s_std(s: IN string; bit: IN std_logic) IS VARIABLE l: line; VARIABLE s2: string(1 TO 3); BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); IF bit = '1' THEN s2 := "'1'"; ELSE s2 := "'0'"; END IF; WRITE(l, s2); WRITELINE(output,l); END print_now_s_std; ---------------------------------------------------------------------------------------------------------------------------------------- -- print a string and a std_logic_vector as a hexadecimal number PROCEDURE print_now_s_std(s: IN string; vec: IN std_logic_vector) IS VARIABLE l: line; BEGIN WRITE(l,now, justified=>right,field =>10, unit=> ns ); WRITE(l, string'(" ")); WRITE(l, s); HWRITE(l, vec); WRITELINE(output,l); END print_now_s_std; ---------------------------------------------------------------------------------------------------------------------------------------- -- function to convert character to std_logic_vector FUNCTION char_to_std_logic_vector( arg : character) RETURN std_logic_vector IS BEGIN RETURN std_logic_vector(to_unsigned(character'POS(arg), 8)); END FUNCTION char_to_std_logic_vector; ---------------------------------------------------------------------------------------------------------------------------------------- -- function to convert std_logic_vector to character FUNCTION std_logic_vector_to_char( arg : std_logic_vector(7 DOWNTO 0) ) RETURN character IS BEGIN CASE arg IS -- NUL, SOH, STX, ETX, EOT, ENQ, ACK, BEL, -- BS, HT, LF, VT, FF, CR, SO, SI, WHEN "00000000" => RETURN NUL; WHEN "00000001" => RETURN SOH; WHEN "00000010" => RETURN STX; WHEN "00000011" => RETURN ETX; WHEN "00000100" => RETURN EOT; WHEN "00000101"=> RETURN ENQ; WHEN "00000110" => RETURN ACK; WHEN "00000111" => RETURN BEL; WHEN "00001000" => RETURN BS; WHEN "00001001" => RETURN HT; WHEN "00001010" => RETURN LF; WHEN "00001011" => RETURN VT; WHEN "00001100" => RETURN FF; WHEN "00001101" => RETURN CR; WHEN "00001110" => RETURN SO; WHEN "00001111" => RETURN SI; -- DLE, DC1, DC2, DC3, DC4, NAK, SYN, ETB, -- CAN, EM, SUB, ESC, FSP, GSP, RSP, USP, WHEN "00010000" => RETURN DLE; WHEN "00010001" => RETURN DC1; WHEN "00010010" => RETURN DC2; WHEN "00010011" => RETURN DC3; WHEN "00010100" => RETURN DC4; WHEN "00010101" => RETURN NAK; WHEN "00010110" => RETURN SYN; WHEN "00010111" => RETURN ETB; WHEN "00011000" => RETURN CAN; WHEN "00011001" => RETURN EM; WHEN "00011010" => RETURN SUB; WHEN "00011011" => RETURN ESC; WHEN "00011100" => RETURN FSP; WHEN "00011101" => RETURN GSP; WHEN "00011110" => RETURN RSP; WHEN "00011111" => RETURN USP; -- ' ', '!', '"', '#', '$', '%', '&', ''', -- '(', ')', '*', '+', ',', '-', '.', '/', WHEN "00100000" => RETURN ' '; WHEN "00100001" => RETURN '!'; WHEN "00100010" => RETURN '"'; --" WHEN "00100011" => RETURN '#'; WHEN "00100100" => RETURN '$'; WHEN "00100101" => RETURN '%'; WHEN "00100110" => RETURN '&'; WHEN "00100111" => RETURN '''; WHEN "00101000" => RETURN '('; WHEN "00101001" => RETURN ')'; WHEN "00101010" => RETURN '*'; WHEN "00101011" => RETURN '+'; WHEN "00101100" => RETURN ','; WHEN "00101101" => RETURN '-'; WHEN "00101110" => RETURN '.'; WHEN "00101111" => RETURN '/'; -- '0', '1', '2', '3', '4', '5', '6', '7', -- '8', '9', ':', ';', '<', '=', '>', '?', WHEN "00110000" => RETURN '0'; WHEN "00110001" => RETURN '1'; WHEN "00110010" => RETURN '2'; WHEN "00110011" => RETURN '3'; WHEN "00110100" => RETURN '4'; WHEN "00110101" => RETURN '5'; WHEN "00110110" => RETURN '6'; WHEN "00110111" => RETURN '7'; WHEN "00111000" => RETURN '8'; WHEN "00111001" => RETURN '9'; WHEN "00111010" => RETURN ':'; WHEN "00111011" => RETURN ';'; WHEN "00111100" => RETURN '<'; WHEN "00111101" => RETURN '='; WHEN "00111110" => RETURN '>'; WHEN "00111111" => RETURN '?'; -- '@', 'A', 'B', 'C', 'D', 'E', 'F', 'G', -- 'H', 'I', 'J', 'K', 'L', 'M', 'N', 'O', WHEN "01000000" => RETURN '@'; WHEN "01000001" => RETURN 'A'; WHEN "01000010" => RETURN 'B'; WHEN "01000011" => RETURN 'C'; WHEN "01000100" => RETURN 'D'; WHEN "01000101" => RETURN 'E'; WHEN "01000110" => RETURN 'F'; WHEN "01000111" => RETURN 'G'; WHEN "01001000" => RETURN 'H'; WHEN "01001001" => RETURN 'I'; WHEN "01001010" => RETURN 'J'; WHEN "01001011" => RETURN 'K'; WHEN "01001100" => RETURN 'L'; WHEN "01001101" => RETURN 'M'; WHEN "01001110" => RETURN 'N'; WHEN "01001111" => RETURN 'O'; -- 'P', 'Q', 'R', 'S', 'T', 'U', 'V', 'W', -- 'X', 'Y', 'Z', '[', '\', ']', '^', '_', WHEN "01010000" => RETURN 'P'; WHEN "01010001" => RETURN 'Q'; WHEN "01010010" => RETURN 'R'; WHEN "01010011" => RETURN 'S'; WHEN "01010100" => RETURN 'T'; WHEN "01010101" => RETURN 'U'; WHEN "01010110" => RETURN 'V'; WHEN "01010111" => RETURN 'W'; WHEN "01011000" => RETURN 'X'; WHEN "01011001" => RETURN 'Y'; WHEN "01011010" => RETURN 'Z'; WHEN "01011011" => RETURN '['; WHEN "01011100" => RETURN '\'; WHEN "01011101" => RETURN ']'; WHEN "01011110" => RETURN '^'; WHEN "01011111" => RETURN '_'; -- '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', -- 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', WHEN "01100000" => RETURN '`'; WHEN "01100001" => RETURN 'a'; WHEN "01100010" => RETURN 'b'; WHEN "01100011" => RETURN 'c'; WHEN "01100100" => RETURN 'd'; WHEN "01100101" => RETURN 'e'; WHEN "01100110" => RETURN 'f'; WHEN "01100111" => RETURN 'g'; WHEN "01101000" => RETURN 'h'; WHEN "01101001" => RETURN 'i'; WHEN "01101010" => RETURN 'j'; WHEN "01101011" => RETURN 'k'; WHEN "01101100" => RETURN 'l'; WHEN "01101101" => RETURN 'm'; WHEN "01101110" => RETURN 'n'; WHEN "01101111" => RETURN 'o'; -- 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', -- 'x', 'y', 'z', '{', '|', '}', '~', DEL, WHEN "01110000" => RETURN 'p'; WHEN "01110001" => RETURN 'q'; WHEN "01110010" => RETURN 'r'; WHEN "01110011" => RETURN 's'; WHEN "01110100" => RETURN 't'; WHEN "01110101" => RETURN 'u'; WHEN "01110110" => RETURN 'v'; WHEN "01110111" => RETURN 'w'; WHEN "01111000" => RETURN 'x'; WHEN "01111001" => RETURN 'y'; WHEN "01111010" => RETURN 'z'; WHEN "01111011" => RETURN '{'; WHEN "01111100" => RETURN '|'; WHEN "01111101" => RETURN '}'; WHEN "01111110" => RETURN '~'; WHEN "01111111" => RETURN DEL; WHEN OTHERS => RETURN '0'; END CASE; -- missing characters: -- C128, C129, C130, C131, C132, C133, C134, C135, -- C136, C137, C138, C139, C140, C141, C142, C143, -- C144, C145, C146, C147, C148, C149, C150, C151, -- C152, C153, C154, C155, C156, C157, C158, C159, -- ' ', '¡', '¢', '£', '¤', '¥', '¦', '§', -- '¨', '©', 'ª', '«', '¬', '­', '®', '¯', -- '°', '±', '²', '³', '´', 'µ', '¶', '·', -- '¸', '¹', 'º', '»', '¼', '½', '¾', '¿', -- 'À', 'Á', 'Â', 'Ã', 'Ä', 'Å', 'Æ', 'Ç', -- 'È', 'É', 'Ê', 'Ë', 'Ì', 'Í', 'Î', 'Ï', -- 'Ð', 'Ñ', 'Ò', 'Ó', 'Ô', 'Õ', 'Ö', '×', -- 'Ø', 'Ù', 'Ú', 'Û', 'Ü', 'Ý', 'Þ', 'ß', -- 'à', 'á', 'â', 'ã', 'ä', 'å', 'æ', 'ç', -- 'è', 'é', 'ê', 'ë', 'ì', 'í', 'î', 'ï', -- 'ð', 'ñ', 'ò', 'ó', 'ô', 'õ', 'ö', '÷', -- 'ø', 'ù', 'ú', 'û', 'ü', 'ý', 'þ', 'ÿ'); END FUNCTION std_logic_vector_to_char; END;
gpl-3.0
ec722278da19909a89439978d0e25825
0.427619
4.129836
false
false
false
false
Ttl/bf_cpu
testbenches/cpu_tb.vhd
1
2,101
-- TestBench Template LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; USE std.textio.all; ENTITY cpu_tb IS END cpu_tb; ARCHITECTURE behavior OF cpu_tb IS signal clk, reset, tx, rx : std_logic; -- Clock period definitions constant clk_period : time := 10 ns; signal uart_tx_req, uart_tx_end, uart_rx_ready : std_logic; signal uart_tx_data, uart_rx_data : std_logic_vector(7 downto 0); BEGIN -- Component Instantiation uut: entity work.cpu Generic map ( INSTRUCTIONS => "scripts/branch.mif" ) Port map(clk => clk, reset => reset, tx => rx, rx => tx ); uart1 : entity work.uart Generic map( CLK_FREQ => 100, SER_FREQ => 2000000, PARITY_BIT => false ) Port map ( clk => clk, rst => reset, rx => rx, tx => tx, tx_req => uart_tx_req, tx_end => uart_tx_end, tx_data => uart_tx_data, rx_ready => uart_rx_ready, rx_data => uart_rx_data ); -- Print received bytes uart_process : process begin wait until uart_rx_ready = '1'; wait for clk_period; if to_integer(unsigned(uart_rx_data)) > 31 and to_integer(unsigned(uart_rx_data)) < 127 then report "Received ASCII: "&character'image(character'val(to_integer(unsigned(uart_rx_data)))); else report "Received Dec: "&integer'image(to_integer(unsigned(uart_rx_data))); end if; end process; -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Test Bench Statements tb : PROCESS BEGIN reset <= '1'; uart_tx_req <= '0'; wait for 100 ns; -- wait until global set/reset completes reset <= '0'; -- Send character uart_tx_req <= '1'; uart_tx_data <= x"41"; -- A wait for clk_period; uart_tx_req <= '0'; wait until uart_tx_end = '1'; wait; -- will wait forever END PROCESS tb; -- End Test Bench END;
lgpl-3.0
6c7e54903f801fc9d8b13e025e49676c
0.572109
3.41626
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/vme_sim_mon.vhd
1
10,071
--------------------------------------------------------------- -- Title : VME bus monitor -- Project : A15 --------------------------------------------------------------- -- File : vme_sim_mon.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 02/09/03 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.1 $ -- -- $Log: vme_sim_mon.vhd,v $ -- Revision 1.1 2012/03/29 10:28:46 MMiehling -- Initial Revision -- -- Revision 1.2 2006/05/18 14:31:24 MMiehling -- changed comment -- -- Revision 1.1 2005/10/28 17:52:14 mmiehling -- Initial Revision -- -- Revision 1.1 2004/07/27 17:28:12 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee,work; USE ieee.std_logic_1164.ALL; USE work.vme_sim_pack.ALL; USE ieee.std_logic_unsigned.ALL; USE std.textio.all; USE work.print_pkg.all; ENTITY vme_sim_mon IS PORT ( rstn : IN std_logic; asn_in : IN std_logic; dsan_in : IN std_logic; dsbn_in : IN std_logic; writen_in : IN std_logic; dtackn_in : IN std_logic; berrn_in : IN std_logic; addr_in : IN std_logic_vector(31 DOWNTO 0); data_in : IN std_logic_vector(31 DOWNTO 0); am_in : IN std_logic_vector(5 DOWNTO 0); iackn : IN std_logic; vb_irq1n : IN std_logic; vb_irq2n : IN std_logic; vb_irq3n : IN std_logic; vb_irq4n : IN std_logic; vb_irq5n : IN std_logic; vb_irq6n : IN std_logic; vb_irq7n : IN std_logic; bbsyn_in : IN std_logic; vme_mon_out : OUT vme_mon_out_type ); END vme_sim_mon; ARCHITECTURE vme_sim_mon_arch OF vme_sim_mon IS BEGIN vme_mon_out.err <= 0; irq_1 : PROCESS BEGIN WAIT until falling_edge(vb_irq1n); print_time("vme_sim_mon: IRQ1 was asserted"); WAIT until rising_edge(vb_irq1n); print_time("vme_sim_mon: IRQ1 was deasserted"); END PROCESS irq_1; irq_2 : PROCESS BEGIN WAIT until falling_edge(vb_irq2n); print_time("vme_sim_mon: IRQ2 was asserted"); WAIT until rising_edge(vb_irq2n); print_time("vme_sim_mon: IRQ2 was deasserted"); END PROCESS irq_2; irq_3 : PROCESS BEGIN WAIT until falling_edge(vb_irq3n); print_time("vme_sim_mon: IRQ3 was asserted"); WAIT until rising_edge(vb_irq3n); print_time("vme_sim_mon: IRQ3 was deasserted"); END PROCESS irq_3; irq_4 : PROCESS BEGIN WAIT until falling_edge(vb_irq4n); print_time("vme_sim_mon: IRQ4 was asserted"); WAIT until rising_edge(vb_irq4n); print_time("vme_sim_mon: IRQ4 was deasserted"); END PROCESS irq_4; irq_5 : PROCESS BEGIN WAIT until falling_edge(vb_irq5n); print_time("vme_sim_mon: IRQ5 was asserted"); WAIT until rising_edge(vb_irq5n); print_time("vme_sim_mon: IRQ5 was deasserted"); END PROCESS irq_5; irq_6 : PROCESS BEGIN WAIT until falling_edge(vb_irq6n); print_time("vme_sim_mon: IRQ6 was asserted"); WAIT until rising_edge(vb_irq6n); print_time("vme_sim_mon: IRQ6 was deasserted"); END PROCESS irq_6; irq_7 : PROCESS BEGIN WAIT until falling_edge(vb_irq7n); print_time("vme_sim_mon: IRQ7 was asserted"); WAIT until rising_edge(vb_irq7n); print_time("vme_sim_mon: IRQ7 was deasserted"); END PROCESS irq_7; d_timing : PROCESS VARIABLE zeit : time; BEGIN WAIT until rstn = '1'; LOOP WAIT until falling_edge(dtackn_in); IF writen_in = '1' THEN -- read IF NOT data_in'stable(time_27) THEN print_time("vme_sim_mon: Data[31:0] was not stable for time(27)!"); END IF; zeit:=now; WAIT until rising_edge(dsan_in) OR rising_edge(dsbn_in); IF data_in'last_active > (now-zeit) THEN print_time("vme_sim_mon: Data[31:0] was not stable for time(20)!"); END IF; WAIT until rising_edge(dtackn_in); IF NOT is_x(data_in)THEN print_time("vme_sim_mon: Data[31:0] was not 'Z' (time(31))!"); END IF; ELSE IF NOT data_in'stable(time_28) THEN print_time("vme_sim_mon: Data[31:0] was not stable for time(28)!"); END IF; IF NOT dsan_in'stable(time_28) THEN print_time("vme_sim_mon: dsan was not stable for time(28)!"); END IF; IF NOT dsbn_in'stable(time_28) THEN print_time("vme_sim_mon: dsbn was not stable for time(28)!"); END IF; IF NOT data_in'stable(time_28 + time_8) THEN print_time("vme_sim_mon: dsbn was not stable for time(28)!"); END IF; IF NOT (dsan_in = '0' OR dsbn_in = '0') THEN print_time("vme_sim_mon: dsan or dsbn must be asserted!"); END IF; END IF; END LOOP; END PROCESS d_timing; adr_timing : PROCESS VARIABLE zeit : time; BEGIN WAIT until rstn = '1'; LOOP WAIT until falling_edge(asn_in); zeit := now; WAIT until falling_edge(dtackn_in); IF addr_in'last_active > (now-zeit + time_4) THEN print_time("vme_sim_mon: addr_in was not stable for time(4) or time(14)!"); END IF; WAIT until rising_edge(asn_in); END LOOP; END PROCESS adr_timing; --adr_x : PROCESS -- BEGIN -- LOOP -- WAIT on addr_in; -- IF is_x(addr_in)THEN -- print_time("vme_sim_mon: addr_in[31:0] was 'X'!"); -- END IF; -- END LOOP; -- END PROCESS adr_x; -- --dat_x : PROCESS -- BEGIN -- LOOP -- WAIT on data_in; -- IF is_x(data_in)THEN -- print_time("vme_sim_mon: data_in[31:0] was 'X'!"); -- END IF; -- END LOOP; -- END PROCESS dat_x; asn_timing : PROCESS BEGIN WAIT until rstn = '1'; LOOP IF asn_in /= '0' THEN WAIT until asn_in = '0'; END IF; WAIT FOR time_19; IF NOT asn_in'stable(time_19) then print_time("vme_sim_mon: ASn was not long enough asserted (time(19))!"); END IF; IF asn_in = '0' THEN WAIT until asn_in /= '0'; END IF; END LOOP; END PROCESS asn_timing; am_timing : PROCESS VARIABLE am_time : time; BEGIN WAIT until rstn = '1'; LOOP IF asn_in /= '0' THEN WAIT until asn_in = '0'; END IF; am_time := now; IF is_x(am_in) THEN print_time("vme_sim_mon: AM[5:0] is not a real value ('0' or '1')!"); END IF; IF NOT am_in'stable(time_4) then print_time("vme_sim_mon: AM[5:0] was not stable for time(4)!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; END IF; IF is_x(addr_in) THEN print_time("vme_sim_mon: AM[5:0] is not a real value ('0' or '1')!"); END IF; IF NOT addr_in'stable(time_4) then print_time("vme_sim_mon: ADDR[31:0] was not stable for time(4)!"); END IF; IF dtackn_in /= '0' THEN WAIT until dtackn_in = '0'; END IF; IF am_in'last_active < (time_4 + (now - am_time)) then print_time("vme_sim_mon: AM[5:0] was not stable during access (time(4), time(16))!"); END IF; IF addr_in'last_active < (time_4 + (now - am_time)) then print_time("vme_sim_mon: ADDR[31:0] was not stable during access (time(4), time(16))!"); END IF; IF asn_in = '0' THEN WAIT until asn_in /= '0'; END IF; -- WAIT FOR 5 ns; -- this time is not allowed!!! IF NOT is_x(addr_in) THEN print("vme_sim_mon: Adr[31:0] is not 'Z' after asn goes high (time(24a))!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY warning; END IF; IF NOT is_x(am_in) THEN print("vme_sim_mon: AM[5:0] is not 'Z' after asn goes high (time(24a))!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY warning; END IF; IF NOT is_x(data_in) THEN print("vme_sim_mon: Data_in[31:0] is not 'Z' after asn goes high (time(24a))!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY warning; END IF; END LOOP; END PROCESS am_timing; --write_timing : PROCESS -- VARIABLE write_time : time; -- BEGIN -- IF arst_sig = '1' THEN -- IF dsan_in = '1' THEN -- WAIT until dsan_in = '0'; -- write_time := now; -- IF NOT writen_in'stable(time_12) then -- print("vme_sim_mon: WRITEN was not stable for time(12)!"); -- ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; -- END IF; -- IF dsan_in = '0' THEN -- WAIT until dsan_in = '1'; -- END IF; -- IF dsbn_in = '0' THEN -- WAIT until dsbn_in = '1'; -- END IF; -- WAIT FOR time_23; -- IF writen_in'last_active > (time_12 + (now - write_time)) then -- print("vme_sim_mon: WRITEN was not stable during access (time(12), time(23))!"); -- ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; -- END IF; -- END IF; -- end if; -- END PROCESS write_timing; END vme_sim_mon_arch;
gpl-3.0
d46cd916505bb5a733e47187fbcd392e
0.521199
3.415056
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_logical_bit_op_GNUQ2R64DV.vhd
8
804
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_logical_bit_op_GNUQ2R64DV is generic ( LogicalOp : string := "AltOR"; number_inputs : positive := 2); port( result : out std_logic; data0 : in std_logic; data1 : in std_logic); end entity; architecture rtl of alt_dspbuilder_logical_bit_op_GNUQ2R64DV is Begin -- Logical Bit Operation - Simulink Block "LogicalBitOperator" LogicalBitOperatori : alt_dspbuilder_SBitLogical generic map ( LPM_WIDTH => 2, LOP => AltOR) port map ( dataa(0) => data0, dataa(1) => data1, result => result); end architecture;
mit
96e90a6e32cf41e8aa7d0efa887e99b6
0.677861
3.116279
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/A25_top_sim.vhd
1
55,722
-------------------------------------------------------------------------------- -- Title : Toplevel File of A25 FPGA -- Project : 1614_CERN_A25 -------------------------------------------------------------------------------- -- File : A25_top.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 2016-06-03 -------------------------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : Quartus 15.1 -------------------------------------------------------------------------------- -- Description : -- -------------------------------------------------------------------------------- -- Hierarchy: -- -- A25_top -- wbb2vme_top -- sram -- ip_16z091_01_top -- iram_wb -- pll_pcie -- z126_01_top -------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -------------------------------------------------------------------------------- -- History: -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_unsigned.ALL; USE work.wb_pkg.ALL; USE work.fpga_pkg_2.ALL; USE work.z126_01_pkg.ALL; USE work.vme_pkg.ALL; ENTITY A25_top IS GENERIC ( SIMULATION : boolean := FALSE; FPGA_FAMILY : family_type := CYCLONE4; BFM_LANE_WIDTH : integer range 8 downto 0 := 1; -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 sets : std_logic_vector(3 DOWNTO 0) := "1110"; timeout : integer := 5000 ); PORT ( clk_16mhz : IN std_logic; led_green_n : OUT std_logic; led_red_n : OUT std_logic; hreset_n : IN std_logic; -- reset v2p_rstn : OUT std_logic; -- connected to hreset_req1_n fpga_test : INOUT std_logic_vector(5 DOWNTO 1); -- pcie refclk : IN std_logic; -- 100 MHz pcie clock pcie_rx : IN std_logic_vector(3 DOWNTO 0); -- PCIe receive line pcie_tx : OUT std_logic_vector(3 DOWNTO 0); -- PCIe transmit line -- sram sr_clk : OUT std_logic; sr_a : OUT std_logic_vector(18 DOWNTO 0); sr_d : INOUT std_logic_vector(15 DOWNTO 0); sr_bwa_n : OUT std_logic; sr_bwb_n : OUT std_logic; sr_bw_n : OUT std_logic; sr_cs1_n : OUT std_logic; sr_adsc_n : OUT std_logic; sr_oe_n : OUT std_logic; -- vmebus vme_ga : IN std_logic_vector(4 DOWNTO 0); -- geographical addresses vme_gap : IN std_logic; -- geographical addresses vme_a : INOUT std_logic_vector(31 DOWNTO 0); vme_a_dir : OUT std_logic; vme_a_oe_n : OUT std_logic; vme_d : INOUT std_logic_vector(31 DOWNTO 0); vme_d_dir : OUT std_logic; vme_d_oe_n : OUT std_logic; vme_am_dir : OUT std_logic; vme_am : INOUT std_logic_vector(5 DOWNTO 0); vme_am_oe_n : OUT std_logic; vme_write_n : INOUT std_logic; vme_iack_n : INOUT std_logic; vme_irq_i_n : IN std_logic_vector(7 DOWNTO 1); vme_irq_o : OUT std_logic_vector(7 DOWNTO 1); -- high active on A25 vme_as_i_n : IN std_logic; vme_as_o_n : OUT std_logic; vme_as_oe : OUT std_logic; -- high active on A25 vme_retry_o_n : OUT std_logic; vme_retry_oe : OUT std_logic; -- high active on A25 vme_retry_i_n : IN std_logic; vme_sysres_i_n : IN std_logic; vme_sysres_o : OUT std_logic; -- high active on A25 vme_ds_i_n : IN std_logic_vector(1 DOWNTO 0); vme_ds_o_n : OUT std_logic_vector(1 DOWNTO 0); vme_ds_oe : OUT std_logic; -- high active on A25 vme_berr_i_n : IN std_logic; vme_berr_o : OUT std_logic; -- high active on A25 vme_dtack_i_n : IN std_logic; vme_dtack_o : OUT std_logic; -- high active on A25 vme_scon : OUT std_logic; -- high active on A25 vme_sysfail_i_n : IN std_logic; vme_sysfail_o : OUT std_logic; -- high active on A25 vme_bbsy_i_n : IN std_logic; vme_bbsy_o : OUT std_logic; -- high active on A25 vme_bclr_i_n : IN std_logic; -- bus clear input vme_bclr_o_n : OUT std_logic; -- bus clear output vme_br_i_n : IN std_logic_vector(3 DOWNTO 0); vme_br_o : OUT std_logic_vector(3 DOWNTO 0); -- high active on A25 vme_iack_i_n : IN std_logic; vme_iack_o_n : OUT std_logic; vme_acfail_i_n : IN std_logic; vme_sysclk : OUT std_logic; vme_bg_i_n : IN std_logic_vector(3 DOWNTO 0); vme_bg_o_n : OUT std_logic_vector(3 DOWNTO 0); -- Hard IP BFM connections ep_rxvalid_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxstatus_i : in std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); -- 3bits per lane, [2:0]=lane0, [5:3]=lane1 etc. ep_rxdatak_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bits per lane, [0]=lane0, [1]=lane1 etc. ep_rxdata_i : in std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_rxelecidle_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_phystatus_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_clk250_o : out std_logic; -- endpoint SERDES 250MHz clk output ep_clk500_o : out std_logic; -- endpoint SERDES 500MHz clk output ep_rate_ext_o : out std_logic; -- endpoint rate_ext ep_powerdown_ext_o : out std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); -- 2bits per lane, [1:0]=lane0, [3:2]=lane1 etc. ep_txdatak_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdata_o : out std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_txcompl_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txelecidle_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdetectrx_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxpolarity_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_ltssm_o : out std_logic_vector(4 downto 0) ); END A25_top; ARCHITECTURE A25_top_arch OF A25_top IS CONSTANT NR_OF_WB_SLAVES : natural range 63 DOWNTO 1 := 10; COMPONENT ip_16z091_01_top GENERIC( SIMULATION : std_logic := '0'; -- =1 simulation,=0 synthesis FPGA_FAMILY : family_type := NONE; IRQ_WIDTH : integer range 32 downto 1 := 1; -- only use one of the following 3: -- 001 := 1 lane, 010 := 2 lanes, 100 := 4 lanes USE_LANES : std_logic_vector(2 downto 0) := "001"; NR_OF_WB_SLAVES : natural range 63 DOWNTO 1 := 12; NR_OF_BARS_USED : natural range 6 downto 1 := 5; VENDOR_ID : natural := 16#1A88#; DEVICE_ID : natural := 16#4D45#; REVISION_ID : natural := 16#0#; CLASS_CODE : natural := 16#068000#; SUBSYSTEM_VENDOR_ID : natural := 16#9B#; SUBSYSTEM_DEVICE_ID : natural := 16#5A91#; BAR_MASK_0 : std_logic_vector(31 downto 0) := x"FF000008"; BAR_MASK_1 : std_logic_vector(31 downto 0) := x"FF000008"; BAR_MASK_2 : std_logic_vector(31 downto 0) := x"FF000000"; BAR_MASK_3 : std_logic_vector(31 downto 0) := x"FF000000"; BAR_MASK_4 : std_logic_vector(31 downto 0) := x"FF000001"; BAR_MASK_5 : std_logic_vector(31 downto 0) := x"FF000001"; PCIE_REQUEST_LENGTH : std_logic_vector(9 downto 0) := "0000100000"; -- 32DW = 128Byte RX_LPM_WIDTHU : integer range 10 DOWNTO 5 := 10; TX_HEADER_LPM_WIDTHU : integer range 10 DOWNTO 5 := 5; TX_DATA_LPM_WIDTHU : integer range 10 DOWNTO 5 := 10; BFM_LANE_WIDTH : integer range 8 downto 0 := 1; -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 GP_DEBUG_PORT_WIDTH : positive := 1 ); PORT( -- Hard IP ports: clk_50 : in std_logic; -- 50 MHz clock for reconfig_clk and cal_blk_clk clk_125 : in std_logic; -- 125 MHz clock for fixed_clk ref_clk : in std_logic; -- 100 MHz reference clock clk_500 : in std_logic; -- 500 Hz clock ext_rst_n : in std_logic; rx_0 : in std_logic; rx_1 : in std_logic; rx_2 : in std_logic; rx_3 : in std_logic; tx_0 : out std_logic; tx_1 : out std_logic; tx_2 : out std_logic; tx_3 : out std_logic; -- Wishbone ports: wb_clk : in std_logic; wb_rst : in std_logic; -- Wishbone master wbm_ack : in std_logic; wbm_dat_i : in std_logic_vector(31 downto 0); wbm_stb : out std_logic; wbm_cyc_o : out std_logic_vector(NR_OF_WB_SLAVES - 1 downto 0); wbm_we : out std_logic; wbm_sel : out std_logic_vector(3 downto 0); wbm_adr : out std_logic_vector(31 downto 0); wbm_dat_o : out std_logic_vector(31 downto 0); wbm_cti : out std_logic_vector(2 downto 0); wbm_tga : out std_logic; -- Wishbone slave wbs_cyc : in std_logic; wbs_stb : in std_logic; wbs_we : in std_logic; wbs_sel : in std_logic_vector(3 downto 0); wbs_adr : in std_logic_vector(31 downto 0); wbs_dat_i : in std_logic_vector(31 downto 0); wbs_cti : in std_logic_vector(2 downto 0); wbs_tga : in std_logic; -- 0: memory, 1: I/O wbs_ack : out std_logic; wbs_err : out std_logic; wbs_dat_o : out std_logic_vector(31 downto 0); -- interrupt irq_req_i : in std_logic_vector(IRQ_WIDTH -1 downto 0); -- error error_timeout : out std_logic; error_cor_ext_rcv : out std_logic_vector(1 downto 0); error_cor_ext_rpl : out std_logic; error_rpl : out std_logic; error_r2c0 : out std_logic; error_msi_num : out std_logic; -- Hard IP BFM connections ep_rxvalid_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxstatus_i : in std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); -- 3bits per lane, [2:0]=lane0, [5:3]=lane1 etc. ep_rxdatak_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bits per lane, [0]=lane0, [1]=lane1 etc. ep_rxdata_i : in std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_rxelecidle_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_phystatus_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_clk250_o : out std_logic; -- endpoint SERDES 250MHz clk output ep_clk500_o : out std_logic; -- endpoint SERDES 500MHz clk output ep_rate_ext_o : out std_logic; -- endpoint rate_ext ep_powerdown_ext_o : out std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); -- 2bits per lane, [1:0]=lane0, [3:2]=lane1 etc. ep_txdatak_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdata_o : out std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_txcompl_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txelecidle_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdetectrx_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxpolarity_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_ltssm_o : out std_logic_vector(4 downto 0); -- debug port gp_debug_port : out std_logic_vector(GP_DEBUG_PORT_WIDTH -1 downto 0); -- general purpose debug port link_train_active : out std_logic ); END COMPONENT; COMPONENT wb_bus GENERIC ( sets : std_logic_vector(3 DOWNTO 0) := "1110"; timeout : integer := 5000 ); PORT ( clk : IN std_logic; rst : IN std_logic; -- Master Bus wbmo_0 : IN wbo_type; wbmi_0 : OUT wbi_type; wbmo_0_cyc : IN std_logic_vector(3 DOWNTO 0); wbmo_1 : IN wbo_type; wbmi_1 : OUT wbi_type; wbmo_1_cyc : IN std_logic_vector(1 DOWNTO 0); wbmo_2 : IN wbo_type; wbmi_2 : OUT wbi_type; wbmo_2_cyc : IN std_logic_vector(2 DOWNTO 0); -- Slave Bus wbso_0 : IN wbi_type; wbsi_0 : OUT wbo_type; wbsi_0_cyc : OUT std_logic; wbso_1 : IN wbi_type; wbsi_1 : OUT wbo_type; wbsi_1_cyc : OUT std_logic; wbso_2 : IN wbi_type; wbsi_2 : OUT wbo_type; wbsi_2_cyc : OUT std_logic; wbso_3 : IN wbi_type; wbsi_3 : OUT wbo_type; wbsi_3_cyc : OUT std_logic; wbso_4 : IN wbi_type; wbsi_4 : OUT wbo_type; wbsi_4_cyc : OUT std_logic ); END COMPONENT; COMPONENT pll_pcie PORT ( areset : IN STD_LOGIC := '0'; inclk0 : IN STD_LOGIC := '0'; c0 : OUT STD_LOGIC ; c1 : OUT STD_LOGIC ; c2 : OUT STD_LOGIC ; c3 : OUT STD_LOGIC ; c4 : OUT STD_LOGIC ; locked : OUT STD_LOGIC ); END COMPONENT; COMPONENT iram_wb GENERIC ( FPGA_FAMILY: family_type := CYCLONE; -- ACEX,CYCLONE,CYCLONE2,CYCLONE3,ARRIA_GX read_only: natural := 0; -- 0=R/W, 1=R/O USEDW_WIDTH: positive := 6; -- 2**(USEDW_WIDTH + 2) bytes LOCATION: string := "iram.hex" -- string shall be empty if no HEX file ); PORT ( clk : IN std_logic; -- Wishbone clock rst : IN std_logic; -- global async high active reset -- Wishbone signals stb_i : IN std_logic; -- request cyc_i : IN std_logic; -- chip select ack_o : OUT std_logic; -- acknowledge err_o : OUT std_logic; -- error we_i : IN std_logic; -- write=1 read=0 sel_i : IN std_logic_vector(3 DOWNTO 0); -- byte enables adr_i : IN std_logic_vector((USEDW_WIDTH + 1) DOWNTO 2); dat_i : IN std_logic_vector(31 DOWNTO 0); -- data in dat_o : OUT std_logic_vector(31 DOWNTO 0) -- data out ); END COMPONENT; COMPONENT sram PORT ( clk66 : IN std_logic; -- 66 MHz rst : IN std_logic; -- global reset signal (asynch) -- local bus stb_i : IN std_logic; ack_o : OUT std_logic; we_i : IN std_logic; -- high active write enable sel_i : IN std_logic_vector(3 DOWNTO 0); -- high active byte enables cyc_i : IN std_logic; dat_o : OUT std_logic_vector(31 DOWNTO 0); dat_i : IN std_logic_vector(31 DOWNTO 0); adr_i : IN std_logic_vector(19 DOWNTO 0); -- pins to sram bwn : OUT std_logic; -- global byte write enable: bwan : OUT std_logic; -- byte a write enable: bwbn : OUT std_logic; -- byte b write enable: adscn : OUT std_logic; -- Synchronous Address Status Controller: . roen : OUT std_logic; -- data port output enable: . ra : OUT std_logic_vector(18 DOWNTO 0); -- address lines: rd_in : IN std_logic_vector(15 DOWNTO 0); -- data lines: rd_out : OUT std_logic_vector(15 DOWNTO 0); -- data lines: rd_oe : OUT std_logic ); END COMPONENT; COMPONENT z126_01_top GENERIC ( SIMULATION : boolean := FALSE; -- true => use the altasmi parallel of an older quartus version (11.1 SP2) the new one can not be simulated -- (only the M25P32 is supported for simulation!!) -- false => use the newest altasmi parallel (13.0) FPGA_FAMILY : family_type := CYCLONE5; -- see SUPPORTED_FPGA_FAMILIES for supported FPGA family types FLASH_TYPE : flash_type := M25P32; -- see SUPPORTED_DEVICES for supported serial flash device types USE_DIRECT_INTERFACE : boolean := TRUE; -- true => the direct interfaces is included and arbitrated with the indirect interface -- false => only the indirect interface is available (reducing resource consumption) USE_REMOTE_UPDATE : boolean := TRUE; -- true => the remote update controller is included and more than one FPGA image can be selected -- false => only the FPGA Fallback Image can be used for FPGA configuration (reducing resource consumption) LOAD_FPGA_IMAGE : boolean := TRUE; -- true => after configuration of the FPGA Fallback Image the FPGA Image is loaded immediately (can only be set when USE_REMOTE_UPDATE = TRUE) -- false => after configuration the FPGA stays in the FPGA Fallback Image, FPGA Image must be loaded by software LOAD_FPGA_IMAGE_ADR : std_logic_vector(23 DOWNTO 0) := (OTHERS=>'0') -- if LOAD_FPGA_IMAGE = TRUE this address is the offset to the FPGA Image in the serial flash ); PORT ( clk_40mhz : IN std_logic; -- serial flash clock (maximum 40 MHz) rst_clk_40mhz : IN std_logic; -- this reset should be a power up reset to -- reduce the reconfiguration (load FPGA Image) time when LOAD_FPGA_IMAGE = TRUE. -- this reset must be deasserted synchronous to the clk_40mhz clk_dir : IN std_logic; -- wishbone clock for direct interface rst_dir : IN std_logic; -- wishbone async high active reset -- this reset must be deasserted synchronous to the clk_dir clk_indi : IN std_logic; -- wishbone clock for indirect interface rst_indi : IN std_logic; -- wishbone async high active reset -- this reset must be deasserted synchronous to the clk_indi board_status : OUT std_logic_vector(1 DOWNTO 0); -- wishbone signals slave interface 0 (direct addressing) wbs_stb_dir : IN std_logic; -- request wbs_ack_dir : OUT std_logic; -- acknoledge wbs_we_dir : IN std_logic; -- write=1 read=0 wbs_sel_dir : IN std_logic_vector(3 DOWNTO 0); -- byte enables wbs_cyc_dir : IN std_logic; -- chip select wbs_dat_o_dir : OUT std_logic_vector(31 DOWNTO 0); -- data out wbs_dat_i_dir : IN std_logic_vector(31 DOWNTO 0); -- data in wbs_adr_dir : IN std_logic_vector(31 DOWNTO 0); -- address wbs_err_dir : OUT std_logic; -- error -- wishbone signals slave interface 1 (indirect addressing) wbs_stb_indi : IN std_logic; -- request wbs_ack_indi : OUT std_logic; -- acknoledge wbs_we_indi : IN std_logic; -- write=1 read=0 wbs_sel_indi : IN std_logic_vector(3 DOWNTO 0); -- byte enables wbs_cyc_indi : IN std_logic; -- chip select wbs_dat_o_indi : OUT std_logic_vector(31 DOWNTO 0); -- data out wbs_dat_i_indi : IN std_logic_vector(31 DOWNTO 0); -- data in wbs_adr_indi : IN std_logic_vector(31 DOWNTO 0); -- address wbs_err_indi : OUT std_logic -- error ); END COMPONENT; COMPONENT wbb2vme_top GENERIC ( A16_REG_MAPPING : boolean := TRUE; -- if true, access to vme slave A16 space goes to vme runtime registers and above 0x800 to sram (compatible to old revisions) -- if false, access to vme slave A16 space goes to sram LONGADD_SIZE : integer range 3 TO 8:=3; USE_LONGADD : boolean := TRUE -- If FALSE, bits (7 DOWNTO 5) of SIGNAL longadd will be allocated to vme_adr_out(31 DOWNTO 29) ); PORT ( clk : IN std_logic; -- 66 MHz rst : IN std_logic; -- global reset signal (asynch) startup_rst : IN std_logic; -- powerup reset postwr : OUT std_logic; -- posted write vme_irq : OUT std_logic_vector(7 DOWNTO 0); -- interrupt request to pci-bus berr_irq : OUT std_logic; -- signal berrn interrupt request locmon_irq : OUT std_logic_vector(1 DOWNTO 0); -- interrupt request location monitor to pci-bus mailbox_irq : OUT std_logic_vector(1 DOWNTO 0); -- interrupt request mailbox to pci-bus dma_irq : OUT std_logic; -- interrupt request dma to pci-bus prevent_sysrst : IN std_logic; -- if "1", sysrst_n_out will not be activated after powerup, -- if "0", sysrst_n_out will be activated if in slot1 and system reset is active (sysc_bit or rst) test_vec : OUT test_vec_type; -- vmectrl slave wbs_stb_i : IN std_logic; wbs_ack_o : OUT std_logic; wbs_err_o : OUT std_logic; wbs_we_i : IN std_logic; wbs_sel_i : IN std_logic_vector(3 DOWNTO 0); wbs_cyc_i : IN std_logic; wbs_adr_i : IN std_logic_vector(31 DOWNTO 0); wbs_dat_o : OUT std_logic_vector(31 DOWNTO 0); wbs_dat_i : IN std_logic_vector(31 DOWNTO 0); wbs_tga_i : IN std_logic_vector(8 DOWNTO 0); -- vmectrl master wbm_ctrl_stb_o : OUT std_logic; wbm_ctrl_ack_i : IN std_logic; wbm_ctrl_err_i : IN std_logic; wbm_ctrl_we_o : OUT std_logic; wbm_ctrl_sel_o : OUT std_logic_vector(3 DOWNTO 0); wbm_ctrl_cyc_sram : OUT std_logic; wbm_ctrl_cyc_pci : OUT std_logic; wbm_ctrl_adr_o : OUT std_logic_vector(31 DOWNTO 0); wbm_ctrl_dat_o : OUT std_logic_vector(31 DOWNTO 0); wbm_ctrl_dat_i : IN std_logic_vector(31 DOWNTO 0); wbm_dma_stb_o : OUT std_logic; wbm_dma_ack_i : IN std_logic; wbm_dma_we_o : OUT std_logic; wbm_dma_cti : OUT std_logic_vector(2 DOWNTO 0); wbm_dma_tga_o : OUT std_logic_vector(8 DOWNTO 0); wbm_dma_err_i : IN std_logic; wbm_dma_sel_o : OUT std_logic_vector(3 DOWNTO 0); wbm_dma_cyc_sram : OUT std_logic; wbm_dma_cyc_vme : OUT std_logic; wbm_dma_cyc_pci : OUT std_logic; wbm_dma_adr_o : OUT std_logic_vector(31 DOWNTO 0); wbm_dma_dat_o : OUT std_logic_vector(31 DOWNTO 0); wbm_dma_dat_i : IN std_logic_vector(31 DOWNTO 0); -- vmebus va : INOUT std_logic_vector(31 DOWNTO 0); -- address vd : INOUT std_logic_vector(31 DOWNTO 0); -- data vam : INOUT std_logic_vector(5 DOWNTO 0); -- address modifier writen : INOUT std_logic; -- write enable iackn : INOUT std_logic; -- Handler's output irq_i_n : IN std_logic_vector(7 DOWNTO 1); -- interrupt request inputs irq_o_n : OUT std_logic_vector(7 DOWNTO 1); -- interrupt request outputs as_o_n : OUT std_logic; -- address strobe out as_oe_n : OUT std_logic; -- address strobe output enable as_i_n : IN std_logic; -- address strobe in sysresn : OUT std_logic; -- system reset out sysresin : IN std_logic; -- system reset in ds_o_n : OUT std_logic_vector(1 DOWNTO 0); -- data strobe outputs ds_i_n : IN std_logic_vector(1 DOWNTO 0); -- data strobe inputs ds_oe_n : OUT std_logic; -- data strobe output enable berrn : OUT std_logic; -- bus error out berrin : IN std_logic; -- bus error in dtackn : OUT std_logic; -- dtack out dtackin : IN std_logic; -- dtack in slot01n : OUT std_logic; -- indicates whether controller has detected position in slot 1 (low active) sysfail_i_n : IN std_logic; -- system failure interrupt input sysfail_o_n : OUT std_logic; -- system failure interrupt output bbsyn : OUT std_logic; -- bus busy out bbsyin : IN std_logic; -- bus busy in bclr_i_n : IN std_logic; -- bus clear input bclr_o_n : OUT std_logic; -- bus clear output retry_i_n : IN std_logic; -- bus retry input retry_o_n : OUT std_logic; -- bus retry output retry_oe_n : OUT std_logic; -- bus retry output enable br_i_n : IN std_logic_vector(3 DOWNTO 0); -- bus request inputs br_o_n : OUT std_logic_vector(3 DOWNTO 0); -- bus request outputs iackin : IN std_logic; -- Interrupter's input iackoutn : OUT std_logic; -- Interrupter's output acfailn : IN std_logic; -- from Power Supply bg_i_n : IN std_logic_vector(3 DOWNTO 0); -- bus grant input bg_o_n : OUT std_logic_vector(3 DOWNTO 0); -- bus grant output ga : IN std_logic_vector(4 DOWNTO 0); -- geographical addresses gap : IN std_logic; -- geographical addresses parity -- vme status signals vme_berr : OUT std_logic; -- indicates vme bus error (=MSTR(2)), must be cleared by sw vme_mstr_busy : OUT std_logic; -- indicates vme bus master is active --data bus bus control signals for vmebus drivers d_dir : OUT std_logic; -- external driver control data direction (1: drive to vmebus 0: drive to fpga) d_oe_n : OUT std_logic; -- external driver control data output enable low active am_dir : OUT std_logic; -- external driver control address modifier direction (1: drive to vmebus 0: drive to fpga) am_oe_n : OUT std_logic; -- external driver control address modifier output enable low activ a_dir : OUT std_logic; -- external driver control address direction (1: drive to vmebus 0: drive to fpga) a_oe_n : OUT std_logic; -- external driver control address output enable low activ v2p_rstn : OUT std_logic -- Reset from VMEbus to System on board ); END COMPONENT; CONSTANT CONST_500HZ : integer := 66667; -- half 500Hz clock period counter value at 66MHz SIGNAL sys_clk : std_logic; -- system clock 66 MHz SIGNAL sys_rst : std_logic; -- system async reset SIGNAL rst_33 : std_logic; -- reset synchronized to clk_33 SIGNAL clk_33 : std_logic; -- 33 MHz clock for 16z066 SIGNAL clk_50 : std_logic; -- 50 MHz clock for reconfig_clk and cal_blk_clk SIGNAL clk_125 : std_logic; -- 125 MHz clock for fixed_clk SIGNAL clk_500 : std_logic; -- 500 Hz clock SIGNAL cnt_500hz : integer; -- MASTER SIGNALS SIGNAL wbmo_0 : wbo_type; SIGNAL wbmi_0 : wbi_type; SIGNAL wbmo_0_cyc : std_logic_vector(3 DOWNTO 0); SIGNAL wbmo_0_cyc_int : std_logic_vector(9 DOWNTO 0); SIGNAL wbmo_1 : wbo_type; SIGNAL wbmi_1 : wbi_type; SIGNAL wbmo_1_cyc : std_logic_vector(1 DOWNTO 0); SIGNAL wbmo_2 : wbo_type; SIGNAL wbmi_2 : wbi_type; SIGNAL wbmo_2_cyc : std_logic_vector(2 DOWNTO 0); -- SLAVE SIGNALS SIGNAL wbso_0 : wbi_type; SIGNAL wbsi_0 : wbo_type; SIGNAL wbsi_0_cyc : std_logic; SIGNAL wbso_1 : wbi_type; SIGNAL wbsi_1 : wbo_type; SIGNAL wbsi_1_cyc : std_logic; SIGNAL wbso_2 : wbi_type; SIGNAL wbsi_2 : wbo_type; SIGNAL wbsi_2_cyc : std_logic; SIGNAL wbso_3 : wbi_type; SIGNAL wbsi_3 : wbo_type; SIGNAL wbsi_3_cyc : std_logic; SIGNAL wbso_4 : wbi_type; SIGNAL wbsi_4 : wbo_type; SIGNAL wbsi_4_cyc : std_logic; SIGNAL pll_locked : std_logic; SIGNAL sr_d_oe : std_logic; SIGNAL board_status : std_logic_vector(1 DOWNTO 0); SIGNAL sr_d_out : std_logic_vector(15 DOWNTO 0); SIGNAL sr_d_in : std_logic_vector(15 DOWNTO 0); SIGNAL vme_irq : std_logic_vector(7 DOWNTO 0); -- interrupt request to pci-bus SIGNAL berr_irq : std_logic; -- signal berrn interrupt request SIGNAL locmon_irq : std_logic_vector(1 DOWNTO 0); -- interrupt request location monitor to pci-bus SIGNAL mailbox_irq : std_logic_vector(1 DOWNTO 0); -- interrupt request mailbox to pci-bus SIGNAL mailbox_irq_i : std_logic; SIGNAL dma_irq : std_logic; SIGNAL slot01n : std_logic; SIGNAL test_vec : test_vec_type; SIGNAL pll_locked_inv : std_logic; SIGNAL startup_rst : std_logic:='1'; SIGNAL porst : std_logic; SIGNAL porst_n_q : std_logic:='0'; SIGNAL porst_n : std_logic:='0'; SIGNAL link_train_active : std_logic; SIGNAL vme_berr : std_logic; SIGNAL vme_mstr_busy : std_logic; SIGNAL led_cnt : std_logic_vector(17 DOWNTO 0); -- 2^18 = 3.9 ms -- high active signals on A25 SIGNAL vme_irq_o_n : std_logic_vector(7 DOWNTO 1); SIGNAL vme_as_oe_n : std_logic; SIGNAL vme_retry_oe_n : std_logic; SIGNAL vme_sysres_o_n : std_logic; SIGNAL vme_ds_oe_n : std_logic; SIGNAL vme_scon_n : std_logic; SIGNAL vme_sysfail_o_n : std_logic; SIGNAL vme_bbsy_o_n : std_logic; SIGNAL vme_dtack_o_n : std_logic; SIGNAL vme_berr_o_n : std_logic; SIGNAL vme_br_o_n : std_logic_vector(3 DOWNTO 0); BEGIN vme_irq_o <= NOT vme_irq_o_n ; vme_as_oe <= NOT vme_as_oe_n ; vme_retry_oe <= NOT vme_retry_oe_n ; vme_sysres_o <= NOT vme_sysres_o_n ; vme_ds_oe <= NOT vme_ds_oe_n ; vme_scon <= NOT vme_scon_n ; vme_sysfail_o <= NOT vme_sysfail_o_n; vme_bbsy_o <= NOT vme_bbsy_o_n ; vme_br_o <= NOT vme_br_o_n ; vme_berr_o <= NOT vme_berr_o_n; vme_dtack_o <= NOT vme_dtack_o_n; led_red_n <= NOT vme_berr; -- led_green_n <= slot01; vme_sysclk <= clk_16mhz; vme_scon_n <= slot01n; -- counter for extending vme master active pulses to at least 3 ms PROCESS(sys_clk, sys_rst) BEGIN IF sys_rst = '1' THEN led_cnt <= (OTHERS => '0'); led_green_n <= '1'; ELSIF sys_clk'event AND sys_clk = '1' THEN IF vme_mstr_busy = '1' THEN -- if master is active, start counter to extend pulse for 3 ms led_cnt <= (OTHERS => '1'); led_green_n <= '0'; -- switch on LED ELSIF led_cnt = 0 THEN -- is 3 ms over? led_cnt <= (OTHERS => '0'); led_green_n <= '1'; -- switch off LED ELSE led_cnt <= led_cnt - '1'; -- count for 3 ms led_green_n <= '0'; END IF; END IF; END PROCESS; pll_locked_inv <= NOT pll_locked; startup_rst <= pll_locked_inv; wbso_3.err <= '0'; wbso_4.err <= '0'; wbmo_0.bte <= "00"; wbmo_1.bte <= "00"; wbmo_2.bte <= "00"; wbmo_1.cti <= "000"; fpga_test(1) <= 'Z'; fpga_test(2) <= 'Z'; fpga_test(3) <= 'Z'; fpga_test(4) <= 'Z'; fpga_test(5) <= 'Z'; -- generate power on reset in order to start application fpga load as early as possible PROCESS (clk_16mhz) BEGIN IF clk_16mhz'EVENT AND clk_16mhz = '1' THEN porst_n_q <= '1'; porst_n <= porst_n_q; END IF; END PROCESS; porst <= NOT porst_n; -- synchronize reset to 33 MHz clock PROCESS(clk_33, pll_locked) BEGIN IF pll_locked = '0' THEN rst_33 <= '1'; ELSIF clk_33'EVENT AND clk_33 = '1' THEN rst_33 <= '0'; END IF; END PROCESS; PROCESS(sys_clk, hreset_n, pll_locked) BEGIN IF hreset_n = '0' OR pll_locked = '0' THEN sys_rst <= '1'; ELSIF sys_clk'EVENT AND sys_clk = '1' THEN sys_rst <= '0'; END IF; END PROCESS; PROCESS(sys_clk, sys_rst) BEGIN IF sys_rst = '1' THEN cnt_500hz <= 0; clk_500 <= '0'; ELSIF sys_clk'EVENT AND sys_clk = '1' THEN IF cnt_500hz = 0 THEN cnt_500hz <= CONST_500HZ; clk_500 <= NOT clk_500; ELSE cnt_500hz <= cnt_500hz - 1; END IF; END IF; END PROCESS; pll: pll_pcie PORT MAP ( areset => porst, inclk0 => clk_16mhz, -- 16 MHz c0 => clk_125, -- 125 MHz c1 => clk_50, -- 50 MHz c2 => sys_clk, -- 66 MHz c3 => sr_clk, -- 66 MHz phase shifted to sys_clk c4 => clk_33, -- 33 MHz locked => pll_locked ); wbmo_0_cyc <= -- +-Module Name--------------+-cyc-+---offset-+-----size-+-bar-+ "0001" WHEN wbmo_0_cyc_int(0) = '1' ELSE -- | Chameleon Table | 0 | 0 | 200 | 0 | "0010" WHEN wbmo_0_cyc_int(1) = '1' ELSE -- | 16Z126_SERFLASH | 1 | 200 | 20 | 0 | "0100" WHEN wbmo_0_cyc_int(2) = '1' ELSE -- | 16z002-01 VME | 2 | 10000 | 10000 | 0 | "0100" WHEN wbmo_0_cyc_int(3) = '1' ELSE -- |16z002-01 VME A16D16 | 3 | 20000 | 10000 | 0 | "0100" WHEN wbmo_0_cyc_int(4) = '1' ELSE -- |16z002-01 VME A16D32 | 4 | 30000 | 10000 | 0 | "1000" WHEN wbmo_0_cyc_int(5) = '1' ELSE -- | 16z002-01 VME SRAM | 5 | 0 | 100000 | 1 | "0100" WHEN wbmo_0_cyc_int(6) = '1' ELSE -- |16z002-01 VME A24D16 | 6 | 0 | 1000000 | 2 | "0100" WHEN wbmo_0_cyc_int(7) = '1' ELSE -- |16z002-01 VME A24D32 | 7 | 1000000 | 1000000 | 2 | "0100" WHEN wbmo_0_cyc_int(8) = '1' ELSE -- | 16z002-01 VME A32 | 8 | 0 | 20000000 | 3 | "0100" WHEN wbmo_0_cyc_int(9) = '1' ELSE -- |16z002-01 VME CR/CSR | 9 | 0 | 01000000 | 4 | "0000"; -- +--------------------------+-----+----------+----------+-----+ wbmo_1.tga <= (OTHERS => '0'); wbmo_0.tga(7) <= '0'; -- indicate access from PCIE wbmo_0.tga(8) <= '0'; -- unused wbmo_0.tga(6 DOWNTO 0) <= -- +-Module Name--------------+-cyc-+---offset-+-----size-+-bar-+ CONST_VME_A24D16 WHEN wbmo_0_cyc_int(6) = '1' ELSE -- |16z002-01 VME A24D16 | 6 | 0 | 1000000 | 2 | CONST_VME_A16D16 WHEN wbmo_0_cyc_int(3) = '1' ELSE -- |16z002-01 VME A16D16 | 3 | 20000 | 10000 | 0 | CONST_VME_A16D32 WHEN wbmo_0_cyc_int(4) = '1' ELSE -- |16z002-01 VME A16D32 | 4 | 30000 | 10000 | 0 | CONST_VME_IACK WHEN wbmo_0_cyc_int(2) = '1' AND wbmo_0.adr(8) = '1' ELSE -- |16z002-01 VME IACK | 2 | 10100 | 10 | 0 | CONST_VME_REGS WHEN wbmo_0_cyc_int(2) = '1' ELSE -- |16z002-01 VME REGS | 2 | 10000 | 10000 | 0 | CONST_VME_A32D32 WHEN wbmo_0_cyc_int(8) = '1' ELSE -- |16z002-01 VME A32 | 8 | 0 | 20000000 | 3 | CONST_VME_A24D32 WHEN wbmo_0_cyc_int(7) = '1' ELSE -- |16z002-01 VME A24D32 | 7 | 1000000 | 1000000 | 2 | CONST_VME_CRCSR WHEN wbmo_0_cyc_int(9) = '1' ELSE -- |16z002-01 VME CRCSR | 9 | 0 | 1000000 | 4 | (OTHERS => '0'); -- +--------------------------+-----+----------+----------+-----+ pcie: ip_16z091_01_top GENERIC MAP ( SIMULATION => '1', FPGA_FAMILY => CYCLONE4, IRQ_WIDTH => 13, USE_LANES => "001",-- x1 for simulation --USE_LANES => "100",-- x1 for simulation NR_OF_WB_SLAVES => NR_OF_WB_SLAVES, NR_OF_BARS_USED => 5, VENDOR_ID => 16#1A88#, DEVICE_ID => 16#4D45#, REVISION_ID => 16#1#, CLASS_CODE => 16#068000#, SUBSYSTEM_VENDOR_ID => 16#D5#, SUBSYSTEM_DEVICE_ID => 16#5A91#, BAR_MASK_0 => x"FFFC0000", -- 256k BAR_MASK_1 => x"FFF00000", -- 1M BAR_MASK_2 => x"FE000000", -- 32M BAR_MASK_3 => x"E0000000", -- 512M BAR_MASK_4 => x"FF000000", -- 16M BAR_MASK_5 => x"FFFFF000", PCIE_REQUEST_LENGTH => "0000100000", -- 32DW = 128Byte RX_LPM_WIDTHU => 10, TX_HEADER_LPM_WIDTHU => 5, TX_DATA_LPM_WIDTHU => 10, BFM_LANE_WIDTH => BFM_LANE_WIDTH, GP_DEBUG_PORT_WIDTH => 1 ) PORT MAP ( -- Hard IP ports: clk_50 => clk_50, clk_125 => clk_125, ref_clk => refclk, clk_500 => clk_500, ext_rst_n => hreset_n, rx_0 => pcie_rx(0), rx_1 => pcie_rx(1), rx_2 => pcie_rx(2), rx_3 => pcie_rx(3), tx_0 => pcie_tx(0), tx_1 => pcie_tx(1), tx_2 => pcie_tx(2), tx_3 => pcie_tx(3), wb_clk => sys_clk, wb_rst => sys_rst, wbm_ack => wbmi_0.ack, wbm_dat_i => wbmi_0.dat, wbm_stb => wbmo_0.stb, wbm_cyc_o => wbmo_0_cyc_int, wbm_we => wbmo_0.we , wbm_sel => wbmo_0.sel, wbm_adr => wbmo_0.adr, wbm_dat_o => wbmo_0.dat, wbm_cti => wbmo_0.cti, wbm_tga => open, wbs_cyc => wbsi_4_cyc, wbs_stb => wbsi_4.stb, wbs_we => wbsi_4.we , wbs_sel => wbsi_4.sel, wbs_adr => wbsi_4.adr, wbs_dat_i => wbsi_4.dat, wbs_cti => wbsi_4.cti, wbs_tga => wbsi_4.tga(0), wbs_ack => wbso_4.ack, wbs_err => open, wbs_dat_o => wbso_4.dat, irq_req_i(0) => vme_irq(0) , irq_req_i(1) => vme_irq(1) , irq_req_i(2) => vme_irq(2) , irq_req_i(3) => vme_irq(3) , irq_req_i(4) => vme_irq(4) , irq_req_i(5) => vme_irq(5) , irq_req_i(6) => vme_irq(6) , irq_req_i(7) => vme_irq(7) , irq_req_i(8) => berr_irq , irq_req_i(9) => dma_irq , irq_req_i(10) => locmon_irq(0) , irq_req_i(11) => locmon_irq(1) , irq_req_i(12) => mailbox_irq_i , error_timeout => open, error_cor_ext_rcv => open, error_cor_ext_rpl => open, error_rpl => open, error_r2c0 => open, error_msi_num => open, -- Hard IP BFM connections ep_rxvalid_i => ep_rxvalid_i, ep_rxstatus_i => ep_rxstatus_i, ep_rxdatak_i => ep_rxdatak_i, ep_rxdata_i => ep_rxdata_i, ep_rxelecidle_i => ep_rxelecidle_i, ep_phystatus_i => ep_phystatus_i, ep_clk250_o => ep_clk250_o, ep_clk500_o => ep_clk500_o, ep_rate_ext_o => ep_rate_ext_o, ep_powerdown_ext_o => ep_powerdown_ext_o, ep_txdatak_o => ep_txdatak_o, ep_txdata_o => ep_txdata_o, ep_txcompl_o => ep_txcompl_o, ep_txelecidle_o => ep_txelecidle_o, ep_txdetectrx_o => ep_txdetectrx_o, ep_rxpolarity_o => ep_rxpolarity_o, ep_ltssm_o => ep_ltssm_o, gp_debug_port => open, link_train_active => link_train_active ); mailbox_irq_i <= mailbox_irq(0) OR mailbox_irq(1); cham: iram_wb GENERIC MAP ( FPGA_FAMILY => FPGA_FAMILY, read_only => 1, USEDW_WIDTH => 9, -- 0x200 = 512 LOCATION => "../../A25_VME/Source/chameleon.hex" ) PORT MAP ( clk => sys_clk, rst => sys_rst, stb_i => wbsi_0.stb, cyc_i => wbsi_0_cyc, ack_o => wbso_0.ack, err_o => wbso_0.err, we_i => wbsi_0.we, sel_i => wbsi_0.sel, adr_i => wbsi_0.adr(10 DOWNTO 2), dat_i => wbsi_0.dat, dat_o => wbso_0.dat ); srami: sram PORT MAP ( clk66 => sys_clk, rst => sys_rst, stb_i => wbsi_3.stb, ack_o => wbso_3.ack, we_i => wbsi_3.we, sel_i => wbsi_3.sel, cyc_i => wbsi_3_cyc, dat_o => wbso_3.dat, dat_i => wbsi_3.dat, adr_i => wbsi_3.adr(19 DOWNTO 0), bwn => sr_bw_n, bwan => sr_bwa_n, bwbn => sr_bwb_n, adscn => sr_adsc_n, roen => sr_oe_n, ra => sr_a, rd_in => sr_d_in, rd_out => sr_d_out, rd_oe => sr_d_oe ); sr_cs1_n <= '0'; --sys_rst; -- selected if FPGA reset is released srdat: PROCESS(sr_d_oe, sr_d_out, sr_d) BEGIN IF sr_d_oe = '1' THEN sr_d <= sr_d_out; sr_d_in <= sr_d; ELSE sr_d <= (OTHERS => 'Z'); sr_d_in <= sr_d; END IF; END PROCESS; sflash: z126_01_top GENERIC MAP ( SIMULATION => true, FPGA_FAMILY => CYCLONE4, FLASH_TYPE => M25P32, USE_DIRECT_INTERFACE => FALSE, USE_REMOTE_UPDATE => TRUE, LOAD_FPGA_IMAGE => TRUE, LOAD_FPGA_IMAGE_ADR => X"200100" ) PORT MAP ( clk_40mhz => clk_33, rst_clk_40mhz => rst_33, clk_dir => sys_clk, rst_dir => sys_rst, clk_indi => sys_clk, rst_indi => sys_rst, board_status => board_status, wbs_stb_dir => '0', wbs_ack_dir => OPEN, wbs_we_dir => '0', wbs_sel_dir => (OTHERS => '0'), wbs_cyc_dir => '0', wbs_dat_o_dir => OPEN, wbs_dat_i_dir => (OTHERS => '0'), wbs_adr_dir => (OTHERS => '0'), wbs_err_dir => OPEN, -- wishbone signals slave interface 1 (indirect addressing) wbs_stb_indi => wbsi_1.stb, wbs_ack_indi => wbso_1.ack, wbs_we_indi => wbsi_1.we, wbs_sel_indi => wbsi_1.sel, wbs_cyc_indi => wbsi_1_cyc, wbs_dat_o_indi => wbso_1.dat, wbs_dat_i_indi => wbsi_1.dat, wbs_adr_indi => wbsi_1.adr, wbs_err_indi => wbso_1.err ); vme: wbb2vme_top GENERIC MAP( A16_REG_MAPPING => true, LONGADD_SIZE => 3, USE_LONGADD => TRUE ) PORT MAP ( clk => sys_clk, rst => sys_rst, startup_rst => startup_rst, postwr => open, vme_irq => vme_irq , berr_irq => berr_irq, locmon_irq => locmon_irq , mailbox_irq => mailbox_irq, dma_irq => dma_irq , prevent_sysrst => '0', test_vec => test_vec, -- vmectrl slave wbs_stb_i => wbsi_2.stb, wbs_ack_o => wbso_2.ack, wbs_err_o => wbso_2.err, wbs_we_i => wbsi_2.we, wbs_sel_i => wbsi_2.sel, wbs_cyc_i => wbsi_2_cyc, wbs_adr_i => wbsi_2.adr, wbs_dat_o => wbso_2.dat, wbs_dat_i => wbsi_2.dat, wbs_tga_i => wbsi_2.tga, -- vmectrl master wbm_ctrl_stb_o => wbmo_1.stb, wbm_ctrl_ack_i => wbmi_1.ack, wbm_ctrl_err_i => wbmi_1.err, wbm_ctrl_we_o => wbmo_1.we, wbm_ctrl_sel_o => wbmo_1.sel, wbm_ctrl_cyc_sram => wbmo_1_cyc(0), wbm_ctrl_cyc_pci => wbmo_1_cyc(1), wbm_ctrl_adr_o => wbmo_1.adr, wbm_ctrl_dat_o => wbmo_1.dat, wbm_ctrl_dat_i => wbmi_1.dat, wbm_dma_stb_o => wbmo_2.stb, wbm_dma_ack_i => wbmi_2.ack, wbm_dma_we_o => wbmo_2.we, wbm_dma_cti => wbmo_2.cti, wbm_dma_tga_o => wbmo_2.tga, wbm_dma_err_i => wbmi_2.err, wbm_dma_sel_o => wbmo_2.sel, wbm_dma_cyc_vme => wbmo_2_cyc(0), wbm_dma_cyc_sram => wbmo_2_cyc(1), wbm_dma_cyc_pci => wbmo_2_cyc(2), wbm_dma_adr_o => wbmo_2.adr, wbm_dma_dat_o => wbmo_2.dat, wbm_dma_dat_i => wbmi_2.dat, va => vme_a, vd => vme_d, vam => vme_am, writen => vme_write_n, iackn => vme_iack_n, irq_i_n => vme_irq_i_n, irq_o_n => vme_irq_o_n, as_o_n => vme_as_o_n, as_oe_n => vme_as_oe_n, as_i_n => vme_as_i_n, sysresn => vme_sysres_o_n, sysresin => vme_sysres_i_n, ds_o_n => vme_ds_o_n, ds_i_n => vme_ds_i_n, ds_oe_n => vme_ds_oe_n, berrn => vme_berr_o_n, berrin => vme_berr_i_n, dtackn => vme_dtack_o_n, dtackin => vme_dtack_i_n, slot01n => slot01n, sysfail_i_n => vme_sysfail_i_n, sysfail_o_n => vme_sysfail_o_n, bbsyn => vme_bbsy_o_n, bbsyin => vme_bbsy_i_n, bclr_i_n => vme_bclr_i_n, bclr_o_n => vme_bclr_o_n, retry_i_n => vme_retry_i_n , retry_o_n => vme_retry_o_n , retry_oe_n => vme_retry_oe_n , br_i_n => vme_br_i_n, br_o_n => vme_br_o_n, iackin => vme_iack_i_n, iackoutn => vme_iack_o_n, acfailn => vme_acfail_i_n, bg_i_n => vme_bg_i_n, bg_o_n => vme_bg_o_n, ga => vme_ga, gap => vme_gap, vme_berr => vme_berr, vme_mstr_busy => vme_mstr_busy, d_dir => vme_d_dir , d_oe_n => vme_d_oe_n , am_dir => vme_am_dir , am_oe_n => vme_am_oe_n, a_dir => vme_a_dir , a_oe_n => vme_a_oe_n , v2p_rstn => v2p_rstn ); wbb : wb_bus GENERIC MAP ( sets => sets, timeout => timeout ) PORT MAP ( clk => sys_clk, rst => sys_rst, wbmo_0 => wbmo_0, wbmi_0 => wbmi_0, wbmo_0_cyc => wbmo_0_cyc, wbmo_1 => wbmo_1, wbmi_1 => wbmi_1, wbmo_1_cyc => wbmo_1_cyc, wbmo_2 => wbmo_2, wbmi_2 => wbmi_2, wbmo_2_cyc => wbmo_2_cyc, wbso_0 => wbso_0, wbsi_0 => wbsi_0, wbsi_0_cyc => wbsi_0_cyc, wbso_1 => wbso_1, wbsi_1 => wbsi_1, wbsi_1_cyc => wbsi_1_cyc, wbso_2 => wbso_2, wbsi_2 => wbsi_2, wbsi_2_cyc => wbsi_2_cyc, wbso_3 => wbso_3, wbsi_3 => wbsi_3, wbsi_3_cyc => wbsi_3_cyc, wbso_4 => wbso_4, wbsi_4 => wbsi_4, wbsi_4_cyc => wbsi_4_cyc ); ------------------------------------------------------------------------------------------------------------- END A25_top_arch; -- CONFIGURATION wbm_cfg OF pcies_wbm_ctrl IS -- FOR pcies_wbm_ctrl_arch -- FOR wb_adr_dec_inst : pcies_wb_adr_dec -- USE ENTITY work.pcies_wb_adr_dec(wb_adr_dec_arch); -- END FOR; -- END FOR; -- END CONFIGURATION wbm_cfg; -- -- CONFIGURATION pcies_wbm_cfg OF pcies_wbm IS -- FOR pcies_wbm_arch -- FOR wbm : pcies_wbm_ctrl -- USE CONFIGURATION work.wbm_cfg; -- END FOR; -- END FOR; -- END CONFIGURATION pcies_wbm_cfg; -- -- CONFIGURATION pcies2wbb_cfg OF pcies2wbb_top IS -- FOR pcies2wbb_top_arch -- FOR pcies_wbm_i : pcies_wbm -- USE CONFIGURATION work.pcies_wbm_cfg; -- END FOR; -- END FOR; -- END CONFIGURATION pcies2wbb_cfg; -- -- CONFIGURATION top_cfg of A25_top IS -- FOR A25_top_arch -- FOR pcie : pcies2wbb_top -- USE CONFIGURATION work.pcies2wbb_cfg; -- END FOR; -- END FOR; -- END CONFIGURATION top_cfg; -- Configurations for 16z091-01 address decoder CONFIGURATION z091_01_wb_master_cfg OF z091_01_wb_master IS FOR z091_01_wb_master_arch FOR z091_01_wb_adr_dec_comp : z091_01_wb_adr_dec USE ENTITY work.z091_01_wb_adr_dec(a25_arch); END FOR; END FOR; END CONFIGURATION z091_01_wb_master_cfg; CONFIGURATION ip_16z091_01_cfg OF ip_16z091_01 IS FOR ip_16z091_01_arch FOR wb_master_comp : z091_01_wb_master USE CONFIGURATION work.z091_01_wb_master_cfg; END FOR; END FOR; END CONFIGURATION ip_16z091_01_cfg; CONFIGURATION ip_16z091_01_top_cfg OF ip_16z091_01_top IS FOR ip_16z091_01_top_arch FOR ip_16z091_01_comp : ip_16z091_01 USE CONFIGURATION work.ip_16z091_01_cfg; END FOR; END FOR; END CONFIGURATION ip_16z091_01_top_cfg; CONFIGURATION top_cfg OF A25_top IS FOR A25_top_arch FOR pcie : ip_16z091_01_top USE CONFIGURATION work.ip_16z091_01_top_cfg; END FOR; END FOR; END CONFIGURATION top_cfg;
gpl-3.0
b807392920d4f9999cbe9502ddcf7f41
0.468774
3.491353
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_accumulator_function/_primary.vhd
5
2,278
library verilog; use verilog.vl_types.all; entity ama_accumulator_function is generic( width_result : integer := 1; accumulator : string := "NO"; accum_direction : string := "ADD"; loadconst_value : integer := 0; accum_sload_register: string := "UNREGISTERED"; accum_sload_aclr: string := "NONE"; double_accum : string := "NO"; use_sload_accum_port: string := "NO"; output_register : string := "UNREGISTERED"; output_aclr : string := "NONE"; latency : integer := 0; accum_sload_latency_clock: string := "UNREGISTERED"; accum_sload_latency_aclr: string := "NONE"; width_result_msb: vl_notype ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); accum_sload : in vl_logic; sload_accum : in vl_logic; data_result : in vl_logic_vector; prev_result : in vl_logic_vector; result : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_result : constant is 1; attribute mti_svvh_generic_type of accumulator : constant is 1; attribute mti_svvh_generic_type of accum_direction : constant is 1; attribute mti_svvh_generic_type of loadconst_value : constant is 1; attribute mti_svvh_generic_type of accum_sload_register : constant is 1; attribute mti_svvh_generic_type of accum_sload_aclr : constant is 1; attribute mti_svvh_generic_type of double_accum : constant is 1; attribute mti_svvh_generic_type of use_sload_accum_port : constant is 1; attribute mti_svvh_generic_type of output_register : constant is 1; attribute mti_svvh_generic_type of output_aclr : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_clock : constant is 1; attribute mti_svvh_generic_type of accum_sload_latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_result_msb : constant is 3; end ama_accumulator_function;
mit
34a79653740d2674befc4d0c9ddbe764
0.627744
3.841484
false
false
false
false
freecores/t48
rtl/vhdl/cond_branch.vhd
1
6,872
------------------------------------------------------------------------------- -- -- The Conditional Branch Logic unit. -- Decisions whether to take a jump or not are made here. -- -- $Id: cond_branch.vhd,v 1.3 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; use work.t48_cond_branch_pack.all; entity t48_cond_branch is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- Decoder Interface ------------------------------------------------------ compute_take_i : in boolean; branch_cond_i : in branch_conditions_t; take_branch_o : out boolean; accu_i : in word_t; t0_i : in std_logic; t1_i : in std_logic; int_n_i : in std_logic; f0_i : in std_logic; f1_i : in std_logic; tf_i : in std_logic; carry_i : in std_logic; comp_value_i : in comp_value_t ); end t48_cond_branch; library ieee; use ieee.numeric_std.all; use work.t48_pack.res_active_c; use work.t48_pack.clk_active_c; architecture rtl of t48_cond_branch is -- marker for branch taken signal take_branch_s, take_branch_q : boolean; begin ----------------------------------------------------------------------------- -- Process decide_take -- -- Purpose: -- Decides whether a branch has to be taken or not. -- decide_take: process (accu_i, branch_cond_i, t0_i, t1_i, int_n_i, f0_i, f1_i, tf_i, carry_i, comp_value_i) variable or_v : std_logic; begin -- default assignment take_branch_s <= false; or_v := '0'; case branch_cond_i is -- Branch On: Accumulator Bit ------------------------------------------- when COND_ON_BIT => if accu_i(TO_INTEGER(UNSIGNED(comp_value_i))) = '1' then take_branch_s <= true; end if; -- Branch On: Accumulator Zero ------------------------------------------ when COND_Z => for i in accu_i'range loop or_v := or_v or accu_i(i); end loop; take_branch_s <= or_v = not comp_value_i(0); -- Branch On: Carry ----------------------------------------------------- when COND_C => take_branch_s <= carry_i = comp_value_i(0); -- Branch On: Flag 0 ---------------------------------------------------- when COND_F0 => take_branch_s <= f0_i = '1'; -- Branch On: Flag 1 ---------------------------------------------------- when COND_F1 => take_branch_s <= f1_i = '1'; -- Branch On: Interrupt ------------------------------------------------- when COND_INT => take_branch_s <= int_n_i = '0'; -- Branch On: Test 0 ---------------------------------------------------- when COND_T0 => take_branch_s <= t0_i = comp_value_i(0); -- Branch On: Test 1 ---------------------------------------------------- when COND_T1 => take_branch_s <= t1_i = comp_value_i(0); -- Branch On: Timer Flag ------------------------------------------------ when COND_TF => take_branch_s <= tf_i = '1'; when others => -- pragma translate_off assert false report "Unknown branch condition specified!" severity error; -- pragma translate_on end case; end process decide_take; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process reg -- -- Purpose: -- Implement the marker register. -- reg: process (res_i, clk_i) begin if res_i = res_active_c then take_branch_q <= false; elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then if compute_take_i then take_branch_q <= take_branch_s; end if; end if; end if; end process reg; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output Mapping. ----------------------------------------------------------------------------- take_branch_o <= take_branch_q; end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.2 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.1 2004/03/23 21:31:52 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
7fcf421692b24c81933035f1f7f860b1
0.486612
4.368722
false
false
false
false
Ttl/bf_cpu
memory.vhd
1
1,451
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.bfconfig.all; use std.textio.all; entity memory is Generic ( CONTENTS : string := "scripts/instructions.mif" ); Port ( clk : in STD_LOGIC; a1 : in pctype; wd : in STD_LOGIC_VECTOR (7 downto 0); d1 : out STD_LOGIC_VECTOR (7 downto 0); we : in STD_LOGIC); end memory; architecture Behavioral of memory is type memtype is array(0 to 2**INST_MEM_SIZE-1) of std_logic_vector(7 downto 0); impure function init_mem(mif_file_name : in string) return memtype is file mif_file : text open read_mode is mif_file_name; variable mif_line : line; variable temp_bv : bit_vector(7 downto 0); variable temp_mem : memtype; variable i : integer := 0; begin for j in 0 to memtype'length-1 loop if not endfile(mif_file) then readline(mif_file, mif_line); read(mif_line, temp_bv); temp_mem(j) := to_stdlogicvector(temp_bv); else temp_mem(j) := (others => '0'); end if; end loop; return temp_mem; end function; signal mem : memtype := init_mem(CONTENTS); begin process(clk, we, a1, mem) begin if rising_edge(clk) then if we = '1' then mem(to_integer(unsigned(a1))) <= wd; end if; d1 <= mem(to_integer(unsigned(a1))); end if; end process; end Behavioral;
lgpl-3.0
70ec365453b0a1a7906d364493599215
0.595451
3.343318
false
false
false
false
cathalmccabe/PYNQ
boards/ip/audio_direct_1.1/src/pdm_ser.vhd
8
4,223
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 12:24:56 01/31/2014 -- Design Name: -- Module Name: pdm_ser - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity pdm_ser is generic( C_NR_OF_BITS : integer := 16; C_SYS_CLK_FREQ_MHZ : integer := 100; C_PDM_FREQ_MHZ : integer range 1 to 3 := 3 ); port( clk_i : in std_logic; rst_i : in std_logic; en_i : in std_logic; done_o : out std_logic; data_i : in std_logic_vector(15 downto 0); -- PWM pwm_audio_o : out std_logic; pwm_audio_t : out std_logic; pwm_audio_i : in std_logic --pwm_sdaudio_o : out std_logic ); end pdm_ser; architecture Behavioral of pdm_ser is ------------------------------------------------------------------------ -- Signal Declarations ------------------------------------------------------------------------ signal cnt_clk : integer range 0 to 127 := 0; signal clk_int, clk_intt : std_logic := '0'; signal pdm_clk_rising, pdm_clk_falling : std_logic; signal pdm_s_tmp : std_logic_vector((C_NR_OF_BITS-1) downto 0); signal cnt_bits : integer range 0 to 31 := 0; signal pwm_int : std_logic; signal done_int : std_logic; ------------------------------------------------------------------------ -- Module Implementation ------------------------------------------------------------------------ begin -- enable audio --pwm_sdaudio_o <= '1'; -- counter for the number of sampled bits CNT: process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then if cnt_bits = (C_NR_OF_BITS-1) then cnt_bits <= 0; else cnt_bits <= cnt_bits + 1; end if; end if; end if; end process CNT; -- done gen process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then if cnt_bits = (C_NR_OF_BITS-1) then done_o <= '1'; end if; else done_o <= '0'; end if; end if; end process; ------------------------------------------------------------------------ -- Serializer ------------------------------------------------------------------------ SHFT_OUT: process(clk_i) begin if rising_edge(clk_i) then if pdm_clk_rising = '1' then if cnt_bits = (C_NR_OF_BITS-2) then -- end of deserialization pdm_s_tmp <= data_i; else pdm_s_tmp <= pdm_s_tmp(C_NR_OF_BITS-2 downto 0) & '0'; end if; end if; end if; end process SHFT_OUT; -- output the serial pdm data pwm_audio_o <= '0'; pwm_audio_t <= --clk_int when en_i = '0' else '0' when pdm_s_tmp(C_NR_OF_BITS-1) = '0' and en_i = '1' else '1'; ------------------------------------------------------------------------ -- slave clock generator ------------------------------------------------------------------------ CLK_CNT: process(clk_i) begin if rising_edge(clk_i) then if rst_i = '1' or cnt_clk = ((C_SYS_CLK_FREQ_MHZ/(C_PDM_FREQ_MHZ*2))-1) then cnt_clk <= 0; clk_int <= not clk_int; else cnt_clk <= cnt_clk + 1; end if; clk_intt <= clk_int; end if; end process CLK_CNT; pdm_clk_rising <= '1' when clk_int = '1' and clk_intt = '0' else '0'; --pdm_clk_falling <= '1' when cnt_clk = ((clk_div/2)-1) else '0'; end Behavioral;
bsd-3-clause
3df2c88405d9bcf08a5e1e07e29acd97
0.459152
3.787444
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/instruct_blk_mem_gen_v7_3/simulation/bmg_stim_gen.vhd
1
12,278
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Stimulus Generator For Simple Dual Port RAM -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: bmg_stim_gen.vhd -- -- Description: -- Stimulus Generation For SDP Configuration -- 100 Writes and 100 Reads will be performed in a repeatitive loop till the -- simulation ends -- -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY REGISTER_LOGIC IS PORT( Q : OUT STD_LOGIC; CLK : IN STD_LOGIC; RST : IN STD_LOGIC; D : IN STD_LOGIC ); END REGISTER_LOGIC; ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC IS SIGNAL Q_O : STD_LOGIC :='0'; BEGIN Q <= Q_O; FF_BEH: PROCESS(CLK) BEGIN IF(RISING_EDGE(CLK)) THEN IF(RST ='1') THEN Q_O <= '0'; ELSE Q_O <= D; END IF; END IF; END PROCESS; END REGISTER_ARCH; LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY BMG_STIM_GEN IS PORT ( CLKA : IN STD_LOGIC; CLKB : IN STD_LOGIC; TB_RST : IN STD_LOGIC; ADDRA: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); DINA : OUT STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); WEA : OUT STD_LOGIC_VECTOR (0 DOWNTO 0) := (OTHERS => '0'); ADDRB: OUT STD_LOGIC_VECTOR(3 DOWNTO 0) := (OTHERS => '0'); CHECK_DATA: OUT STD_LOGIC:='0' ); END BMG_STIM_GEN; ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS CONSTANT ZERO : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL WRITE_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL READ_ADDR : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_INT : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DO_WRITE : STD_LOGIC := '0'; SIGNAL DO_READ : STD_LOGIC := '0'; SIGNAL DO_READ_R : STD_LOGIC := '0'; SIGNAL DO_READ_REG : STD_LOGIC_VECTOR(5 DOWNTO 0) :=(OTHERS => '0'); SIGNAL PORTA_WR : STD_LOGIC:='0'; SIGNAL COUNT : INTEGER :=0; SIGNAL INCR_WR_CNT : STD_LOGIC:='0'; SIGNAL PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD : STD_LOGIC:='0'; SIGNAL COUNT_RD : INTEGER :=0; SIGNAL INCR_RD_CNT : STD_LOGIC:='0'; SIGNAL PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL LATCH_PORTA_WR_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTB_RD_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTA_WR_L1 :STD_LOGIC := '0'; SIGNAL PORTA_WR_L2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R2 :STD_LOGIC := '0'; SIGNAL PORTB_RD_R1 :STD_LOGIC := '0'; SIGNAL LATCH_PORTB_RD_COMPLETE : STD_LOGIC :='0'; SIGNAL PORTA_WR_HAPPENED : STD_LOGIC := '0'; SIGNAL PORTB_RD_L1 : STD_LOGIC := '0'; SIGNAL PORTB_RD_L2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R2 : STD_LOGIC := '0'; SIGNAL PORTA_WR_R1 : STD_LOGIC := '0'; CONSTANT WR_RD_DEEP_COUNT :INTEGER :=8; CONSTANT WR_DEEP_COUNT : INTEGER := if_then_else((4 <= 4),WR_RD_DEEP_COUNT, ((16/16)*WR_RD_DEEP_COUNT)); CONSTANT RD_DEEP_COUNT : INTEGER := if_then_else((4 <= 4),WR_RD_DEEP_COUNT, ((16/16)*WR_RD_DEEP_COUNT)); BEGIN ADDRA <= WRITE_ADDR(3 DOWNTO 0) ; DINA <= DINA_INT ; ADDRB <= READ_ADDR(3 DOWNTO 0) when (DO_READ='1') else (OTHERS=>'0'); CHECK_DATA <= DO_READ; RD_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16 , RST_INC => 1 ) PORT MAP( CLK => CLKB, RST => TB_RST, EN => DO_READ, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => READ_ADDR ); WR_ADDR_GEN_INST:ENTITY work.ADDR_GEN GENERIC MAP( C_MAX_DEPTH => 16, RST_INC => 1 ) PORT MAP( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, LOAD => '0', LOAD_VALUE => ZERO, ADDR_OUT => WRITE_ADDR ); WR_DATA_GEN_INST:ENTITY work.DATA_GEN GENERIC MAP ( DATA_GEN_WIDTH => 16, DOUT_WIDTH => 16 , DATA_PART_CNT => 1, SEED => 2) PORT MAP ( CLK => CLKA, RST => TB_RST, EN => DO_WRITE, DATA_OUT => DINA_INT ); PORTA_WR_PROCESS: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTA_WR<='1'; ELSE PORTA_WR<=PORTB_RD_COMPLETE; END IF; END IF; END PROCESS; PORTB_RD_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTB_RD<='0'; ELSE PORTB_RD<=PORTA_WR_L2; END IF; END IF; END PROCESS; PORTB_RD_COMPLETE_LATCH: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; ELSIF(PORTB_RD_COMPLETE='1') THEN LATCH_PORTB_RD_COMPLETE <='1'; ELSIF(PORTA_WR_HAPPENED='1') THEN LATCH_PORTB_RD_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_L1 <='0'; PORTB_RD_L2 <='0'; ELSE PORTB_RD_L1 <= LATCH_PORTB_RD_COMPLETE; PORTB_RD_L2 <= PORTB_RD_L1; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_R1 <='0'; PORTA_WR_R2 <='0'; ELSE PORTA_WR_R1 <= PORTA_WR; PORTA_WR_R2 <= PORTA_WR_R1; END IF; END IF; END PROCESS; PORTA_WR_HAPPENED <= PORTA_WR_R2; PORTA_WR_COMPLETE_LATCH: PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN LATCH_PORTA_WR_COMPLETE<='0'; ELSIF(PORTA_WR_COMPLETE='1') THEN LATCH_PORTA_WR_COMPLETE <='1'; --ELSIF(PORTB_RD_HAPPENED='1') THEN ELSE LATCH_PORTA_WR_COMPLETE<='0'; END IF; END IF; END PROCESS; PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN PORTA_WR_L1 <='0'; PORTA_WR_L2 <='0'; ELSE PORTA_WR_L1 <= LATCH_PORTA_WR_COMPLETE; PORTA_WR_L2 <= PORTA_WR_L1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(TB_RST='1') THEN PORTB_RD_R1 <='0'; PORTB_RD_R2 <='0'; ELSE PORTB_RD_R1 <= PORTB_RD; PORTB_RD_R2 <= PORTB_RD_R1; END IF; END IF; END PROCESS; PORTB_RD_HAPPENED <= PORTB_RD_R2; PORTB_RD_COMPLETE <= '1' when (count_rd=RD_DEEP_COUNT) else '0'; start_rd_counter: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then incr_rd_cnt <= '0'; elsif(portb_rd ='1') then incr_rd_cnt <='1'; elsif(portb_rd_complete='1') then incr_rd_cnt <='0'; end if; end if; end process; RD_COUNTER: process(clkb) begin if(rising_edge(clkb)) then if(tb_rst='1') then count_rd <= 0; elsif(incr_rd_cnt='1') then count_rd<=count_rd+1; end if; --if(count_rd=(wr_rd_deep_count)) then if(count_rd=(RD_DEEP_COUNT)) then count_rd<=0; end if; end if; end process; DO_READ<='1' when (count_rd <RD_DEEP_COUNT and incr_rd_cnt='1') else '0'; PORTA_WR_COMPLETE <= '1' when (count=WR_DEEP_COUNT) else '0'; start_counter: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then incr_wr_cnt <= '0'; elsif(porta_wr ='1') then incr_wr_cnt <='1'; elsif(porta_wr_complete='1') then incr_wr_cnt <='0'; end if; end if; end process; COUNTER: process(clka) begin if(rising_edge(clka)) then if(tb_rst='1') then count <= 0; elsif(incr_wr_cnt='1') then count<=count+1; end if; if(count=(WR_DEEP_COUNT)) then count<=0; end if; end if; end process; DO_WRITE<='1' when (count <WR_DEEP_COUNT and incr_wr_cnt='1') else '0'; BEGIN_SHIFT_REG: FOR I IN 0 TO 5 GENERATE BEGIN DFF_RIGHT: IF I=0 GENERATE BEGIN SHIFT_INST_0: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(0), CLK => CLKB, RST => TB_RST, D => DO_READ ); END GENERATE DFF_RIGHT; DFF_OTHERS: IF ((I>0) AND (I<=5)) GENERATE BEGIN SHIFT_INST: ENTITY work.REGISTER_LOGIC PORT MAP( Q => DO_READ_REG(I), CLK =>CLKB, RST =>TB_RST, D =>DO_READ_REG(I-1) ); END GENERATE DFF_OTHERS; END GENERATE BEGIN_SHIFT_REG; REGCE_PROCESS: PROCESS(CLKB) BEGIN IF(RISING_EDGE(CLKB)) THEN IF(TB_RST='1') THEN DO_READ_R <= '0'; ELSE DO_READ_R <= DO_READ; END IF; END IF; END PROCESS; WEA(0) <= DO_WRITE ; END ARCHITECTURE;
mit
fac18c8d920955ca819f9b0ccd8d5e2b
0.542515
3.544457
false
false
false
false
nulldozer/purisc
convert_to_seven_seg.vhd
2
965
library ieee; use ieee.std_logic_1164.all; entity convert_to_seven_seg is port ( data_in : in std_logic_vector(3 downto 0); hex_out : out std_logic_vector(6 downto 0) ); end; architecture FUCKFUCKFUCKFUCKFUCKFUCKFUCKFUCK of convert_to_seven_seg is begin hex_out <= "1111001" when data_in = "0001" else "0100100" when data_in = "0010" else "0110000" when data_in = "0011" else "0011001" when data_in = "0100" else "0010010" when data_in = "0101" else "0000010" when data_in = "0110" else "1111000" when data_in = "0111" else "0000000" when data_in = "1000" else "0011000" when data_in = "1001" else "0001000" when data_in = "1010" else "0000011" when data_in = "1011" else "1000110" when data_in = "1100" else "0100001" when data_in = "1101" else "0000110" when data_in = "1110" else "0001110" when data_in = "1111" else "1000000" when data_in = "0000" else "1111111"; end;
gpl-2.0
6b8d56955dd87b46ebc97e8f396d3b91
0.634197
2.906627
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_q_mngr.vhd
1
36,509
------------------------------------------------------------------------------- -- axi_sg_updt_q_mngr ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_q_mngr.vhd -- Description: This entity is the descriptor update queue manager -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Created top level for descriptor update queue management -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 11/15/10 v2_01_a -- ^^^^^^ -- CR582800 -- Converted all stream paraters ***_DATA_WIDTH to ***_TDATA_WIDTH -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; library lib_pkg_v1_0; library lib_fifo_v1_0; use lib_fifo_v1_0.sync_fifo_fg; use lib_pkg_v1_0.lib_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_q_mngr is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_M_AXI_SG_DATA_WIDTH : integer range 32 to 32 := 32; -- Master AXI Memory Map Data Width for Scatter Gather R/W Port C_S_AXIS_UPDPTR_TDATA_WIDTH : integer range 32 to 32 := 32; -- 32 Update Status Bits C_S_AXIS_UPDSTS_TDATA_WIDTH : integer range 33 to 33 := 33; -- 1 IOC bit + 32 Update Status Bits C_SG_UPDT_DESC2QUEUE : integer range 0 to 8 := 0; -- Number of descriptors to fetch and queue for each channel. -- A value of zero excludes the fetch queues. C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to update C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_AXIS_IS_ASYNC : integer range 0 to 1 := 0; -- Channel 1 is async to sg_aclk -- 0 = Synchronous to SG ACLK -- 1 = Asynchronous to SG ACLK C_FAMILY : string := "virtex6" -- Device family used for proper BRAM selection ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Control **-- -- --***********************************-- -- ch1_updt_curdesc_wren : out std_logic ; -- ch1_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_active : in std_logic ; -- ch1_updt_queue_empty : out std_logic ; -- ch1_updt_ioc : out std_logic ; -- ch1_updt_ioc_irq_set : in std_logic ; -- -- ch1_dma_interr : out std_logic ; -- ch1_dma_slverr : out std_logic ; -- ch1_dma_decerr : out std_logic ; -- ch1_dma_interr_set : in std_logic ; -- ch1_dma_slverr_set : in std_logic ; -- ch1_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Control **-- -- --***********************************-- -- ch2_updt_active : in std_logic ; -- ch2_updt_curdesc_wren : out std_logic ; -- ch2_updt_curdesc : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_queue_empty : out std_logic ; -- ch2_updt_ioc : out std_logic ; -- ch2_updt_ioc_irq_set : in std_logic ; -- -- ch2_dma_interr : out std_logic ; -- ch2_dma_slverr : out std_logic ; -- ch2_dma_decerr : out std_logic ; -- ch2_dma_interr_set : in std_logic ; -- ch2_dma_slverr_set : in std_logic ; -- ch2_dma_decerr_set : in std_logic ; -- -- --***********************************-- -- --** Channel 1 Update Interface In **-- -- --***********************************-- -- s_axis_ch1_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch1_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtptr_tvalid : in std_logic ; -- s_axis_ch1_updtptr_tready : out std_logic ; -- s_axis_ch1_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch1_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch1_updtsts_tvalid : in std_logic ; -- s_axis_ch1_updtsts_tready : out std_logic ; -- s_axis_ch1_updtsts_tlast : in std_logic ; -- -- --***********************************-- -- --** Channel 2 Update Interface In **-- -- --***********************************-- -- s_axis_ch2_updt_aclk : in std_logic ; -- -- Update Pointer Stream -- s_axis_ch2_updtptr_tdata : in std_logic_vector -- (C_S_AXIS_UPDPTR_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtptr_tvalid : in std_logic ; -- s_axis_ch2_updtptr_tready : out std_logic ; -- s_axis_ch2_updtptr_tlast : in std_logic ; -- -- -- Update Status Stream -- s_axis_ch2_updtsts_tdata : in std_logic_vector -- (C_S_AXIS_UPDSTS_TDATA_WIDTH-1 downto 0); -- s_axis_ch2_updtsts_tvalid : in std_logic ; -- s_axis_ch2_updtsts_tready : out std_logic ; -- s_axis_ch2_updtsts_tlast : in std_logic ; -- -- --***************************************-- -- --** Update Interface to AXI DataMover **-- -- --***************************************-- -- -- S2MM Stream Out To DataMover -- s_axis_s2mm_tdata : out std_logic_vector -- (C_M_AXI_SG_DATA_WIDTH-1 downto 0) ; -- s_axis_s2mm_tlast : out std_logic ; -- s_axis_s2mm_tvalid : out std_logic ; -- s_axis_s2mm_tready : in std_logic -- ); end axi_sg_updt_q_mngr; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_q_mngr is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- signal m_axis_ch1_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch1_updt_tlast : std_logic := '0'; signal m_axis_ch1_updt_tvalid : std_logic := '0'; signal m_axis_ch1_updt_tready : std_logic := '0'; signal m_axis_ch2_updt_tdata : std_logic_vector(C_M_AXI_SG_DATA_WIDTH-1 downto 0) := (others => '0'); signal m_axis_ch2_updt_tlast : std_logic := '0'; signal m_axis_ch2_updt_tvalid : std_logic := '0'; signal m_axis_ch2_updt_tready : std_logic := '0'; ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin --***************************************************************************** --** CHANNEL 1 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 1 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- GEN_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 1 generate begin --***************************************************************************** --** CHANNEL 1 - DESCRIPTOR QUEUE ** --***************************************************************************** -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_CH1_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin ------------------------------------------------------------------------------- I_CH1_UPDT_DESC_QUEUE : entity axi_vdma_v6_2.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH1_WORDS_TO_UPDATE , C_AXIS_IS_ASYNC => C_AXIS_IS_ASYNC , C_FAMILY => C_FAMILY ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch1_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch1_updt_tdata , m_axis_updt_tlast => m_axis_ch1_updt_tlast , m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , m_axis_updt_tready => m_axis_ch1_updt_tready ); end generate GEN_CH1_QUEUE; --***************************************************************************** --** CHANNEL 1 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_CH1_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate begin I_NO_CH1_UPDT_DESC_QUEUE : entity axi_vdma_v6_2.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch1_updt_curdesc_wren , updt_curdesc => ch1_updt_curdesc , updt_active => ch1_updt_active , updt_queue_empty => ch1_updt_queue_empty , updt_ioc => ch1_updt_ioc , updt_ioc_irq_set => ch1_updt_ioc_irq_set , dma_interr => ch1_dma_interr , dma_slverr => ch1_dma_slverr , dma_decerr => ch1_dma_decerr , dma_interr_set => ch1_dma_interr_set , dma_slverr_set => ch1_dma_slverr_set , dma_decerr_set => ch1_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch1_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch1_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch1_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch1_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch1_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch1_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch1_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch1_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch1_updt_tdata , m_axis_updt_tlast => m_axis_ch1_updt_tlast , m_axis_updt_tvalid => m_axis_ch1_updt_tvalid , m_axis_updt_tready => m_axis_ch1_updt_tready ); end generate GEN_CH1_NO_QUEUE; end generate GEN_CH1_UPDATE_Q_IF; -- Channel 1 NOT included therefore tie ch1 outputs off GEN_NO_CH1_UPDATE_Q_IF : if C_INCLUDE_CH1 = 0 generate begin ch1_updt_curdesc_wren <= '0'; ch1_updt_curdesc <= (others => '0'); ch1_updt_queue_empty <= '1'; ch1_updt_ioc <= '0'; ch1_dma_interr <= '0'; ch1_dma_slverr <= '0'; ch1_dma_decerr <= '0'; m_axis_ch1_updt_tdata <= (others => '0'); m_axis_ch1_updt_tlast <= '0'; m_axis_ch1_updt_tvalid <= '0'; s_axis_ch1_updtptr_tready <= '0'; s_axis_ch1_updtsts_tready <= '0'; end generate GEN_NO_CH1_UPDATE_Q_IF; --***************************************************************************** --** CHANNEL 2 ** --***************************************************************************** ------------------------------------------------------------------------------- -- If Channel 2 is enabled then instantiate descriptor update logic. ------------------------------------------------------------------------------- GEN_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 1 generate begin --************************************************************************* --** CHANNEL 2 - DESCRIPTOR QUEUE ** --************************************************************************* -- If Descriptor Update queueing enabled then instantiate Queue Logic GEN_CH2_QUEUE : if C_SG_UPDT_DESC2QUEUE /= 0 generate begin --------------------------------------------------------------------------- I_CH2_UPDT_DESC_QUEUE : entity axi_vdma_v6_2.axi_sg_updt_queue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH , C_SG_UPDT_DESC2QUEUE => C_SG_UPDT_DESC2QUEUE , C_SG_WORDS_TO_UPDATE => C_SG_CH2_WORDS_TO_UPDATE , C_FAMILY => C_FAMILY ) port map( --------------------------------------------------------------- -- AXI Scatter Gather Interface --------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , s_axis_updt_aclk => s_axis_ch2_updt_aclk , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch2_updt_curdesc_wren , updt_curdesc => ch2_updt_curdesc , updt_active => ch2_updt_active , updt_queue_empty => ch2_updt_queue_empty , updt_ioc => ch2_updt_ioc , updt_ioc_irq_set => ch2_updt_ioc_irq_set , dma_interr => ch2_dma_interr , dma_slverr => ch2_dma_slverr , dma_decerr => ch2_dma_decerr , dma_interr_set => ch2_dma_interr_set , dma_slverr_set => ch2_dma_slverr_set , dma_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch2_updt_tdata , m_axis_updt_tlast => m_axis_ch2_updt_tlast , m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , m_axis_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_CH2_QUEUE; --***************************************************************************** --** CHANNEL 2 - NO DESCRIPTOR QUEUE ** --***************************************************************************** -- No update queue enabled, therefore map internal stream logic -- directly to channel port. GEN_CH2_NO_QUEUE : if C_SG_UPDT_DESC2QUEUE = 0 generate I_NO_CH2_UPDT_DESC_QUEUE : entity axi_vdma_v6_2.axi_sg_updt_noqueue generic map( C_M_AXI_SG_ADDR_WIDTH => C_M_AXI_SG_ADDR_WIDTH , C_M_AXIS_UPDT_DATA_WIDTH => C_M_AXI_SG_DATA_WIDTH , C_S_AXIS_UPDPTR_TDATA_WIDTH => C_S_AXIS_UPDPTR_TDATA_WIDTH , C_S_AXIS_UPDSTS_TDATA_WIDTH => C_S_AXIS_UPDSTS_TDATA_WIDTH ) port map( --------------------------------------------------------------- -- AXI Scatter Gather Interface --------------------------------------------------------------- m_axi_sg_aclk => m_axi_sg_aclk , m_axi_sg_aresetn => m_axi_sg_aresetn , --********************************-- --** Control and Status **-- --********************************-- updt_curdesc_wren => ch2_updt_curdesc_wren , updt_curdesc => ch2_updt_curdesc , updt_active => ch2_updt_active , updt_queue_empty => ch2_updt_queue_empty , updt_ioc => ch2_updt_ioc , updt_ioc_irq_set => ch2_updt_ioc_irq_set , dma_interr => ch2_dma_interr , dma_slverr => ch2_dma_slverr , dma_decerr => ch2_dma_decerr , dma_interr_set => ch2_dma_interr_set , dma_slverr_set => ch2_dma_slverr_set , dma_decerr_set => ch2_dma_decerr_set , --********************************-- --** Update Interfaces In **-- --********************************-- -- Update Pointer Stream s_axis_updtptr_tdata => s_axis_ch2_updtptr_tdata , s_axis_updtptr_tvalid => s_axis_ch2_updtptr_tvalid , s_axis_updtptr_tready => s_axis_ch2_updtptr_tready , s_axis_updtptr_tlast => s_axis_ch2_updtptr_tlast , -- Update Status Stream s_axis_updtsts_tdata => s_axis_ch2_updtsts_tdata , s_axis_updtsts_tvalid => s_axis_ch2_updtsts_tvalid , s_axis_updtsts_tready => s_axis_ch2_updtsts_tready , s_axis_updtsts_tlast => s_axis_ch2_updtsts_tlast , --********************************-- --** Update Interfaces Out **-- --********************************-- -- S2MM Stream Out To DataMover m_axis_updt_tdata => m_axis_ch2_updt_tdata , m_axis_updt_tlast => m_axis_ch2_updt_tlast , m_axis_updt_tvalid => m_axis_ch2_updt_tvalid , m_axis_updt_tready => m_axis_ch2_updt_tready ); end generate GEN_CH2_NO_QUEUE; end generate GEN_CH2_UPDATE_Q_IF; -- Channel 2 NOT included therefore tie ch2 outputs off GEN_NO_CH2_UPDATE_Q_IF : if C_INCLUDE_CH2 = 0 generate begin ch2_updt_curdesc_wren <= '0'; ch2_updt_curdesc <= (others => '0'); ch2_updt_queue_empty <= '1'; ch2_updt_ioc <= '0'; ch2_dma_interr <= '0'; ch2_dma_slverr <= '0'; ch2_dma_decerr <= '0'; m_axis_ch2_updt_tdata <= (others => '0'); m_axis_ch2_updt_tlast <= '0'; m_axis_ch2_updt_tvalid <= '0'; s_axis_ch2_updtptr_tready <= '0'; s_axis_ch2_updtsts_tready <= '0'; end generate GEN_NO_CH2_UPDATE_Q_IF; ------------------------------------------------------------------------------- -- MUX For DataMover ------------------------------------------------------------------------------- TO_DATAMVR_MUX : process(ch1_updt_active, ch2_updt_active, m_axis_ch1_updt_tdata, m_axis_ch1_updt_tlast, m_axis_ch1_updt_tvalid, m_axis_ch2_updt_tdata, m_axis_ch2_updt_tlast, m_axis_ch2_updt_tvalid) begin if(ch1_updt_active = '1')then s_axis_s2mm_tdata <= m_axis_ch1_updt_tdata; s_axis_s2mm_tlast <= m_axis_ch1_updt_tlast; s_axis_s2mm_tvalid <= m_axis_ch1_updt_tvalid; elsif(ch2_updt_active = '1')then s_axis_s2mm_tdata <= m_axis_ch2_updt_tdata; s_axis_s2mm_tlast <= m_axis_ch2_updt_tlast; s_axis_s2mm_tvalid <= m_axis_ch2_updt_tvalid; else s_axis_s2mm_tdata <= (others => '0'); s_axis_s2mm_tlast <= '0'; s_axis_s2mm_tvalid <= '0'; end if; end process TO_DATAMVR_MUX; m_axis_ch1_updt_tready <= s_axis_s2mm_tready; m_axis_ch2_updt_tready <= s_axis_s2mm_tready; end implementation;
gpl-2.0
aee539368f1827e33e790559436305d8
0.353776
4.89528
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sLpmAddSub.vhd
8
4,640
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; USE ieee.std_logic_1164.all; USE ieee.std_logic_arith.all; USE ieee.std_logic_signed.all; library LPM; use LPM.LPM_COMPONENTS.all; library altera; use altera.alt_dspbuilder_package.all; ENTITY alt_dspbuilder_sLpmAddSub IS GENERIC ( width : positive :=8; isunsigned : natural :=0; pipeline : natural :=0; or_aclr_inputs : boolean := true ); PORT ( add_sub : in std_logic ; dataa : in std_logic_vector (width-1 DOWNTO 0); datab : in std_logic_vector (width-1 DOWNTO 0); cin : in std_logic ; clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; result : out std_logic_vector (width-1 DOWNTO 0); cout : out std_logic ); END alt_dspbuilder_sLpmAddSub; ARCHITECTURE SYN OF alt_dspbuilder_sLpmAddSub IS signal aclr_i : std_logic; BEGIN or_aclrs: if (or_aclr_inputs=true) generate aclr_i <= aclr or user_aclr; end generate or_aclrs; only_user_aclr: if(or_aclr_inputs=false) generate aclr_i <= user_aclr; end generate only_user_aclr; gnp:if (0=pipeline) generate gsn:if (0=isunsigned) generate U0 : lpm_add_sub GENERIC MAP ( lpm_width => width, lpm_direction => "UNUSED", lpm_type => "LPM_ADD_SUB", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO", lpm_representation => "SIGNED", lpm_pipeline => 0 ) PORT MAP ( dataa => dataa, add_sub => add_sub, datab => datab, cin => cin, overflow => cout, result => result ); end generate gsn; gusn:if (0<isunsigned) generate U0 : lpm_add_sub GENERIC MAP ( lpm_width => width, lpm_direction => "UNUSED", lpm_type => "LPM_ADD_SUB", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO", lpm_representation => "UNSIGNED", lpm_pipeline => 0 ) PORT MAP ( dataa => dataa, add_sub => add_sub, datab => datab, cin => cin, cout => cout, result => result ); end generate gusn; end generate gnp; gp:if (pipeline>0) generate gsn:if (0=isunsigned) generate U0 : lpm_add_sub GENERIC MAP ( lpm_width => width, lpm_direction => "UNUSED", lpm_type => "LPM_ADD_SUB", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO", lpm_representation => "SIGNED", lpm_pipeline => pipeline ) PORT MAP ( dataa => dataa, add_sub => add_sub, datab => datab, cin => cin, clken => clken, aclr => aclr_i, clock => clock, overflow => cout, result => result ); end generate gsn; gusn:if (0<isunsigned) generate U0 : lpm_add_sub GENERIC MAP ( lpm_width => width, lpm_direction => "UNUSED", lpm_type => "LPM_ADD_SUB", lpm_hint => "ONE_INPUT_IS_CONSTANT=NO", lpm_representation => "UNSIGNED", lpm_pipeline => pipeline ) PORT MAP ( dataa => dataa, add_sub => add_sub, datab => datab, cin => cin, clken => clken, aclr => aclr_i, clock => clock, cout => cout, result => result ); end generate gusn; end generate gp; END SYN;
mit
4d3792e6082705449901ae42bd672873
0.541164
3.650669
false
false
false
false
nulldozer/purisc
Global_memory/MAGIC_global/SETUP_global.vhd
2
12,850
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity SETUP_global is PORT( CLK : IN STD_LOGIC; ADDRESS_A : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_B : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_C : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_0 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_1 : IN STD_LOGIC_VECTOR (31 DOWNTO 0); ADDRESS_W : IN STD_LOGIC_VECTOR (31 DOWNTO 0); W_EN : IN STD_LOGIC; RESET_n : IN STD_LOGIC; STALL : OUT STD_LOGIC; HAZARD : IN STD_LOGIC; ram_0_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_0_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_0_wren_a : OUT STD_LOGIC; ram_0_wren_b : OUT STD_LOGIC; ram_1_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_1_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_1_wren_a : OUT STD_LOGIC; ram_1_wren_b : OUT STD_LOGIC; ram_2_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_2_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_2_wren_a : OUT STD_LOGIC; ram_2_wren_b : OUT STD_LOGIC; ram_3_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_3_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_3_wren_a : OUT STD_LOGIC; ram_3_wren_b : OUT STD_LOGIC; ram_4_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_4_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_4_wren_a : OUT STD_LOGIC; ram_4_wren_b : OUT STD_LOGIC; ram_5_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_5_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_5_wren_a : OUT STD_LOGIC; ram_5_wren_b : OUT STD_LOGIC; ram_6_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_6_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_6_wren_a : OUT STD_LOGIC; ram_6_wren_b : OUT STD_LOGIC; ram_7_port_a : OUT STD_LOGIC_VECTOR (11 downto 0); ram_7_port_b : OUT STD_LOGIC_VECTOR (11 downto 0); ram_7_wren_a : OUT STD_LOGIC; ram_7_wren_b : OUT STD_LOGIC; ram_0_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_1_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_2_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_3_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_4_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_5_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_6_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); ram_7_sel_vector : OUT STD_LOGIC_VECTOR (9 DOWNTO 0) ); end; architecture control of SETUP_global is component address_transcode_global PORT ( ADDRESS : IN STD_LOGIC_VECTOR(31 DOWNTO 0); ROW : OUT STD_LOGIC_VECTOR (11 downto 0); COL : OUT STD_LOGIC_VECTOR(2 DOWNTO 0) ); end component; component create_opcode_global PORT ( COL_A : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_B : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_C : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_D : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_E : IN STD_LOGIC_VECTOR(2 DOWNTO 0); COL_W : IN STD_LOGIC_VECTOR(2 DOWNTO 0); W_EN : IN STD_LOGIC; OPCODE_0 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_1 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_2 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_3 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_4 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_5 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_6 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0); OPCODE_7 : OUT STD_LOGIC_VECTOR (5 DOWNTO 0) ); end component; component FLOW_global PORT( CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; OPCODE : IN STD_LOGIC_VECTOR(5 DOWNTO 0); ROW_A : IN STD_LOGIC_VECTOR(11 downto 0); ROW_B : IN STD_LOGIC_VECTOR(11 downto 0); ROW_C : IN STD_LOGIC_VECTOR(11 downto 0); ROW_D : IN STD_LOGIC_VECTOR(11 downto 0); ROW_E : IN STD_LOGIC_VECTOR(11 downto 0); ROW_W : IN STD_LOGIC_VECTOR(11 downto 0); HAZARD : IN STD_LOGIC; EQUALITY : OUT STD_LOGIC; ADDRESS_A : OUT STD_LOGIC_VECTOR(11 downto 0); ADDRESS_B : OUT STD_LOGIC_VECTOR(11 downto 0); SEL_VECTOR : OUT STD_LOGIC_VECTOR (9 DOWNTO 0); WREN_A : OUT STD_LOGIC; WREN_B : OUT STD_LOGIC ); end component; signal COL_A : std_logic_vector (2 downto 0); signal COL_B : std_logic_vector (2 downto 0); signal COL_C : std_logic_vector (2 downto 0); signal COL_D : std_logic_vector (2 downto 0); signal COL_E : std_logic_vector (2 downto 0); signal COL_W : std_logic_vector (2 downto 0); signal ROW_A : std_logic_vector (11 downto 0); signal ROW_B : std_logic_vector (11 downto 0); signal ROW_C : std_logic_vector (11 downto 0); signal ROW_D : std_logic_vector (11 downto 0); signal ROW_E : std_logic_vector (11 downto 0); signal ROW_W : std_logic_vector (11 downto 0); signal OPCODE_0 : std_logic_vector (5 downto 0); signal OPCODE_1 : std_logic_vector (5 downto 0); signal OPCODE_2 : std_logic_vector (5 downto 0); signal OPCODE_3 : std_logic_vector (5 downto 0); signal OPCODE_4 : std_logic_vector (5 downto 0); signal OPCODE_5 : std_logic_vector (5 downto 0); signal OPCODE_6 : std_logic_vector (5 downto 0); signal OPCODE_7 : std_logic_vector (5 downto 0); signal equality_0 : std_logic; signal equality_1 : std_logic; signal equality_2 : std_logic; signal equality_3 : std_logic; signal equality_4 : std_logic; signal equality_5 : std_logic; signal equality_6 : std_logic; signal equality_7 : std_logic; begin transcode_a : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_A, ROW => ROW_A, COL => COL_A ); transcode_b : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_B, ROW => ROW_B, COL => COL_B ); transcode_c : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_C, ROW => ROW_C, COL => COL_C ); transcode_d : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_0, ROW => ROW_D, COL => COL_D ); transcode_e : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_1, ROW => ROW_E, COL => COL_E ); transcode_w : address_transcode_global PORT MAP ( ADDRESS => ADDRESS_W, ROW => ROW_W, COL => COL_W ); opcodery : create_opcode_global PORT MAP ( COL_A => COL_A, COL_B => COL_B, COL_C => COL_C, COL_D => COL_D, COL_E => COL_E, COL_W => COL_W, W_EN => W_EN, OPCODE_0 => OPCODE_0, OPCODE_1 => OPCODE_1, OPCODE_2 => OPCODE_2, OPCODE_3 => OPCODE_3, OPCODE_4 => OPCODE_4, OPCODE_5 => OPCODE_5, OPCODE_6 => OPCODE_6, OPCODE_7 => OPCODE_7 ); RAM_0_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_0, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_0, ADDRESS_A => ram_0_port_a, ADDRESS_B => ram_0_port_b, SEL_VECTOR => ram_0_sel_vector, WREN_A => ram_0_wren_a, WREN_B => ram_0_wren_b ); RAM_1_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_1, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_1, ADDRESS_A => ram_1_port_a, ADDRESS_B => ram_1_port_b, SEL_VECTOR => ram_1_sel_vector, WREN_A => ram_1_wren_a, WREN_B => ram_1_wren_b ); RAM_2_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_2, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_2, ADDRESS_A => ram_2_port_a, ADDRESS_B => ram_2_port_b, SEL_VECTOR => ram_2_sel_vector, WREN_A => ram_2_wren_a, WREN_B => ram_2_wren_b ); RAM_3_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_3, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_3, ADDRESS_A => ram_3_port_a, ADDRESS_B => ram_3_port_b, SEL_VECTOR => ram_3_sel_vector, WREN_A => ram_3_wren_a, WREN_B => ram_3_wren_b ); RAM_4_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_4, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_4, ADDRESS_A => ram_4_port_a, ADDRESS_B => ram_4_port_b, SEL_VECTOR => ram_4_sel_vector, WREN_A => ram_4_wren_a, WREN_B => ram_4_wren_b ); RAM_5_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_5, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_5, ADDRESS_A => ram_5_port_a, ADDRESS_B => ram_5_port_b, SEL_VECTOR => ram_5_sel_vector, WREN_A => ram_5_wren_a, WREN_B => ram_5_wren_b ); RAM_6_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_6, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_6, ADDRESS_A => ram_6_port_a, ADDRESS_B => ram_6_port_b, SEL_VECTOR => ram_6_sel_vector, WREN_A => ram_6_wren_a, WREN_B => ram_6_wren_b ); RAM_7_CONTROL : FLOW_global PORT MAP ( CLK => CLK, RESET_n => RESET_n, OPCODE => OPCODE_7, ROW_A => std_logic_vector(ROW_A), ROW_B => std_logic_vector(ROW_B), ROW_C => std_logic_vector(ROW_C), ROW_D => std_logic_vector(ROW_D), ROW_E => std_logic_vector(ROW_E), ROW_W => std_logic_vector(ROW_W), HAZARD => HAZARD, EQUALITY => equality_7, ADDRESS_A => ram_7_port_a, ADDRESS_B => ram_7_port_b, SEL_VECTOR => ram_7_sel_vector, WREN_A => ram_7_wren_a, WREN_B => ram_7_wren_b ); STALL <= not (equality_0 and equality_1 and equality_2 and equality_3 and equality_4 and equality_5 and equality_6 and equality_7); end;
gpl-2.0
7383a97437d6fc82fcda926220e0ba90
0.515486
3.052257
false
false
false
false
Caneda/Caneda
libraries/hdl/vhdl/synchronous/counter x n.vhd
1
725
library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ENTITY counter IS GENERIC ( WIDTH : integer := 32); PORT (CLK : IN std_logic; RST : IN std_logic; LOAD : IN std_logic; DATA : IN unsigned(WIDTH-1 DOWNTO 0); Q : OUT unsigned(WIDTH-1 DOWNTO 0)); END counter; ARCHITECTURE rtl OF counter IS signal count : unsigned(WIDTH-1 DOWNTO 0); BEGIN process(RST, CLK) is begin if(RST = '1') then count <= (others => '0'); elsif(CLK = '1' and CLK'event) then if(LOAD = '1') then count <= DATA; else count <= count + 1; end if; end if; end process; Q <= count; END rtl;
gpl-2.0
d8953703d2e41f831b424779440012be
0.53931
3.485577
false
false
false
false
straywarrior/MadeCPUin21days
IF_ID_REG.vhd
1
2,369
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 13:39:51 11/14/2015 -- Design Name: -- Module Name: IF_ID_REG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity IF_ID_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; pc_in : in STD_LOGIC_VECTOR (15 downto 0); inst_in : in STD_LOGIC_VECTOR (15 downto 0); stall : in STD_LOGIC; clear : in STD_LOGIC; pc_out : out STD_LOGIC_VECTOR (15 downto 0); inst_out : out STD_LOGIC_VECTOR (15 downto 0); rx : out STD_LOGIC_VECTOR (3 downto 0); ry : out STD_LOGIC_VECTOR (3 downto 0) ); end IF_ID_REG; architecture Behavioral of IF_ID_REG is begin process (clear, reset, clk) begin if (reset = '0') then pc_out <= (others => '0'); inst_out <= (11 => '1', others => '0'); rx <= (others => '0'); ry <= (others => '0'); elsif (clk'event and clk = '1') then if (stall = '0' and clear = '0') then pc_out <= pc_in; inst_out <= inst_in; rx(2 downto 0) <= inst_in(10 downto 8); rx(3) <= '0'; ry(2 downto 0) <= inst_in(7 downto 5); ry(3) <= '0'; elsif (stall = '0' and clear = '1') then -- Clear the IF/ID pc_out <= (others => '0'); inst_out <= (11 => '1', others => '0'); rx <= (others => '0'); ry <= (others => '0'); else -- Insert a bubble here null; end if; end if; end process; end Behavioral;
gpl-2.0
a69455981710faf8f5f24141f2e1005e
0.497678
3.616794
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/RAM_0.vhd
1
10,398
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: RAM_0.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 14.0.0 Build 200 06/17/2014 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2014 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus II License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY RAM_0 IS PORT ( aclr : IN STD_LOGIC := '0'; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END RAM_0; ARCHITECTURE SYN OF ram_0 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK0", init_file => "RAM_0.mif", intended_device_family => "Cyclone IV E", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "CLEAR0", outdata_aclr_b => "CLEAR0", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => 10, widthad_b => 10, width_a => 32, width_b => 32, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_wraddress_reg_b => "CLOCK0" ) PORT MAP ( aclr0 => aclr, address_a => address_a, address_b => address_b, clock0 => clock, data_a => data_a, data_b => data_b, wren_a => wren_a, wren_b => wren_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "1" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "RAM_0.mif" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_0.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" -- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]" -- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" -- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" -- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" -- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" -- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" -- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" -- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 -- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 -- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 -- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_0.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_0.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_0.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_0.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_0_inst.vhd TRUE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
345df2f1cf1e7c5014ca3a7ca703fa94
0.666282
3.290506
false
false
false
false
nulldozer/purisc
Compute_Group/CORE/purisc_core.vhd
1
6,794
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity purisc_core is port( clk, reset_n : in std_logic; r_addr_a, r_addr_b, r_addr_c, r_addr_0, r_addr_1 : out std_logic_vector(31 downto 0); w_data, w_addr : out std_logic_vector(31 downto 0); we : out std_logic; stall : in std_logic; id : in std_logic_vector(2 downto 0); r_data_a, r_data_b, r_data_c, r_data_0, r_data_1 : in std_logic_vector(31 downto 0) ); end entity; architecture arch of purisc_core is --top core signals signal start_address : std_logic_vector(31 downto 0); --ri output signals signal ri_a : std_logic_vector(31 downto 0); signal ri_b : std_logic_vector(31 downto 0); signal ri_c : std_logic_vector(31 downto 0); signal ri_next_pc : std_logic_vector(31 downto 0); --rd output signals signal rd_a : std_logic_vector(31 downto 0); signal rd_b : std_logic_vector(31 downto 0); signal rd_c : std_logic_vector(31 downto 0); signal rd_addr_a : std_logic_vector(31 downto 0); signal rd_addr_b : std_logic_vector(31 downto 0); signal rd_addr_c : std_logic_vector(31 downto 0); signal rd_next_pc : std_logic_vector(31 downto 0); signal rd_ubranch : std_logic; signal rd_noop : std_logic; --ex output signals signal ex_b : std_logic_vector(31 downto 0); --ex_b is another name for for ex_w_addr signal ex_db : std_logic_vector(31 downto 0); --ex_b is another name for for ex_w_data signal ex_cbranch : std_logic; signal ex_cbranch_addr : std_logic_vector(31 downto 0); signal ex_noop : std_logic; --ex input signals signal ex_da_in, ex_db_in : std_logic_vector(31 downto 0); --ex output signals signal ex_w_data, ex_w_addr : std_logic_vector(31 downto 0); signal ex_we : std_logic; --ri stage component read_instruction_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; start_address : in std_logic_vector(31 downto 0); cbranch : in std_logic; cbranch_addr : in std_logic_vector(31 downto 0); ubranch : in std_logic; ubranch_addr : in std_logic_vector(31 downto 0); --outputs next_pc : out std_logic_vector(31 downto 0); --memory r_addr_inst : out std_logic_vector(31 downto 0) ); end component; --rd stage component read_data_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; -- inputs start_address : in std_logic_vector(31 downto 0); ex_w_addr : in std_logic_vector(31 downto 0); ex_w_data : in std_logic_vector(31 downto 0); ex_we : in std_logic; a_in : in std_logic_vector(31 downto 0); b_in : in std_logic_vector(31 downto 0); c_in : in std_logic_vector(31 downto 0); addr_a : in std_logic_vector(31 downto 0); addr_b : in std_logic_vector(31 downto 0); addr_c : in std_logic_vector(31 downto 0); next_pc : in std_logic_vector(31 downto 0); ubranch_in : in std_logic; cbranch_in : in std_logic; --outputs a_out : out std_logic_vector(31 downto 0); b_out : out std_logic_vector(31 downto 0); c_out : out std_logic_vector(31 downto 0); addr_a_out : out std_logic_vector(31 downto 0); addr_b_out : out std_logic_vector(31 downto 0); addr_c_out : out std_logic_vector(31 downto 0); ubranch_out : out std_logic; noop_out : out std_logic; r_addr_0 : out std_logic_vector(31 downto 0); r_addr_1 : out std_logic_vector(31 downto 0); next_pc_out : out std_logic_vector(31 downto 0) ); end component; --ex stage component execute_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; noop_in : in std_logic; --inputs ubranch_in : in std_logic; cbranch_in : in std_logic; start_address : in std_logic_vector(31 downto 0); ex_w_addr : in std_logic_vector(31 downto 0); ex_w_data : in std_logic_vector(31 downto 0); ex_we : in std_logic; a_in : in std_logic_vector(31 downto 0); b_in : in std_logic_vector(31 downto 0); c_in : in std_logic_vector(31 downto 0); addr_a : in std_logic_vector(31 downto 0); addr_b : in std_logic_vector(31 downto 0); addr_c : in std_logic_vector(31 downto 0); next_pc : in std_logic_vector(31 downto 0); --outputs cbranch_out : out std_logic; cbranch_addr : out std_logic_vector(31 downto 0); -- memory da_in : in std_logic_vector(31 downto 0); db_in : in std_logic_vector(31 downto 0); w_data : out std_logic_vector(31 downto 0); w_addr : out std_logic_vector(31 downto 0); we_out : out std_logic ); end component; begin ri : read_instruction_stage port map ( --in clk => clk, reset_n => reset_n, stall => stall, start_address => start_address, cbranch => ex_cbranch, cbranch_addr => ex_cbranch_addr, ubranch => rd_ubranch, ubranch_addr => rd_c, next_pc => ri_next_pc, r_addr_inst => ri_a ); rd : read_data_stage port map ( clk => clk, reset_n => reset_n, stall => stall, -- inputs start_address => start_address, ex_w_addr => ex_w_addr, ex_w_data => ex_w_data, ex_we => ex_we, a_in => r_data_a, b_in => r_data_b, c_in => r_data_c, addr_a => ri_a, addr_b => ri_b, addr_c => ri_c, next_pc => ri_next_pc, ubranch_in => rd_ubranch, cbranch_in => ex_cbranch, --outputs a_out => rd_a, b_out => rd_b, c_out => rd_c, addr_a_out => rd_addr_a, addr_b_out => rd_addr_b, addr_c_out => rd_addr_c, ubranch_out => rd_ubranch, noop_out => rd_noop, r_addr_0 => r_addr_0, r_addr_1 => r_addr_1, next_pc_out => rd_next_pc ); ex : execute_stage port map ( clk => clk, reset_n => reset_n, stall => stall, noop_in => rd_noop, --inputs ubranch_in => rd_ubranch, cbranch_in => ex_cbranch, start_address => start_address, ex_w_addr => ex_w_addr, ex_w_data => ex_w_data, ex_we => ex_we, a_in => rd_a, b_in => rd_b, c_in => rd_c, addr_a => rd_addr_a, addr_b => rd_addr_b, addr_c => rd_addr_c, next_pc => rd_next_pc, --outputs cbranch_addr => ex_cbranch_addr, cbranch_out => ex_cbranch, -- memory da_in => r_data_0, db_in => r_data_1, w_data => ex_w_data, w_addr => ex_w_addr, we_out => ex_we ); -- hard coded start address offset (this should be half of local memory size) start_address <= "00000000000000000000000000000000" when id(0)='0' else "00000000000000000001000000000000"; --address calculation for cache ri_b <= std_logic_vector(unsigned(ri_a) + 1); ri_c <= std_logic_vector(unsigned(ri_a) + 2); --connecting modules to top level io w_data <= ex_w_data; w_addr <= ex_w_addr; we <= ex_we; --alternate names r_addr_a <= ri_a; r_addr_b <= ri_b; r_addr_c <= ri_c; end architecture;
gpl-2.0
b4b4de369ea9dc723bef4217b63dfd72
0.620253
2.614082
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/generic_m10k/_primary.vhd
5
9,371
library verilog; use verilog.vl_types.all; entity generic_m10k is generic( operation_mode : string := "single_port"; mixed_port_feed_through_mode: string := "dont_care"; ram_block_type : string := "auto"; logical_ram_name: string := "ram_name"; init_file : string := "init_file.hex"; init_file_layout: string := "none"; ecc_pipeline_stage_enabled: string := "false"; enable_ecc : string := "false"; width_eccstatus : integer := 2; data_interleave_width_in_bits: integer := 1; data_interleave_offset_in_bits: integer := 1; port_a_logical_ram_depth: integer := 0; port_a_logical_ram_width: integer := 0; port_a_first_address: integer := 0; port_a_last_address: integer := 0; port_a_first_bit_number: integer := 0; port_a_data_out_clear: string := "none"; port_a_data_out_clock: string := "none"; port_a_data_width: integer := 1; port_a_address_width: integer := 1; port_a_byte_enable_mask_width: integer := 1; port_b_logical_ram_depth: integer := 0; port_b_logical_ram_width: integer := 0; port_b_first_address: integer := 0; port_b_last_address: integer := 0; port_b_first_bit_number: integer := 0; port_b_address_clear: string := "none"; port_b_data_out_clear: string := "none"; port_b_data_in_clock: string := "clock1"; port_b_address_clock: string := "clock1"; port_b_write_enable_clock: string := "clock1"; port_b_read_enable_clock: string := "clock1"; port_b_byte_enable_clock: string := "clock1"; port_b_data_out_clock: string := "none"; port_b_data_width: integer := 1; port_b_address_width: integer := 1; port_b_byte_enable_mask_width: integer := 1; port_a_read_during_write_mode: string := "new_data_no_nbe_read"; port_b_read_during_write_mode: string := "new_data_no_nbe_read"; power_up_uninitialized: string := "false"; lpm_type : string := "arriav_ram_block"; lpm_hint : string := "true"; connectivity_checking: string := "off"; mem_init0 : string := ""; mem_init1 : string := ""; mem_init2 : string := ""; mem_init3 : string := ""; mem_init4 : string := ""; port_a_byte_size: integer := 0; port_b_byte_size: integer := 0; clk0_input_clock_enable: string := "none"; clk0_core_clock_enable: string := "none"; clk0_output_clock_enable: string := "none"; clk1_input_clock_enable: string := "none"; clk1_core_clock_enable: string := "none"; clk1_output_clock_enable: string := "none"; bist_ena : string := "false"; port_a_address_clear: string := "none"; port_a_data_in_clock: string := "clock0"; port_a_address_clock: string := "clock0"; port_a_write_enable_clock: string := "clock0"; port_a_byte_enable_clock: string := "clock0"; port_a_read_enable_clock: string := "clock0" ); port( portadatain : in vl_logic_vector; portaaddr : in vl_logic_vector; portawe : in vl_logic; portare : in vl_logic; portbdatain : in vl_logic_vector; portbaddr : in vl_logic_vector; portbwe : in vl_logic; portbre : in vl_logic; clk0 : in vl_logic; clk1 : in vl_logic; ena0 : in vl_logic; ena1 : in vl_logic; ena2 : in vl_logic; ena3 : in vl_logic; clr0 : in vl_logic; clr1 : in vl_logic; nerror : in vl_logic; portabyteenamasks: in vl_logic_vector; portbbyteenamasks: in vl_logic_vector; portaaddrstall : in vl_logic; portbaddrstall : in vl_logic; devclrn : in vl_logic; devpor : in vl_logic; eccstatus : out vl_logic_vector; portadataout : out vl_logic_vector; portbdataout : out vl_logic_vector; dftout : out vl_logic_vector(8 downto 0) ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of operation_mode : constant is 1; attribute mti_svvh_generic_type of mixed_port_feed_through_mode : constant is 1; attribute mti_svvh_generic_type of ram_block_type : constant is 1; attribute mti_svvh_generic_type of logical_ram_name : constant is 1; attribute mti_svvh_generic_type of init_file : constant is 1; attribute mti_svvh_generic_type of init_file_layout : constant is 1; attribute mti_svvh_generic_type of ecc_pipeline_stage_enabled : constant is 1; attribute mti_svvh_generic_type of enable_ecc : constant is 1; attribute mti_svvh_generic_type of width_eccstatus : constant is 1; attribute mti_svvh_generic_type of data_interleave_width_in_bits : constant is 1; attribute mti_svvh_generic_type of data_interleave_offset_in_bits : constant is 1; attribute mti_svvh_generic_type of port_a_logical_ram_depth : constant is 1; attribute mti_svvh_generic_type of port_a_logical_ram_width : constant is 1; attribute mti_svvh_generic_type of port_a_first_address : constant is 1; attribute mti_svvh_generic_type of port_a_last_address : constant is 1; attribute mti_svvh_generic_type of port_a_first_bit_number : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_a_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_a_data_width : constant is 1; attribute mti_svvh_generic_type of port_a_address_width : constant is 1; attribute mti_svvh_generic_type of port_a_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of port_b_logical_ram_depth : constant is 1; attribute mti_svvh_generic_type of port_b_logical_ram_width : constant is 1; attribute mti_svvh_generic_type of port_b_first_address : constant is 1; attribute mti_svvh_generic_type of port_b_last_address : constant is 1; attribute mti_svvh_generic_type of port_b_first_bit_number : constant is 1; attribute mti_svvh_generic_type of port_b_address_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clear : constant is 1; attribute mti_svvh_generic_type of port_b_data_in_clock : constant is 1; attribute mti_svvh_generic_type of port_b_address_clock : constant is 1; attribute mti_svvh_generic_type of port_b_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_read_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_width : constant is 1; attribute mti_svvh_generic_type of port_b_address_width : constant is 1; attribute mti_svvh_generic_type of port_b_byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of port_a_read_during_write_mode : constant is 1; attribute mti_svvh_generic_type of port_b_read_during_write_mode : constant is 1; attribute mti_svvh_generic_type of power_up_uninitialized : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of connectivity_checking : constant is 1; attribute mti_svvh_generic_type of mem_init0 : constant is 1; attribute mti_svvh_generic_type of mem_init1 : constant is 1; attribute mti_svvh_generic_type of mem_init2 : constant is 1; attribute mti_svvh_generic_type of mem_init3 : constant is 1; attribute mti_svvh_generic_type of mem_init4 : constant is 1; attribute mti_svvh_generic_type of port_a_byte_size : constant is 1; attribute mti_svvh_generic_type of port_b_byte_size : constant is 1; attribute mti_svvh_generic_type of clk0_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk0_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_input_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_core_clock_enable : constant is 1; attribute mti_svvh_generic_type of clk1_output_clock_enable : constant is 1; attribute mti_svvh_generic_type of bist_ena : constant is 1; attribute mti_svvh_generic_type of port_a_address_clear : constant is 1; attribute mti_svvh_generic_type of port_a_data_in_clock : constant is 1; attribute mti_svvh_generic_type of port_a_address_clock : constant is 1; attribute mti_svvh_generic_type of port_a_write_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_a_byte_enable_clock : constant is 1; attribute mti_svvh_generic_type of port_a_read_enable_clock : constant is 1; end generic_m10k;
mit
2b532376c85d51bcb2e5f0d4e7a3ae35
0.63323
3.442689
false
false
false
false
Given-Jiang/Test_Pattern_Generator
Test_Pattern_Generator_dspbuilder/hdl/Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP.vhd
2
15,793
-- Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP.vhd -- Generated using ACDS version 13.1 162 at 2015.02.27.10:05:29 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP is port ( Clock : in std_logic := '0'; -- Clock.clk aclr : in std_logic := '0'; -- .reset check_en : out std_logic; -- check_en.wire pixel_num : in std_logic_vector(47 downto 0) := (others => '0'); -- pixel_num.wire ready : in std_logic := '0'; -- ready.wire data_en : out std_logic; -- data_en.wire counter : in std_logic_vector(23 downto 0) := (others => '0'); -- counter.wire ctrl_en : out std_logic -- ctrl_en.wire ); end entity Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP; architecture rtl of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP is component alt_dspbuilder_clock_GNQFU4PUDH is port ( aclr : in std_logic := 'X'; -- reset aclr_n : in std_logic := 'X'; -- reset_n aclr_out : out std_logic; -- reset clock : in std_logic := 'X'; -- clk clock_out : out std_logic -- clk ); end component alt_dspbuilder_clock_GNQFU4PUDH; component alt_dspbuilder_port_GN37ALZBS4 is port ( input : in std_logic := 'X'; -- wire output : out std_logic -- wire ); end component alt_dspbuilder_port_GN37ALZBS4; component StateMachineEditor is port ( clock : in std_logic := 'X'; -- clk counter : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire data_end : in std_logic := 'X'; -- wire ready : in std_logic := 'X'; -- wire reset : in std_logic := 'X'; -- wire state : out std_logic_vector(2 downto 0) -- wire ); end component StateMachineEditor; component alt_dspbuilder_pipelined_adder_GNTWZRTG4I is generic ( width : natural := 0; pipeline : integer := 0 ); port ( aclr : in std_logic := 'X'; -- clk add_sub : in std_logic := 'X'; -- wire cin : in std_logic := 'X'; -- wire clock : in std_logic := 'X'; -- clk cout : out std_logic; -- wire dataa : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire datab : in std_logic_vector(width-1 downto 0) := (others => 'X'); -- wire ena : in std_logic := 'X'; -- wire result : out std_logic_vector(width-1 downto 0); -- wire user_aclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_pipelined_adder_GNTWZRTG4I; component alt_dspbuilder_gnd_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_gnd_GN; component alt_dspbuilder_vcc_GN is port ( output : out std_logic -- wire ); end component alt_dspbuilder_vcc_GN; component alt_dspbuilder_case_statement_GN4KF5KLTA is generic ( number_outputs : integer := 8; hasDefault : natural := 0; pipeline : natural := 0; width : integer := 8 ); port ( clock : in std_logic := 'X'; -- clk aclr : in std_logic := 'X'; -- reset input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire r0 : out std_logic; -- wire r1 : out std_logic; -- wire r2 : out std_logic; -- wire r3 : out std_logic; -- wire r4 : out std_logic -- wire ); end component alt_dspbuilder_case_statement_GN4KF5KLTA; component alt_dspbuilder_if_statement_GNIV4UP6ZO is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 1; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "a"; number_inputs : integer := 1; width : natural := 8 ); port ( true : out std_logic; -- wire a : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire b : in std_logic_vector(23 downto 0) := (others => 'X') -- wire ); end component alt_dspbuilder_if_statement_GNIV4UP6ZO; component alt_dspbuilder_port_GNOC3SGKQJ is port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_port_GNOC3SGKQJ; component alt_dspbuilder_single_pulse_GN2XGKTRR3 is generic ( delay : positive := 1; signal_type : string := "Impulse"; impulse_width : positive := 1 ); port ( aclr : in std_logic := 'X'; -- clk clock : in std_logic := 'X'; -- clk ena : in std_logic := 'X'; -- wire result : out std_logic; -- wire sclr : in std_logic := 'X' -- wire ); end component alt_dspbuilder_single_pulse_GN2XGKTRR3; component alt_dspbuilder_constant_GNQJ63TWA6 is generic ( HDLTYPE : string := "STD_LOGIC_VECTOR"; BitPattern : string := "0000"; width : natural := 4 ); port ( output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_constant_GNQJ63TWA6; component alt_dspbuilder_port_GNUJT4YY5I is port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(47 downto 0) -- wire ); end component alt_dspbuilder_port_GNUJT4YY5I; component alt_dspbuilder_cast_GNKIWLRTQI is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(47 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GNKIWLRTQI; component alt_dspbuilder_cast_GN5P6ORZXA is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(23 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(23 downto 0) -- wire ); end component alt_dspbuilder_cast_GN5P6ORZXA; component alt_dspbuilder_cast_GNLWRZWTQF is generic ( round : natural := 0; saturate : natural := 0 ); port ( input : in std_logic_vector(2 downto 0) := (others => 'X'); -- wire output : out std_logic_vector(2 downto 0) -- wire ); end component alt_dspbuilder_cast_GNLWRZWTQF; signal pipelined_adder1user_aclrgnd_output_wire : std_logic; -- Pipelined_Adder1user_aclrGND:output -> Pipelined_Adder1:user_aclr signal pipelined_adder1enavcc_output_wire : std_logic; -- Pipelined_Adder1enaVCC:output -> Pipelined_Adder1:ena signal single_pulsesclrgnd_output_wire : std_logic; -- Single_PulsesclrGND:output -> Single_Pulse:sclr signal single_pulseenavcc_output_wire : std_logic; -- Single_PulseenaVCC:output -> Single_Pulse:ena signal counter_0_output_wire : std_logic_vector(23 downto 0); -- counter_0:output -> [If_Statement6:a, cast27:input] signal constant15_output_wire : std_logic_vector(23 downto 0); -- Constant15:output -> Pipelined_Adder1:datab signal pipelined_adder1_result_wire : std_logic_vector(23 downto 0); -- Pipelined_Adder1:result -> If_Statement6:b signal single_pulse_result_wire : std_logic; -- Single_Pulse:result -> State_Machine_Editor:reset signal ready_0_output_wire : std_logic; -- ready_0:output -> State_Machine_Editor:ready signal if_statement6_true_wire : std_logic; -- If_Statement6:true -> State_Machine_Editor:data_end signal case_statement_r1_wire : std_logic; -- Case_Statement:r1 -> ctrl_en_0:input signal case_statement_r2_wire : std_logic; -- Case_Statement:r2 -> data_en_0:input signal case_statement_r3_wire : std_logic; -- Case_Statement:r3 -> check_en_0:input signal pixel_num_0_output_wire : std_logic_vector(47 downto 0); -- pixel_num_0:output -> cast26:input signal cast26_output_wire : std_logic_vector(23 downto 0); -- cast26:output -> Pipelined_Adder1:dataa signal cast27_output_wire : std_logic_vector(23 downto 0); -- cast27:output -> State_Machine_Editor:counter signal state_machine_editor_state_wire : std_logic_vector(2 downto 0); -- State_Machine_Editor:state -> cast28:input signal cast28_output_wire : std_logic_vector(2 downto 0); -- cast28:output -> Case_Statement:input signal clock_0_clock_output_clk : std_logic; -- Clock_0:clock_out -> [Case_Statement:clock, Pipelined_Adder1:clock, Single_Pulse:clock, State_Machine_Editor:clock] signal clock_0_clock_output_reset : std_logic; -- Clock_0:aclr_out -> [Case_Statement:aclr, Pipelined_Adder1:aclr, Single_Pulse:aclr] begin clock_0 : component alt_dspbuilder_clock_GNQFU4PUDH port map ( clock_out => clock_0_clock_output_clk, -- clock_output.clk aclr_out => clock_0_clock_output_reset, -- .reset clock => Clock, -- clock.clk aclr => aclr -- .reset ); ctrl_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r1_wire, -- input.wire output => ctrl_en -- output.wire ); state_machine_editor : component StateMachineEditor port map ( clock => clock_0_clock_output_clk, -- clock.clk reset => single_pulse_result_wire, -- reset.wire ready => ready_0_output_wire, -- ready.wire counter => cast27_output_wire, -- counter.wire data_end => if_statement6_true_wire, -- data_end.wire state => state_machine_editor_state_wire -- state.wire ); pipelined_adder1 : component alt_dspbuilder_pipelined_adder_GNTWZRTG4I generic map ( width => 24, pipeline => 2 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset dataa => cast26_output_wire, -- dataa.wire datab => constant15_output_wire, -- datab.wire result => pipelined_adder1_result_wire, -- result.wire user_aclr => pipelined_adder1user_aclrgnd_output_wire, -- user_aclr.wire ena => pipelined_adder1enavcc_output_wire -- ena.wire ); pipelined_adder1user_aclrgnd : component alt_dspbuilder_gnd_GN port map ( output => pipelined_adder1user_aclrgnd_output_wire -- output.wire ); pipelined_adder1enavcc : component alt_dspbuilder_vcc_GN port map ( output => pipelined_adder1enavcc_output_wire -- output.wire ); case_statement : component alt_dspbuilder_case_statement_GN4KF5KLTA generic map ( number_outputs => 5, hasDefault => 1, pipeline => 0, width => 3 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset input => cast28_output_wire, -- input.wire r0 => open, -- r0.wire r1 => case_statement_r1_wire, -- r1.wire r2 => case_statement_r2_wire, -- r2.wire r3 => case_statement_r3_wire, -- r3.wire r4 => open -- r4.wire ); data_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r2_wire, -- input.wire output => data_en -- output.wire ); if_statement6 : component alt_dspbuilder_if_statement_GNIV4UP6ZO generic map ( use_else_output => 0, bwr => 0, use_else_input => 0, signed => 0, HDLTYPE => "STD_LOGIC_VECTOR", if_expression => "a=b", number_inputs => 2, width => 24 ) port map ( true => if_statement6_true_wire, -- true.wire a => counter_0_output_wire, -- a.wire b => pipelined_adder1_result_wire -- b.wire ); counter_0 : component alt_dspbuilder_port_GNOC3SGKQJ port map ( input => counter, -- input.wire output => counter_0_output_wire -- output.wire ); single_pulse : component alt_dspbuilder_single_pulse_GN2XGKTRR3 generic map ( delay => 1, signal_type => "Step Down", impulse_width => 1 ) port map ( clock => clock_0_clock_output_clk, -- clock_aclr.clk aclr => clock_0_clock_output_reset, -- .reset result => single_pulse_result_wire, -- result.wire sclr => single_pulsesclrgnd_output_wire, -- sclr.wire ena => single_pulseenavcc_output_wire -- ena.wire ); single_pulsesclrgnd : component alt_dspbuilder_gnd_GN port map ( output => single_pulsesclrgnd_output_wire -- output.wire ); single_pulseenavcc : component alt_dspbuilder_vcc_GN port map ( output => single_pulseenavcc_output_wire -- output.wire ); constant15 : component alt_dspbuilder_constant_GNQJ63TWA6 generic map ( HDLTYPE => "STD_LOGIC_VECTOR", BitPattern => "000000000000000000000100", width => 24 ) port map ( output => constant15_output_wire -- output.wire ); check_en_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => case_statement_r3_wire, -- input.wire output => check_en -- output.wire ); pixel_num_0 : component alt_dspbuilder_port_GNUJT4YY5I port map ( input => pixel_num, -- input.wire output => pixel_num_0_output_wire -- output.wire ); ready_0 : component alt_dspbuilder_port_GN37ALZBS4 port map ( input => ready, -- input.wire output => ready_0_output_wire -- output.wire ); cast26 : component alt_dspbuilder_cast_GNKIWLRTQI generic map ( round => 0, saturate => 0 ) port map ( input => pixel_num_0_output_wire, -- input.wire output => cast26_output_wire -- output.wire ); cast27 : component alt_dspbuilder_cast_GN5P6ORZXA generic map ( round => 0, saturate => 0 ) port map ( input => counter_0_output_wire, -- input.wire output => cast27_output_wire -- output.wire ); cast28 : component alt_dspbuilder_cast_GNLWRZWTQF generic map ( round => 0, saturate => 0 ) port map ( input => state_machine_editor_state_wire, -- input.wire output => cast28_output_wire -- output.wire ); end architecture rtl; -- of Test_Pattern_Generator_GN_Test_Pattern_Generator_MAIN_CTRL_CTRL_TOP
mit
8c7a92592dfad7b61121aacbaeed2c1c
0.552713
3.40293
false
false
false
false
Caneda/Caneda
libraries/hdl/vhdl/synchronous/flipflop sr with enable.vhd
1
180
process(CLK, RST) begin if(RST = '1') then Q <= '0'; elsif(CLK = '1' and CLK'event) then if(EN = '1') then Q <= D; end if; end if; end process;
gpl-2.0
394ea27766900ffa192ddb7b91036bc3
0.472222
2.8125
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/liaison.vhd
1
2,998
library IEEE; use IEEE.STD_LOGIC_1164.all; entity liaison is port( clk : in STD_LOGIC; reset : in STD_LOGIC; di_ready : in STD_LOGIC; mp_data : in STD_LOGIC_VECTOR(3 downto 0); do_ready : out STD_LOGIC; voted_data : out STD_LOGIC ); end liaison; architecture liaison of liaison is signal voted_data_bit: STD_LOGIC; signal status: STD_LOGIC_VECTOR (2 downto 0); signal control_signals: STD_LOGIC_VECTOR (9 downto 0); signal ECC_signal: STD_LOGIC_VECTOR(3 downto 0); signal voted_data_out: STD_LOGIC_VECTOR (7 downto 0); signal status_out: STD_LOGIC_VECTOR (2 downto 0); signal ECC_out: STD_LOGIC_VECTOR (3 downto 0); signal voted_data_selector: STD_LOGIC_VECTOR (3 downto 0); -- Declare aliases for the input votes alias a is mp_data(0); alias b is mp_data(1); alias c is mp_data(2); alias d is mp_data(3); begin -- Add all entities to the top level onebitvoter: entity work.onebitvoter port map( clk => clk, reset => reset, a => a, b => b, c => c, d => d, y => voted_data_bit, status => status ); controller: entity work.controller port map( clk => clk, reset => reset, di_ready => di_ready, do_ready => do_ready, control_signals => control_signals, voted_data_selector => voted_data_selector ); registers: entity work.registers port map( clk => clk, reset => reset, voted_data_bit => voted_data_bit, status => status, control_signals => control_signals, ECC_signal => ECC_signal, voted_data_out => voted_data_out, status_out => status_out, ECC_out => ECC_out ); ECC: entity work.ECC port map( voted_data_out => voted_data_out, status_out => status_out, ECC_signal => ECC_signal ); -- End of entity declarations -- Add a process for the mux that will control the serial output from liaison process(voted_data_selector, voted_data_out, status_out, ECC_out) begin case voted_data_selector is when "0000" => -- 00 voted_data <= voted_data_out(0); when "0001" => -- 01 voted_data <= voted_data_out(1); when "0010" => -- 02 voted_data <= voted_data_out(2); when "0011" => -- 03 voted_data <= voted_data_out(3); when "0100" => -- 04 voted_data <= voted_data_out(4); when "0101" => -- 05 voted_data <= voted_data_out(5); when "0110" => -- 06 voted_data <= voted_data_out(6); when "0111" => -- 07 voted_data <= voted_data_out(7); when "1000" => -- 08 voted_data <= status_out(0); when "1001" => -- 09 voted_data <= status_out(1); when "1010" => -- 10 voted_data <= status_out(2); when "1011" => -- 11 voted_data <= ECC_out(0); when "1100" => -- 12 voted_data <= ECC_out(1); when "1101" => -- 13 voted_data <= ECC_out(2); when "1110" => -- 14 voted_data <= ECC_out(3); when others => voted_data <= '-'; -- should not be reached, but useful to detect glitches end case; -- when implementing and perfecting output throughput end process; end liaison;
apache-2.0
e3ef28eb805dab0c9c4845645873bea6
0.622748
2.913508
false
false
false
false
Ttl/bf_cpu
decoder.vhd
1
1,708
library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Decoder reads the instruction from RAM and outputs -- the datapath control signals for executing that -- instruction entity decoder is Port ( instr : in STD_LOGIC_VECTOR(7 downto 0); d_alutoreg : out STD_LOGIC; d_alua : out STD_LOGIC_VECTOR(1 downto 0); d_alub : out STD_LOGIC_VECTOR(1 downto 0); d_write : out STD_LOGIC; d_read : out STD_LOGIC; d_jumpf : out STD_LOGIC; d_jumpb : out STD_LOGIC ); end decoder; architecture Behavioral of decoder is begin process(instr) begin -- d_alutoreg -- 0 : write alu result to mem(pointer) -- 1 : write alu result to pointer -- d_alua -- 00 : mem(pointer) -- 01 : pointer -- 10 : read from input -- 11 : illegal -- d_alub -- 00 : 0 -- 01 : 1 -- 10 : Illegal -- 11 : -1 -- d_write -- 1 : write alu result to output d_alutoreg <= '0'; d_alub <= "00"; d_alua <= "00"; d_write <= '0'; d_read <= '0'; d_jumpf <= '0'; d_jumpb <= '0'; case instr is -- < when x"3C" => d_alutoreg <= '1'; d_alua <= "01"; d_alub <= "11"; -- > when x"3E" => d_alutoreg <= '1'; d_alua <= "01"; d_alub <= "01"; -- + when x"2B" => d_alub <= "01"; -- - when x"2D" => d_alub <= "11"; -- . when x"2E" => d_write <= '1'; -- , when x"2C" => d_read <= '1'; d_alua <= "10"; -- [ when x"5B" => d_jumpf <= '1'; -- ] when x"5D" => d_jumpb <= '1'; when others => -- nop end case; end process; end Behavioral;
lgpl-3.0
2db654779476a862862173506a8656b2
0.472482
3.151292
false
false
false
false
freecores/t48
rtl/vhdl/system/t8050_wb.vhd
1
9,691
------------------------------------------------------------------------------- -- -- T8048 Microcontroller System -- 8050 toplevel with Wishbone interface -- -- $Id: t8050_wb.vhd,v 1.5 2006-07-14 01:14:22 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2005, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8050_wb is generic ( gate_port_input_g : integer := 1 ); port ( -- T48 Interface ---------------------------------------------------------- xtal_i : in std_logic; reset_n_i : in std_logic; t0_i : in std_logic; t0_o : out std_logic; t0_dir_o : out std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; t1_i : in std_logic; p2_i : in std_logic_vector( 7 downto 0); p2_o : out std_logic_vector( 7 downto 0); p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic; p1_i : in std_logic_vector( 7 downto 0); p1_o : out std_logic_vector( 7 downto 0); p1_low_imp_o : out std_logic; prog_n_o : out std_logic; -- Wishbone Interface ----------------------------------------------------- wb_cyc_o : out std_logic; wb_stb_o : out std_logic; wb_we_o : out std_logic; wb_adr_o : out std_logic_vector(23 downto 0); wb_ack_i : in std_logic; wb_dat_i : in std_logic_vector( 7 downto 0); wb_dat_o : out std_logic_vector( 7 downto 0) ); end t8050_wb; library ieee; use ieee.numeric_std.all; use work.t48_core_comp_pack.t48_core; use work.t48_core_comp_pack.syn_rom; use work.t48_core_comp_pack.syn_ram; use work.t48_system_comp_pack.t48_wb_master; architecture struct of t8050_wb is -- Address width of internal ROM constant rom_addr_width_c : natural := 12; signal xtal3_s : std_logic; signal dmem_addr_s : std_logic_vector( 7 downto 0); signal dmem_we_s : std_logic; signal dmem_data_from_s : std_logic_vector( 7 downto 0); signal dmem_data_to_s : std_logic_vector( 7 downto 0); signal pmem_addr_s : std_logic_vector(11 downto 0); signal pmem_data_s : std_logic_vector( 7 downto 0); signal ea_s : std_logic; signal ale_s : std_logic; signal wr_n_s : std_logic; signal rd_n_s : std_logic; signal db_bus_to_t48, db_bus_from_t48 : std_logic_vector( 7 downto 0); signal wb_en_clk_s : std_logic; signal xtal_en_s : std_logic; signal p1_in_s, p1_out_s : std_logic_vector( 7 downto 0); signal p2_in_s, p2_out_s : std_logic_vector( 7 downto 0); begin ----------------------------------------------------------------------------- -- Check generics for valid values. ----------------------------------------------------------------------------- -- pragma translate_off assert gate_port_input_g = 0 or gate_port_input_g = 1 report "gate_port_input_g must be either 1 or 0!" severity failure; -- pragma translate_on t48_core_b : t48_core generic map ( xtal_div_3_g => 1, register_mnemonic_g => 1, include_port1_g => 1, include_port2_g => 1, include_bus_g => 1, include_timer_g => 1, sample_t1_state_g => 4 ) port map ( xtal_i => xtal_i, xtal_en_i => xtal_en_s, reset_i => reset_n_i, t0_i => t0_i, t0_o => t0_o, t0_dir_o => t0_dir_o, int_n_i => int_n_i, ea_i => ea_s, rd_n_o => rd_n_s, psen_n_o => psen_n_o, wr_n_o => wr_n_s, ale_o => ale_s, db_i => db_bus_to_t48, db_o => db_bus_from_t48, db_dir_o => open, t1_i => t1_i, p2_i => p2_in_s, p2_o => p2_out_s, p2l_low_imp_o => p2l_low_imp_o, p2h_low_imp_o => p2h_low_imp_o, p1_i => p1_in_s, p1_o => p1_out_s, p1_low_imp_o => p1_low_imp_o, prog_n_o => prog_n_o, clk_i => xtal_i, en_clk_i => xtal3_s, xtal3_o => xtal3_s, dmem_addr_o => dmem_addr_s, dmem_we_o => dmem_we_s, dmem_data_i => dmem_data_from_s, dmem_data_o => dmem_data_to_s, pmem_addr_o => pmem_addr_s, pmem_data_i => pmem_data_s ); ----------------------------------------------------------------------------- -- Gate port 1 and 2 input bus with respetive output value ----------------------------------------------------------------------------- gate_ports: if gate_port_input_g = 1 generate p1_in_s <= p1_i and p1_out_s; p2_in_s <= p2_i and p2_out_s; end generate; pass_ports: if gate_port_input_g = 0 generate p1_in_s <= p1_i; p2_in_s <= p2_i; end generate; p1_o <= p1_out_s; p2_o <= p2_out_s; ale_o <= ale_s; wr_n_o <= wr_n_s; rd_n_o <= rd_n_s; ----------------------------------------------------------------------------- -- Generate clock enable ----------------------------------------------------------------------------- xtal_en_s <= wb_en_clk_s; ----------------------------------------------------------------------------- -- Process ea -- -- Purpose: -- Detects access to external program memory. -- Either by ea_i = '1' or when program memory address leaves address -- range of internal ROM. -- ea: process (ea_i, pmem_addr_s) begin if ea_i = '1' then -- Forced external access ea_s <= '1'; -- elsif unsigned(pmem_addr_s(11 downto rom_addr_width_c)) = 0 then else -- Internal access ea_s <= '0'; -- else -- -- Access to program memory out of internal range -- ea_s <= '1'; end if; end process ea; -- ----------------------------------------------------------------------------- wb_master_b : t48_wb_master port map ( xtal_i => xtal_i, res_i => reset_n_i, en_clk_o => wb_en_clk_s, ale_i => ale_s, rd_n_i => rd_n_s, wr_n_i => wr_n_s, adr_i => p2_out_s(4), db_bus_i => db_bus_from_t48, db_bus_o => db_bus_to_t48, wb_cyc_o => wb_cyc_o, wb_stb_o => wb_stb_o, wb_we_o => wb_we_o, wb_adr_o => wb_adr_o, wb_ack_i => wb_ack_i, wb_dat_i => wb_dat_i, wb_dat_o => wb_dat_o ); rom_4k_b : syn_rom generic map ( address_width_g => rom_addr_width_c ) port map ( clk_i => xtal_i, rom_addr_i => pmem_addr_s(rom_addr_width_c-1 downto 0), rom_data_o => pmem_data_s ); ram_256_b : syn_ram generic map ( address_width_g => 8 ) port map ( clk_i => xtal_i, res_i => reset_n_i, ram_addr_i => dmem_addr_s(7 downto 0), ram_data_i => dmem_data_to_s, ram_we_i => dmem_we_s, ram_data_o => dmem_data_from_s ); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2006/06/20 00:58:49 arniml -- new input xtal_en_i -- -- Revision 1.3 2005/11/01 21:39:14 arniml -- wire signals for P2 low impedance marker issue -- -- Revision 1.2 2005/06/11 10:16:05 arniml -- introduce prefix 't48_' for wb_master entity and configuration -- -- Revision 1.1 2005/05/08 10:36:59 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
7fdae03d6d649c3513ed9d194edcdf8e
0.507584
3.309768
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Data Path/datapath.vhd
1
1,776
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 13:09:48 04/20/2015 -- Design Name: -- Module Name: datapath - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_arith.all; USE work.UMDRISC_pkg.ALL; use work.all; entity datapath is --Package declarations port( clk: in std_logic; rst: in std_logic --Inputs for each cycle of the pipeline --############################################## --============================================= --Fetch Input --============================================= -- !---No Inputs Yet----! --============================================= --Decode Input --============================================= -- !---No Inputs Yet----! --============================================= --Operand Access --============================================= -- !---No Inputs Yet----! --============================================= --Execute --============================================= -- !---No Inputs Yet----! --============================================= --Writeback --============================================= ---No Inputs Yet----! --############################################## ); end datapath; architecture Behavioral of datapath is --Signals between entities --Group 1&2 has two signals: --reg_a & reg_b //addresses of register A and register B --im_S and im_L //4 & 8 bit size (respectively) begin end Behavioral;
mit
9a1c763a81047c8095a6adee4e266c29
0.363739
4.774194
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/SN74LVTH245.vhd
1
7,320
--------------------------------------------------------------- -- Title : -- Project : --------------------------------------------------------------- -- File : SN74LVTH245.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 09/02/12 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.1 $ -- -- $Log: SN74LVTH245.vhd,v $ -- Revision 1.1 2012/03/29 10:28:42 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY SN74LVTH245 IS GENERIC ( OP_COND : integer:=1; -- 0=min, 1=typ, 2=max WIDTH : integer:=8 ); PORT ( dir : IN std_logic; -- direction: 0= B data to A, 1= A data to B oe_n : IN std_logic; -- output enable: 0= driver is active, 1= tri-state a : INOUT std_logic_vector(WIDTH-1 DOWNTO 0); -- port A b : INOUT std_logic_vector(WIDTH-1 DOWNTO 0) -- port B ); END SN74LVTH245; ARCHITECTURE SN74LVTH245_arch OF SN74LVTH245 IS CONSTANT tPLH_max : time:= 3.5 ns; CONSTANT tPHL_max : time:= 3.5 ns; CONSTANT tPZH_max : time:= 5.5 ns; CONSTANT tPZL_max : time:= 5.5 ns; CONSTANT tPHZ_max : time:= 5.9 ns; CONSTANT tPLZ_max : time:= 5.0 ns; CONSTANT tPLH_min : time:= 1.2 ns; CONSTANT tPHL_min : time:= 1.2 ns; CONSTANT tPZH_min : time:= 1.3 ns; CONSTANT tPZL_min : time:= 1.7 ns; CONSTANT tPHZ_min : time:= 2.2 ns; CONSTANT tPLZ_min : time:= 2.2 ns; CONSTANT tPLH_typ : time:= 2.3 ns; CONSTANT tPHL_typ : time:= 2.1 ns; CONSTANT tPZH_typ : time:= 3.2 ns; CONSTANT tPZL_typ : time:= 3.4 ns; CONSTANT tPHZ_typ : time:= 3.5 ns; CONSTANT tPLZ_typ : time:= 3.4 ns; SIGNAL oe_n_in : std_logic; SIGNAL dir_in : std_logic; SIGNAL a_out : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL b_out : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL a_int : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL b_int : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL tPLH : time; SIGNAL tPHL : time; SIGNAL tPZH : time; SIGNAL tPZL : time; SIGNAL tPHZ : time; SIGNAL tPLZ : time; SIGNAL pwr_rst : std_logic; BEGIN tPLH <= tPLH_min WHEN OP_COND = 0 ELSE tPLH_typ WHEN OP_COND = 1 ELSE tPLH_max; tPHL <= tPHL_min WHEN OP_COND = 0 ELSE tPHL_typ WHEN OP_COND = 1 ELSE tPHL_max; tPZH <= tPZH_min WHEN OP_COND = 0 ELSE tPZH_typ WHEN OP_COND = 1 ELSE tPZH_max; tPZL <= tPZL_min WHEN OP_COND = 0 ELSE tPZL_typ WHEN OP_COND = 1 ELSE tPZL_max; tPHZ <= tPHZ_min WHEN OP_COND = 0 ELSE tPHZ_typ WHEN OP_COND = 1 ELSE tPHZ_max; tPLZ <= tPLZ_min WHEN OP_COND = 0 ELSE tPLZ_typ WHEN OP_COND = 1 ELSE tPLZ_max; a <= a_out; b <= b_out; a_int <= transport to_x01(a) after 1 ps; b_int <= transport to_x01(b) after 1 ps; oe_n_in <= to_x01(oe_n); dir_in <= to_x01(dir); pwr_rst <= '1', '0' AFTER 2 ps; gen: FOR i IN 0 TO (WIDTH-1) GENERATE PROCESS(pwr_rst, dir_in, oe_n_in, a_int, b_int, a_out(i), b_out(i)) BEGIN IF pwr_rst'event AND dir_in = '0' AND oe_n_in = '1' THEN a_out(i) <= 'H'; ELSIF pwr_rst'event AND dir_in = '0' AND oe_n_in = '0' THEN a_out(i) <= b_int(i); ELSIF (pwr_rst'event OR dir_in'event) AND dir_in = '1' THEN a_out(i) <= 'H'; ELSIF (b_int(i)'event AND b_int(i) = '1' AND oe_n_in = '0' AND dir_in = '0') OR -- b 0->1 (dir_in'event AND dir_in = '0' AND oe_n_in = '0' AND b_int(i) = '1') THEN -- dir_in 1->0 a_out(i) <= transport b_int(i) AFTER tPLH; ELSIF (b_int(i)'event AND b_int(i) = '0' AND oe_n_in = '0' AND dir_in = '0') OR -- b 1->0 (dir_in'event AND dir_in = '0' AND oe_n_in = '0' AND b_int(i) = '0') THEN -- dir_in 0->1 a_out(i) <= transport b_int(i) AFTER tPHL; ELSIF (oe_n_in'event AND oe_n_in = '0' AND b_int(i) = '1' AND dir_in = '0') THEN -- oe_n_in 1->0 b=1 a_out(i) <= transport b_int(i) AFTER tPZH; ELSIF (oe_n_in'event AND oe_n_in = '0' AND b_int(i) = '0' AND dir_in = '0') THEN -- oe_n_in 1->0 b=0 a_out(i) <= transport b_int(i) AFTER tPZL; ELSIF (oe_n_in'event AND oe_n_in = '1' AND a_int(i) = '1' AND dir_in = '0') THEN -- oe_n_in 0->1 a=1 a_out(i) <= transport 'H' AFTER tPHZ; ELSIF (oe_n_in'event AND oe_n_in = '1' AND a_int(i) = '0' AND dir_in = '0') THEN -- oe_n_in 0->1 a=0 a_out(i) <= transport 'H' AFTER tPLZ; END IF; IF pwr_rst'event AND dir_in = '1' AND oe_n_in = '1' THEN b_out(i) <= 'H'; ELSIF pwr_rst'event AND dir_in = '1' AND oe_n_in = '0' THEN b_out(i) <= a_int(i); ELSIF (pwr_rst'event OR dir_in'event) AND dir_in = '0' THEN b_out(i) <= 'H'; ELSIF (a_int(i)'event AND a_int(i) = '1' AND oe_n_in = '0' AND dir_in = '1') OR -- a 0->1 (dir_in'event AND dir_in = '1' AND oe_n_in = '0' AND a_int(i) = '1') THEN -- dir_in 0->1 b_out(i) <= transport a_int(i) AFTER tPLH; ELSIF (a_int(i)'event AND a_int(i) = '0' AND oe_n_in = '0' AND dir_in = '1') OR -- a 1->0 (dir_in'event AND dir_in = '1' AND oe_n_in = '0' AND a_int(i) = '0') THEN -- dir_in 1->0 b_out(i) <= transport a_int(i) AFTER tPHL; ELSIF (oe_n_in'event AND oe_n_in = '0' AND a_int(i) = '1' AND dir_in = '1') THEN -- oe_n_in 1->0 a=1 b_out(i) <= transport a_int(i) AFTER tPZH; ELSIF (oe_n_in'event AND oe_n_in = '0' AND a_int(i) = '0' AND dir_in = '1') THEN -- oe_n_in 1->0 a=0 b_out(i) <= transport a_int(i) AFTER tPZL; ELSIF (oe_n_in'event AND oe_n_in = '1' AND b_int(i) = '1' AND dir_in = '1') THEN -- oe_n_in 0->1 b=1 b_out(i) <= transport 'H' AFTER tPHZ; ELSIF (oe_n_in'event AND oe_n_in = '1' AND b_int(i) = '0' AND dir_in = '1') THEN -- oe_n_in 0->1 b=0 b_out(i) <= transport 'H' AFTER tPLZ; END IF; END PROCESS; END GENERATE gen; END SN74LVTH245_arch;
gpl-3.0
45ccc977bf604c6895c6402abf78b0e0
0.463798
3.096447
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/HAZARD_RESOLVE.vhd
2
2,329
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity HAZARD_RESOLVE is PORT( select_signal : IN STD_LOGIC_VECTOR (15 DOWNTO 0); hazard : IN STD_LOGIC; data : IN STD_LOGIC_VECTOR(31 DOWNTO 0); CLK : IN STD_LOGIC; RESET_n : IN STD_LOGIC; hazard_advanced : IN STD_LOGIC; data_out : OUT STD_LOGIC_VECTOR(31 DOWNTO 0) ); end; architecture resolve of HAZARD_RESOLVE is signal data_present : std_logic; signal buffer_output : std_logic_vector(31 downto 0); signal data_buffer : std_logic_vector(31 downto 0); signal hazard_rising_edge : std_logic; signal hazard_artifial_delay : std_logic; begin process (CLK, RESET_n) begin if (RESET_n = '0') then hazard_artifial_delay <= '0'; elsif (rising_edge(CLK)) then hazard_artifial_delay <= hazard_advanced; end if; end process; --edge capture hazard_rising_edge <= hazard_advanced and (hazard_advanced xor hazard); data_present <= select_signal(15) or select_signal(14) or select_signal(13) or select_signal(12) or select_signal(11) or select_signal(10) or select_signal(9) or select_signal(8) or select_signal(7) or select_signal(6) or select_signal(5) or select_signal(4) or select_signal(3) or select_signal(2) or select_signal(1) or select_signal(0); buffering : process (CLK, RESET_n, hazard, data_present, data) begin if (RESET_n = '0') then buffer_output <= "00000000000000000000000000000000"; elsif (rising_edge(CLK)) then if (data_present = '1' and hazard = '1') then buffer_output <= data; end if; end if; end process; hazard_detect : process (hazard, data, data_buffer, RESET_n, buffer_output, data_present, CLK) begin if (RESET_n = '0') then data_buffer <= "00000000000000000000000000000000"; elsif (rising_edge(CLK))then --was on rising edge hazard if (hazard_rising_edge = '1') then --this if never existed if (data_present = '1') then data_buffer <= data; else data_buffer <= buffer_output; end if; end if; end if; if (hazard = '0')then if (data_present = '1') then data_out <= data; else data_out <= buffer_output; end if; else data_out <= data_buffer; end if; end process; end;
gpl-2.0
f8ada2690746577c667c58d405b4bb83
0.641906
3.101198
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_systolic_adder_function/_primary.vhd
5
4,135
library verilog; use verilog.vl_types.all; entity ama_systolic_adder_function is generic( width_data_in : integer := 1; width_chainin : integer := 1; width_data_out : integer := 1; number_of_adder_input: integer := 1; systolic_delay1 : string := "UNREGISTERED"; systolic_aclr1 : string := "NONE"; systolic_delay3 : string := "UNREGISTERED"; systolic_aclr3 : string := "NONE"; adder1_direction: string := "NONE"; adder3_direction: string := "NONE"; port_addnsub1 : string := "PORT_UNUSED"; addnsub_multiplier_register1: string := "CLOCK0"; addnsub_multiplier_aclr1: string := "ACLR3"; port_addnsub3 : string := "PORT_UNUSED"; addnsub_multiplier_register3: string := "CLOCK0"; addnsub_multiplier_aclr3: string := "ACLR3"; latency : integer := 0; addnsub_multiplier_latency_clock1: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr1: string := "NONE"; addnsub_multiplier_latency_clock3: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr3: string := "NONE"; width_data_in_msb: vl_notype; width_data_out_msb: vl_notype; width_chainin_msb: vl_notype; width_systolic : vl_notype; width_systolic_msb: vl_notype; input_ext_width : vl_notype ); port( data_in_0 : in vl_logic_vector; data_in_1 : in vl_logic_vector; data_in_2 : in vl_logic_vector; data_in_3 : in vl_logic_vector; chainin : in vl_logic_vector; clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); data_out : out vl_logic_vector; addnsub1 : in vl_logic; addnsub3 : in vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_data_in : constant is 1; attribute mti_svvh_generic_type of width_chainin : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 1; attribute mti_svvh_generic_type of number_of_adder_input : constant is 1; attribute mti_svvh_generic_type of systolic_delay1 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr1 : constant is 1; attribute mti_svvh_generic_type of systolic_delay3 : constant is 1; attribute mti_svvh_generic_type of systolic_aclr3 : constant is 1; attribute mti_svvh_generic_type of adder1_direction : constant is 1; attribute mti_svvh_generic_type of adder3_direction : constant is 1; attribute mti_svvh_generic_type of port_addnsub1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of port_addnsub3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1; attribute mti_svvh_generic_type of width_data_in_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; attribute mti_svvh_generic_type of width_chainin_msb : constant is 3; attribute mti_svvh_generic_type of width_systolic : constant is 3; attribute mti_svvh_generic_type of width_systolic_msb : constant is 3; attribute mti_svvh_generic_type of input_ext_width : constant is 3; end ama_systolic_adder_function;
mit
4eee3c200864a984e8ce959489261fc2
0.652963
3.715184
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Data Path/Fetch/fetch.vhd
1
2,692
--This VHD is credit to Group 1 & Group 2 for their design work --============================= --Author: Brett Bourgeois --Date: 4/01/15 --Desc: Fetch --============================= library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.std_logic_arith.all; USE work.UMDRISC_pkg.ALL; use work.all; entity fetch_struct is Port ( ----Inputs---- clk : in STD_LOGIC; rst : in STD_LOGIC; PC_En : in STD_LOGIC; ----Output---- pc_out : out std_logic_vector (4 downto 0); ADRS_IMM : out std_logic_vector (3 downto 0); ADRS_A : out std_logic_vector (3 downto 0); ADRS_B : out std_logic_vector (3 downto 0); Instruction_out : out std_logic_vector (15 downto 0); OPcode_out : out std_logic_vector (3 downto 0) ); end fetch_struct; architecture structural of fetch_struct is --================ --Signals-- --================ signal Instruction: std_logic_vector (15 downto 0) := (others => '0'); signal Instruction_mem: std_logic_vector (15 downto 0) := (others => '0'); signal PC_Current: std_logic_vector (4 downto 0) := (others => '0'); signal PC_New: std_logic_vector (4 downto 0) := (others => '0'); signal Opcode_sig: std_logic_vector (3 downto 0) := (others => '0'); ----------- begin pc_out <= PC_Current; Instruction_out <= Instruction; Opcode_out <= Opcode_sig; --assignment statements --Instantiate components --instantiated_name: entity work.<entity_name_being_instantiated> --================== -- Counter -> Program Counter --================== --!!!!!!!!!!!!!!!!!!!!!!!! --Make an incrementing program counter!!! --!!!!!!!!!!!!!!!!!!!!!!!! PC: entity work.pcounter generic map( regSize => BITREG_5) port map( --Standard Input CLK => CLK, RST => RST, --Data in PC_En => PC_En, --Data out PROGRAM_COUNTER => PC_Current); --================== -- Block RAM/ROM -> Insruction Memory --================== InMem: entity work.Instruct_Memory port map ( clkb => CLK, addrb => PC_Current, doutb => Instruction_mem, clka => CLK, addra => (others => '0'), dina => (others => '0'), wea(0) => '0' ); --================== -- Shift Register -> Hold instruction --================== InReg: entity work.Reg generic map( regSize => BITREG_16) port map( --Standard Input CLK => CLK, RST => RST, DataIn => Instruction_mem(15 downto 0), --Split up the instruction into it's associated parts --i.e RA, RB, IMM, OPCode Full_Instr => Instruction, DataOut(15 downto 12) => Opcode_sig, DataOut(11 downto 8)=> ADRS_A, DataOut(7 downto 4) => ADRS_B, DataOut(3 downto 0) => ADRS_IMM); end structural;
mit
d115506ea0b5cff1f9811f26ed0f98a0
0.558692
3.537451
false
false
false
false
freecores/t48
rtl/vhdl/psw.vhd
1
7,279
------------------------------------------------------------------------------- -- -- The Program Status Word (PSW). -- Implements the PSW with its special bits. -- -- $Id: psw.vhd,v 1.8 2005-06-11 10:08:43 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use work.t48_pack.word_t; entity t48_psw is port ( -- Global Interface ------------------------------------------------------- clk_i : in std_logic; res_i : in std_logic; en_clk_i : in boolean; -- T48 Bus Interface ------------------------------------------------------ data_i : in word_t; data_o : out word_t; read_psw_i : in boolean; read_sp_i : in boolean; write_psw_i : in boolean; write_sp_i : in boolean; -- Decoder Interface ------------------------------------------------------ special_data_i : in std_logic; inc_stackp_i : in boolean; dec_stackp_i : in boolean; write_carry_i : in boolean; write_aux_carry_i : in boolean; write_f0_i : in boolean; write_bs_i : in boolean; carry_o : out std_logic; aux_carry_i : in std_logic; aux_carry_o : out std_logic; f0_o : out std_logic; bs_o : out std_logic ); end t48_psw; library ieee; use ieee.numeric_std.all; use work.t48_pack.clk_active_c; use work.t48_pack.res_active_c; use work.t48_pack.bus_idle_level_c; use work.t48_pack.nibble_t; architecture rtl of t48_psw is -- special bit positions in PSW constant carry_c : natural := 3; constant aux_carry_c : natural := 2; constant f0_c : natural := 1; constant bs_c : natural := 0; -- the PSW register signal psw_q : nibble_t; -- the Stack Pointer signal sp_q : unsigned(2 downto 0); -- pragma translate_off signal psw_s : word_t; -- pragma translate_on begin ----------------------------------------------------------------------------- -- Process psw_reg -- -- Purpose: -- Implements the PSW register. -- psw_reg: process (res_i, clk_i) begin if res_i = res_active_c then psw_q <= (others => '0'); sp_q <= (others => '0'); elsif clk_i'event and clk_i = clk_active_c then if en_clk_i then -- T48 bus access if write_psw_i then psw_q <= data_i(7 downto 4); end if; if write_sp_i then sp_q <= unsigned(data_i(2 downto 0)); end if; -- increment Stack Pointer if inc_stackp_i then sp_q <= sp_q + 1; end if; -- decrement Stack Pointer if dec_stackp_i then sp_q <= sp_q - 1; end if; -- access to special bits if write_carry_i then psw_q(carry_c) <= special_data_i; end if; -- if write_aux_carry_i then psw_q(aux_carry_c) <= aux_carry_i; end if; -- if write_f0_i then psw_q(f0_c) <= special_data_i; end if; -- if write_bs_i then psw_q(bs_c) <= special_data_i; end if; end if; end if; end process psw_reg; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process data_out -- -- Purpose: -- Output multiplexer for T48 Data Bus. -- data_out: process (read_psw_i, read_sp_i, psw_q, sp_q) begin data_o <= (others => bus_idle_level_c); if read_psw_i then data_o(7 downto 4) <= psw_q; end if; if read_sp_i then data_o(3 downto 0) <= '1' & std_logic_vector(sp_q); end if; end process data_out; -- ----------------------------------------------------------------------------- -- pragma translate_off tb: process (psw_q, sp_q) begin psw_s(7 downto 4) <= psw_q; psw_s(3) <= '1'; psw_s(2 downto 0) <= std_logic_vector(sp_q); end process tb; -- pragma translate_on ----------------------------------------------------------------------------- -- Output mapping. ----------------------------------------------------------------------------- carry_o <= psw_q(carry_c); aux_carry_o <= psw_q(aux_carry_c); f0_o <= psw_q(f0_c); bs_o <= psw_q(bs_c); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.7 2004/07/11 16:51:33 arniml -- cleanup copyright notice -- -- Revision 1.6 2004/04/24 23:44:25 arniml -- move from std_logic_arith to numeric_std -- -- Revision 1.5 2004/04/24 11:25:39 arniml -- removed dummy_s - workaround not longer needed for GHDL 0.11.1 -- -- Revision 1.4 2004/04/18 18:59:01 arniml -- add temporary workaround for GHDL 0.11 -- -- Revision 1.3 2004/04/04 14:15:45 arniml -- add dump_compare support -- -- Revision 1.2 2004/03/28 21:28:13 arniml -- take auxiliary carry from direct ALU connection -- -- Revision 1.1 2004/03/23 21:31:53 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
6fbe3f76f5e72d87485479fa5305c126
0.523286
3.855403
false
false
false
false
dominiklohmann/mikrorechner
vhdl/procPkg.vhd
1
2,417
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package procPkg is constant opcAdd : std_logic_vector (4 downto 0) := "00000"; constant opcSub : std_logic_vector (4 downto 0) := "00001"; constant opcAnd : std_logic_vector (4 downto 0) := "00010"; constant opcOr : std_logic_vector (4 downto 0) := "00011"; constant opcXor : std_logic_vector (4 downto 0) := "00100"; constant opcNot : std_logic_vector (4 downto 0) := "00101"; constant opcShl : std_logic_vector (4 downto 0) := "00110"; constant opcShr : std_logic_vector (4 downto 0) := "00111"; constant opcMove : std_logic_vector (4 downto 0) := "10000"; constant opcAkkuLoad : std_logic_vector (4 downto 0) := "10001"; constant opcAkkuStore : std_logic_vector (4 downto 0) := "10010"; constant opcLoadMemory : std_logic_vector (4 downto 0) := "10111"; constant opcStoreMemory : std_logic_vector (4 downto 0) := "10100"; constant opciStore : std_logic_vector (4 downto 0) := "10101"; constant opciLoad : std_logic_vector (4 downto 0) := "10110"; constant opcJmp : std_logic_vector (4 downto 0) := "11000"; constant opcNoOp : std_logic_vector (4 downto 0) := "11110"; constant opcHALTSTOP : std_logic_vector (4 downto 0) := "11111"; constant aluAdd : std_logic_vector (3 downto 0) := "0000"; constant aluSub : std_logic_vector (3 downto 0) := "0001"; constant aluAnd : std_logic_vector (3 downto 0) := "0010"; constant aluOr : std_logic_vector (3 downto 0) := "0011"; constant aluXor : std_logic_vector (3 downto 0) := "0100"; constant aluNot : std_logic_vector (3 downto 0) := "0101"; constant aluShl : std_logic_vector (3 downto 0) := "0110"; constant aluShr : std_logic_vector (3 downto 0) := "0111"; -- component decl. ------------------------------------------------------ component procIdea is port( clk : in std_logic; -- clock nRst : in std_logic; -- not reset iAddr : out std_logic_vector(31 downto 0); -- instMem address iData : in std_logic_vector(31 downto 0); -- instMem data dnWE : out std_logic; -- dataMem write-ena dnOE : out std_logic; -- dataMem output-ena dAddr : out std_logic_vector(31 downto 0); -- dataMem address dData : inout std_logic_vector(31 downto 0)); -- dataMem data end component procIdea; end package procPkg; ------------------------------------------------------------------------------ -- procPkg.vhd - end
mit
3096214416f12d08ebdc6f415199f844
0.630947
2.922612
false
false
false
false
straywarrior/MadeCPUin21days
EXE_MEM_REG.vhd
1
2,988
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 14:18:20 11/14/2015 -- Design Name: -- Module Name: EXE_MEM_REG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity EXE_MEM_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); ALUout_in : in STD_LOGIC_VECTOR (15 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); ALUout_out : out STD_LOGIC_VECTOR (15 downto 0) ); end EXE_MEM_REG; architecture Behavioral of EXE_MEM_REG is begin process (clear, clk) begin if (reset = '0') then RegWE_out <= '0'; RegDest_out <= (others => '1'); RegMemDIn_out <= (others => '1'); MemRd_out <= '0'; MemWE_out <= '0'; MemDIn_out <= (others => '0'); ALUout_out <= (others => '0'); elsif (clk'event and clk = '1') then if (clear = '0' and stall = '0') then RegWE_out <= RegWE_in; RegDest_out <= RegDest_in; RegMemDIn_out <= RegMemDIn_in; MemRd_out <= MemRd_in; MemWE_out <= MemWE_in; MemDIn_out <= MemDIn_in; ALUout_out <= ALUout_in; elsif (clear = '1' and stall = '0') then RegWE_out <= '0'; RegDest_out <= (others => '1'); RegMemDIn_out <= (others => '1'); MemRd_out <= '0'; MemWE_out <= '0'; MemDIn_out <= (others => '0'); ALUout_out <= (others => '0'); else -- Insert a bubble here null; end if; end if; end process; end Behavioral;
gpl-2.0
eb008e0537aff3bc36dfe0de38b03b12
0.483601
3.994652
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_axi_vdma_0_0/sim/tutorial_axi_vdma_0_0.vhd
1
21,337
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_vdma:6.2 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_vdma_v6_2; USE axi_vdma_v6_2.axi_vdma; ENTITY tutorial_axi_vdma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; mm2s_introut : OUT STD_LOGIC ); END tutorial_axi_vdma_0_0; ARCHITECTURE tutorial_axi_vdma_0_0_arch OF tutorial_axi_vdma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_axi_vdma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_vdma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_USE_MM2S_FSYNC : INTEGER; C_USE_S2MM_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_INCLUDE_SG : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_INCLUDE_MM2S : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_ENABLE_DEBUG_ALL : INTEGER; C_ENABLE_DEBUG_INFO_0 : INTEGER; C_ENABLE_DEBUG_INFO_1 : INTEGER; C_ENABLE_DEBUG_INFO_2 : INTEGER; C_ENABLE_DEBUG_INFO_3 : INTEGER; C_ENABLE_DEBUG_INFO_4 : INTEGER; C_ENABLE_DEBUG_INFO_5 : INTEGER; C_ENABLE_DEBUG_INFO_6 : INTEGER; C_ENABLE_DEBUG_INFO_7 : INTEGER; C_ENABLE_DEBUG_INFO_8 : INTEGER; C_ENABLE_DEBUG_INFO_9 : INTEGER; C_ENABLE_DEBUG_INFO_10 : INTEGER; C_ENABLE_DEBUG_INFO_11 : INTEGER; C_ENABLE_DEBUG_INFO_12 : INTEGER; C_ENABLE_DEBUG_INFO_13 : INTEGER; C_ENABLE_DEBUG_INFO_14 : INTEGER; C_ENABLE_DEBUG_INFO_15 : INTEGER; C_INSTANCE : STRING; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; s_axis_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_fsync : IN STD_LOGIC; mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_fsync : IN STD_LOGIC; s2mm_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_buffer_empty : OUT STD_LOGIC; mm2s_buffer_almost_empty : OUT STD_LOGIC; s2mm_buffer_full : OUT STD_LOGIC; s2mm_buffer_almost_full : OUT STD_LOGIC; mm2s_fsync_out : OUT STD_LOGIC; s2mm_fsync_out : OUT STD_LOGIC; mm2s_prmtr_update : OUT STD_LOGIC; s2mm_prmtr_update : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_vdma_tstvec : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT axi_vdma; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXIS_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_OUT FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; BEGIN U0 : axi_vdma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 1, C_ENABLE_VIDPRMTR_READS => 1, C_DYNAMIC_RESOLUTION => 1, C_NUM_FSTORES => 3, C_USE_FSYNC => 1, C_USE_MM2S_FSYNC => 0, C_USE_S2MM_FSYNC => 2, C_FLUSH_ON_FSYNC => 1, C_INCLUDE_INTERNAL_GENLOCK => 1, C_INCLUDE_SG => 0, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_INCLUDE_MM2S => 1, C_MM2S_GENLOCK_MODE => 0, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_SOF_ENABLE => 1, C_INCLUDE_MM2S_DRE => 1, C_INCLUDE_MM2S_SF => 0, C_MM2S_LINEBUFFER_DEPTH => 4096, C_MM2S_LINEBUFFER_THRESH => 4, C_MM2S_MAX_BURST_LENGTH => 8, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 0, C_S2MM_GENLOCK_MODE => 0, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_SOF_ENABLE => 1, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_LINEBUFFER_DEPTH => 512, C_S2MM_LINEBUFFER_THRESH => 4, C_S2MM_MAX_BURST_LENGTH => 8, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 64, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_ENABLE_DEBUG_ALL => 0, C_ENABLE_DEBUG_INFO_0 => 0, C_ENABLE_DEBUG_INFO_1 => 0, C_ENABLE_DEBUG_INFO_2 => 0, C_ENABLE_DEBUG_INFO_3 => 0, C_ENABLE_DEBUG_INFO_4 => 0, C_ENABLE_DEBUG_INFO_5 => 0, C_ENABLE_DEBUG_INFO_6 => 0, C_ENABLE_DEBUG_INFO_7 => 0, C_ENABLE_DEBUG_INFO_8 => 0, C_ENABLE_DEBUG_INFO_9 => 0, C_ENABLE_DEBUG_INFO_10 => 0, C_ENABLE_DEBUG_INFO_11 => 0, C_ENABLE_DEBUG_INFO_12 => 0, C_ENABLE_DEBUG_INFO_13 => 0, C_ENABLE_DEBUG_INFO_14 => 0, C_ENABLE_DEBUG_INFO_15 => 0, C_INSTANCE => "axi_vdma", C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, m_axi_s2mm_aclk => '0', s_axis_s2mm_aclk => '0', axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, mm2s_fsync => '0', mm2s_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), mm2s_frame_ptr_out => mm2s_frame_ptr_out, s2mm_fsync => '0', s2mm_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axi_s2mm_awready => '0', m_axi_s2mm_wready => '0', m_axi_s2mm_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_s2mm_bvalid => '0', s_axis_s2mm_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_tkeep => X"F", s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_s2mm_tvalid => '0', s_axis_s2mm_tlast => '0', mm2s_introut => mm2s_introut ); END tutorial_axi_vdma_0_0_arch;
gpl-2.0
8966417c306defdfac9804da698877f5
0.660027
2.845692
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/generic_28nm_hp_mlab_cell_impl/_primary.vhd
5
2,780
library verilog; use verilog.vl_types.all; entity generic_28nm_hp_mlab_cell_impl is generic( logical_ram_name: string := "lutram"; logical_ram_depth: integer := 0; logical_ram_width: integer := 0; first_address : integer := 0; last_address : integer := 0; first_bit_number: integer := 0; mixed_port_feed_through_mode: string := "new"; init_file : string := "NONE"; data_width : integer := 20; address_width : integer := 6; byte_enable_mask_width: integer := 1; byte_size : integer := 1; port_b_data_out_clock: string := "none"; port_b_data_out_clear: string := "none"; lpm_type : string := "common_28nm_mlab_cell"; lpm_hint : string := "true"; mem_init0 : string := "" ); port( portadatain : in vl_logic_vector; portaaddr : in vl_logic_vector; portabyteenamasks: in vl_logic_vector; portbaddr : in vl_logic_vector; clk0 : in vl_logic; clk1 : in vl_logic; ena0 : in vl_logic; ena1 : in vl_logic; ena2 : in vl_logic; clr : in vl_logic; devclrn : in vl_logic; devpor : in vl_logic; portbdataout : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of logical_ram_name : constant is 1; attribute mti_svvh_generic_type of logical_ram_depth : constant is 1; attribute mti_svvh_generic_type of logical_ram_width : constant is 1; attribute mti_svvh_generic_type of first_address : constant is 1; attribute mti_svvh_generic_type of last_address : constant is 1; attribute mti_svvh_generic_type of first_bit_number : constant is 1; attribute mti_svvh_generic_type of mixed_port_feed_through_mode : constant is 1; attribute mti_svvh_generic_type of init_file : constant is 1; attribute mti_svvh_generic_type of data_width : constant is 1; attribute mti_svvh_generic_type of address_width : constant is 1; attribute mti_svvh_generic_type of byte_enable_mask_width : constant is 1; attribute mti_svvh_generic_type of byte_size : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clock : constant is 1; attribute mti_svvh_generic_type of port_b_data_out_clear : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of mem_init0 : constant is 1; end generic_28nm_hp_mlab_cell_impl;
mit
3687849acc1b4d5a5cd5fd04f492cfc5
0.597122
3.624511
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_cresample_v4_0/25f1d393/hdl/v_cresample_v4_0_vh_rfs.vhd
1
577,272
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block DRmxjN27zBwmYzYHr5NO2RTL662aFc7txrzAn5vsiiC7sdeTDytwXa3MvxzNsvRMBwvqFLzvmZv9 6MGzUwmJVw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block R5Gcc+dCpmQCKBXc2lc/3fgp1M1sG5YLS1r02lARVAKve91i1c9jrWwTrXqLEPv2WLXw9b/iwFX6 331/VE/4yLlqk8Jag441EzW1Pkn0fpd/KMTz6LJOEXeP2S8WDX2bYlgWuGpZEeQ5sru679nCaGfe 1A2vmntJ8EJRSDzIVbo= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qNhv1id8wYGWb9LZgGop/OqfR+x5NaBPEJu9cXEItqmGHgY162uivnL383iW6APV3hx/y7RrSHXx v+kCLM+SMHPLrDLEyqM1G8dCDWUzcG41jESjn7JF9WBye219E20tZJgzTE1YrgIVSwiVnzQADik/ NaZSqASlPnl7BtcAMvv1Mu/z8NBERGF/br0/7m1rMKw5dzPts3CayYXcZVPEGUFmvqjv0okpdoCN 0Yxc7ejuSZFhmvTQwk2r/Kjxg0/puM+aeNItuwlu53fA+2j94GUPyrP5hCS6+sEaMkhchNDGMwwQ Ybw6CsyzEcrhYRECQI8dgg5iMB5LhE4RbJGZ2g== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block TOEUgefdl5w6zS/w7fySOlnMOxwUMyS9ajtlN6Tfu4yalbipfUUg+1mMXAAt+aKxRIuJ2wz9ev4J 9HVJkFgeEdQ7GMYr4W7XN8I4og2pyNmdXbUa7PT2CiJNLWhvZEdqvmYIzbfnWuPZGVSQXZlp594C id2JMnMMDgG9NT7TD9I= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block XRh9JcQUuYJrXMSg5oXc2qXGKaPtFBbrekbqwo26NhPPcjQNGjYiJ6ApNiSknCMQp4EWAfazgfh7 p5PftA5usCr2e1mLJ8kNZzCF1nAS5z3CIwY0UpSyjNS1g+I4vYYq4GB+F5szRGX+lR3XRMxQbj3k Vcsq5fxKX/DIuZtmQC6fxOKxiUWA1NWIC+0EOc90sJwd+Eg7VkgBbxvtdPUn6KoBrYYDtYlUc5Dx c0eCoacqZOTlzpO/JiufyiPnGVDOSGSOTywKBLOkBQVUgoYFwi1rMx0BQe392Wlo0jzhiUhVJB0V po5BfRjgc81NJPr276kOlOsTS6S+eY6vj/n66Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 425584) `protect data_block vAe1/jUMw2oDBGidfz+il94VQjtAmRdYLNug7CQM6djbdk361POmMvuAFgQOUxvOIqGh/KuyY7L2 sj2bjEw0Ad7pw+V6QYEt46Z3Z2B0+ghsW2EZ9tTpmxudnjJ9bkNyQKOcFqbgbxjnuLuzaZs0mx11 boIQz/9JnyEIVC3+VPopFwLPTadTtLo5sUVtR6FotIMXLDe6ZFwQw5vlQD09ujUwg9uI4rDqMp9G JR6Oj0RKnDrZKZkS9oXtVo9lcAQxy/hFQq0Rd4bTYewVjSuI2l76s+X3GnJ0BBEFVhD5xxdOnWIG cLwt460J2VKfDoLnQ3FmAHb2iv32MQUfVactC2z0P2dSIsXhQheXurrHAyR72R5U+ggUtJQPNsVW QiERFxpKfH1zIPdPzV3tZMKm9YKf8qGP6bGfnz98Ronze976rHnjKFNWpUSmAq3++eHswUUg0oko oWe3KaKqj1xr+rFDsLnT+of9Q98tDtWAOnQz49yq0H1lacmZ7TF27j4m4LSDA6LVdvX0aqeBmbtg e4SMhRKipsOVFErsc8Jo6y4eCnqm633B3eoypeA0UKVX1aZH0SYBgCMBr4axA8x2mmX8EC2Rl8wq vL5rgJLCdunGbYzkrV1xxZVFSk6lOF0WSYCcjo9wab093bsnVC5xLO3qlweEJdSbqgEyt117zIkS oVLkRe6SF7fPeEgm+bHZCGGkIiZ8Yc88ezosUSadOdyiS0Ex2uA4BQvGEMZSqOBoZWucSmXwv81x LI+cm2aFWY/9wfkDcLq9PVQ0L6EipfC2opqhd9ITcQgWFC1MdR38hGjhiOUMpm+/JeCCTh/9OVs7 +C5obYmIvp9DDho6lO846rsOlpUo8HoXnOh5l2isnIpEcSy9NjjDVUrYOBPKRZ/+5wAGVbVPGcpI EDNiNjhDxYXg+Nt7lq9ZMABQq+jfFiq4lliUoYt+ECplVF+PmacFXhOnIqk+y/o4X1HeKYF34xi3 F5vB2akNl17h8XXBG5nvErd2udm3ZjofvPd2QwV4/mKs3gFkidukXdzHXoJ1PnDAjpFdN1mIiTw7 qk3ZGZWpW/CnbnCxoPnw3uoogII8O/nnLVPbvxdg0zmycHoA6b5064u0LR9Y81NN/kr3q63K09Jv z3AWdYvYyGApwbaFWur9nhwlYZ60sysjzjOI+1xViGRDjOPHsH7RUa4hkgickdYmWIz2HjQvmP3e 89LRvsTQwNcYF9fIr8YUNLkO1tc13CHr/ZKTWHEH9LZn3/vgH3fm82jFUXZrvzHVRkE+bgWhowiX VqB0b/M3iSBruHgiDljdLIuRyb6x8ZBiQulsmxJHZcevt9nsROQ9e6A0zyqowiDN+ROfXu73nc+P j8oAv5agdlxcyuclq+ZmV1dzH7/U8gE0d6l8YsqBNIe+tjuXTcgdSxb5EsxrspISEg6lEUiaSTeh L2uKeoiyCJgEjBpieN+N9mkTtQ9z5/ThFZ7g3Diq1p5Emg2cFiQPBoIfjJIcXh6pjdGVQ2ZHJ6iS e71UwWKh+qEyHtipvCS9+OPU4XU3Kdf5m/kRYOJ1M3+w9gE6tl5974gZhz7KMg7C/s7IElXse2E8 8YqedVWASXiv04uDkXniMCLwsiZaQl+dQGEf3qZHuOZSLMCmNKdRbX+F1CXGEtfZ6OUtJIazYOM0 tx5H8fUrqi1+Rmznh22Wjsaeo/OTf+EjYSEbc5V17m5uJAbcae7UOSmShkv1tZq/yAtvVtaid0k1 j6SvhOo+9r8zaJ2ZUI5BTF2VtpGmCu7ETXfe29kPy2ShsHpmJQuofUv9xwB3iQ33t11AJEuJDykJ iMcwv5DVkVMTOBEkB1cuF9/1zAMWLSx8bHiHqn0bZcpsidpCISV+yh3GtDu7l3YCgJs2sysYJ1mf +Oi6GuBZSQaFU7IsSh1X3+GkIDpOVqvRb7PjMFkImo5Eog43F8H/rfnFCp9GESCSgxcC0XjkUk3L ULKt0D3tsbFNuOw4v5pLsHVVa0k6+tReCDtcPTX+Hcw95t9h6srLpowphwIBwf5Zj+PZ30rmEnOH 7dew4jMFUREPtQQhsnZcqqEzomUmZTyabUod0Cxgp4s9Pu6X2xOIVxQQG9YhxTK3cWUqX3RWt1mr Uc/ih1ErboDSO0HQQQhuiAmVhcHk+edfdcBMUM0czNy8UtqYFuaVJQaTmGclPowDtUhb0Jl4orvu EyypobW4IHNuy3sOKSLwF1O11cLOCFGtoes8icAMAtMru3fjL1J3/l3HboPK4kLRyB+GMUwkHusr 9pD9ZFn9sS+CPU6QHFHaGB/VP3YhpDAiyysS9wuNvmfUC/h/NV5E7PA1fb3omLw6hkBI0jGXYotB 7vspv2P3qectfQ4ikSgdnot8e/H/6SY5ndWcpr6FtItElvN2SyM9/VtNh49nBkWG45d/0XpC8vmm s6urF5gxnajW5ZUq+ubioJY5QEzR67EVIxqV1GcOlh314+brc2wafR4iY7K6nejwFuZbFyqqgjWa mYxXeTCXbKkBhpmyxLBqZebZtO1jQnt409np95P3JB4ymE6mxK73Q6ZviGHoEEqBz51lRk+3YdwW DohhVazmjWtoAbXcuP0Kpn0v4fhDqrJbJ5H+QzA3xtUHrSCBwUHuu23HrBw10lIulewfDBp3k4dB bmbIdolJKrfhVRqXdUTCJsE17NVkuY3iBqMCbmPmTgwq3JweK8/dQKyE+KKBzpBApjhymDiPaPDI eaTk8Js1buQcZuNsNT5ng5xEdh3D9IWY25laYOQ7l+IIKw//NB8N0xjPAXDq7pKRRYXumcXOFgF0 5u6cxvB/4rHIWLZPU7bKKElip6Zf6xVRCQRinLmjSwe64Ho2oKxhFNWuLdDFWW9y2TOZYXxa3I8i 78TB7CZl415Wd2W0maTwWOVpHR32WVvvtCctDVfbuD1mAnhR4pUE852ZOM1d4khUrdZoeOn+HIzg 1vghhWHyuTEkSufoNqnXXnaWdFAvprJWx3FaAIwIJ1GKhj+9ahVCMmT5QV02kPlGDTq0lK3j4aat Zs9L3DQ+5tNoXcsQkyZYOjjIV1Mq+rbLIW7io6nit63OSohxH6GoRY2hWWbl4d0A+wkcyj+C1VpS 1+W5cuL1Bhl9iq6ub1sQwfLaopgCXUVC2jCCGZ+06tMfznIggLybb8fyBXrvQCcQMJ4RAIWfhtUP 5FwAmAXbFExXe99y1SkcaS2oegoGo2uPrhlCId4Q8hvKSCfIPchoDpWSz/gVBiLhOvTnyO/7Jumu kGtSNx3f5KVsAhnvMjgMuvvHd1831dr0/xaTKBbigUjDTSSyWdieJvRvuO8Mp9cO75ihcw++cI3o Gsia3TEHByy1pu0Yg49FAYbHN+NJgEhGWM/xaMpr1qanlMnIYi3P6QqwgBVhH4ikeN8VvSBymtv9 Y8Q6MccRHrwVOFmFGrqvPDcDkeZecC/XAkItQZ1sIsCzIuC+sX3MWLdW8maXR/lce1PK6Ig+bmf1 a/56UzODNumI6IUmrhMuNlhoA6X9RzMw3wPhGi700Isv4eOWzw+RQZb4g3G9wYHhUnlrZrLPYqMl tglu+xKh7/+A9WcUQTruEAA5SNLKgDg2/02qsmL6T6ggE6vgjnp4roiBXMXhnz669OljOaxF3iPI PdMxBAt1/Nf+VmSkd4fMOFfa4IH9ej9x+aXHFAPoYl9I/OmMisP/vEsfht6ql4MZxTowv9UXGQV/ QwjewvnvdoWAWXYGfpwt3kqh0FqFgdq1NtZxMDHNSAHPL27xxsGpc4nWaq6HHfJKHHpTYp9I6wYv pkxyHX1RWlUYAS0nbJNqgxE/J5lMZUXoOeydo6UXuoV0ztrc28kJ8G7BB4DPrSIFFCYG/8FVnowa flSOEvftHU7UDhfT9sriv2locbDoMz9DCXqjqfJJQkXsZx/fs/qqDsn+r9vuuAKD+6vpfdJn3HSR mtGv9Ob9QExFxeOniXd7l22YH5gCe7TTPIFZ/dPBMf+YKibnBvuSjd+ZGp4uJ3JScCDnDkCnEn2X +R4Hr6v/8s10wtg1bUUejtIDaHyypj1aAIipfcgWPKEL35jtk+2XwNniOctoINve1VQK0/+5uRVw 91UBRly7ItUeu9+iSdF12XEi+RKpHjTzy5baQ8mhLVjcJgFIn0SWyL4SjBk6JUlwmbkE8UrCja03 4b2CZRVD3NcGYuFbWGznfzp0LHnLTMktTsYFHkkgjJ/q/cVDluxVMRgbKRmeYEeyF1aSLCgYSWSO K2Aw/pUlh8ObpeAf7+vS9R/ce//fN+RvdZmN06A4oRr12b2yj+2teuU+/fWXIApTIj0OLVwgk6CR dR9gn6Dy5AIpxZ5wpz00CpYdiFlWBcQa+DjbmYOcUMS0m95KvOjsTHjBMozGPcIMx5TH04LlLD7J nGsKvpH1ueHeqmm++v48kbD0r/NQ6gqodKKM1ECQ9e48cxjAiHj2rwdU3GeIoJJ0QeSFZ7kxRMaj 4g2z7eTOubgTDNg+8KITTw+ONJGZse57YP2eoYi5UYeR3cQkKIMUcNEXPvZsFK54xMKBWmk8Hrlg e2v1a3+4ICtdlo1WW/BDaJsBX2aAQGuJQDY0mGBHd/LpBr/drlsWSZsM/4lgKFsNHclSUr3R0g2H MFol6qgEJhU6Cum5/2gMbMCEHBhExyT7rFvqUNzQ97pV5KiQ9bhotFMgnwPTmPQUl5IeBxzvoIHm 6N1w7F0TqfKh3IcpZDL81M/16Ub1KpdGmymE5z56H6Lnzb6jGz/FmYa8ub2rUKv2J9G40NIk14Ri rk88mFwHtyBx3yV+zRcteVd06bE9bhatLhoTlGJeTTlV2L6vlebrG819zj7TS7vL+OI/oS3/LCF7 JxUv1N5zaQwBzjZ3jOBORAWS0GZruBcjl/V2zQ+/LxZwJvjG9E4/GoGMBhnTX7X4//CL1uc8zdHG EAk/qaWbDT8ReYQu1sTYsBououJJMv/Di+KQD9D0c2LF1iln7JHVY6RUO5WcYHBQLIn7LBJhl6OK 45S4Ymf2irpMDiYtLahKEBcCixoibysfwfjqbEVEYzruYkbhOWGEFKA4aCBVIzmOnQ2VnWWid6Dy QI4wuEzWPgiHLZf4tT6B/EPOVReL414b+Jg5eODDqOdOY6MaXm24yXscBJl03FeWyShlZo/PJkCQ op5IaFOqLiF8H+0kTS1lhGzB7LNTUh2sGop9C87PttLnNMevi//NijE8p09lSILIHPub2ZYKWimG BNFKFeMGecl1wJrcex2S+rgX0ljR5XCo3abF+t7TDwRBI5RbK5TUTYFmn9/ymcBMXhcFnO2Moo1Y aEpuM9/V05TdAojlDo9lnuua3eekMtimi69scOaV3kkBcyXn+MZbkOCFZu4YX62yUOmtkq8uAVba IKiftpdWxlLjVtByswRn7oauPtquxdb+NabpLrrs3iMKdORypKcKfKiM0dpPhVzJi+J6Cy6TQmri SFoJi9ZMdkm+QAukz8OQGZ6Q0rIZEP3tXjdhWrlJHhtzX0OHO7iNmSYGzvDaxcKni0m0ar/FwQ2R T3leL0d0cAlpELGtm1l4q/F4anJ4MU5nWtcoFFtRFQlzCmA6x3Aoz7rAHX8oQ5pn49rt1h9FNsH5 N1SfKXUuGpBzuD9PZpsSDpTkh3YStCyzi9fGeYSFiJ8Z0b82dck8Z3m5nsZOOIIyZyLWgnF+YE/e vmUzhSYq29GP1Yifv343qY9CoSsD0aVLJadUpogI1APpnZ6YUmBktS6gM2EznYVjBHMPzoVRdCKh CccM7cKwtXWvvTZSjQRXUiO+31o8T+YagYc9zryVBiwicDnBe+vM4J0YmDvIqELekubKFr87MjKU brTclU0vEEZ6sOp0IAU9Xpa/PqJ1yMtm6mSRpV6sVn5bMjqkl3nUYUGwnMo82IZIG/Rz2XX4M4PW q6jvvVcF6ZZQ0ubXixjSNowj+uqcfjflzJVw6GtLr96yCzk8UIlmHwTgLoJVnw3R0vmdTftg2VF3 OHIm4wHIdUhMYm9bMLASzdMiWfMGiwhybjNSaoUevcQVOAy28SLBY8bSFRLxaoA7RUZK2AU52zWk RbXu+G2mSOTwuyP6GNrG5Hjal5gAgRxBwF4NGVsg8IFTuGi2oKv3vcsnbYLv2x/7bnCyd+e+2YaS vvpmcQ+u9pJwfoPLGgYKDvu+SVv3kqvgA8LDv1ePHnN3dxnb75UbZ4xg/9C4PhXFYcsKiY8l+4Kp NVOqUDgZSmsJD0a83y2kkb7rUDs3QiH5xOJEfLcP2Pf63UOUi1xxFVSH7VLLJ5+kI+YZ4/eZAmWH c0wQKBVeMbsDPRo/aRdzXyA8GX/I0xgjuiL1oBJktg+3LpwwwW1upjG7bYzVZa3yq5/Sq4N0VKU2 HPYgnkeImwfcGdYeed00j6CqUOq4d7vvci8KLcMAm5Z0RlI3+Wx45MOtmYNbOPJDj2ZQSUMpg7H/ AmiqkWYmSk0lqw4HrHVAiXByUKxJiKgYiQNwJBpkAQK4gkCRDRf8pftf0WKm1PA1oAj7iiCLggz/ dYSlqWxnt8gYbDa8UeWIzPO4RXpyZe4Q3l3rD+CezE9xLLX9Gspc8N9UpWqywl546pCOnQKVubI8 fpBkieLNi9dCKihRSsmqSTGk7iKvzsqOfhN8jmAY+6Uodxku9OAEIktp/K8IRJvC7f7sGxFgbgJh +/mKvWjypCwEO8Vcdd3hf0M61zZRUI66TUZM9B5Mmgip9lw9vrJliF8TVDac6vepMflsX1ND12QN GmguUE1MF2UML4YLl+0N4rce6yI2R4o+nE+3s7bAznBwKTauDtR/iSI68E73QHOI7vMRru07qvZi oSq4Ly3x3Kg+YuyOwK4ipKVNiB0/3USNCMmCmUBRwJomML8VpXtHzfK7VQ1UcHR4+dTm2BGxEqEz QJh0b/dC6GAUf306nTsoX98QVkGMMmB8LLtiqnGz0EFVM0szzR1caAparukj3VtrQ5ec0EA2BJZo sKbBOHWGM0nwEy8v2nNBdjjYiOio1bai6j5tfDtPYxsq2UYnIs9y5twxg22YxnKKJztMWxtjGUeG u7NIE816UFNG9aY9qfS0NOzNnPGMe6RGiCN+WomwJvWxIxDsWegtzD/U8zQNLCd/yPT23B6i4vUB jg94C35h0DaWnvS10uBCBLYDH2TwlQMhj3WaEU/a9uAXq1LuCLE53ICwihP7nPpzeMjbfUIS/naO gwtotye9bsCSDrpWYglujIoPNAMippZU7LNBfsugjI/RspDZOZco0+LkDgK5FtDZ0T5YtQCGzzZi mYUPwAs8nH7Oh39LIipZZmxrWGdjmBKEKlA2aJGblGReSUro8BjdY5KYKYLelxjjreCslaxT5mzY 5If7X60Xese1+2UfJdS8h8OMCmbezHULRdVPdGnZDRM5DUV7nfDp3PPc2cCnuSkQ7Ih50RbDL2qb RX129o0XjL6T2KB8+8HkNxgYZ7TwDysdiL69jPMyukr70rmdBDE6fYzcQ/QB8u7TXziPD/UtRNPF p2oOkm9fBoM/BCz0Nv44EyZNvvWQhNRUS4be1roItnU0dXqMRXoB5W1hTbNtuRmKh+8Utbf2o5RL Du+9RUSsshZAQB4DF4bA/a2VSxgJXUbEk3MIAAEMfr7SGDzpOqSEyhUobHTgdga65oOhbgQNqLiV qRs7Bb9HVwW8cY9rwGoxR1pzTFQGa9otzGF5AogXAfGIFPbS6k9uh9y1jQmLkhO8bW/Hg4k84SxY r0gDJAM2yqod+dFGkhp2LfAA72x5f0sv9D+TqXtgwwVew2uusiZbqVaSjd8RokKiydF6zX130eUE 7XX+Bi3GCJw0obC0wpSRtex1yKKFbncLkolV2DXPXGrq56x9dzt4ebF8VfXhFdt0GTilh40tJzKD S0i7ulplt2DbMQ1i+z4lXGD3ZiBN1a36ZnbTUzahMj/ZjlyvPPekHbNjorLpEK3xcy1mcOaZjBiG IluCq7/DsQpWPBn9prMllgjRI84vPVUepb5eGgh1KQ3cl6Ncq5Q0mlaSRFpBHKr8IWVV2pJ8KOu3 8yHtgP3NHpXXle1yTDtYvzluf9a+NcdujYhGzWXdcyIrR+bhROwGqyD9IetfiA9P4f3O9u2Iu+jr sW6SPXZYzlbJkTXtTHMmFxE9k8kOWFCX8vT/oFfLMIrxLpP9RfitvJC3JbP8UrEYn/I3uHypvzBi 3MjolPvErJBL11QhD3pzYWAJ2YRh3CiGzClM422xj88aCwN6ivsW0/mqVFtX3SqX99Nq1Di6pObE Z3yE1tMjfrwvgqD1+/yC5hTYkbyEe57BIGQBa5EZzKNzr8x8NvETUJxohRcenhfkpHJWKHda5MKQ VdCp90DIiI6yR3x30UAT7yW6ZdMht5jvVakR1XEZDrIvq3qdcyFNTJAc7yV+lFk1ZUpI1/hKjZ8P H7N/Cb9R8NW7rO99okiWuFdrykgEGas28kD8uuKmZtDUrFd8SzJbaarCrE7vEOcIXFCWKDExHJEJ AYCM4mWruB6sa5T7ZncPSgwEZpGH63UAd8s4eUFaqGgt0G26P4yzNa6wAf3S0121CAuAtcZNySXl FZktZMe1nBNH0sw11bhyB/CLvkBuzig0v3wPa1254RMqlPM3/MuzIbB2s6HaPzPANsk0GzjivfDo 8+h1DlYBY3rmck7CBrgt3Q3qHNrJTBPaEbbps/ldfJfTswC+w8ZRyNh1bJEvbV4SWQI6iNPRDWLk 1TWTMvv2tZUHNXkJvS/TZmtMIE8keeyYA07CiluJobTbNuguukm3yAsesw8cpZzNuwy8trKzxUkh YZ7VxAp8SpHkfu2q5JvLjPX29oqAdvCNPBCq+8gMPxdXTPBNMVoLKtci8gPl0HjUgm84gZ5cWMqu 6NOZwSmYLmC7pRAe8ssxuGz89c2jyD0UPODFFPwmBv5U/PoISfGWH/aRaozND+HXxRd2+MkwP6Yf uDHXZf3ZowIQEMYJTa8UBXC80aKbE1vZ+8VfoNmw1pb6DgzutcecwnqTuuCMw4U7ma/OjjPDxx4c Lfkf0bOzK41xiXP+gJ+FLMxXuSOKZj8DAQ0Lo1PnbMDoNFoXab4PwapUCSFB+qE+pE4KPfVqMuPm s9aGFRlm1RrqDJ6oenuodnYQJ/ILyT4GxMmjdjNv/q5nZ1qCEoOlcgCQFXZTc8M8Q8YfB7JY4tow UBnACvF16TaRajxa0YV5EUMOuCTiSTeJmWVK5rK2BRdZJBpWnjjykgkh3ZTUs9eqW6DeKLhv0ib4 azLdECsKmA/FmU/mbewAq1O84fiCcptPmm7cRY1hNr8z9IPtKQT973bEVcjhgsEnv1ARyzSVXqgM T8nG2g1v2PL4SAfIjfedp8DoaQgKB1JhR0eRTeOVoT2wO21i9nU4JQhTYjdOy920LC3u99klkBhO nSaQx3W7OolFi6/OPcjBiOlAfgwiDMAqdzR2hGKHvjzsKHtsgroHHYaFlc4ogTERcJ0KNjiHMgj5 MuM4I4/eD308ALFwRxxkQkIldHstks1x7NL/zpubAedFRKP7tSBjGGUCxvw81ThHETZtB8WzhWBq jghY+KzrH8NuFn9wVw65wJJZFZWNEJrwFpClHYUFpwbSjUqUVyC2CUh/dukZiqjPSGHsLIN/H8Iy XqH0hM7dsrAGtWxdv8/1aL/mm2lf7W9wvlF04YiV6cJjt3bjTZYu9+TrFkAsSzQcM4GbvETdWdIX 3xq2uYUe2AKDWaao/p2t7g9ela/7bKEwOVgmnULT7Bu6mnQEcGIelwzVVJNiMYBEFdCp+I3/uRsE tptv8rnYd57waK6AFE8piaHkHbkwQAXm0B4aU0XzonsHWg4HM/92XkhXiJD3gEEAgqfbP0sIxBD5 /W1MguBXUcU7CU2y4HCtBqgTokl8BcLZvUqzZPm6SiDrIBYNo0fZaepUM/A6QEOG4mFitcyxUtHh 8C/RuuvlpAV0DG9ral1+Iy+fxx4dL5w0pvfbU9u7sMF81uyOKXgHDqA6z/3yrSKzNqW4eR4W+DOz c4kWtue7AFwPHBYsKBrO2U3A6WqjcakprD99F/6d8DsIlA3aAVXxWaIITu64d3GXmpmGO5sYzmS+ teeYqKeXYwZRm3WdyZbEIF0PnwKjvTWOoXMWV7ERWWJ4OG/M59Mi0io8iygta691fyUtVT56jZRv rLBf3Ml8FkM8166tJ+TBXlU1Nz3aLxbHGrZgylMWSgVhSq+WbgN2sFGK/cf/aO0vWSi7wGVUfj93 bYY+Efn4RtNMjvy+ivOhkSckqLHQByJJC0H+I9Jr2QmcxcX1129ZU3Orb6HoidQ1bMsfx450wV1O 2qbx0WSLtjGhoscBkbutJv3Kyu6OtcxC61im8lZWDzxKolN43hZfOh52P1kfBnBcev6147ZL9jqh hfG2aK22s/3W8douMfgwMeUghphJ0QPHWeyCB0boictox4wvI7a1YYUVyC2dIYCv1j2CowZJCoIu PeFkampfXEyj03s14sWJYJkySfnRDCZUrPYSv+nnsbKpudB7ypnf6edHqNj/XkwFxGQE89qLS8He nApIhFEkrTIdJVafgO8RuoM2BMMkNqofTO9SZwZBwTaJ04sS2PX0oH/I5P/0B4ijmYkaY8EFYFiy 9YNs38mxMDb5wYDgugvAM0eC0bfpaYKk7eHXdurAbXLaVpB2P0K5/6F0ry0Kjyb7XPbBcZLwL5SO /858cSDwOnL8Ft6e7ibP1NbTgR422wxUKA27QMtxxDYAST3lKkJTt3SMDry4CXTA/fM+2kXXAxk5 qYKCXnlGKPY46gndrkEKYx8+ygRPBPLDef4XZVSWmMNZvn2W60xz4cOEcrYMJ5RdecWdulBuqD1r Yd82qkX+Zpe5PAeuizRx7H79GU65aB92Macp0cUCJzoSSWSlOhak4yDy8zd74wcNLHV1FBoHXHVE eNFlZ34xwndTuGyHxYIcgV9Ds07KFswpQpcrZzFkj7y8rmC7/5hi4Hg6EGkqd8a/uIMSrpy2LGIN HYrfBUfyPCmj3B/fDW/VJLraeGxH6oyIBK+Jk/rtenreHy9geYkH78bN9znj4O0S06sD/r97BAJA N53uYHP97fUN0bYaX7FtESbJ/nF5i8oNiHhDsYFEKFzgLE9m+wLBlLJmvWPzE/AZP6RTexo59QFN HHllcf+uF84l7z5DW6/1xbtWBck/mZ/fmjpXOaEQnMyYicM3Hg0LoONM97CdL5a0aDHVrlhoq+zR JAd/zOH37F6893RyadPgNlaCB37xOzBzqhT8TSeW7D/Jn2uEAVyAfZOQJ3xI6R2BrCJsy5DGBWCt 9nz/Id6JpZ7fGEWXTIb0nptMZkFrgzNH5dixgskbjVv1cgprV2QoGT486ZWADpkp+4+TrYGkP6Xc nk9f+A/cvPLUUAkw3TV/WgGSqmgkyuXiYbBF0sxJXSTuJBzmYNoblLmnfEhppIXlp5wiyFwwnABt Pewrr5gIfH/xCGQ7yU6JD9JoTLYzb1Bdjkw6fiTUIVZekgte9f8y6WoqDYYSgbS039S7CP+XKn9v 6qCYj31UFvrPnw+birMKpseAIN1KXUiCZRlSZHXLWHQIBnMZ0npOS02AFrVmQJcW+0hJ8FTdJHbE P7oEBeGLDbTWE54azkdRgfbl9+KKgkmmDH2Udre0yRwtEdtTyD1yosl2puNS9JGzOnuMlVkBSj/9 +f/VsTUI4Fws8XgIyExnAuPIjXcAuOY851dWvltG+VWAU25F3jRPRGYlIdKvylPK0NsLfjZrZnJE W/s5Rbd2EHcFEm+mxyB+eZCmptnQX1u1lMMnmXGCeLBKXiG8ZZt7Sg40Jqp7GWluyFv2vyoKXpkL bOP1L3kBBhYgYZZHLT/xW0OBDu6qYLdffeUAzWzKG0CcEv8ozMKyuAJbImpo3yuIL5w1gYUM2XQd A2fErLnD9P0kull7YzZVr4yC5l0T3MDk8NzBq8OveBwBMs+c0ScziFZ24wZul8qaxEnMD4/vtqjj 1Nmea5Xb5MPKPuOFDDQ077A0xLPhobE+W1AGp5cAxVlmZiGoLwElbfBPZkjSMn062v8hXabKP17R mB3QBMgkhtegWIiaaE4qws0LgaY61LSkCUbpmDERixDvNAGzdZ7kn6uKWx8O97syAm1cf/Tm+3PC P7hVNmhFvf+ro5oCtUXUCDfgA9MU4DlR2ss+Gajx0c+j3vGfFq2FVbkz68UqTMOjY1/XNC3bKSPt QU6pG0jQTkJAUJ+4iN0gwOdwxpcpZ3xhytNEqJ1sof32yAmesA8uivUXMUJ4O24c6AVIV59W08tc kkYcjkluP9oNJowZ5i8hxc7kZGFhELv5nf270YRT+UDAWgQxVkOmlsr7GFJ4e2Svoo8w0dn/bQZb BRd/0gp6W9g5V/LExvnA9MQMjirvKbIIRRaxrVSqhLsbWi3hzJbNyryK5jaQnGM2FppLCpbjl7Q1 YKY+rwuk7rz4bm3TwWBZN37mVmZzH5Ybp5rSI1XRz/rtici3vkI9v49aJHDdR5PGq5mR/mio85cy 78gf66hG0dHDUj7W9F4BeAwK6Iweaj+jzuW4vMG0GzorWIOTtFItVv9u/5CsukiCf+cbg5NiqaO6 8qSrLRomPzElbWA+a1RbU9K/ho38OxxD5pbGYDu/DE6fueoD0oFvhJcZl3K9zR001to/jShjVzSq pi0FXW0B90pC71wACNc3odkEsUeq5fiWN/UoGaqqo6JhY0yEjNMXrBs3V03dJWImGmoUK2gbYciD U96Ii+L6SFUEApchZCH56NFv9Aiye8lrpVaVgMSn0DDQgUAtwMRDGxRI9hls6Nz0pIZoIyf6jlNn 1Cw9u33S83emulo3m3IgbO+3/GhNwNSXHi3tTUVEoVbM9oYLrCosGEl7W4lm5MWa+VrLkUI2/K5G ac2WNYibrU6axBcj9W7ACkhBDQuomp7XnCNR3LQFlJKCSgAezmZT8+bGivbBOR/ic2upN4tdD3sf rbUU/zzqdaZpEFsnOZYi5hSFO+occTvsg9Qjk9KrrFy8sNM3Gt0+gWJmE6aFVUx7VBXMZDZlnRgy NvF4YtpqY+QSjs3hYA8w+wzBeHv/5ksiYfYmBlnA1J8wBrkKGKJARrxo898hKxPpzyJ2gW4f686X ts7IbbsSja8T1/tYL5j+qlQsPjbmOqNJb9ILdPclVkTA1FE9BYaWnf/m7sLxNoI9N5/dBGDaX+to 6KCr1jLSf/SMnLCi8gcJ6FVWRRjgk3uj9/U1KGxFcQcshULTn7z9N20nwFdMntNtcK2Raj4OIln9 60SAfeBW6bAHCQOOs93dq/ya7jHwDp+tVPdyQyOAWAimpO7Bbx6faRl2cCYMFLnUtnnqTMCK0rjP vXyYgPZ+XdK/sZUfuz+P9oAb7VZA2vdLlmVHygWWaqE64y7WE1v+qB8C/lMUjMgo4oJjUpbFGuCX ZZPTbfDi0FLGxxCgxinkQhLUDrO9s5eZtnnBax4gG8Hgp+ILpRxbaScP9cD+BKBtntTzwll4rI5+ LROouGgzuIISOKnSrJZ2yQXRB4gH7EB6DyyPm6vg1uHkl/Se0U3a/TUc+w+mnZBAWD+cbnG6VyUF qd9TaLewKBpBs5+I/FshoxCaZwtb3/FjP3yGPYQ1U2ouYrlhJf4MScSIGtLSV7HktxVWIXJKTDwe N/M4TYWgbHUDhiZ0wIWAzflLgYhx+ee89e4JJasO2wWnEKtF0nftPFlJg+J6Fa5PCw3Z0LIlR3/B KfhTpDNzu5vPDu+RyiQFe2d76wX3SxDVD0g0REa8papbmq1GsFDoVbSk2msgaqdhAYa4x/xuBexr 2X9OGrciIk6LheMbuoxMKAvkvF7gnzEWB+GxrK3q2mYCFh0wqzY6LjrtJg4U1Vrcxjr3wHN1tpog RqDiawqcphoHmcDeFEZ6F7Q11WZEDsrg4CcwIz5U8tFU9b24E1vtyeENrPY8DvHXg3nfljgXCM9U aoVKigRYV1F8jJEFvVZkSjwoni5XHBtSnERd39KVEMMhiwSkxbBOyjYb5fbPRfa6hHZYU43E8PHF nJehEoQXiE49FgA/hHLYItmnEAZHEOIOba3VogVNtBubS0XbwYhHgxSSG3ArozQIqGGS+/lwM5XE ijKw+llH9ItbP6JAnacZvGc8EIeZP2aUGafxEJDxOuZYuXjsmlK8VCGKL7untGITMxAqlK2Htbcr h029OyqCDB3MnMZuoT5LPZC83vZ905Rd71xqfc/Eb2lYMHVUKLhgBWtPX3hgCjf8Mk8WLLMHrg59 p7Cj/5bc7U0lcHytZ3K9UUfYKndHe2dg2y96LfcT3INLFZ0p7yLDUd8/ibb0dquAZi+S/zi2+1Wc 3cqSudwJ2tJ6ok4s9tcv6ZCqT8ODI4juQJWy9BjhCClAZLif9vVE50sK7iOb//dYYhkmSwynTO7c VHtYb5VFi4W67BRANr8GoD+wszDSnBmuqnKKtT24gzeTs0Os2tDprgm0PE7SDb1bBISLs/IcF5Tn 3jS9H3z6K/EW8A+XXfQZdOWGjzbhbH2fY1jBxE1QA5MLkN7H1tSlVrmUHPlga6ZE8nWGuXBjivpm u3sy18OFtyU9E07i4vwoVxe5/qHGQjo4kCBhYuBj9F4aJFIvXxC/5I1Q9W8iw+uNGHZBM5UDUlLI rTOe3yAb9XMuGhunrdq+0UefyGC/kr/3XbSL15kSMhGUuowu0/+wKR6T/BOJeRYWwe2vExX5dIy6 jOaLPU/zGZs/GXE3bW+643MUvB4sZHL3r4INEMGq3tPL/bp0cgT6zZlM/l2p/BAjOQvuGtqVFLN1 negKIT8tt0vHonNLRby3b1qGXwKTQVlUGcRotoSSGg1eLFfKQ11tX1vM5bNFoxxmPBFaO/PJaUki LVodwWaijjgAqx1cyHxJEdfstEv50sgELFEIZ58ZHxWLo1wp1Xbde0P60rZdYodo9xASFUBsuxgI YX6SelX0K4LQZG6rebBpVDZLkMTQxGTsHGeOpefSBnBcgFgDgzlIRKC/UnYp1CLAEPC+GJx9K2rQ pqWjo50ZeLBPewVSoQxCChZQQiX0Wp9/8raNxC6zgVBGb59fRNMT/U5V+V4C/NmXOSWjLMP3zA3a ZnZ9eimFFbeVtVlyu9eezj8bafbbg9mFqgRdSJ1d4mpXf8l5ZcnGA6Hv/wIP+F2yLDgGvLrQVj0Y K6dcU/Dp4GbbWtunNOZHJWnK2roIpDHwhuT7/QpoPzk11MEtMpXnAWa7ShDDTDMDi6a2zsA7L5Dm aklVo+3nhYxzy38CyBOkMlkWGg6Gr/1iYhWOcbZSlvQEJ+TMe4nOx7xXpfhCX5bCk81TvEJwPxgr q1bx3gDKZjTXLdprGrcddCQ9UlT+/Xb1GjtElMNIYh/ZC++7DfU0Z4sLNnIZ1J8GFxNfjUE9nxjZ oxMXhrZbhvgZmCpYDwlTTHI3jBJg+i53mP33ATeITf1Gb9ueOpI++mOQEOr5/RGnRFhtbrp2EzkX 5sjlc47q90Ez9rnHJQpHNuyopLOKiIAhg5f+MtkDzC06YbGyjC8xyYHi+xaJV69UKMR4pjJqlxKD 3f2Aqx7jNpM7RPCuEBSu1gbl+LlJAqCjgOLTURkQm8dKUwHXw1XbFs+rLkfJ1CH0z/F4Oi89XIRH ztDuy+bfBXFLlsaXGAWfTFlFWRfL2lLhaj9EjN+6WcSuFlsgBgPOnUGUgcS2PnIPMMIRdmspEuLe 1QCYQhKcvLydFH3RXfq91MQ88SxKVzjLjMtpyoUrxrnU8ZCwM6SHE/xuKQSy1avftJWrEFNqexLh fgLfLKXxv5KGv8WmUkSP+ybkUmUCGK+BDgIzfLROxCHLfdHXGFDFFOWmjJMJE9vKZ1TneBvJ7B+a onODzgZWLA4YFK4P1ERSZ5fS9IMa1tV/RRRActkW1p0UyGffSwhWxBt+7JG+meiUMvhUiV/0UZ19 FN0c3S8eL8ZzcpVHDNwiA+UhYdlkcZOPrD3phDxbYCvrgfx08U4pQzJbwtpBJ9QBoF5A92m2owaI ipq9Y4cCY00DOpX5mHsTBD9SMDLqDitD624M1KMIPIvAUnKfAg2+kgkwbE+ZtNP0MhN6pcZN77jC H33B0LFuCet+mB9nGYRek2OH9gbCXmKSYon+zPG/p5uFCrfUFClSfb5plRH040Fw+4WNWzGmadTJ vo1nhZUr9hpD6eXfpHSu05JTSb8TXtk8urRmM6ItXA7Me6MxUx7tSUnGn/piydFMjDb/A1psTpvI I1IpN+Zq3RN4ZI9Nj1m0SonCpEUqZDog/1/1/aCAhSJ2ttaM0cfdownYQJlDSKaSnRLhmeinQHFR PRPEBjkavknzB/tl1mWbaPTz4xyPiZuoQQnSBE6QOvHDThIzhffQJIZGy88wBTrR0WlleVJiFtRq FvaGzlYL0bmd/An5ZM3AP1S/CzUDevr1qS2sqggYKTv5kxHow0UEa80nTYZW4F8zu2Zo9qTD/YS6 WG4NYJE3zbdI+55lOql20OZlZGQhR3ipjDtvvhLj6caqIlCXqyCMq20BREmMXVr92CmpNDzgnqGu emL2z954FMDgn/sNBM/+20IY5UQk3N5cjWlnoBFUZ3rTeIdbdoNVhY4cIFHz/c4eDk++36jpnkWU gUHXYp3f02bV67CkyfpzN/ut8M8WUMoXLnhwNOzz2G5A4zidsrLej1rFyDbWSQFN5X1dcdd9Ssws 6Mb4u0I4Oi/OZW+BE0n0d4iYE6GtnXweYwED+P41tJCC4+bb/PnvPSfBw9UPh+VX4WcTVjYRSPbZ 7PVv3XFGzPPZVxKCfbret6KYXxo5hT3sHmQyqJS9ANKbFge+XOFVkLnqxAtlx90z8QvHWpOg0NWx mmNdCWTO2dEdF2lD4Xnt7uakcWlz2NRnI8IHFCxW3oTDqZPY9liwlZjMDzVUdz/ggq+llHWkqkYV vyXe7SekPAPWAwVg5gC9EvuqEAan9Al1VKxjRW1U0HumlgG20J1ECzBSOoqlcxWkuaP/c49ojIQa 4wfAmvAiBdzo/u10sSamT4lflfR3gxdm83TVR8JM/D2X8vrHqY3Nal/x9NagQQzBazl1pjCVeDer ktnpy2dPG9CWIOCOHOAMpFTFeUdWGQKli51TwuG2PYoJldACZ5ig0PA298BbPoBnHiPNA+dO9pW1 dG3nd8MTV2/QOIYhuIBpZxvQlmIAqKWJc/mnIqHJrfwjUmpAlKupMNWWrGfL3KcyOqtnhW5fRoTY 9pzhNeAsfKlboYPXhz9+mYi8pmF+xsQa74VI6DsCkWHVohsDH3V93aI0mrEaTyb9all1Wnt0RFOQ SH9QkBOhvBCajWzOyYfK2rdcbPnNhMZEuHCtUYg6pbE54bOjdBVaLysjgatmUtc+U5RZSZ33OR2D W9Y+QbNhVM54B4QaZSq6YK/2PaGjTyiIRhi+uwecUcWVsM07tL8nCtELtI9Hk/6+NT83AO8vMMwb 3h7P2HJJlwKXLdXQYBYHXWQ7fKkTgOLITGvaTEZV8aATR/HVVZzpf0awhfOmsrmBI+ua06Y1k4Bx qFXhI42/MzrSsM6D58lhu2w1XTxEnWCewemMf6ifqpqyX3E9OSmosUeh7Vx5q+XQG393/IdwcIsn ZxktaVQE+5kBAQOH+8K02t4jW54lsH60sY5U7ByLSN5XfvGikKSDP8c5122GUxIWg/hG54o/EnlP Nm5BLLnpFKhd0tVEws9SxaQUeACkaeQBskrflnfE1G9utcBfFHHu5l7X24Wgld8XWs33KD1y/waa wG2ZdXlwaPda4i7FVxlTmINIieYmSMu0NY4zw4yNDSft6/EAKVIMGZgs3voaET6mKsAA2ZrtJpWS oQOE9JenhkvzgbVHYOhPsWWoZ8GHjK2SqtSxJJYgVxyr8XV80PrGDB/z9V1qCfYXvmIiUWybUwHj RxDeOjKdKLGSxR5P99vfUg/AqqKZX1RXtnn8PqFK2FH6TMzigiOw82g1gzRY3vp7a3hiLJ7NL0Zf b8VeggIrie4261MK8dBoyivSc+fBEUDO3E6a4Q6HgHAdY4mBPvOC3WTDWMkhS+i30Di91v0n6Rdy 7tUo1arGchv1QkgJlFco5vYVExhKneKx679CP5o8T4sKiMTzo9O7C93q27I2BiSydY6EPuvFKQOl yeiLdSl38xhaYR1ySLtJQRW7trHlz8J/LZebtRmyItxm5T9qQh6O+ueb+ohH21uOMC/wC/RfqjSa 1rgvBu6vCIBo4IPIaaVajbN1ISQFlYZ2LGqctYyfMVKgr47J0u5xcWvUuZoIo1Onph2BIETtAcFv zkUnwZ/TRsRuWPP2CuILewFWI4LRDINYdcvckVhw05ow9txE9rSW3RiyXH4cfmImJ15SH6Vex4sg QtEzcoPD0/vuL1ANHNbanXkTZu48JpeSJmXj1+dwhE/8ouuGOprysdXaTT20Q1TcpBmkWlr83ATe lXy+4WKpex0aas9O9QBSUiCI4hD+/XnoRhYT0RM8/PZYKI4GTtrYdx40938vyosktMAQe7Nr5o3B 2kxcZUDmyJe1wt4Nhx3tI06YmTFWqOaV+C/2AKY8PPi3vXl1iF0HWVGi5giiAsvAiACnhSjxCdk7 DKPmxvdx/OIp3MTUF42pqvqpMPw7dBv/Wdug7izgIMzsp2Nnn+TGDUKxqBi7bao73xZ9xnUQHTji eli8pf7Z/Nk7jWr8AoAm0kONiO2L8E8EXsl9ZSbF+L3xkusGy72/SqUna16pDHl1l5bUaJfMsDa6 UYaMPkO9TXa387THAhbKo+J4hxlEyry3WS8q2nGKFcFxAA/uxN6Qt7Dyu+INBmSdJQEEN376k8AQ U2+qC8iGbyDvbxdAEcor73CQmMqQ1I3RnX09iYCNv1Ecz8VsfLzRAxTsY1AxzAUXq7Y+gpvTYL6+ SjRA9nde7ZYlqWDk4hyFfVQNbHxDwJnwii71bd3iDx51T9tEPqekiKmUgI0YHQbYJcn85vLJsF7g Ssnb0Mh3h+L7iEcBOPFlIHH4kboVKuTfqf2EuZzw2XD7dlK/J9lTbOFHa+ESgBYzFy5rcdIIrmi0 vZWPq+7IpWfQQfqDmO0+triZJP3Aefwu0sTTU7+qomkS4h5bSE77D8OKf0lPGIoQqgRfr2Lv+Mxc 6pbxv2ObN+kZGkI/gNB4ASGF9wgrGL5hoCYSw1BZy2xwyinpq2Ew4GAIQbsBllOw9aTWjvsUP6VX zTNpwohQUJEg4CIOBfye+S45iZOoBIv2AyHywzeWfc4MkDizvDMz+q5Xms85eCScmNeyZaZv21dr FjjWBehNtS6CXXVvzQIzIaxwWJ8kmSES/RXy5NdWwos98lkFibEUa4IBMr7zVGZxi3BRoq8QOe8Y reDkBKJFSCtglhqEGb4JkkaEaVvdvYx3DWzKEk7bdBuSsKWXmjdvVQwY+kDddKozDXzcUmyRFneC Z5PCiu5LqF6rhrwUusDxvMhhdbBXTEceJ/Xo9VcBFJ1WseUDADXVb+UKgZhujCdhurWMRbmBR0EE Bo8INEwdcvEa1TVrOFzPQltFKjsR8saTwrt2dotsE8U8ywGUyPOqTIwbn3iyaaabjLyT/M2SRKrV uwQIQH+cl6PR6WzkD1U9O7PydhLnZ0lflqY6pHs6h6JkhOrkKAU5VfD/0k2aLvzUB5FZjKf67BYs n5+wSAsS5xRePELeCKyB2V8xxMAXjsDJnfskCXds4/y9XwQW2keO8YE/RlWxX8mkKqZTd55gUYJt x65pZJHbJj8IT++I0Un5QMa1MHbeksybCDDXna8lc8VapcRPWRss9wWNW9wyiorAhAEn1RagMNux 5ba//JUinay2kNXB7cnldM+fUDk29FejYj78o63k/wKGUSqME2uFB0a6QlfZTcNOikUKiFzq2CJC NeN69cI0eepzh/WaSpXrtniwcxQLZPgm8zRWTE2o8olSPgS3UYSG7+4aSwLT0pFkyPqOQLiHdkJG yusULgdGX1Ks/MFLDZfVkQ+WSaGXUfLGPq+ZNSGf8Br3CRBmFfm4sfFbp6VeAdeeKesMivUNJya7 UAN3lhcsMxEp+TcHna6BW7eMraaYeELKmz97h3rE1ih6pLw/5Q3WSCygO6IV3xS212k9nLosYoHt 45I2/wTIFWfPlmxJeYL3Q/2VIz0+GbyPVhWng0/FbcedBqYQKc+r9tBZrxy8xhu4Glh6MtCJCe6i bFtJEqv8yN5mLohKbE/0guVOPedA6HqUlcn/Ar3nUKAyLtpiALUwQmqwK4y8VSAJXx6Hos7yLLR/ DHeusnEIiVwpTLkQPwlYDY08vPg/WefOyaLxy6qzsJ5upZciHPDHdkHpOlWCL/gjOXw0MkpK/DUx 1nmIHFNHDoAMBdqknEY5NOwlJ0LshEwDh+QDgI+pqE2fMZoLBIP1cnWSpZvEVdd6wwrvyGKT2Z2q tCcREMNbO3UnqruSvYv4B6sRJRMQawa+sxXM0lmk45aky9TTfa3/jD026dbDOoX9PVcSCMYobhQf hCTXiUOItjQbLx0zGQi6RETJLgz2eEk/3cHuaHd5PdHHcXp3maIi4S7bwl4ZiZfbjeSh8ZkTxG0b zv4EMywknrpkTcEM3YWtLiu2bta7z69avdLSVZvESQbSpBuIqacK60qSOQKqbupxLci/o6KLeifx 60+rN8YRL970SdT0G/BZ5mtEbLMbt8aZhPp+KFmWqdS72+y1MhDCPmFYdMFN2fov3zQNGbGCEAtE UT+5sT6shv62D62yVM2w2Ya+T3H3ax6UPHz4TqPO3SWdd/mI0Egsas2TyBycQm32RvyqPg2E+EXC dCYG4HNt4H2PqzPCEIgcivQjIAmdEe5pQxSnveRiAmcJlro/ODrpwuLyenoEO2pfTKm4iJ6CPE53 d9LcK8Qs256N3naROx3cAJg5AaAMA12KkKkTlq8I5Hgof4S98u5LIDNp/k1uuzdbHUczU63QJbmY yF0mL6qdabICjanZgfdhR7BSkXlCb4PoQHqJQajOl46FC6kPTkM4VZ7/tk64ntpLUSlC3C5PPn8n vKptPeXA0xPFGRaEpBAQLd+HyE62ktsT7MGLlfnqccC3RVTLOG2npVdzM3FHRAGaBw+TxT8xe0sZ zij7H5QQeHuvNYu/iPkglTjmvj2P4d7IwvMKWlO/1P6Y4PKUBQJhdy1I25c0LpMYHKww6I7azZdU Lr3X6gVkAU6/Vng6vIp4Ibn0z5YSFbS7003XiMFqP9lv4raZgFiT5sMpUWwXRmh+d5rsX1HM/fvr yYe60zsW6IWH95hRIyMWSx+4EWMbCt85OF0avTF0+hEijCpcne1WVRgj8VcdIec+qGZg2FeEuIvZ /tuBzZEuF6MgKHibFMw2t2/F1jADoSLjmpPim7ZQLZ0vCh9KwxHBmrkvCoS4Tjf030klr5eF4nLk DylTREeqvNgtYMKb2KfGItF6+FURMgRpwze3sWIlXVBf/rsa3396/hGFHVosje/gBOR16glYY2Id NAl6kV2NM7n8V4GlxSPpTYuyDbn6WPKtOy7ZRHkUDrV25TfwdAnc6TM6RisqZQIGRQc98bJip3b9 usnV5fmZpB/Tov8FJ+iArPKeRg6hI6oP1sOPirYzkOMKVolZFlEKjTBsl140XlX7oDFSOB1XPCed qPuOfilxV2tiy4ZWRstE852eKu+baGseoaiHctHQml4h6uHoXP4ItEmB8FPSS1qmUatzWF+dPFuu rQNIqSY91L6gqq4053bscbiB2+POKrpmoKF6Rn+xU5l6ZaEfOJzPfV+6u1XmXg5zoXFgT9NBE64X 56itGUzInOo8aplHP/ndo+j1t0zFcM1eTxeWI8fq2qO/MB9/KLq5F76TCMx4pgFkzn8Mcr7IwuDV qWS5nwdIsiszsHF9XoNOkFP9VI4PYtG0q5ezuX+PIlEPCjwKmZbKnZORPEDZvpNUJ+czuz9aN5ko 0bwLaGCVBzjGBfwSu/WnDz2HvBpdeXmpIHrNIms8bp9gx9dTAk2rt8yKzONDuq10tRImAcbQ9mdm 0AoZ5dWsxIyHf565IetoRAex+q1wvI9zIRNzkhY0de+yQHiHXe2iHd++grqJdgoUk7W05NvF040q AVjK496qfu6J1EiCMM7+pDb6zVsmMKAZqYjVlqGMdtEaQJXlKG9mmqcWd39wlnM0CDkN/SYdOH6a VUyGCgBz8tGm4SYpAS3ICBcVIjMBP90lJt7vIdMfm1iMKAhvQMNgchfiZ9gwX5DokHcZYg87Ja7f ypIpU0ZNOhwmwWvL84XjLGZQ4EHXl7HpKMRLWOaQn6MP3jmZTgnJjan4QMN+pl+NQHYWyk8yADTX UrpZ87XxgBXZ1I6RY9d+0XVTeH5Fd+xZJpXs9/PVMPE4nO07VTj1amM5i20Z7joPIHvLn5i6o9bg DTAbQsDsN6q4SwMCleLajVYZpUFQo6kehgmw8k6RlH9L/leAjiWNH4su6aGWwZ+N8OEsbzAlvn9h AgDJmTsmZgrd5iAt48pxMvONPHjQL5bXQ/v4/EyODFQlLmlspSliDr76Q6X2CU0nuOmZANeBTb+o r5wH5st6t0T6Z/XYlHXqG3GN8C6rBF304Stkr0P5SSoRjQAgnknJ789WyYUp4fakcsOzAow1dv5m VUCGrl436k8p3exwf0fVwfv+kauDpz/lh9flyPqKLe7Ocs7cshkiZwOQ6JbjORtud5W1P8/0XZFI UfZBVv54QtECS6Ec4/gDvY/HaK2u25wk/wYHVyZc0CW+v9SG3RZKZkjd+W9Ap756jsL1RL9ySVif df+RtuE0WwawkukAOB4foG15Eyju84lVrLWBV7Dj7t2k1rwxWTkopNqFsV2TlTYpYZ20d/UCtBFY eTk/eWSVffgQsbauBsuML2MCjTbpZG8GRJQzlklF+5cxeXB5KJ/Xb+QH3Ybd7U9JcnCK68l5XTwq Sc0GbG2sCexqUU7Ek/OB7EsgTP5zaMy5MfL9dm+06xmvJCu7G8RlpBhi1TDQ2x3a1jhZtj7fziLA FBYU9TENFf8BJgLWlaQv3GewylOJ5AxA3OlgNtLIocJLG0sZqurHY42rqovtdv0U/SyqZJe6oOvv 8Cz4oas4iUrl4odDVGcXEXaLuUy1R8ZTgzjjEHfNYV03Jn0xP7Da56R+jzQ6eSDKXymhvdjmpXIm oswV2vWlh7obKcNwKf//l2w9vweCORW2RDqLvVKK8S8feM/tuKTzhVZAwRu49dGmO9Lcm80OAf5d 5yynnHIIYyzMQMcejI56CvGMikSbCTraBY2oyHbhfdeBIVaeCw9UiwRfdaVMf37uk8LZM+OrkiHW g7un9CZYBcGr9/Kv+w+aaGxmVH+mJa57IOinA2sj1xQkCVUujVFahSNr0Gqd9vfipVeehLjdzrk1 tcBx0KWZkN+7VaQ8e7xZv9xs1Vy5K/8bcRSo8yO7l2khdKPQFd9kZw3s29WuX6CDRq7a4XRKdD+O CU04y7i9X9/HeNACPj5UaImn+eoNQI2cWgzm1N+NFjToyzv6ckQr8vFSEPUPI3mV7WvJgSypu4xU w2v6TF4pCDJTsIzv7KqmVJmYvSNCKVYT1htiLQCkthwjj/MjSCT6BdKhpqDfm/gh9i+KGXFSBg7Z xocLEvqXC7BvuCJ2XfmBoV9AXWGSxXZ5SIgiNhRsfhugYoh7MKCwxBlvnqXKm8XIXW2+oMiPB/AO ruN1D3b8ONH3KKcI6s+5t1c/AEvD/Q+y942wvzjl6IwUi3HZnAinON9A+pMC5xHUavRCvSk68fXx CIxEstd7TGWuSuzpeGZMUXu/DYFSVmqEfOZkYYXZDt4mi+2EBNipf/dTBp4D0wG9pu/YsPCuTIsW nhPy9NKFN4kS4nos9YU2pXaYiiK2xVgIHnm+mWoqC3DqvJgsBNGpMfbu24nxy8PU0Oac3SQdIz9J vEqBcdTppFmgrW7MQDdiM+Lr70G/e50x2zGHBj7yN4wRcoKvzUnyGDlx311/h/2f7iCO4yH0Z+eQ ZYtkuhgTMYmsLtNCuApXJkM0KEyLPZWPAQmTQcA0NGGuzcE2khcGgK7Q7rNZ+MI+jlZOWAkrhMfp Dx0i603871EXC7vneMESiKXWBgjv7z19jOXQc9IT+KZbMHt/vqxMx/5SwXXJ7nGgjJ7ThGxpXoQS cFCv6YRrpN60y1a4XZMMkAz5CYhrYpJDoQXq6hyORMur6uSEixz5PgopcoJqFUQHyJ1cN/DId8e6 y8vZkf15dn4YfUxORdqendSTXrUYnSP2XWhi/cH2iSTCUSq/mFmmVEUeCiOh7BkKH0xyunaH1jQE zCq9YAMF0eBq0CesmzIWJ/I7xTdChhYV5sQT5RtHLg9ca1+Wj6vFEE/RqwCi/E6ESa3f0oDVfijR tHtQfEBdpCMUsGjRSKPblgMgWdgDsAAP3+ozz2JteFRwErSbW7sHpsifW4rnrV2q9JnLh8rZHxhA BJTeJMTshTWl8ub64orUSaqb2s6zvveBc1dhJUKunPN8aui7sXMMktVqiUgHLNVdEgT3kpCoV8ZS vV6nE3X3flTtCHspRuxeVNE6JI0i0yG5iWgplpiZIkTni6MEF/iiJmJux8JD/GgW98eQHEC9mIvL HxIphiDyXAiMWIC3JaRYRCLZTh9kcblrLejgtLf/9ciP+GC2vcEViJZuzYWPNHbAfk9FdQeMUaCP Rn7GdIF7nr3hQIE2bGFJiuTgSohb3Pn6wP6+uAeo6+UqwTM4DhndbfdD/lOqm9P+OGTsLUibm83x PRagDIjG1u6NpRqgKDrfakGMJebTphHGRmjkXI8/DXfteR7b1iTtS4txhkUwrkFNhSasqGTOTUQc C0cBNsw1zVqUXppLsAzJUWVLgDd/sW/CexuTv4mgl9UyarJYpOgPduF9TT1NV1eZuw7ryqMLUqTw ZEFH4to3MU6jPYbN/bw/YqJQYqajDkorfvlikNa1C8awkn/kn6VD8gP4rYerUorK4Jacvy7pKG4x FF14UY5Fmr2zCdPN0qHZuQb4Z6ZLmdcgDMbpwSW6agoYnGokJxAtjAGUVUZi4TO9LFfGX/aV8p1o oe1dJ5tpIdmcnrz/ffUu6Yy2g/ENLZlsy9n9RMgtrG80AnsVN4AnF72GuxvYrfLB1drt25mxFq7t qg4MajFCsXEjLKlcw+5xUMGNo7PS9vIdV2MYYn5RJt87wpV0fZpbKvZDtUvPq+Pw2Y/RU0LxIGLA h745aZM5LMPDb5CSBLdejgZUMg/Zut5GNY/aKIJi7MynF4SCHSl8JgAhRLSMyXVZkZvHzqs8n4+D 4ZPhSn6SvHO5LTiO9gQjPPIfaED7vwV6GEfTXT0ueBzcLyZNwKimauKluiav7T9ZuaHDRXnklfpp wAs3duchFrGNjPNwQLpSBQ20KBZL8o2VyTeMFcSwzQ/ZjN8BqtVIKc/CHlEw9nyOR6++yl6qlRBf S/Astm0qnFX/aPe3quBltS5yO/Ls65hjXVaMyjc9J4ArESs4lTklz1XprLATkL9qkeGrGrJYm0xq 6Sj6WeVKUMT4jNYIICPna7o4eAdS18f01I991bhD8IpFOXia+kTQkeIu3ko1f7WDtjOpvgnVWHRi tuMs+thSs3E/djs96xnq5/K7++xqj+gmSLk4rAjQEKANCOwSN/0+0LAhoyBNb+lgc2EbKIOVkHVo H56vINB+v3mSKeoFlGVp93sXtdBSCWRR6HX28lIEO88e0d/lC3sO/rMAX40LwO3nbyPVWe08HnZp EdGMuar6b4RHaD09981af8H7hdtVoIajGzYVxpTH2QQYwfNIFhF5yGKiI8hb5IWon9gfsUdBcem0 TbsGA+51xgn5otrUOwG+HrzCXsdzhAkr5ZolzQQePfEN9SdAIJ/6SKQJdCCwO9g1+ZJxapstTxvX tZ+xOHJNgDETow4Y3es2QvoB4GBASuhZEmfe9c8iseiEu9L0gcsVLSfZu63pe5PowRvMQ1IQunrb g5mai8/8UdF3Nv3QgAekvkYBaBYssYGkTBnmLbhA9S36iZQrH/MTDGhIxmlELVAv/RfhpIvOCTXt fMzfWdEexyfXp5vF0YlbneaP5AyZvHrNw4iroIr4nxXKuH4Tqsi/ZKwXY5qFPAk2LvWFEXkcdDF8 u+B9EqscxFyaV+WPsTfyfxSgXJECBOYIO0MLS2CKRkSaujwExyzfp61gToON9sDky9NCSQqc3rtY 7IvN3Lmnhjovvvie+NQ7C5oad6epo8VMdH6gncwioODAhu6sJXYbHmeDTE/0o3saSM8Ka9Tln+E0 Xd0v8mPHxr3v8DZkphX5wXvZzNvtsWjIqleaR+tUOtoVbRliKeF1PeT1l8I87BP0+Idv36rfwrFY 7fqNzFwGZVMMsGBGMaE7pLvGx3G27JSFCXCgbJc42ulefJLpAcs1fayXnaAfTPe0TM078Qf0Dzd0 nANA+IOTkI4TpPpQROdioa8RR8HdVrWr15Aac3ePv3rrWmi3AtCxnIJaridyHv32Z0XmHGoSB8HC WaUjZ+yQGXX4RrAIAgJ0957pV4JBBsBlOCEGjOMldVdEDvKLjLEI2Zlx/dUYySeG5XvCmkQgnYMn SecxBXwTt/8mH8EkTrKXdKQeB/YJQZFQL5t5OFMtogMo5cArm6Q1bnmGUUm3ZUBvDCyY29PQG5BY MxoAuIYA01HdE+vx9w/aWbbSwqp7iETHQ6W/Ux8GILrCdD4j/0m16OwP0HO3hMcrguua91Vccabt PiuRTQed/WJHGEHO4rt0iqo9S7PLY+UnEXy85JUjqmdQ5CR8qDdYv4AvzVJvMXndk51p1ZWcThYI HaFYU4Zc7stBHwyWAdh32Ha4TtxJ/KbDtUHr2SBV/7CXtHr6pP8UTwqVlVuG4mfl9yEbtbAWeQ7M Z7ceA8ejg/L5X6lxr+PKC2jhEdMk6Rj3Yu0EuTXF8io0rf/YXfBLBemb1gZcIH0Yu3ANXEaXPTrh WaP/P2hlRDM/NJge6onX8FLGd9AQjntXUWHdAFaHW5uNRA1/cBcpyfV3UWE2nD+5dq5WRFsCHr5I k6D6VjJoUx7zrLwfC8cWpKXPbcmoI37Hz9Hsh3cV7Tbzgaqiyy5oVTuLpY8Zu85Lb7bQEQqKuFun nJ5eVh0fuz8FIcM8lhZzWoU/fLxNqaXFmxhwNXsNqElMfJDYupbmdzXff1N0giRDE1QkGRQ4OCFh 1Oe3aw5A5xQAx94MX0t8/Z43rnFz/hOvlcx6nZyyYn0Oa4NoNApmogbN7DBaCX8xMYeQptxxQhVJ PcNxHwJvmEv8ujU4OB9TbtntWKCv48ewxd0okauwDHTt6xk4vxZUooy38nF+sWCmN21T/a50mxKh qIkPKeEG0ypu6G/IOpYbphiRd0Nr2mZSHUhLJO+LagDb9mONTcCR4wnszl2odDAX3nN9baKxiwm7 uCnaeQ1YQ3YW1T92BLWTxErt3NfVHfJtAAAenJ7hUkA7affX5ed0BZ6IpPj6fd5Od1X6nMVB5Aey 3/V+DP4ZaQDNUFUC2RJplbCjmsX9MV3BJEQo4fJ2iHkmrz+ySyehwMhVxT3NB8gzBjt4gydyx2+P WAWY25OIsgXRZ7q01PuymfIgnbrekNsZv+H+eDLOwOQuxhBRAr8jbde3pbW/DzFvnRMi8nET7cFc 4XEDI59GURDAPbym+LObYJJxCNlppDoASwKRtirPEWJWESe3qH91NfYlJDPaVsUFo8IQLTng/+Hj i9auqGGxPApkQFb/c4h5jl3imaUHF7dQwM9bohIaCNd8m1FhW7yiuPtVa0mvzC80nTN2O+AvtnI2 535tAUbfoyoc6Z+I26j6Sxb7+uZtgznBPa0LPkWK/XWNRfXOMOkMq2Bxnnzv0N5SGve69HUvCl+M 9rZJblVarmWSlVR7vT8D0ilQpfF7hEQeiEO4YjPSqcjS0uOyJIh/jgWOupDeqXluvG0EO70dLl8I 5dRkJOF8Y2Ce508rrvyk15I3IXXKuM8qd//kFFMaJk2wzJ738XH/BkFb8vwNgYwBximGw9C5v+e/ SH5fLT8JUhLp0Mu/AwqgU39WBJvCRcUunOtAaLoKc1Yr44RR7mI5a/Wzu0KOiJPVv/MtTP/9S0jU xejsQ23mMdajmDInh/U9M1H+zCiUIvGguAEGLtTnvj9uLONNYDeSwvgYtfLJCcA6AmxJ9xC3MYkg 5m2//ofpBqlXtGm91AEkqxi6cZW9Z8QHFMAcVaf7BhowDefJk3CFL9CTAISOQ2mIFKfjpL0cHrks YaCK6msYj239jJnvEOqSblKMDCXA2wUfqay11ssksiUTgkkyv3Gq2cS6xAE1lghsMwI0RYiJU60E drZF0o+8JeoR7lLQa5mw4Cw4Fpt4G2Qjw55pNfFtHnwZTOUICdq4jvavVB+JIyr5LGFh4/Zu00lq TkPVOTipkMeNfASTNZMhil7dlRXBpwwY1CB9m2TMkwxzz+Whvfa/qB9NrBc/WidF6Kr+fV3x2sl3 CLhqfAMX2eKU6uzSmsC30Uzpy6lO+2fpYgLHyygGzuAyYIm6iIEX4zrDG7281V2D0HFcERTCNLYJ lQl+vZtsdLYVTBj1qxf54HCg2y6np3i1I2z+55X8Ln6to76dEFcg9y5fNOXTTnPyTL6ZacFaj82M LM/WOd+ap17abbgLtU3vatURmNfIRXakKui5/vS7vdUVvz09XVikHMSdfNT4+aJqROStZJ8NV8DC Q0bOnyyUzYB1/Hx0hQ8h025i+f666CNDybUp1Ci7uI+19hNWIkU201XeM5XaNwGFLIwxdN5fndQM mcdT5vYNUA/+oOj5T4cCLdbnDce7n3ZnW+NPMJ6LORufS5WGDBV5RGqJbFaGAIDmcX3cQxrX3sAr PQuzDKq2mTWqJM1U/o3UPglMIt+vtpqmHyQSCo5lnaljzJcGMhio54y7wywPpqhBB8xLUvXlAeJk VCOPSkaIF3FBBkBzbDZKC6Ddd7xIc3mI06KiDk0D655mNtD74XJq7JFNFTMgfepxjmfuFTP7Li4O TmVWKydIc4iSikotmfpnfuyMMN51tl/yhbLVyUtm/2WQj3mgk9h+4AZ+8sqPDbGLal19gnj9z6cp 6Tsxc0eIsFXuughFBf2DF6cICf4aFHnEyNOvBy2NkRwb+e3Cq967HKyQi43iJJmYsynmyPGlcarF THr/Q/RhoXBClY9zP5uleTttboO4Qon+Gq6XPe5067gqwcAkWNUirpQ9dE0GeV1mQUYbjX3MB9lq GsEmNnnnKoSSL326diOjJHxDElTNLAeAmSFKDcnEbvvaYXAdUm8FHemNX6aooR5CeoVMn2S/nQLe bfSpJ/iQWZ5DAIRkCsyUg40fbRsDyzZMQiFXvLac2c92sWjfMdXqMZZXfl4lxreXdouXbFYu+ESI 1IT2FDo0sSVeL83OBgUvRh/xebZLmDsU8K6tz11YbSCznlXoQ9FOGH2v3F4Ht7V/hhgSDbvCaKZQ Aso6AWWcYVxT4TjXojuIt3+b0c3smvR5BAZ3hTsdPRxdZTE3q4ctuVm/UiLB4vYhUYLX+yN1qdny M2u2pR1BeBYBiu6uQs7gGuMupAzdat/0rID8RqiBKprx/QYvYKzerooyuIbZmW2WKCbLTbffgxAk CZlVYZ3h+5JgyWL5zvmFu9bRmv0mPNBHp4BVz5f+PQKscqUm1WGr1Low7XHFWCxlB1iFbjsXMpW3 t4HJ9TnkKU40RcZnyRbW9TsDrrdiTviT9dd6tCMK8xXkmTFVcTj0HQSSitHxsGFWTh1rIVcHSyrO TKWX0pMUlW7+ycwsQMQYMe/oyiOZX6WPRERQ58RfMjVuqm5tBdB8BkjttgwGRddedAWkMF+i0bsp p7YY73DUVuK4/u72pkynUminznUpK9Z90ZcnUkUtGZhCY7KldYcGmlDwvFAznWdN8+5cOQSl15V1 Y3JKvve1KHDwMRjzlZCIg0G1mu9Z+5VvSKRytefqXwPmZWjXK2f5XRGkqWsw3cM+GWJQCD3JS2nk CjOGBgQ3g77kNL2A8jBcCha3gkf/zi1l9R3Ex7YtdXHD2Y2OzWRXDSGIIMTIg1uC7UiRiBWM7Vh7 LIVbwj6qTwB9iNMJXqV6TuOlqilr8emZJbDg5yC+2GWgfQzADWQ9vTAMS3OYQ6JNPRu0niMlzyM8 MAEo29rzhqWcnQTSCId05AVa7O0henYGXQWHVK/8SLSS2WED3Q/3RrZQ9m20Im2rLYkPE3quSHeh qfgTPmgFffiDmYpm8RYiw+XYs6RW9PjiQvamsIEEklVS+x2yeAolQ7rXHi0Y7cb6svLYIwRRstLg XufziYM0qZ6hlx6KDoDLMn1yY9QqEYysnAp+08UjMnJaZenO9Dd7AJk+lAxTgkGMRKMP9ftnqnoV 3Qai+H+SnoXBKrSUdlHo0D6c8uO1+6VIDaPzpmtwlIzPP18uvop/cDBOEyUJEHWciRJIAK2ofHSk 0ShdBWK8piiQkSsxUIZkdShKyclPhQt14ODkMlu82LjVn93JhxRjuc3Abvro/gUQELNCPQwiXrcS GU9PdWfIXuutggfJyP/n0nAvyoL7QG+u5uJOyoZ8e4heRjUiZ3efCVcGJuj7k2rzDt44UoXC9lJv j8CdnxarzrJcc8EJEwu0t7qu/Rb2HUoInbmVk34K1oxFP3uFYWIgHHd0jJcAhIZytey3RZy4uv/6 Nptw0j+Uv/A3PfICtIZyaC1oI0oEu01dyuG3BYb4MHfJBkVtPAw1IIYfLOwq+7ixMlbXUrDYcLiF CRxsyl92ot6Wiv6Nvt6TPxRAb3F1NFb6qVIBRPkguMMRuK/kRc0P5HRdlQMg29HYhxd9S4VCrC/u NhUdp6/Hzi9EZ4L/tgtMntRzoeZ7Mpn694YZ+sKqzYOZRfriKvCQ/xmu3FRU3YRSOfhIQUDn98P0 +ZmzUI2zF1ij5QeeALlZ45TyhkZJNm6x5XKEPheOMyFvpYexn3a/jdBTOJ91Qc0TSk2HsvD92pas RoeYqMGH+lNQlp3Dw22USDKSJfmlolNd6OTMt1nqeQcalaext6wnCZj0phGHZV70cKk1lr1xEssG fTBU2R2O4nYCMYFlhXBIuXysHHx0vKx/AEr0GsVLsZKBKe8l/YM0EtCaG4srPfryyDc7LBjF3fkk BM63pEac825pqWYsX7wxK1G63sjWQxpJPgfnzuyFDaIbXUJ+0l0kKMh/xsSBLVoDnhzdtdTX8fL4 yj9b8+0fM85zzFa0CA24lzH4Mp0kpWxt+o1+qc/PIHkOnz5VpLbXwgK3482ea/NxI/SwDNaclOoK qQvudW0KUzb/Af/cIoj712/7EaMxEQgeOZsceZigOzKdbiPb3hugiU++mgRYxbfPO4TbBrWeVQP/ DbcSDCKLBS5zuy+/OakyCg/fCc6qLXyio/AGBErdH1p3aENrU2W/X2TcLeZa3zFenBBFWXEHqOJe ra5sPueCbAY87eDllDfkOSPnvA6LJlGsaDdjZXhiMCLCfi7lXLT4JY1Po+qyCWdIeFXMzCd97Ayd 141CkgjL/CJyA3wiH4hL6U0RUScAQ2ffAoN5Yc3vwjuRFtobwA4J6+c6z7gQtTCyz9Tg+jFsKrHr W4PDBqsvFNR/C4GvfRF86uBEZXjUaMr0tsTN7ABqBIHauK3OkSegfPUYU5mHJ/qbnOVCyfy3O1Mh UOLJD7d3He9DbgRFsnr42kgdS4ad0M0e/8N7Pm+xKflgIIqRc2xEdMPlhBuqDRI00K56Irlu10+N zXAdS00oun4P+Iv67oZZT/oxmUxv7wMFKd57+RLdUSM8ET0OyGwd1GRoVfl3AKsnz5S4PRI2q3tM jCPJ9p1v4WLdu5nDIC+IOMEUi3omyRBiqtM7VnzYZObMmYdfvPHc+ICnUWEjeat5DwJ7MJQflnRw zX8/CBryaJhHWV4p00sqU056Nywt5QKunxyHxof5k4BFOo/W4TnKjlejfJNGvDN+6KfB8+osGW/k yVhZKLWfexPtaikIz1WgZCiGxX6QUGKf/oHgMV8KXimgSsG5MN83E/HMBUVyIZUn96ihIuaifQPl qOOPj8mOSl1Ft3UnoGuYbGpwzEmFldM26z4kl1mQKOMFcS3sS2rLiSnBmntNiTf+oaN0jRqucbmd dvMlJBmNtJpZXKExn4tENelxghxI6ABMrACqgjSiQIv96INPgC96aHF4l595iRXBls8puNctxr1Z zyiBy5dDjyrFkk27MzS7cXTFxrmiRi8N3s85JdFiQ0t8UNWtEuO3YcUsp2sTjzLh/ymptlSIDn5h RWXS8ND/TIB7uhjvJjey7+ylP0Ymd5pGofQLt6qqqtDCHu/hoNyXmX8rEX8uTS2sG3O2Bx45BOcC zIBkO4+MHvbqtgxpvrZaSd1MYsr5uJzMIosizZarU+BM68NVvNQQRBudDkByjasa3b33jhbyKCS/ OiUQwgqhBpdoZBXNC+YQInTj0UFpuVmIfjZf/MPoprkaqLkwcSMx0wek9I6F4+Xzz6tzCBs1rrna w2yO+Phx3h22evpLpHyY2GDdk80EGsu109xleQGZcoYKt0H2FtGsAM0S3X6d4Thpcb4BsyUOWuGO 0xEc9e/IS2S+sPDTIfl51Ep7mIRk2RzD3reyExwtvPSfTbDyMPFYgJz72KThPDgFPhxkPwZVJfFR CahOhqWKDveR9WEy5mNkc1guv1I/QMJw3TYqUjzn8hcYLhf/xVpuXDbkrgkT/741ePiPHOoHWL2r GqHpMFGL61CLoB/qcv894DDfWCHFOKUoWl9OQXVzLmxd3hGjAF9XM7a+7HQahmC9xyt+Cf7Xr6Tk X8xXiCQ8+acYO/Ty83qyWYBfQhuiMIvegaVY9Zl5udS3CupvovwEtlEy3My1ku1kWwimh3h9Oyqe v5HYaNLYBUk6nLru5vuDPN0rL0h2J9YZJrcKH5oPLDdLfDK3Knkm/ZGo+8ltGgxqFfhG0axNlAJj 8tDFmNms0FVqyMBYFZ7tL8NUmFtn01AS8mctaM9NfjqINCicDrG5h/Ylk3CMMot1A8NahkX4kc4Y 2K8pIm+57CerPncpL5iJrdW2HmOUKHc54Z/6Z1MMsqPCrs9dFBZ123jTy1/IDC0jDOu+sT2lLgeD jLIX+eNIwHyKUWbBfOPacTJMeLPg5/N/YgNpUCQTvp0Gtxf+OS1wStsf24VyUvciOqfa/O1+DFTq maY6BHXq7xOh//IZSmll4SWQGbGJ/BYuZqMPQGVefJH5XOIZp/Ag7TFJhMsfMSC7zBja1vwOCZDA Rch7v59tNHZpO5sisSXyEPzZKro0qIIzIMw9NziqK2zFoUzr7bXPCRBtPPXYaAoPHjCT1NWdr/Kh YXZ8EYO4JHyJDWmwZGQCNjJX75jTXzRVnn6XTb/T0f4uURPznl28F8K7IHtG+KTQeFclLxvoW0Qi KQO/EAomxaPzWAhS9Iqg97r0qPae4E6ii2xUN8i3RZo3Aq/RNDjYrzxLymQkrbbbLsgqgIyqhgVu NMAdvS1GEXo6U1rzzFlFpCgv7eHXYvhEtkR/N4DNqXYHnmgMg8i0fBSP01d5kqM/ZVP6XGaoIo4d 2tLQ9Wyk79YTWcustBnxmdvxBOQYvZszY86QIZjiNlVl+EWIzyoy1zW2NKt60Dm6zJuJIwqF3Ilb DDDDCrXzd03Z9f7z/DpwFSx+u1FUmovuytqpxdI+rmRWL9ztHbe1C/0a7F6Rx1Xli3Sam3d4JMu7 JI0WyEBK/tw7Bp/hc/zsiWh3VErtfVq5i90fAaIj4xPmxV57f7EquMCN7PtllQbmxEyvv1ooUS46 ptswkubCGHdZj0Ivab46oA3U+QKVwlmldwwyXeJcRmktyfRQVEzrq6ODTULZSrbJL4T95/Ny4bJh pdWNHGLrL2+o6gW8ApQu8eBUiS9viurTT+3Ek7IzLeWOTDuzjvc3MfCz7MZujr1g5FK0/PJsYvaZ x04Ef6szO2YhYkQxMxrEaahUsJdyzrBn9Dekyn5H1GEqQVQZoNj6604uAuegByj2QLdDf2gejm6K fiNqMNjQwe4WzYdiCheVVnlIm4NHQWI4UcgSruLAbJmW1CAgqkkT2F96EwJnK6y3k5ZEiywY3lTd /MOKIYDgsO53eX6MS2vCatR/LXIRq3qw9U+GR8R10MdbCZxxPuJpojhxVY3SJpuLlj9j0LqALPwU glJ5K9JkBnuUTwsCQSyOTD/PrBSJnc6P929THMnwSSjtPUeKY2nnPQvGMaWMRdY/JSXmv5ZFsoJM DvpcCKtCFBCL+NyjqBBMZMPxYfTTcwEVvDj9r13ht6Vw8uDBGJ4Z9hJjUA6q8F9YrbAq6k15q+tQ +hyBeNbmohQiT+ODlyB0Oe6vrwCZ8xYC+poaADfamOzZ0igyCGM6er8/YiPY28wEgSVhVYoHRiiC lq9m9QwdZwpIg7KHHF5qfj5phDVcaFp3fZXchcLNYZoR9CTExvyjj7EDkZWdh2ic/sOa77G4kcos Zucl1YqmvfZWz5E5zXNDV488Tp7A5VTXI9oL4l+/ZY61af356GnVoIxN/mlw5d5enAq/UcJlKbnB bbgruC5ozqKYht2akKdzP41Qt8WQdrfIGdVgkwsRrAd+LKVJdHT9IJhvUtIUMKRjqUu3VMm/7InB Pl6PU6pAzMPsSo4SjYgAueUG+xPofR1QQMQKYv9Q7Az+FR4FXboL3E3xBLu3tUttHR0gGcPyWXra MtnHajr2YC7xon2QuQPxd28pJplD44qI3wSRoClKqWjkhwc6hdZnYaCXzRXDMe+ypWoZVBe8ow7x MTivlIbk4EysEYLw6e7Ig7+pY17z2xIYtcSO1Rmejy1gap4UY5cV/hZGPSsaRr4Wjb9VvYiOtfSC m1IKt4IP8ZIxjqN96vCv9Tw7k3eqdeYnaIVVXnSAbO2dW1/3Zl/vCjTW3alUo4RP04OynHJNwLSd 5r4NCnWeWkj8eBEHoM52j3VVjnSaei1/lkswb9PmxpCwtRqOwrs39TUWhGawU4SDvKZ4mGtgQYYb +3/aI5ar/xhNR2ZdaxdCOHNO06Wb5v4XJez+7AOT1XBdsK1Iv4kfbU8vuR/NVdNRX66Dg6wMpnZK k9F0NSgo21AXiGIK87ReTGTMWUSFm7pMW77hTi0nOUGJ4JMLVrqzlzlhSDUpWjfoRevtWW3SB1fN dfhxcWSjbCzQgku4VCM6cO/dGp3K0lm8xlgcHBqGoqbRlp6eE6+cHqvHj8R7gjfk12zWTHZU6Mv4 uYSb6fbNptzmFlYwvK9wbXv6DKlvuqcg/pTAwjqoX40aP+o6Pp8lLGKH7yqmR3ArJ3E1ha4jo4hh 9rpG4IS4W9JdEtvYJKjYXoLQrHlKeMlvsvSqjOAutNWQA5dNUdvjdjT1ZIIqzmyjIdqa2980fj4v nKicLX/y/PyqTi+I6+mQJSYlsgAAthmfxVgC0umcaVh3tjkK51b0KS2BM0mYO+uBV+VLeKKXRPMV 1qJ7O0YbD05svU5slrVSrMVjii3/GCMK+zVJNkClKRyy6qUi+bP9IBeEIgETzXZdIykd5zxxktUk ncY30+p0fv4iTyLmFWlo3RShpvhroCL/ruP6Z5bUoGsPQ00cS9QeE0gpVp2T6kTwUZLHET6/9Ddo LkS0FIjSiusipI4jj5ml94m/bRD5SrUjfg7y5BMo9cUu2T2qv9P7Do5qzRhX4SJq0ZCUS0OjMjkA iJ2XSgx9K6E/2rUVfDzAcqRjgH2ytH+VWMyr06uolh84G/KjKJk4SUwJlQLLE5iOHhC7itk7kNSW kSj8spNsMJ/i5/ePgnCZ6s4tnkpvx9xbyf9CYQmBZJRXVL5zf2x1XqS7ooeAUGrG4yxP5foKE6+p 4sc/ZLvtQu/AKt0EJISyBAGpcrqrhUEw7Kz8NTZXVsNLL3P+mGBfN0QlkzdYK6rcc/RlXgXqECMV PyCXx91imXavyCpSNKlxoRqHz5goxRJJPfMKxwxG9CTNjbBzgmB8u9RCL3hW32Xh405as2gFFOKM /TPkLxiVfB5I1a73YuQzSmHKLgMsz1YFC1D2qYH0nl1ThlgR4uv8mfbOY36hSarBXJIX5mw2bbwD Age+Ks745rVNnLOE7mWlY5umMo8iieA3UBrJTAjAPYqrubrKebhjSN3NBM/7Rw3acfvLQC5G9Tho vdY5RWABQer81cnTc2tiIM6rQNsF+vERvRaUhfriZSR3G+69JQTBkcDuEubgQKLmtiFAsiwDORgd rfYV8MbmBFz+peV5DJVNzKpT/+cChrbCsWjfH2mEj7fqziRclFAURbCfgn2WD68L45GsGtarEUaq P22O6ANGA7BEG3z4IcabFFXuD2JyekcODgI5jdmGRlQ+aDX+KFA99p2CD1fYFo2GpEXUxb+KLBGM aXQJ0zd/TYJRzeyMhbG4nKHwAGCZt+43BRUehYA/DwdOVUg8z6tpQU8HSOhX5KEU9+6POkscPsGY nxzkqFvuIeVVMN4mTRa+Sg1qjNGPcNmIaOiVs3FcZed91s0wTSOt1WxOjLIjvRPoTLEP0ZkpmklK /tuWbBPzZ6knk6wv60/DNaPjIoIBI/zw5l9rZntqbdIO2QMIO2DzDpKm3LUArXUP1Uk8TcVZU2Jr xhKXqqko40WOb0dp3+fK/3ODTGgg1O+mUWb5vzuezGaxdOxwZj/hMLoPd2clvWyFBIKZJ7VL6wln ENlgAdvyyu3FKm8DRCdstKyNKtU8HKJqY6W+BJZGa1rBdDfT93+kA6lKZ4Lv7aUyme2pBsUdtps+ rb86psg4giJSGuFA2OcAsqjsTZzeOc6ye6dEMFHw3r6Ia7aBjYo6D2WiP4ONqSHsukXgSD+9yeRd rvcO0D5lEA0c3txAzWcRHFeevY16RWqhN83FcyqXpNAem623vr9AY1tcp75p9cdvhcBsi3Np0Zmr nWXCf+KmrxqzcPQ3+ZlO6GnO/p06ufFWkEJjJ9/xMC6qiaJ1VleLGUhSvTMnrU757DrGSkZ1225P EUqwshZbz8OCKHw4mYM5gmhLJkSH4sZ6Q7eqjCAJTA2IC5yJ8pac56GXEyw3OhOrWN7Xmj5KYwR2 e8fY2QgB1bomWiXoWQHASG09lu5Eu4LxcBDtFnsuChzdlJhxLqXOOs8hHJrV+cUxsij+cdh9jkfz LE8H0SOhwk833TcNnlqadMUHalDD0+yeDdBaflbIuyGGpBP1P77KSZmi/P2V9kAOO9GyWwNVIpuo 8WwS5C7Pcj7FDcp8yb7mHSxTW5yHysClhL0bsCpIp6xVLwRz1yL8l2+9xJ1EZstUW2gdVaHaJVzU FzwA4OYUUVSX2Zqssm7JpfgjMCJi9IfQ6hq/55KxqXxVflInpyjMUzD9EQBzQehz58GPUJbPn3Ly oLfS+QjLe4VkE4Zf4qPU0ByHUJorp76yibJl0CSDyseSItMwQ4l//ZcBoTQnyaf9ynl0R3y/RpXn 4doo2xGFhsGYqlnp6yT/Cp2Iy/zq0ewvfCvkO7Uq/VcGB0lt/xroM4d5C3rKXf++pSWVasHNp3iM OIXi0S1D59FwCYNfcfvNaxXGsvQwA1c+4DEbc0M+XLoWXAU6iAlgWHUXBsQWQS5bs96DzUvNzksv A4i2sFlWdFrjbD0/kG+W72ZWEOIddVsVXx+mfl+h5I35CrnFET0qH7tw8472nt0vyAHp5xcwMFKp jryJkr/5xWrfZQGK08XN8xiV8ncoVeIu2gUPlIXcdTWByrAdsVkNh6+0xNIOjWp/SR+xS70WJ67t 1qexdnvYhEhyT0YkuAXBwCcpZBjoK2+aLLkCDsFDkFy/TuJtGw8RtDuf1GwQGc3jpoacNCTWRfXm +FPS1xj0IE7SmkyZRSljnLe5yMwd5WnoLxUOkhGh0HoSCo74RVUNp3TKcWVqa/BgvYzCwXxm57lW luwn3AvNY8k82Us3Jr9LjtAlKp7/rryiZiWGXQokQos2trSF7zLjqxV3fW4U3fujwS71PAwrpuiU 0++Sy5X0fbyTvVwNGd4+a7q+bM2QFsXQt6ZP0JszdxyOKLs4C73p1/j4upHmd0ToxGDkETNC0Lhp olv/UKssvFKLUr02IOKHe5Mn/XMAJOTWZnAx0Cc2yWsJgwXUdzvy/2OYvAvx4VN01xV1oemaxHQ2 IpyFiS6am6RZzj868h5ih60kpZLQrKdY19wxOe9BHc+/XIF/2i+ynouf+eSew1Rj7qEexa4ouaht CJPhli+lz1Mp1FqhWjAiLAGCcqdL7fJAhDwfjFATLJU2YoBW1lJGc+nU+B1svGFUVXw3nwzhlAG1 i2wdbSBWq4V0bMAbW3HS9noEBMTkvD9kxM9MHgbvwz78BHcBtUL+44yjeOmPjKIksqlmHXjtSMS2 JkIE7QUwQm+7IFnmnzgyqSbjFaGDqah/lxGGuBqnh1qBkXLV7YuImWQN6K7rA65gHbKup4zAjm1E 6GYbkVwhMP/AJVwlEJl9F7Hkp4ux6JTLcUtGFmLEZIHFdNvOuC6GKc2veAkUy57U0fblVmJvpvVJ kXnrZ/e4WuSmNdRNrKb0OpujIBjboS1i1mKqirs71/oT1OLADR92FMKfuFHnmmQ2FZ9o5oRnEnsn YIo9HWPnmHI6CUiQaM6xBqkVtHHWVyijckPZMq0yXFMmZ9KwRW+Vc4zMcas5wrxk4Y80n+aRQYCc 8zh+hUH0vRHmthScYfVrSaCTG2V9PZcrJ64BemAl7FaxLjs3VQfepKEJ6DFbuGPgjKD45hyoL+Mh 9w0avABoRlbwHUluAu0Hwt9uYEQytBvFb2oWXrItlxG0XK0XhHC4ZhQhXzbIrUhYCdAf/MXM+Gwp GCrAgrmqt8CiKkc+UC5L+Jng4bw6b32j/Bwe8BXiCCWedScZaqXsugvRK/ZRqPco+0UtXtfuNKru KnuVkSGLXcbePnHgvYE8tx0mY4Xap0hNM+iiDa/aPqMMzCHQYRwPbMDq8wLcOCC/SZa4Nw94vPJO +2dn2Nm6jDenfHHRaHOjcnuh9+rrkpfzZ16ZyQYxfG8dLB0W1JZJsYqKoXx/5SJwvZ1efmrvtLXq 3ynrJ6X9ewk7sCNgUeXHi+BXCIk3cSi6TWS2Lcl6jBwNaTNtbfOnZT4Qtb2ZYekFGdMiiLsvnErh hcB6nNgmbatDhbeT+FSxFV4mk+lCzkJivIRYpLqmEkThrWIVEDJxNrCqcSaFzLIHdWk4jXn4nzHa zwJUAiiyyu8f9fCiSayAfCJweMkPVVwaJH93Q2fVzZBZ/fzBgDBzLSsSWZHf5EH1cOLqIJEk7Oq4 yXLPNb6uwAzbGfDLZUOCXMT/tTBd4owokQKHn1Txi/V5F1xAX3N+rOLQ2k4qJ84RTsxKr9tlcWlB tiLae26X3LJ54vZrh2U2sUGHp/m66MJOEbDJYgsuuufENrMR6QUO4I+w3yw+wtnzfs+UsaaMCbi0 P9+T2FN3+lSIgM1Tkh7QuxBsQuPzA/2i3QRJ1rRK/Wdm644CTMleifLaqMiDkvpTHH8HhRLj3Y1r /aCrQbRsRPtx7hY/JOluvS2S/hsx6IPEVdu2zpT1Xylc/idxqO24BnUsWdiqn9WTtmnSVw16aF6/ Dw210DWXD170Nbae7Eyj3skP5YciaspDL/sX0zbk5KX+Ur4Ghx7L02SxZXcMh3UVLT+EqE/bOtxU R+6ROENrGDEwcpXpUMYgGLCS+vNTprc3vEeAsXWtE3bmiExfDIlLnaiq1OXfUTr5Z3XFpTk4TXYg mN3gn0gRkod7QvsQ8tfvhLCva8Fc83F0BodbYqsOUVbPQ4SguuX63qpNpANij+KUsuC47aoJX8/S b0x3nenkVMn5bkf8C2xwAl5KmjzuaYE9mNGpxPrXxoEeJs2vp5W/yUBwkEF8/YX7Rv/HzGMOXkX9 5N2ry1E8zsi4YcH11OvWtkAFg0/X1DqmsaEcq+COigRwEZzXz16bOq6jA9kZ+fOwQ6+5kJ/TOwcc Zw0gu8UZu6jxhOH2ZxLq3vlR5cWOPTRUiRbI+aNwvC8cQgymevKSdJgMzTJyK5D/wrI2T3R6i5Nq ceCgSsA/OeB82V3+PCNGjC0RZIqZgjXzyn3flV7yOwemHGFK79FsJ2JRGeRcSRXtmhPZWG8D8wEJ 0ZapaBFx0IQRt14DOvzi3kYid8gV1m70sXLfjP5VZ79xMnBJXH+ESZqJd2BiEK2hcrVytHAQEyw0 JikFRPwc0KsDS76SajSdo1OPH6v/INtB0PJsfhsS+HEjKlmaFJIgoAbi5+xkVz0wch52f0MMShRH CZrhtF5xRWdlcs8viz7REAzZovBCsXI1kQH1QI/cjNVK1wpL1l7GPezkTHuTrVDyFJLiOVolCRRS lERsRj7B8asYUhQIFnERklAKxsDJYL6QmWebMK3l5wyJScagaURRVOQvz5y/2X7sG+xHnaasmsOC zs/doUN8UihjD3HKg2rXd1wByEf3OyAaT1e5HNIqZhE2OD7N/PWjc2ThfwzQaVozchIOC/+lXaPS /1hsiw0ZvRbrJVxXfLnde4cj0hlTmL0Mi22eZwHTFHIHMEM7oMgWcTyzYimDKxS/tJdIAMdkPK1l DAIY8K3ZlgcC4oUj/OQMsYBvGsH8aGZdqQDFyE6hvNLUmUF677a+J3CSQg7rRryqlukBY3IUV6YB KlTu5OMgYcFrafctZPn4srOdGqKmLo1dajcj5LJg8B0OYplgUVz5eZPnW9ASLl74bbW63jLL6fit 040dcxmhpgClwmz7C2TLfgp+Olyur7/IYmW976Nsghht3hZeKkZuHlCUBONo6s/DjWnThtPSp/kK QHqzrq1sxn3hXbw7f7yz71CPnyai3RwllzMeb0kCsOd12n3zSGm+jrQQ5tV5eVBfNncIIg+68a+I CozrF4pUctO74MpzibcYDze3nLNkFi6mi6Jw+FN6WVWt1CbLTnAr9cUnMccQo0lGR/KD2zTbim1T fuqud9eXEMoI5WLbSCji+oYYoteU3Be70nhrZZmEyBZtDNwKOP+VqxJ+DSE4MXQU7qqoqWQB5B/V L9StIGB3x757HzuznAndPT9OinEes/TYyiMC7xQ8qdDy+8a53RrGl9cxj1qAZiywXHBgfdKKTJs9 z+M0sv7VZ4cGlofFKtcvPSUgKaf1Cs8zgXgjDCxKRqkVqmwNYIsvU2Ew8lNt+GW8EQa2JMTQc3Ay zHjnMX9e1uiAeCuLVUkvSqg1ltCFlMG29eWbRh9M73SQJSxL4+gcl+I3GKxdJS50cm0qATW2hETm lEMtXYdERf4JgAA1JXMoogYWPqVfQWdESHGGxqE00fYoEyu/NHg4xga37/qcZNwTi6cL2lTgax2v rxPM9jwY3a1zUsneOCvUmUhwQdszOZKFUoVpK/GWno3bOhumqR2wDub0BaEW2lZQMEhELnV2Yqvk MJNiHVMv9BgWhfO3q1ssj2irxUwx4xtuyFXgnvZuGGzFhiropeU4dFO5/bvKNKiPzHBpW4XhG0EA 0U+eWD3Xr0+S5r6JUroWuIsFSqef6RqoplHnv6pacXiGoaqSY7cOHWo566/vv86ozkPE+4jcCf9E 4iweeBktq97wXrMIObIpoKf/NY7kWrUNVxlf7wGoxAw7ohIDQvOK7awjeyWHLsH5zMQ1qd1VTSIt 49d2Qe0jJnzjIe65VCzniRZKbLVezAZrEj+q6Zzo6c4J+xBcOjvk/PrO9qKSuw4mtNyiWm5bEVWT eB2gFtLs94evebx/hE7dVr2CaPy73gu9MBI3aUtkohQn7faCrWx0NEHbzmmhM78bp17sfooVbLLO IBLycp6U+LXpwGVoIT2LZVO+OX+zXRZgctKeEtONfKM4iKORfI9CssMz5jZ9gMpDIiuOqUNpgpUj ttKqRoup1ZHejISkInCzFBenwmPIRY8MFgf2AtUjsgScmtKAzS/NtQGMGGARds7dngIWLTXTu6D9 Fo4kKQNhvRxzdWzdwQrhQe4M0X6Lx0QuGN3fxigoxr1xOPEJoLsk3qaYM81RazlSAQvLSqtsv/R7 k6yhNBcqnLDXLb3qGv6OJ4F8Y+DiMg1oFoxRdGtljbPrKhmu0q+LLEqBDsAk0D+VvDsP7h4K9lbi 76SH3C7TFm4p5Vxj6H2x2qddOK/in+7n4JQ6e+fbdafllG9kFjO5vpJPL2/mBRtb0cl0RExf6uKq 4OxfMzPb+kDOOMbHHGFZxpyILTXPfRcsWpZiF4BnrPWWIyirku2ZyLCgL5zl+kHUVFNxDKaOKaKe bSQz93Q4f/Hdeee8zLpe2zbJdbZyO4tRNvvu3geCUsdpCs7dcNiLsFNU3DLLYn5RK7GC2+Pi73DM 9CJcDFeTA6MK2Ew5UxoinhiAnjbrwnch8jNtvX6fPa9QO6PgrLWDviFGVl8R6P8rVHx5tVZ69GJm H7/Rlm8dOCwB57xaSWSoVFOKjp8kaxLd8sJZqTImJrGuE90cu6HTXNFxV1XdWZDihqtcZG3Zh5pv wwtLg1y57oBkQj65Lea5KDK9pTZZe1VfcjTL2esznJiBlSYwxSFo4xCtsCyUFVbk6Qg5HxUAC6qd mjD0UCNJEbvqYt2g2rRqwSs9Czu2KciKNgojf0QUIBCqV1aEeC/TcoYXcB1QlMfNDfTNcKSokddw jH+AyM6BwMhe/fUgE2sNLXvYeHXYidpMszNm+uNDkCaSFLMXlTyagXujvMl0amhwDhcBV3SeXI1s uxbXaLtB6RM7J1lfEY5C1dc3qD2fs4rCx6dTiX9P+KqB/vQ4yYKZIXHtAJqs5PI6ztYXa2lnMbdX Vnm2NI4P7jn7C8lTUZ010Md6unQ9PAEDjanJuTVJuQoQVWTaNgltHfDUDY8E4uTNQeW/L+pKepzS 7ovJg4Cmuj3d2iQ6sp642WPiAV+USVMbgq7Sq7/8XxPjZQD+xGf0lQLhA0VY/4xug5UCijKEAuJJ EQXbpcMZI8y8220mjolr8IMD3bY1TSiyu5EcnqX2uukNzetLgSAkxrsMqcIIcMEWir8Wb68xm+NT mTNMtChCiSE8Ci6NCK43a3VS6YqeOeW1C+BC5MjKuBpD7SMN3fhk9jQceiNDVvfSBe5Z42x+vzd7 uFeXqfTSaBrnA57omhdjzNrahFRYAMEQuX3kBCSfHqQ0drshtCdU7uOFBHNpeBWnvo8FyaVH3mLv 8743+5RFts0Lh1hPg2GxJM3FdxKSSHDbvuU4DaaVDj73ZH/Y25myITrazSCNjVnYT9h9g2m6olO/ pspTenNJJcF0W7u82Bi1TW9g3hb/D6m044Xho+EixMUKUauJA/Lp6Id5HVVf4IepOVLV5FtZc0+H tl4oNCVzJPtfYL8BYBwDFM/rEZDi5o30TEziC1IqoQy4R4vhSLZCBBbDGOc+jx+qP/KnIJeIzBbl 66JtTbh+iRMeT0E8D0nnWUVQwRydwHeOr3i3MWpNfYgo9IxKdPlJ3MPIAuG260/swO5rCKczYbAb jCBedmIbxt4GXco0SyXyVeyP+uaMVJO6hej7cbp4vu9dW5yrgGTzdf4M98ketscUBSiZeo9tMplb /R4gbt13je7uMY1OdoeKKo6fM9vlv/Kfe5SJO8iA1Vjr/sScqPoK5K+jtDSLaHBd4TK41nGVFZNF ykUEIBZghIa7ElBe22ZkI3sLv7hpob0kwaw+jGYWvramOb6iRm3Upm+/XbbhHGn2J27Tn0YhVrBN c8kUbWrG+JdDhlfSKVgbGKpIgFtNzbjXUkzQhYbEfRGyRccF8V1u1MVncj5ioLhNMd4FKLL6rVTb X7sXvRmU76kG1loaw538U0xeoQLH6ZBmQxvf34xLcxuiXUViUDiPlYyXgOoh1T0yUPrJ3r6nECji n9/U5M8r+Ivcp2lbKJIWW0npM75ArP8qb9kBtCKc35ne39NWNADP0UYf65pdDLh8LL7V+YfQbOCg 6j39ehB5zx5DpNMJnV6WitX417nc7hPY7VRJLYJsLHoGMKOhAbiap6Ui86LdO21Uiv1Sd/WGBz5D qfOxO6dgqFCdejVK4wKYJrpRYMUF+W6PaLtzZBC6gbiDZCgHqNybrqbJ7lLErfAF75x8mlWg2f7U DgvcPrDcPJGmsp7b3TU6MZFrdG1JukgKyAt5DYjntOGmpmEdIMYffBh8DpklAnxwlB6Xr9xGQOM3 66+xag8Tdjau/wnQLHbQqU8Ixy0wjK7hkb3QrNfSfhyuLI2qiu5L5kB27FBVdS0yNC0cL5kcv1l5 Hi4ZK0Isyt4HkPxCTh5QxHJw9Z2zaemODWEaQw70J7akVy6+6Fgt6pQZMGk6n4VzZq3NL6Hlwxu1 EYRpM7bHzm09JFvlMdhGQNI08A8uf4g6atjNQfSuak1Zo1Nxl1aL3Zx/0kYKfP5c6LyWbHgVAmGS zSym2oy0nBCUVWd2BITHnII1TejbR4C26LlT8b/FouedIwQlxTUl9OTFdskRwz4J5VE9Uw0Qyw9y 0Fcy6LM2fEBKrppYYkvW1fawDvMnwwqpm9hoTG0vGMFTZoO9CKmk+nSITl5xuZ2pYWXEw8ZqlGou /eYDUEnCQiFc9WeVD0HXVffLVwkXE7BwJRK+aqJVLsB/avOF6VK0Y+CtdzqIaSwuiX1KSRQWwsOf /cEeFWXhnFUgZ8XD4NtKgYk254A+ltxmirSgRQYZ7CYb9Y5rJiyazkDZa/sdcbt1cJZYlFmKh+Ed j16m78v4rGTTPWFRRO87PCe4yaqsEkXYiwxRHl5vObKX7HvGGyery1H9NqVUyHC+bFrwFre8oq/Q lSz472pBRYer/1HA/ap5kxMSaz3uqNSx4T+hrqO4sT1KbeFSuCOM8COos+2IH05TzFe7FdkH4WDE XN0R+i9tws7ML551Y2mg5pOF7BLGQ9UVbUaozAegDT6i4qDwRgB7UGBPvgL0T7hAITi2PovNGk1Q d3hT3gBG9N/ZeUI3lHWPzWQ8R/maqXB1BRi4pqBLop8pcZWaiFqKZj1in6VxRnbEK5DCMok9frCP 8NkDMKwEpqXJ6XsUH7ptK/TJpCUHfqgTFBWDHFFLb/Vjxr3M2jn2K5F3tB6H7mlhf00OJ9iN6lJu e+CM6ZBxjKCG+SrfVT7a/mLXE8e9n7/iuxEW3pB+Tm9w/8h9UMLcsRokXHosGm9MTZInD3WJVU9y nhpFp96jVNotHQmbmZih7aIeWcmEumy2iTddBaQoRJ++RT87pE277moErZDdCL0baEfvvHC8bzS7 aRcwfz//gc2BzWlgzdTG5e5zHID76DFloP1Ova+3UwVvK4L7PyCAJhZ2mgwzIYoKivf8Hxycd/hW Rwvt60W28nk+xxw9p6Xh0k69YXX7QQrwE6NiTHWKy7RlubBzw39XoECzSTaACcwkxTsO7pI8Hbzd Gu3m9WE2Vcw5HrKX3rled9n8C88tFvQOLrwqXImuz7h9/gT2L5XM2Nx823kw+/a8iENsw4J5OrJ6 ZuweMOQu5zaTiha8W41O5jgTFCg2DvIKTtOstXkYlyYYChdyELXFHcI3dtLwokEUOqHvkhGgTfEF 6dYurMdV/z7IDFkBxOV1kWDGcJE44A17TX04OwDMKM7z6scoYrBogb1M7Zh8VxVZZInQLHln4r5D LvewUAZZJSP/Ab2z2XoRAL9732vWtHQldrMiIBd3yhgpPduLkgdOiOfCsALnznLftL2d0ipGDMLZ c1oXuW1up9F9fFPYRzCTFye/trNvm8eaePmFLGKnF6T1Jc2W+sF/1jQKzs73wbS552LQjjmB0nAG Eey9kLPKy6rF1cTjNA1HPRTzNznClh1gc175QMPmsBVTrMCGKZXiSXonv2m6UEJlmnO5s/OyQaTt VpUutFPyj4m5n7KBSRDsXO6qQ+1/L1kLMiw4aaEGyFF2MC85OHPTaO7mge4YtbldK6Wii9lsAQT9 RFXh/pyRqMjQgPbqhDavHpsqRWVKOrcmyOxeC0FY9D8Lg60/RPE7IzQKbywsXLeb8Gcw5i/MdYBF e3fX7w5M5oKY0gZRdcfMb75NfVsnb25tLrqGQ4T4rDhgZrfOLHYxQ9hIha7YUwgXaZ2wDtR3Kr0F UIYES0F88ycZVDUKVGA4/31cEm0VPfnaRqYET6qR3+2vJkkj9bIhD8VL1BhpyDKTFoFuWtVf49kx NampMGUccCaqh8eVRXwQEJiwA/Vzqm2WGrJRUecOq8g9m+JqsMRqbJ5UMRwC73Ebw+MwHjmm9cXE o3erso0OvaLjgGnpj4ESym4IC8se+yyqDOP/k1yKZAO+sf4/ww0E9Nfrnw20Sp4EHdbXjwTPh0EA tCzEgrysu//0zKxfAS/9uj5apXd8cpMonxrQ31P5AVu6/yVSY5BuK82q8U09SpWbVBOvMvDVS7vV i62L2iYJriQUaiY5CwyKZcwNTSJf6qpCu15wJhn3wu4jCumReu7F5XCbEidjGE2NYOKSuqwnrJci aCCFl6zgTojMHE65GioR7vj0qMmmqg/itD6ABmUbg70tkbTlCd4PtxfE137QnFF/e1IiNrsVvuyC SWUaizr0SQ5mjWV9JV/kSdbL3VYx0ExuqDUFZ0BMD+XFk4+xQD8G+vgkNl4nso0wylHzqNfiosAL d2iIE+21AwrGuydWtkp9Oe1kWrZ0lUg2kfQKsyJGl9sP5WIZ6WBCPuWvda5kG3qaOkdSCe14E2ps 90cgSyMD2DN+warg2ZqdiDjXvyqklZtRwbjj2pR9CHmB6fYpaMvt3QvJ7kQo/WhJp0EaTwtlPJgw SpEW1irZ5DpJ4Fu0gQSbAn+WW1EfvIwGGz+dotpFS8ulOHgDrQDTJai+wSF3sKM3QJmQwkpEpXFL q0WOnlgRlS6iYda5f41TNlcjQhvwALQ9rx/rQpzNTiFZ2KjYxUyQiN/Y/pdMdZYVS4Bz/35/Q8D5 6txvpBO/60kIXfDMf7fCC2WzEOtcCIru3uZrOTMDPfaon0S3jTOAvgwdvHroLXg3jJzaMtObF5Ib rWxwsboS9tXgTxCK/AAYVEOL4pN62EG8aR3NzdZ8cq+j/rozX+IEgkcXqHRBTpU9LaKc61wZBnKa O4pIhB0XPSuc68ctfeIM1CJfuAwnX6xTiX/zVFK8tJ+pfdEiMucSmhZDzmJcdvL48jFC9E/a+NsH pfaPqG1/T4z77Y3Ok8zePoDU0XVQQqn6g2uJLBkjw+Iq7xAFNMuIK9Tk9addDq+jX3IJRqhIOVPR tL2ckOmdpUSfuCzqtPiHC91kavKzN661VsxwoikA8t33q+WSAoQB0JgJNqDqV7B4bp7vpXU8iG7j 9M3i9/RWN6n7KpckPh/QHUUnaC5W9oQtSBrtjyGJTk2GxNdcvHYrxuBlUh3ta55I5q8HkMjvkH95 Kv7dSIRka/CbbCTJHyU9j9jb2A5/7qTR8yKjaG9/YQ5QhLGJiTJlVH6StAHk5VBMMSXaJNs4uVZM N8zkho3tWRTHjQZUZAnmCtmld/AR95ymjFV5uMbOnaoknE+I/red6hdCxD8kzLjVEYw96Ow/2L2w BGE4o1qURbP4Ftj6FyM8HDQj3BQ6ngY3L82GigXupNbNJW9hb+0XMWrSHqzB8KkzB8CKXVW/l7cF bafyg09hFpIqpx2nRjH7dh/PwLuJyv7MHqkBLYvijBgHsLKrZoSQiyXFXK+ZDfNjydeOcjRn4G3x 86emi9H22JbsoA7/0sh/frPCC2RSA2IdSMRH2VLFJsHsgSijs5CWZOjYJJIiazDb5muHLnlolFfP IpQxZg8NypYGNLLL2Or19hgGhobXYXm0cG/F+cHXtsjftccm2qnVTkPWzM/aRuVlNljgJZlk2xRA QoBksneTuCjJS9pPJtK0Wq/7QU3CVV2jx0SyS3VyDyz0EDxOXYmMvSaF+niUwZzMmlgizhQDXfCf zL4ENOltJH4Ld/JMiOMpjBgw3BY89sRjr+fiPeYgUQkF/pRN200gxxU9kyhJuHzccvnYoJ+uVAxy Mhv1xnjo3NqyMtHJXGcyYeUSD4JfMG6b18BDbacsUDvOR/KiMRcSRcB31mVBkvdAUn00thPkUniR ddpIH052hZZ7KEU8xr/p/SfL66uxLO1wOzkDcaI+QVfue34LuRPcROERHYtqTDIAvpcW1p6xwn74 1A+smgB5DXyEle6E8uN2wnTeP1CRDE2XNhXzA9qIRIhB1IQXvcn2/NwJd6czoOhtVe7CsY4TixOU irjsgxRkuUWZq73guXCqHe2qk1xUdkcV4m3F7prPbdWe/QR3leGssxRicRTz8LqZlMKu0F084I71 +7qyAOACIjyEHaSb8+Jgu0uKmB3qMv5PyFw2ZtKGu0vGGUnziiD1zaXXMTw111Xi30h3J5pj+Zf8 PPnuyCCVqR8nOp7MWC4Rby1nfhHNCbUKaF25woF28tN+qqPY0bm4lJG/mN1ooZcwFsb+blfilbo8 4sbp55lpAxEoqAM1Hn4lrGBOua/ZkbPj9n+sKHcl4Gsab2TcJB7VzOSmzPsHNNL3JOXHnEhxiZUH NSQ4CqEFuSF+a/c24d/Z1tqgnYb6EzXEOUkk24YRKxNORpPfj8qhOZGN03AYZK4iaUlGB5gDTuRC nTKb5xrc+8EdlMs9HkDMTNcpmH4n44LjEW3msHQ4BGzyvhi5dgHQf/GQFXWC4EjM6vAfz+dG3D9B rO6hT35x1rwpQcmEt6ZkW9mRQUTjiqfuKk6EMKWELqfHIPHkjoIkzMJ/FHexVULVHKWJqwn/FVbw x8LkGGTjOywKgRVIrHSm3nMxYhv2dZSY1mqMRB9oo1zFLUe4l6HdV5GwIbHLcrldyG1sCPDRS7Gg Tiq4poYXyRIqqOlq+1s6d6ZL1TcuqlosAJKUOCxinTAqZGKLn3AsdTRcIqmkYr3TqOi7eSW/tSqP 6TypBdee66jQrB0TQrVjJTHie6YzChJnl6CBiuaWQdDwh2Xz4TlSlAbu3TudHDyDRGMS1cR8fvJd 3hc4I0WQpXmBv3XOMEbiblufMlZxKxhkUOt2VrbCmpkCMdpPnCJE8K0D5/gPQiOsfk4BcsbIwYL0 tvsmYVLfKnbXT+5QTFYhF4cVmIRKCPpj33Jq1LbR13AuvTr//kQYxLGxNz2szJP+WnGdx3Fu4z5X NCMVKFX+MvPGMsuF0HNJ90m9Cy/bCIZY4r7CvlQYIAkpjcAKsA94EMAccQuv4otMwFB+IZrKDvmR BKJo0XN2L/zMBwmpf93Q2QXmmKJaTlLf6hiIUPIeooQ/Ql5eKlR+e1Qb7Ibx4B8NN2fQDnBDK676 rbbSsIO7tJSXkyOx4Dm8wf9qfOAvrmTwfo8Xyd56QlnmzyVArE/2hn2LKVdRxm4Cc1c1HSRtAQnV 1yo9Tgeuew3MWp1/N8VUCBCNcZtbdLGcawFb0sSGF0qadkwCZivDMMAzKbZCF3gRJi6HkKwLy5km 0h9fiuJXripuaygCWLmVJCU5pLk1Jq+h9VqG2yr0NuyPYCRJNk5tv4v+Kfm1W1EH05soqMd/ISdM 6qP36Gel/2U/FTw7ofK9mX8ok4dko3oPYv6IJ03vqz4Gdxg6r5p1YMlUYWCB9ZiK+n+4sJkP9RIF PTUyfXg9GcI+9Dxh4vyngwPGsSbZIH/lDzQWmpnMsRMDG90k0ZV2mPxv05nzg5lCI0J0zjGINeGb BTh8MIiG6osWUXNn3Djyut3t7VuygiyCyhtpdemeDSs2PKTV4ZXV05x58oB1IPQmUrkxQRI+5aVj JhHuUSJQzk6M4Q0I4HUPJbo+uXkvlNcjUHMHt7J+hKFnxrL2MnV11GbhaST7dLS9UhRvmH0l1soV BPEBgWLcE1Yv3TZV2pStssK6uWjL0ULXwQK+c3iBktV5AfPMa7zfnqh++bTaPzSh4ZnZg4NrSWwF CLDrQ+KR7D5bGT7DQb3O0D8EwB2jvs17XO+LT8g62t7PBtln0SlVLvpepJA9nvtmU398TaomkL9f SWZQ234xRqdNK4uQYtm481XGaqZgLNjp/kh7azciBTb+0FiNcwnToXvxCNI0uro2TJS8pgyEJs2v jvVy9AN2EP5d8xPOxysQspKdFOok1VLmaLNM3rJBKPXQjcZqqs2SAOd6Tcf3tJhm5ynnI+dFYr2F aSM6TuxM78wnmUYqqwqWDzepu/OSShUY45CLcYKnhVnlouu1J8tQjDgfNC116ehwuEm/17ATwxeq hdlWaysws/hSFUbaRdEf+j9eD00tWRQ5wGq/JglMCQzWTr6EQPqFcIYBXozYuBliAlYCa4YGddQZ z4vMVb8uDKCnTjz2oZdUR+vFLWxbpBZAndvzBZI6yKDqBAnf5aj07vps/YBMwN9SIMm1N1r+lH06 p1nhy4adQE1fPIHobBafDgTQiIl12wsDB+40v2e+xfKU1DatOr48DkWO5K6d6CU+bU7g9itE85PE v9TXz+7n84SyMtlcM7nrq3CBcgy2FUILaOZ8/mFCCV7g2v1zljWyOAvJb+XM3VOUsdTG0UUfDdIM hKqiWEDvJWtO9zPxWyjplXdXFLYMX2Pk4mN+Ccpea1WyVHM5V5XUU6gy0m6WDvEvnDb/fQ3QXEr0 X8qHHlBP+rli7vL6F4FkbUBa4BOJOSk2Jeihwe35LUAvPq8igQ3lleMFq08vOn9BSwSJb7QCnD9w oCUdfqEkW1R7xpi1BFYABHKnwh+znU8gWQUcKUuOS41G6AtA6qC8fuJh0utxbVIFtj74D/yrgHYS Gv9LkYWX9YXgQSFoCPE7F5oYmVInAUtGx+14qi1a+T0oZuvNtoVco9MnIK07zUP1fniEC3gJbZ6r pgojz2gm/UvDuLIL+TFKngmNiyilJTZB8JN6/9kUtz8b3xv348FITt+SxPT+FDWjylOx3JTo/3ye NQmYX7YObRmpaH6VarqbJ21bFdrnw0ojtaW1gDiz0I8tKIqEaNTjNTFnKc94hUFKpnpYMilOTUVA 4LJeAdyUm19x4u0B6dF6K1T93BY8Hwg1S+v7yjfyciFyPkUm5zEBTKhUxFHpVTC8XAOe6KDEQOqj DGhqiuyFWy3+qW2Iv/DKrrdYd+XIVdWQdR3Ni8DwwIWwuvRgLwBs1y1PP/3LF3GJNPlLRRuNtV1m 1nfLztTWjNe4OtQUgyRWdcJGuC0kgxvCUsUJiAtxQE2FYxlr5NepTuTUNV6xruVi939dtCT6fPNf AEJfSOgu99bGBRbdAY83nIsFQzqCUj1TJTmfkp3sVBDD9TJncIDj40SlUYFrY0g2GaFe/H3vPhnE MOxBMDXihWZy9r5/fc86H3/3SaLCJ8W0eOsHhirRr1jLnHJYFo2FCRETTCme95vEqN3z3rupcY+T 0frPzGwHVCFdcapm86DhmPxBSTDpS9m4xYapH9tmE3R4QW4DrZ1ESE1AOXQGgQUb+bJSzpLqX0Pd FWGmYl/EUxk/FsQRYyyNSTMF5Vg7OH7FAd9plGFQYWme2eT1ZFKF2cOjhT/u7RFAyA01xqSRk4iX LLnN0rnXeun8cF/3+PInQoUHc+319rPA80IUh9fKutUDcPvpIlaP5T3Mp32lch9lD7M8iNLVVSum i/mUNb1TZALPl6ZMUGtsxxnPGboqwWK/gC548ZL0LJI1SvVzaRO/hGgYaelBv+d3M/G5BbD6OfH4 AYu2tJwxFs8jNk37amiLrJeNv0G5tv44ICnGryOHlktf/FsWeh7ZsohbUqFogpngu/cVn9z/OEF6 iMrvIevJ8YgOXnnferzv4haTC7lVo5bXJ5RVpzpD0XhyGGOs2W96zxQzBiyYCToYrUpAoTY69dbh QnKOAUkQpVcCGn9DagzI3yAfJq1rBRZX+bg7dOTE6+RyPuXFZZysHt7lC9fgxkrC0zIEv1pBNbo1 M90ibENsKuGEpE/CGYDDdcsfDAIDjCko2sg7CCLs97+yHOoTDVbDw/wMTKAN10i/lJ/gDFtu5RWK ClogwfQpgC5e2yDUeWd+GFQSLpxW826Gf5MeyXb+5Zn+aNutjK0yUPirlmWX+NSjJQ083Uu0ubuU owHPhWPTF3bNlj/9eaHsSuAn/ln6f3WTY18WYHGBcKMukIRrevUR2kkBNRnndMnrSi7I9t6d98ON hNm53lWtkSuYw77f87d06+TyR7ZzlqiriauQkmFrvvswLktVG0Pa/Hya4axfbfMHyINtLf1dIg4T g8sQ1FdFwGCnBf+xOSUPk7Barfm+hmIUBF7qwLKivszd9R9Buh41sd6h29lZBOoMQvtzLGnImAXA D3zMPGOzksQ+w1TDf0q3S8RVzD7xAzoJ89WDa1mUBQOGrJkdLoHcq0AQCF6YfGxPV5hnRhwVDctM SnFG7he3KxJNg4FqGVkUJn5dygo1cqtd1PBQF/+KDSaDZg576yCSb23dcVd/Gj7ZYx/f14ZmMFVC dafl6+ZIjySJkKR9FiOeA6a4f5HRyjTFGUfVANfvUE8i0F99g7ACQkizm56QGf7WZ+w6QaEcnMv1 GFPNJndKL9NMPcGBB8q/1nbm9f6WxfgY3faUBiEaeq3VNrTZ7hBpQUA4AL8oV7kImX2DPiLQKUny eC1ee2l9W5V2u3iIiNZGdowD/DoTVJ4logP7rguftEQCM1SpCfcNUqDcgKJngFruobz30gerArfs MJ1OFeyPtIpxRAvSHI01gmBHy0Gj71uHQ7ea401Smsiecr09PCFzu9GZXI5VZPMrhT7kS+WdXklS QfSGT6+ETz2AqEo1SIN74Mj5YhLWJvlx2NuzklOeLFWeHuwir9D85Zh9/iypqcuEaXe+s3lm08wN zxriyiCV2Ld6RsgzaispTl0RBnITSmYThTAioQvXf1ujUolY5o16aILrFBQP7Vz70WcxHZDRI5/l z1byhFmd60iWk3XiPGuuK0cB48dPCmgu021BvntVNiXQPG2DsrdKHFBIt9Dt1kVdzL0F4F5bapqh 0L5RBltGuHOTWUUFdL88DEfniKv1KgZsD7PJuYrVzWRsiVeeB2/LLVJbw+fboNTxTxEqoP8GzbRN cECjHLUHC1W0b20kQ4MFIDxf5pm2kFvBrFO7Z8ob5i7oaJ/wKXOxyYJD7+tHkCSJAh8BJtiQmCxe 7juT938y2xQ7zBZXAoqQyPuQlkA/ml2g/aodDEjUPO7WP7+KgkUjlhCg9iCA18zHrLhQ9t9LeXex zt4EBQpMLnLKB8+MUgq/FCkT4vNgfAettXQubtNgQ/49/XvEf+0SYgrPgA6wvJOzKvF0NHfzyac6 upy00Mr1+Koo4Kp4Z/o0c6mfcnUCIR4cWb08PwDYSjy7UXMbmyuII+STsORTjt0f+5MEVILYcaGw m0wTgwj6OLgSE924q6j3x380Lc3hTXA9riyNnxrUrSmUT5Ab+lAxk/PIJnpJD/Txis9J7eZBTJLN UzejY/vStQBoBJr2wFfdnOmonNVdyc9I11VNwJUFrrEFshmNmcwTl3WgBQAdFOi39FqD6aQ6xIGZ gaNGEUnE8bjwyho4hFy6JVNmQ7MGk9RLWmKXnwBHC0fnw2jdlY93EgdgT1ept392FRbNOyAPQZKv bkScb5pTH4hd2nwtMVUk6gaUaPykSGwAVqXX2GiAogU9zJIVNkx7u3HkELtfrPHRaNuuib04w0CI 7V7lwTqAgeO5KbOfF2fN9pVb9l9hwgqEMhZtDYkdhOYnODI8RXUhgchC7t2x+6k+Ckow1aCUkXWO ldRHRy3RCDrsvKjF3ZA3hAheLPjFgE4QexF+aK6l0M1zQJtAto1RIBxUB0jywDS+WHhG0EQ3G4f7 CDtUbByNNpU1qK5rJ+DqJnBVItZDGklUfSg32IWmKk5Xp5VxgrbGFGQ/Dm5OuNSRytCM2TCKTatn UEgpAxLf8osGgxeKyX2/1FBGRpoX93EwY3l+fzc05qYXmok8u9Mug1v46jlL9GGE/9DU8x5QN/S1 EeLI6chFYzMhIweSa1VW26q8ZHVJ45g1x0pISrdCKUxWRO3ss1DvPKrjssy6UXBK7huTzGrIqjUe 2+WjDGC9Qi0v8QR4vGtGhrYFtz6iu9pEGQPSKeKBzuoTKUL8UmpJly3K9FC1k6EyAwjWW02mNAbD luanIVoGlDQ6iV9wDMUi3MOZ2PZS5E35gwGey2kTfQ5n/OASdmjq4TUCRGABXybZCvPZtN1yRxsC eBKTUBCMYCUT/txXxZ2pZaPza8TYe4q4VLkMONP3NxLOJEw9n5UMr6lz+ZBtU+mAraSgVIHLUVs2 NN6cOUSkrvIiiEyU7+MxuwdoPNErG1UpMIfS7s/KejuiiA3D2rq5ZJQ4EA4t84lbAPhQRK5wzMCZ YvxXT5TLFi+J+Nw0r/BdSNW7avVedvgoOnmXHT4QAPU3KxVhKjpD4msdgpUpDMXyuRHFsGXQ3gz+ sb2myHxfhJJ76fwi7W7dyFqCdbTwq74rihpF+yPLMcdrXl9oif6D0ogyr9byA3GKsRhzNMzS3nOZ CU+Ks15VqF81uLYjAVUOv+WkL4foK5PDudeF839B15ixUb1w53WmSmuofMo/CCkqhFsg6pAOiLwo XlhVVYzrg4LoNCZHlzaFIb4EK2dFAVBlz7kQA3agl+qWsYQs0VPnzd5mDSt1l0OHNAm/3LJ8OIps AX3psaxB78z3vvF9pBHlQTN9MDqMfnNEnx4z3XsvkkMOAJekDS2KSsggOuudsKHLcWdNW/rzp/tK hEDbcDrQzHMukD1wGmmqmk4OOpp4kuyg9mKFuhRHuVwbcQF+NYBEZZXT2qV64vx5ndc/Bkl3D0Z3 +pk8EH32oTauFoGCLvqcjZjPFfLXQQ132z2sh6jzJPneE6DNewP1gO5d9Ry+2U/4HF2m33qoFs3A wTY8lXEsof9QEcRtRbNfmFD3jC4xl81UmRBw5vD2Im0OCZ+uYFzEL6YQgb/BgJVqrEknGyglJmwE oeISfLubuIupHV0Fg07YpZ/e2X8WUCRJcmsQe+GRX9V25jmfayjdgeB0tvSxGVE9yBNYHDMSrVkR ngY0YejSAYIrzpd6PDbNOtc+Ud1kkKucE9QeHTT8Rwko6UxEt4Cod3IBOv8DtW/gncs/dfNxA6wh /SLfOTZQHSxi1yKFdt8GicN8K2Z/sfsW/jxGvPkEk8fCEVLjDxOPc2kDxcaeV5ooH54UzuvT0lYM 3ec0D4Bdii179er/k7s/rRdDJLrWmre+zPJYDd2QbFhhofWGYmJBSRZjCpNmcuSYXdzgH/IhnrL8 WZKWI+208ZBGxjg+qIVlvDE7G8lAO2RQ7kZ5GtrkonnI15aOqrgt+mBT+c9eC+JX2pIrn5pSewfL wALrr8p33VwkrnNbSXgwpJrSh7VVGKo8/QIvyZTNzpY9YuuNe/+HhVVQmLcij4SnbQ48s1Xu/bx9 9akQLvtle/2ZQjpA3rdaNYnbV8oRxebXNe/nTYZY33emsOGCwlRNuJ3A8ufEZ2jacBaWNsKTyPWI 3s8nEsPa/bHs8OiTEDlXkIrZwaR7tdJEmF5mfqji5f7tGV7Uhoe+iL1sZQgZN5J5sx/rgML/ECBy 6pBKzjZb9ijx8lMt4z4ijHDTO8ymlT2BUVqrAv4IdZf64nMz7bRMdvNFm4JybLRABZyQHCV06wTF YCxN+sdFlTn5Adm0iRuQDyYyFDDF0lVF/AT6nXsY/xNROdD2sZqWcILy4WU5v/Dkk216hjMedtkm 2nozq3+fhYA/RM/JTvfjcsyIEnWSF2eg24HSLBYMbzHx6XIeRmeHAUzPaiumnR8AVON1T14jLdGX WyjoTlkNlOa1aaj49DuI6798okJv03B2KiRThpfQxaJVaWMpSxzdNtz/6K5kyjq0pZwO4uK0jQku AULaKH78aC0/6L5J0yJ4B/HntpUCd4BdX9kIYyaVpIBBJAzLwEUmcG3rCf7Laz/dpqzYyLQ+ojlR 20wvNmzZK44V5QnLNczgQ6EW9qMTgSb8GS6R8dWXi/9CvYRjFvnKkaJ3fgCL+5iLaF86VDbZmJqa yd2U3n7sWI/Zp5KXx6ef0ZIHoIuT52G+1TBGAA6vUaZVgDRQPowxFdtWF2HkI3in5u9fwmFkGiFR ZVATX9TSr8SxNI7MXwEoyf1XoS0uyhGNqntqumL01LkWhSuuw7Jjdwwd2A9SI6e1ysXU7syUhWdj YJPxGTRegrYYgcULL4OjQzR8XCGwS9pXxJz9KPu6vsKUIijJGbct0y4tkoihRNubK4GN8hZugAAx r8vDXDrALLjLrN1XQEcDEqVEcTEcgRvELTVcCQRqIwu53kX4r9UedwgO0Oe7r7F+wRyL6i3fGsbS wFBCEUBV9MZdPibzpCof5oUFcBnisKFkqArdpj1v7ZZNfjezasY0OnLPgun1yw3Tp1ixgGvQy2mG 2NEaHspsG6GE7odOdSr2HkHQpCauKIMrPUSFqf4fypUR4WRMRhjr1p2vk0vQvnWw4OZqu/s5Z/yr hlSR4qJxV1NH59M7l85Fxo3ky5QhUOTARJFd/QlPsRD9Gk6Pv+PSQr7pyDrdPbpTzqnmadHRYT74 ceVR/4/SawBhlsqc59WVKFmJv/7x2/58l0f18FQRhfWiwvHfktc+1eIhKF7sfjj3ougNtVR+cXq2 0EmG6baKglp9eB0B1rUhMF66ES6k3OlHqjif3J4zmmNdKrET1QJJ3NVdOe7YXh7cVuR992Fz0WsR AnTFRCwTUMYUb63PJpO66vZ395WT6UV9okITnsJGCavyDGtJjbiRjra3dOgKcMNQ8wKAuOlI1Arj Hqn71C0F0pMHkCYtKV8o2mqSelZJvADf839I10Pd2MOhF04sg1mm7WDkJ40yVZcJcffoUvPoJkmB F+EuGF6NrR4Fh0UZk3GLCsvc4KyatktzV8av7WTb9m2innWKxp08GN94Afeqx++X5fOKp2PfkmXI o0wI1khTBAbmKh6x4bk9VsCLXrKhB0efKuLSyGBkiNVjU3CmbIB/mljLPBrkUYJDZeU7z+VQjocg yH6GxJXxE7PBKsiH6LaQa5Jc9IUZlvDpfJv2LZz5xz6Aj4Ge6sflc/urSesx/U7kNXs071vUCnoB 0VXzE1W9vJ0k1m8jXGctoA5yZzgqs0Ihm1ef6MLm9cKwBVzwmPpJvZTOkxijgAJRgAhIll77XkUt MfBcu76QiqRpFhO93V0pTZJcpEtg0V+aQ6DUSuy9DO3P04tH6MLxHkiqlqZURCEjZsbPLSSQZE8B G6kgwfVOrS7OhInCt1BxETs2rPG1A41pgwxhiSpDkhgfPzFcCsTNYf8fj6l4rDogWp0yVSrUe7+5 p+A5OVFbUu1iLBEqf2gXsKKZBU0biMpAYq74Sx3zEs0cYRDThHcYy9J7bjS7FpujgP/0y25BPK+p xn0fd3wx1aNUTBQHdmCvb2OWpOcORV05OnrZESgYqcf70+6uXv9EqvEMoqVPz8kta2mWT0O89TW6 ldVHU0bISw3WHAEqoAqLRvMVr4WGHQYgH0V36QbcoyHPSVgx4nItMAAwR4S91SHgq6hSeQBGItai tRliEAG7b8f4Yp9z6USs9ofvsPzWPz4f5QXpHiNXjTd7yur5xY6kKy6d/bsivolhdJ97Z839UTTJ GaBLcLtgKDVu4ijXZwgjrDUy3j+fQblYwIvSHUfTDUrA5FqRdO37/rGdOKzo7DIZI9Y8+aD92IHy G7NP+aLdGhcLRc5OfWPVyxPefJSD7/k0dve1ykbGu/ewYNLk6VeXGj98VRVihxc2abnlGvWQtrcV wQif1Y11A1f7nJ9itnH/bTllLVSghLfP5Ye+xHStogS7b+cZ6zyPz9uN2sB/srVMnkaAbktJ69Cg eSIcD2bBXQhM8JuzTqZSExhf1Fm9vHxjL5Pav8ejagHud8XB6Wv+eP5jdDVID2B8ZQqbuaMpkxlQ 92mjsTdx81W/RRWoAxGKvEL7C4CZgo6pIuiN2E0tQK1kFS9LkKePoMWScp/79PlxkiFDSt95HPin tNWi7ERpcdFDKmE4aAFPrFNuSsH6i998gmZL73wAqwIbd22gwW1MtUoaOcA1BOFhOLyEN92Sf7Q8 lrvSOlSaVuas/uWZ64MFwJOlJ+ztVoHsgBTwLjZiYfcVekvH7UxMv4PAB6ypEOKkOks07ZJ5919I xe92QBssJuQlgg37/OmGkjsh7H6giiF+wCRcLeeM5Je2+yF4Fjyiq43vmcLCjAoKwNxcoHCJNiYt wRYzFeWSFEfJ4sqlkiY1aPayavcaWUjP5w7nwcJJQKLBrazZjVRBpaZ2UukDNddZBcHqOrbIJ5eO 7uyRVHcaVv2l34kJ71NevvYf/6gY+UNlWbS1M8TpnQAiPmtSZGKPQ75LZoufprdKQ9FHMGQxqXyG PUfq/UuEHADMJOx2aobtFblAOtvyWC5nNl2DGayz5G0texVQZEAYKvoXvs4J6RiSFR03B3tFTCyW J2cuzzOAdjeZCrL9/S6H1pak7pDwwPcXrhJSwA3nm2i6yO5ZxQNPOU9nevqRSkYUzi/UpZfWfQlb oN4MFVShfqzOprwBSuqMeJLlRgrIK29icmnIuTzDv6bJeCUbPiskVsYHmKXh1FlYPc0TF4gLB1/q hNWu6G8g6hSnEUiXNXIJ2OGdeKkcCPt5bK0KzzzH+slhOXi0NBS7cG2US3ilAYCuZG20Q/6NfkrU Qa05EOdcR9eTeTEUqzVsDdo+HOAcuxzcoKTQ2yqZsS9c75nE31qohFsccV1JnfoKy/kJDhzt/6N5 NpZ+o7/EthMFYt1Tyu+nq5Vqu7gCZWGW4mb4EsaoaqaCBR6IrZ0dsC8z8UAzTNiZgjGVqZLPDRiP THbZmdYeZbnRQgTUKyiDqG68TPuWtmGH2kZVqxGMg2kTSUWP+Ao3bcZY+D14jkIQ1etd3k5XaQlm FZKB+9CWfux+t4x4WYl0WliNp1McisCgPsX6Q+nleEAuxtDq8xT83GUXAnhIrRlnEPUAtS58vvje e2WBOCHjwEICs5vYKOAzr9O+8ehgmbyhbRoZ+/FX/UEYO0dOdyZwj+efU54BStig4cE4iYG3BZNI tdWyQlFUzq6mt1PSjS9TCothHw7WnMZ25zccvkaCJFlEt0Zc4d3E2AKsa7vvVGwOjlwA9Y3ECfXY 5GXkfGl6oKXsL48R09kyZNRnaN9ww4dQyzTgA0kZJj3BRmqOfRATOIJP74N01S8zymxq0tNPuee/ UTG2VEFqi7TbAEFucs4d07poR+d56O9bCHTZ5KVkKAb78Nio3XMfl24SORp2GViJj8JwuCf0TdP4 Tvq7UmuKp7vKJwiv1zMWVwBlsMHVGMO7kZYkKW4JcG7y8h7Bx4CLwPHoFUN6p3KmxZKZY/01AeqZ 4tKgYOGs97JlyVyVaeNsfJQcH0dd6WWR5b39Uc75wFXghz90DyqW4KAAUDsdcA468oodwLImiSGC W9mnGHd9mVierOS0pHXW17Y5z7zp7h3bd9WwKqr5Nn015DKM84BipuakOqD+gnTp6NTjxy9PRdl0 VsfSb0WvVGe+JLR8nRhPUxyEdkkbtVj78NW0vAJsqWRb5cBiVzHCJyxzINqCL74X6P0KqrHeAGct kbvYh50+MOYCnbTglru8G8VhNsg66fH8F9d1MgMPUFjZ8LnbPSSt4CM1huSGMNKbd8Qc2yDZ+J+J L4Sb5n+PUOIr3jXiHIxouQlZD2jXLYc4koq53bRSIKBucP2SkEJyciOI4BN/L89vOXcxvwpN/4Lc FMO2oFlcZTPx2uCauFRbVdsAc2Kj/u+y3OU3uFf8uL6+BDaoJYw9jzzLF41eE0Fdn/aj+wWOJAgz klTpaRSaxsgYJhsqo+E3H38ECvEObUxPRPbx+Dsp8bGWe+duGFp+FU9JpSpxbVqRxPnwSjmtX4v8 A3lURSwLfOZcb1iHAkZZnV6CGdJf0ASA+P7zMd1cpOW9f6MRvqYylK4Ur7YYt0eT9eIVGNARUS6f V29iRUciS8sPBLTeue07PNBbiBAwjIsPRBjzVhZqww9o5OidR80kmr0K3H6qBMwbhftyeupuKpLI zmOGhVN5eOm680K1IKCdi99pMeTzclk/+bbsnLgVx2MUji+DQRzEZdXORg12EXQiJEgjRY84Drwy a1hyxff3Evn4FXQwBksMc7mbbwPgJL84UGZFGeimUtaHePBUidujJYgep4ngi8+oz6bnwEgl44dE azPKltsbsUgGxGkD2AT/d4MfxVEp4kuPTk+nQ9Md6ErrBlJqHY1WaDS60/JpON9T2S2MIvPBY0gl fCO/hTbFf0X2VkVGeF8z1f76LmXskv8PB+5wB+kGrz2D2ZrvOXZwDCnUtHJFucxHoI8e7WSxz6bO u2fT7faK1K8SmtdT+YnkKktqA+5yZpAk+I/lPlchG1q0RP5WQY1QtnhjJuY/2EemJ9VVo6MeIFor SNm1vVSC7BCIQVsOIAyofCReEPMi5NDJPaTUGuYu2icezD4YPC/nYCm1bH9b9I7e4WTtwmy3006U Drihyn5ZqObJcx+KljlZO2nyeFYxkanrQ1WOFV/EaA1Uz3z/YbaZ1wl4HkUxTMuP50sdOdMqMx2W +xNZabPX2ThlHYtgsjDB7zRwBXgzsR8a90e885XBL9gkwBmi1NWVunT93dsrDYW1dUwf5uhDw7xz HZgKZFta/NIMtCD5QrKPa5ZfARj2blDBBKz8Q+frCF+d/jff6SSQVoTzxCLudDfhsvpn1WfvwdUu 5Pb2FhoeuNDxDDJvhaubQbxl76uaQdtLRj7PazlPQM9gdY4sJcOVbU//RmQDaoQ7rGSrv6Dpq5ns fgMh13QMIwS26PKfYcM/tQFjp1hikufUjEPbccb/keeNkLZWkm//HzNuZwZPohqdCd8n2bydJlCv M2xyA7IrNikk13aFsgJvVZYKlfDyQJtDdUXPlynd9s5SUrkZDfsFkCevanb/ARWDkY5/xwE4klax IGy7ONvn8zHVIRfd64j1qJfRrxKSx53Z4alq/0NdARlPCi1TMRtev+QSH9iXKB4spy0rN2nnkDtG lxCiE/SHYb51kWUbkWP55Ht85rJvgUEOY0OleMt+PLPD3/2TdLuz2IuALePci3fIvcc2Tt5D7RpZ 5eVxlkKlJiP44VGoj8wAZ2aDIOLzx7BTQaI81NiFcWrzUrU34odGb3oaIPPU58RalhVHqiKqWgkk DMaf8xwChALg4APMZ0xqIhhzJ4a+AlqwnLjazsvRXHa2d6oG6bXhn5QvnMUpdl80mVWkA6v7xSq5 qjikfjkGE+97w6qZKoxuuKqUtQpmUu5UABneNUMjQCywZSXjhgH2+T1BuezMC4dzDl+V9a3JlYLg VC5sbbNIhCeHLmyuivbxVSNCrsax18gXxR1dveVJ/y6BTA9cy5rRfkwymPvBShSeNhPA38xsATBj bqMW6OJ0kM6POI5u9BwdteUI9q0yUQtfdv/hnYxy7DAffrdm4/HtgbvwBdex3KUtTHDFMO1QfDIF 9b1Aq5a6hmueIOmQ03ezdlF+tIKdR7n9W+Vb4L2JPLhash/i/wip5I76igXYTmnDrOfU+alLQCiQ 5BEbHbSJ1l/rjaRmrlPmc/kDDr6eqA4pdq+FdeQzn4Kwt4tGFdaI9DRRfQLGJoxu8C1mMcl2IVno yrZDwMXb8UIwM71NqtAUm4bB7RbQdGn+CYxcL7Q771GwhFOcw4OynlFUBCjMvmEFhqH0ynVThdPA JXLo6Dvnz+tHNssbL4Id4MYmsWginsmtqgr0zYPsGaIM9VUBECPlUpY3NSJpUwQJ5IajCNZR4kgz wsDel1EGa6uV2F/yIiuntGEYyYcLCfzhuDD/mmz66j9fhf9FZ0NAjDfn+2OUWOKIrS6gLawx1Krq MP6cenYO2sSkWonmVB98eHXa+aVwH+h4cj9qNEWZneep5zEMk0iHaY7+vrj4yDS7vFHa/2HdYMOs q3HhRf95UGehX53gdzmztfyAt7w0FJ9U4llzPzafRmCLkI0jmZRTY8V7ViWthCiP9cWCCPYG32F3 IXYxuav2jX069t9dgOvwtVOIqH6dLrCYHkgzWMPFeHsLWxRzum399pFghonds6ppWGzSPLy0TSFW tPBOgESpMm4DyWiBKDjiQ4cz68G0HWW/Vibh1zC9R0y7yGvGTm3yIHM9nUweJeRv/vT0GJCM7dbC FEFZwUlHnXQmlasrYxu5wmFIWUeAKhZvQRZTXl1+zj1H9IyFyx2WLefUUakdp7ZwfoWanRCX4YXz GzyLiAYlR7XLCfGAg1gt5+jEzJL60uIyMpWmjBZBvLssLpoAEVZc8rAxpePBGDOb6ThWhFu2y0mn qZarjchpskMD7sWzDmvNlgSWIrWZSk6Ex0Yu2SzhTfj2Ep9ZY2DLAf7b2cY3GmGF32N9bYMMgw4W FmJb05MhNwvKLJWU9wnnxW+m3xFZC6sEECseMIShhcrxAAdrt9xiUym2sGbmd0jO18upivkb2Uxn cr8ePpcdnRXJ7PGtgnB/yzODWaxEoM9bRU2pK+/pRnaTfy1upAWpWSEbLcEVReJ6py2Ai/uE3x2O ZuxR8AZ59ndQniRPy4nTWJ1vZyade50W7Ir0nUbHMXCKaWsiGrv51uMakGrmuIDPrw6xpYvCvA0X p8e5QQ/PB0FfWK/Ln+mFhpyEI9D9CNe5nng6XfDyBwK9kKK08TuLZ1ivjiAfCcJ6/zA1MrcJEwk7 OfIGKqdPdkKLfcq2GSUMq2UE69l8d1z0xWcABbJNIhfQe8nrbw2MfSWrIEghsPysJry4he10Lf5m h6oyVkQZUXO3wJ3EvVftSz9qNv55ddmQHaycMYFxYj0yuxZuYtsorUf5YbtK3LR7DoGWge9wjA1P IOpGuWO35SMswDuiTaxmpbNekFVjJIbMjntX/GU6sgmwBxTiys8kQp1xPn/I0bxwsSmz6XHjFD3w 7bw9SP+gQlhUFqw60k4K9tMh+HGVZL32BgRfoCZRL0bMpuKCN/vWBH2Yv3a8RZ3X/iWtIKM6Vjym yYiTCLayVY3voA+WiXQQ8sGj+L6gAuP7WF1C+R47uq2it9WjQGyU8o4OHOwOHZm4dXGighjIjyr4 pMNiyzuzkMGyyWjOuBIq9POHYGqlyE/7swVzPZmhi3zF0N3qYorUDKnqN42iqvTCr9D47Xt+YPDo PbyVwnD43T8J2hhdzHjavPk3nR+aZJMbqe/jA9Wp+pcmARZXaNIv3fZsfVnxoLISqw5rvg8MIlcS zlli3MtvXSNewpCew7ANIvg/0uSN4CE6UAFWV+RZOB2WvbWFMTPm63Q0y7v6e2EtORQ36o/PRw+U uSW/Slg3iNevYNlTMnftIY4JP6vLa/AyzwXqQFQJ++HiQDxPDTt6fewVLfc86YrhuYksG8UiPVB4 0T5SQAYJydPmzOU3bb0+B8w0VG1+2XZoq1h+Ha38QgPjwYY/3xrZbYfdrFnGwZQdKZPJewWLrpUB PHudxnPe3FscgifGZG0JxXctMf2iCf7QxE+dGsQivLo6XB+o5ZkVCWsrQEcAMiDeLyXVS3wp0mXM z7DIvaj/rCTY5KLkooeYTBqWkytja8zCE1P/m3rqzKoH3TQ7hLsbixgM4o0BJUZCxdXDW7ExCzeD ybf65oKnGk1XAmv1XpLe8xUFPH8qdogfsTF9v0BuYZjRNQrZrgIxVqIm2PlxqIhv8hlG6ICTrIXC +N7XDyMiE6orS+XMK8YuQBVxExzqANkdmVw34g1V3kdtPHDXrPRREBSEoSTVufmELXry3OPoCFMw UADZF/zMWc1rJWQqUw0o4L/6KHw0jT0ByfVQ23Nb4Vuv6CEFGjIJA0fr4TJHkwKDGE5uxWYQkxBt YexfUj++qz4daRzwm3qtPSt7mYrTZFrc0bFjGN+vNrTGKTuSRoRFxo56FGM/vP9/1Nyc/vEK1jpH QfNP7WIaXJtkadlrqTPbLeZmPHCvzAuZjoEmUdTLx/+IPp+1T8yNZeHuOWUSFnGZtxA1pcxoGGkw nBkyqqYilfd0BcfRc4tRjQsQChBKBVsLUQVTAuA7eNY4vompIUCfIqIGOs/gd8FJBOoEALrNyn9m z9EAor74nX7bbKxPviX70sGVkmncxbzL6zXrGqURNcODbWNu6XHxsKI9Rcwl40vRzVSGhsWmktWk TaDhGXR76iDUC+D5SuOiy79KHFVsCJJLSe35YZ9mPrkJvuFaW8ox/HLTl4UeE4ThjXtobGGmwyhW mbBAD4l0E6EHMR+6g4vmEmSBy/a/xGfIT0BpH/vN1yRCtt63ABCqDwQlendbxjAkBnlKzZJVXOpA 2LQFmRLLCRSeNrPLaxTH5HFXeTR3dg+m+J/YUred1Pa4KtsmMuACt+jnCLu0Au/3LcdVMd6NjL/B JsPCWagf40LtMsTsHjfp2O4HG6E3NPQ2F5ZnZEog7cMLSjXt3SAZIVZvaYTDKAWuy1n7i0VTX1dU /sDjB5U5XUSkzWfTFRx6YS2M5dAI+RS4wFKRLAem8Yl5kmtow6otDe7edZaqT/YpcyPfgS49pqn/ ABqtpRAvaXOYcfozq3HK6gLj99Fmoi9X/YdGoGtHx4E5eB8+Wxltc0fG1z0yF0sknAC1S+H4TpW4 NRJfzjd+eWZrkbXQB9wnEq8EOaBbm4SUFs8uy/k6jioEII+mr3DxUSLozps5z/fuFYB5gEm0ZPqL tgStkL0UBIWcnp9ufVT9uDbmk1Ro1ywM4+L3dXyW1KVFunFYbigZph/V1p2YZFzUot7xMNqqE92C TWMdhUOifxTVxgwADSBnebYToOgkkYQCkqtz5oOORxvIOvSbfGBOJ6ZbIfxbp+PCR/0KXGg66wie 8/YLf8KYEPQfm0Jx8gdGX3EbjRXTSO2CoRekfAkSm7SOhvT2fgMrBUzG49SECK4UiNLkWB6jm2qg b4zn588QHKfdy5iuoyTcpr9MhTUMCGqyMOuQSRJtHE02eaxKDXRTAJsDmBX2xGvUX+jqCsU0OC8k CD6eNK8S5aHtJRoXmbAcKReSvo6Sc6pAmsczrVASQD/TFmWL3Spkzm7WtWvCOQaflZ2Mo5+Dm/D/ z3fUuE3+nWSmXlbqdarN35xRtOshvuVUeqT8A8yTO6bnpFAOQqCHVfMSlu8FNdErI0I6bMCZs5zd BTXO5rOaGlqqnloq3nOaOhk4/PhgDnstVuUg1lia1Ig7yacLROUMa3IHjbA59XSfshCJPg2obQ1y G+9ROmlohK5rX7BKEtuAoMMbmPzNrZtZ6eY5nAEZ5tjer3l+0lOdEIAFWSW/Zj8KA/VwQ7ZEtQCT c/sjUzRB4dL1H/XqOcb8f9qZBmCo+dnBN+woR6wLP3xNk+pwOejnnldAGd1QKOKiUjUnPVun/FPW 7ND0FJtCjV2WF0ywAJpT9QaLpdDOp90SbNzfds198LUA9w2VGP3m6cuvyuNc2e43JmWasbqTKe6B x1HmyeGKgkp/oaqxQSNHI2YltPzfiTVvDuPJXTTDoI6RJKwrtr2c3pCxEwDIXsUdbMxWu/wJyou1 mUFJY3U86N+kgiaW0oAxFZyrCUY2EIsKc7yzSf6Fsi2bPP4yWUnwoBF5aYwsfCLhMLM2TmjedFa8 XKusBhXCbNPhkETWTfR3/xC/nBv+o+9+ZjQ2zU4hF2Mn+Ld5X2833MhSFLlT5z6++TDNaJC5bdFz 5wYK7EKJFbHUCOfotMXnLnn7URNTqmHks1dEyK3UBdUev6oJg451kTfUDTjvT+HHaTjO/OppebDR JeybZygLuCMIV7pSFm5LKCq1JdA3v9EVux2h/8ZPt9altSQBdiTV4YLnC1xBVagjwAXMqWbaAh+m OX/Gb6yvwGyU3KPwXr+uhovlIH8Dg5KGVMBtYctnulPbnjHCAMcSvP2/QWv0eDKIK5IdQVILI5Ad wVepNanuDokf/ta+J71V2vrm+lDGgmIF6EYpW+AOSFRwwiRQbXvtjck2j4+9EOABz4zqD2ixbN5C YL5mjP6Hn7AY6Pka/LCY2o6P+iNAbFdDZEokvlvsH/w2YwQRruvsOlsng/Ux9Wm9i0d/KcO8Cl4N PQg88tHHnO4hqaH10DEmkVMAugZ7J8RD7D5lyyfSrOWoMNzmEG1B3+ZDwcViQQSre5suoyQehAIK 6RPr4w1zEn9ta2gymhBOS2YeSIgvRlBy8sMuz1qrx84HDWzS5OFMQqiewE02/eJFpCNPE3b7vAGm Lb8A8BOh0wx0mE5/0LWHQwq5K78/XsB65iU+cYG9Vdu/gDD92CdDGCPIeozzhCSnQdc0FrexNhIx TGuDWL/E2Vzm1cGvFyy7Vf+y7qmeA9gvTU2DiAvtjKyJC/56hdazSynUH0qxs0AVrl6sqdt1+QCC Hre7sqC7i8BE6evR8MKzlsT6V0haI0OTu0PrJX89i3qDAKAXVb4kml2lfF7g4jwAncbwNodY3644 s4HMvLtant6HvvXwrIEsj7IpY3RkqB2B7jigo1gHavmNDDujOlQzOk+YvMqpSUT+NAx6q2KvzK5P JU7T0GhTFF5DKj7OXjpyVE0YSxQspbJK1uVYhjnDx2hDMjlBTMmWuYajUnh9BQKS2fGbDEppzEoy 0+/5+HVaZIoEPeTB4CwEcwgfn+oEiJyKacg0rHhyJLcCi4p1+pGS/3vfN0V/BIcau08PWXdI+2k9 5HgwOHa3+XvyLayZr2P50GktkfVYlC8EAC9NGvSkZG9yUq3fjZXr2ewlImXdHMyPKF28s3vRUa2Q PkskPQwJircn68kndBlFzZFQxjcfrC223uMvp5Mc+jyZWLCBWC4rqaOeGvryW4cYBpU6ArSn38aj lsst4gBy7HHPkR2w+IdvOxfd2FZ2ww77uz35AyS+6JD3rECRJJ+jQyA4ZLpcFHjfWJLK5jMi8DJ/ N33q7CtBFJ+ztK7YNFn9ZeLXbBq6YcarOJMLjey9mIrThjBzdeO4LjMclatN/uaRDSy6JjL8n4yb lSQAPoscwkEe47S3xPZAd2w1uBKtIbZJG8JT94ERDUf3mKunlGsSmcGewuaVO5GNoVqjBoqpIX3P 3t71CjXAmxBCmeWv4p/hkee3mBd+LsyI/e+/kk/Bdyw9aUWVKBJ3rUMjNv3P3wraz4byD8hQrjWP +vlhkMw0NM9+kFInXi+3wSaihYVWkGKMCKmj0jHTtQdNM67KR/x85FyUcbARR86IsUE3vlGRxxw/ 866ItBQQPmJP/ziyIUe4J2UXCxXKaOAK+RjD+rpOcqcLNjy9cZjCGt3oq7F0zhrqbkWkS4zv2P8e Jn1BX3YV8cZalGtnpSdm0lCJu9pby+F7CDyaJV9jQ29BWrRFxXQGn51sruusjODIR22YOKX9XGwn 8j/y6gLtEO8tpQofZTmgiUEkfej0q4POtfN67gINYTo2Nbpkevkxh6ApSgvy0CwO1TJDJuf8Vzpg wD4F0iN2NJ9DAxn9y9wnCf5GUM0N0T3oOlKpZS8oxIe3I5NWXl1ghmr5m1waF1Qbno14kaOSHPHl 1KCMR/DpVaCTdZrJ391++gMmZPbYnKW9JvGC0l0QL6Bzn8e52dP+EM20jyyNpXXL1dQAlpXAnGNn TrSila4plAZiSSP5p7aGUmWSSDo2laGRz/K9KWQjRMzCBV8ExsknugChZRbcw24RX792Zgl29whA oxQkri2ymNbtpr3Yb2r54o0SzvT4jdMit6aQg+jCbaWn5QGTEFNg1IX78laygK5eGfXW9PN/TOS9 dKA55fHkgfRMnF2CX8sc2AKdzeIEb9Ep2aqE2HWtwSATZD+oA4d7ILr7OJYnAf6tl8a3JwgxvshC 81v1WXVMyeYiQJ+d0iHsWSKXPuhGxxk5NxQpc0OcpWyFC3xMq/hRFcGf7YTFw580osiVANoVdLX0 CwSV2VrjKAWaBFpxVDa07exSOSCH17/pme8kNcVjPJY3c3G32rIvFyIIbO2j+VKVQVhT7P/al/6W KRldTIcrCLMaloWjIl7ON4h2l5ia5+E+vus+InJAjXzzgNO5Yn5reyYpDFid9I/diCtnMp0TdQ/b O6/P/A1Jvb87OFgr4ZL0YEpvctoZKKEz+Z3tAKPK3Imn3pzgGIz1nvqUqmTjnepnrFqHxMgJ98fP 8sDZtvcVYFTcpSO9tubTY4lLBmp/cN8UjVi8jF3R5IuMWDw8kWXxuIklpsR7U2xuUi9aJg2+ZxmM SClyyOQMKdrJUg22OgBJR5cgjX3slGxrhZhA5XSxA42gBLEg8y1vgf4Gpm2G8pweA0Uw2z6TP1wk zU7xIE61hUrwjNQIzxhdcoJj2r6DAO6X5xEtApNGl+8JPL4i4Nk4YJNdG+2q6u2UZlTpqpCqP76T RR0dn/6fzyfR94xlR3Ltl0nj1Sy24AsAQRM0yToTtRo2UG/pExwvkPdKz4i1PsLzLAnJGC5DvUim apLVdudf0A+TBTTZKV456FYGkmtFo8BnjMYqXdnSvLzRbaUXctxGomVt+RQK9jYYZSgO8kb3/orq UWIy8aFMREW4oBvrXG3JANYQIVai8SgJ0/Mf52k6SUOz+16hL2FuCnVNT8J8GPI0LjZWmgpwjwPa CDVZbh4irXE7Sxc+RqfBMrpLaAzlYdCb8oUr8wCgvn/9Uwt8Rq2F0GIKz7XLmGUQXY8KFU9OBkkK 5s+ZU+ws02CqB0Vrft87ky0osPKvF6FsouAeit3DSZqagVGtpeIjuVW4hRszRqw4LVwjJThOxW00 fWe88A81McAFF1ftC2EouRk1d+E1T71MDE7rqBFHOBExO3AEwDveLzwP4dNRm4lgw2D0WVOt/sJt UrgPCU/O+eTv7yRPsIW7wMSe2muzKCCuMbV4iRG1b93q6xkNvH07LBFLoHkgvGsl7xPDTwScCEc3 y2MjWpuE6k0+FiYFx3Jmti19VdbrAU7z53XHvOH6hkbCUjSfynx/Tn3qhsqCQSDfQvMZQgaKbZlf l8yh+Ktx8CwaBAug0K7jzv8xWzNRCPMYrsi8jzkFz740zDca9FbCPT6e/IK8Sd2Kii5aOywxPCEB Vy51RsLYoCmYFOx+s+k4e38aR3bmJC1ghQG82WUzBuxsMYPFWWZrCGh9AIboo6dQ9XbMoVSkJLye lRh0kQYflwt0Q48XSLk+VT6/krxHwUCu+SFGFrQILBdV+GjUxgn3KEyB0P+msfMQKyfKHbxcVaY/ PjwRL0r2x+gSDtqQTV6hzq68x5XPeI2R2i5zgVDmG98SFA83LQh5u3caVjQP5GqN6dsVhrzYvCbc t2AXr8Wb/d6L2ttAEptv5/w5Mfa7cNq3gsUBXB15hDHhzOFP09FnzxW+kYM7DVymoAPSAGhyggoB g3laSVj+pTorcZ3nGgMhIg73iP58uqa+OJB3bVfMbS6vD3+NfLTzFkx2qlsRdBoywqcK3aMtb5Bo LpMiM0DN6G7hCMYBZgmO8qu1Rvnpb4w1ns81bfBnyrRWAxqm5aalX2FjfmzaMU4HHTOsGSNqbeC4 uw+9FOKDxZHK384auy7mFp3a9pngyi10LsjPxPvpCZvMXX4bb4wAJS4tMxISwD4o5NccuX29Gg3C ttelW21kyegUQR0T5FJThkdZp+Age/mFSr2GXGa45mQjNlEIV4jG6vt62g0FeT3eyN9crTqkpTyN 7r9G/jVZCQXSCdFY6LdyQ6n32Y9m9VJlL9P8o3829AHJdyTkK4SGEzEGj8lDcxKoprN42/VpCRL6 fQsvD9KTC6W5I1C64BC8IyhHRFPhIe53vGuiZXK62g5BRAjZCX1CEVUiL+9bsjOqpHw47+7s0eci 2SL7FZHIdLBHEEbjRUz1WFE6zs8df7fHmqeu4xOuXCPagAvLP0rMojKA/07gEZFHhzRYlrVtVMg1 BDlCNC/AOr0Gn8Ui7KKV0wW7BcSG3L4Rm+cvRmSICrgjxQM3IUr4E0ECFttQ1Ndu8iWKawxlBGtv ER6jDHoFK/BzMgm5FB/PhwtxXpCbsQ3HyoKz3L+5kIJXvRWzySLa7hcd2/Ov8IMa+TUKh23H8x99 xpYzYpuqWNAiGv7Ilj71seMZwsGlRss3h2SQBZ2dJ1KkxQDMsfPLfi4pfvLRo4GvPLUSKGY68PsW CwrJLPgfgNu9leGOE0Gk3h3xfPwe62yOiqPFMhtDkZtvu4PLNf7E8PfN6vM/+EjoJwxJwdob0B16 K15HNbzi/pJTsWoHTrBYbuLhcZ1UEPBv3F5NWkaqKArd3DSLaqNZYvp4Lc0ZzkT9NRbu0JmbeJWH ahNkUWmKucnCi15o1DwhRwgVu8ezFbNX1ZSTtrAZ2LNs8XBPjGQThYcVmfzckmRgoVBXOBv+24kF So9kTmDBM8MBTCjeNH5S6YcgEKIa9GWAPFRKtWOYCBqpC7szKv/JDTTVkAptpAGH9aEBtI4Bn6H5 tOVdTEEnzn8STFdG58Q3FfVIkjk77ydv/EvWZkG2XJyuSuJbgjMdglL1iVmRfG83HwwJAnu3ktLh I4UNET2z051poTyReEUmBWL3MPrlv1VBnThmLaW7VWU8vjoHYwZVsFybqpp3Qrq0n+xmSwflbiC8 DTgG1MprgKgjKx6ZyXXGY2SDBNkGKdllPvOL6Q2KHrC7DRax5EL8mRLjwaqDn3JhDqUdj6dY46Kk sbhWwdtN4x8+Udfi1YdGe+SGM6bW7MxyR+D1AohO0fYXlATKm/fVL+ZBk67qfufXn6NftbC/QEWG An+Shl0bFJ/X6nZBCIgzcOiFiZvqQXplENbFW69FiexqHKgvRA+EL4bSUkdru2k3oJEjR6CB5U5L pzJJOcw2CBl/uvrHxfYLD93mcd+xk8goAfIAWaB0SBSfwU1LPXlpC2Oy779BdumLQwU/WPoPZcPs FJrL+HOScXndQbHW2txJOwxnFcyLC/oVGm3XFbyEJx1hsNwuOwUfwKcI4P/98VM22qlfaOIM1Mi8 Me8x44YnK5wWdWWxPxysV67Ryb1A8nFQUADDo9MdT/hQOeXx3NGoUBD+iwu5hEgvr6qoVjs5LE95 9HMNyXJEoKvxpakczDuIJieDX2rLLnKroefO84bUvAWmGkc3zyc/Cme2vn3yJLYm6nSGJX0Pi51b TFr6fkpwfJMK8WRsNPnLcbSgrZMMn5LpTFJiNP/uB6C+vrtvyVjhswJBv4bwCA7fazFVM1FWZvax XwPWYVLAdynjEgEFUmPGKH0Bd+FzVqS7FCieyC7xaLrKumC4na9hohpQ86pxu9RXNmct5CMQPeY4 uEtCQGHq+/Xw7OvD83wWd3IgT5oYHvxivVupJGBQLwrM1ERnuLKqRMTk631pby43ntDJlJp6RMoe WaraxaHoF1QyaIRhTC16Aq5wp/U22MMCzfx+Rj+S84BBVcwO3FrfI2qFZh43Qov9BoRn3U7xOvKj vJoIIY/5KH0wWCNPt7uYf6lvZDy0il2wOKIY64wjVzBZEM35sTNgD5zTh5i3HIR5ceHBdxrfeG3g tqm3WM6+tnOUN50PF8Ozt54NfdNQwJf2L46zB4UmobXyz8H1ZlNaMfgIf5VEv3ckc1YpEqDV6TFb YlLlhd1E+pmrfYC02IISCi/Wtj0oZpyK14s4ET8dF3cE5POoal/BkQv6CURMFlsJXWJkFwNm1YJM mavmlqDU8DqN9b4WpHqqTEWjf8n3g/0watr3qh0DOkOs5PUBvio1igYcTuYbyOKEK956xU3nUbw3 7tZ4F/DCU3VtwJTKwwJhWcsDTmlEIoW8MGoT9zabLObxtZ+sfifx4kf9a92aPCt1RGbaJT5xcldx aKUTXNFwLLBSFVCNxZvTf5RerfzYoI5YsrIuwd8yNkc86/SbuwwTWQPp/wwVl1opVAUlr3bIfquU XbDRcfPS9AqLzllsfJbEYHz4HLdXtXBXEa+8/6ZPjhuasHgu4EtC0GX+1LLRI1X8EIVPQS9pEtIM HbIuTR6MCSgKjHk6hgHjfW177RoWIq0AcP4jaN0T3caZH51+OaEEnBnPdsRQ+JRPKOL+aVyIrXgW iWBDwajql7ah7F0l9gFZTeGjSDY6eobQYD/I1FkTmSOHvrkK+x3ZD44A4zr08+1BGRcNIMaVzglg 1MBG2KiqJB7P4lk6aBq6bvQ2PpEU5rFHCpqN+ylzpiy5vMjYPYOziMx5F5TMGnuyttLD6T8tRgV7 aawKdHjJ/WPqolrygBYymHEsbYjDbvPMz9ECfGRTt3Z1rTJccUMcaiPLQv/tUz+PepUEAciRWcX9 eGZSz7quLJqZD+u6Gm5yBJoD6uSzA+qZzlck6Uqphi0QmG2XNhvN3ejWsWvHVI0vfA/da4T5ozpA dBGOn5PZOOEO4iHsTxI8xmDwT22z9WaQIeWk/tK9UnUndHnwIbpQ9R/42G39kuTpxIxCTP6TSPzM frjNREirhzKGFwTEuXYs1t2+kJnH1ZOdZvCOgMUC7IUJ4y0zwjoU3V8bsCq9DwL2LHtjxd5/aNfk 937a7nPARVjOPjiE7jPf6L70f4fC62ZdYywl0znuP40icq05xc+btJBhgSvljz1cWDHExWJMdNda xZXZE8OfoZARE+wqUx4DBJa7AlvvVjxqf3Zf+fX4pu+VaSH0b4iQJ0hXOHinXpnEPwk8o9xHTXlo Rg5cQL3z8PlvkkmWp+RcrnY9Kb13OGBsLYSQYXyBxBv8jqnt+8Sx8MVzl9c5Qlth2Pw2ObZ+ZSvU YBsj1t9KlE1xryOFOWCbzHqeltpxRxxXemPn8tQhuBbK21IeuqcoO8ZJ9G2bRMAqp4Fo/6vQO90f cU6KMPB88dLE05y9mhGOlMdpi0Wgm+lNL1XOs2aBg75IunorWvvpJulIqffhLkCJwHIoMY2b4pUV sUezFOLStlyl6TD/guSoP0l9C15XICw/Lm7SkDqtRvhByvdrkj3VqfzcZCjd8iYYujmcZLGW8CSn EsriXLuS/z0XeZARg5NlHxRtfkeb3mcKtWHtnWoyBJrmGJbyeqY+ek2KwEo/vRYvyeh4Qd/K1LsM Z1IO8QegXcXR6s4UwqtFYDN4VyhF9TFq5UyjO91TT+lRyz6LEqIGg/TT0Be7A4hqscTjeDCsCteY ZWZy67KZMMsHA6UN/2ToQYNFZC8srAv6YeRLLe9X2rt7Fl/mtYyeD66yQqeaEByVRGcu93o7+wn1 abqfyj5S/AxOeg0b+GQgYIy3XL7pIwNlxPN1b1LHNVcqclmaXw2dQMaEoKexQXUa/3CTUeHTIIQc PqKEMQBwU0N0Ch9uzoey5DlMyX6BAuRZA3EJWTqjJwkAylmVewv95EuyDv8NVT4gBVnggFtWJMrF x8Iys5IIU82qYVDLwTPv38uSL7LxnuQ0yrg8A1DIR1MRhR8QtAHJCBjvBgxqckAFObnuH8ozuHsl DmL6jyOCbxrFLT/SBLrQrlMmvSIifE3rmQ6lC731CXIii8z2kDfkxamNyENOmWVl9wOeiCqJVc83 NryAGZbw73Bz3RAYWpiUVmrutkylzh0TuDLK0z8tt7TnBq8TRY1TCshqilDLAkKjrKHI7jSroo2j lqEoLngd0+UMvN8kaHaoNH/OVuWfDM/md4KU7ymbq5F8pQD2OVlaS7/8KjQ5+RhvnVG7Bg71Wd2+ E5wQXd+UaC1+Zd0W4T6IPjmM/Py2NCyMrIMx6qxZEf2jK1YVK5gdpqQtzRnBnvRUpymzPbmV8UZq 5j3wVHd5SeFVD2Xxu25CzPBtSKnhTiBH2hRwv9Rv+Eto/FqMW4mbWqBqChuwgQAx++9otPBpeZCG WejxUh2ppI53hBAPDAZ4L0VC/rEq74PgjuuUoUiuHd0C8KMRsJI3yIN3aRoTSXY5tzwmE1XcHMql mx/Cvp6WHzQTE+A/QYeAEo1BRSYAOvAH8MJ2jlMosdRiZcami51brevDuSRfeoJNAmh8wq8f1iQ7 d/4jjvdDp+i/ir/iRMd4n8lZbaxvdG3rmsaUYSlRMBnfK6qEPEWW9h4tWGGWOh1P7cvByS/uKzCd oxGaTz4oXCYXAlKY5MQ+rwHFoCEbDe3geWc03s/MV1Q3CgPoFTUTN0Si5tjLY/3g99/9yQi8Rny6 w/9DJmTkPlErOfdMfO1YM5AF+gL+jeeMvz+akIHzDwwCw1gagFx7lD0Sl/vfx+YZAgbzK10CbVZS 9J1EtktLv/u/zidqfLOYMwZqxVx+I1SH+iYoP9x55FIXuj7UBmNh0bGOFzBYeH8LW7I3BkjaHzsJ /J5U3BlYy6ozwyBUZYowa+D4P0vE0gHKMTAleE3TENMIz+pG67+s8v8XqstmUmYNrJoQYtFE0Ytg 1G646ZwDniAdYt8kSEfiEd1sR2gkvFOW87CaW8mT2dG4SQKA5y5ElMRuQ0XVMuChw8syv5YTRTQj VRxc4LJ7fRCqbjbCYUs+rB78Nk774fyO5jU0u11umhim6slD+yE4fvIhYAbKCrz/V0zTMbUGC+ws MHxO4g8DELC1FCFh45j6hqVom+tdJUIPFrXhj4gI1bpYRUaUagi4miF2741jLT8V7kIXvpnwTTNM Q/QtE4ULH8LCJfiRbNpSwvf6I8BxYY9LxE3umuHod6wKaj1B/qeN6ocBDjfIh5UQohBMtCVA7ZGC IzukObh9QceQDvhvKFGYvJPQdn0byL9BdvFBI3nhd1EnTSF6ouj+vX7qbomtGbCs18rG4zJl6KYA p2BQAzYKmjJ52c5hE3gGlAHZBCfwnFxMYajgkHh1H8qEVOLLsHb5qWr6QGvyT91V5PANumqMQB9t BpjxBt6MDzbo2FVGq2rlBYrGSl7oGaMP/j2/oG2gjLyQjzp6qabnKzRuzbSspdGlohtdcoj433MM L6mHF2WfdtARHhVi4gUK5JiPa7C7Zt12fyQBreXy9PHAjAbrZACh2AUflt3keNm/jqw8p0IRZPgD KmYwba5APk0vVoMleThEJhQxdTDYQZzmsT03Mb/9TO+6ZKi1I7aZXWDlUenegtXLerKBLCWEyNyi li2HXJl6fxk5lis05yNvyL3DVVleLvDyU2Bc1PQhLD3pCX9NSGqmECaXuicQ+xE8+zue8uGkN52u 9SArNVbTwUYqgtrxmmE7E50POnHXFFvoinf38JEEScGjvyj2c6garRlABCtsCryRU0UNCBlPQMUb x2GMdF15uY+xzce3jwjMr/Spn6Zb5WQOk+8ICJvG3zCVQIemR7cA+pKAguLbkLoqhlFQmPrME+Wd ZBybk44EGaOM1eQ9K3KZbD0s+lCcyrqBWTggIXVded2ggJsmkCrwgC+seJA2A+ZNezj87ewhbNWG GwZf0e1uGCMyj+5JLSj/QNSxUnIt+42vaeEQ8NlXnO+7yjX3u89SOmOI4h24teYh6pPhRG41G/a5 ytzm34Pte/wr7bVb5rqySCjJWdUfHKUxGeYdWTbxPEBmA8WjioJPTH9NJ5prFO1aECdCOemXCeYt O0NFlSh5Ec20GN4ytMVI039JNOttvOx7pcu53X7e5pTIXFo8J2p7mwpVdpdb9QQQlnUaztav8aMu IkaX9unC9direcW1HyDgEa3swJcIcELYEuU/4SzVKvRjcInWIt4RrH7SB/0n7FkOWsfcMlEeGrxO 9w9wqkgYApV1zy4t+njZ5Db3Z+WcavElTXxhUyXCYGb9LezbyzPEi97Bi283ZwpL6d9FKi3giP8B fF8V6RyUtmmuH2EL8wKOYpD3Waq3ozDTC2kz0JcOjSplHo0Se4DQeMLk08+e+obOn9kFJIfkCKPR aZeBkWYpGvs5gHcleu+9ubd9Cu0pGV+4GcGT4/9MPOYq4NkLJvWXtOYNM4/vnLGlvCJi5KAPhlLb qatPipmtVNqcbbOorL7L8Se7SEHNk2MK+sYt59QlMstsaGxRuxSMwcha7z8QmgDiyz5ExSScl+xV cZw05iAtwdi9/8jbqTTJqrUPFqIPrb9JfiWMrFv2fvQ+KQyxuTlCnCD40luOoRaNMAVs8GigsU68 PdQyqk1si73iKdG4ZWCLEkyKcUgEOgROrW+ifFtL/UbrosXic3daWUjqyT3USyxGgrc2vRVXHlbS +9Nwdxx/vTTqTJ1zyBLacPjOkzNzzSuKOrUQVK2ztDrbxhg8xv4UrRPf31KjtUqi1htMjUEMnz75 kai0jY4IaKJLyI76QHT0y4FcvSs4pcKF0dTNrAdEq4er3kcuhwp1Jzm5wlcgFXxb+XwnU/ByZCjQ s31R6yzqaNdL8DkmcW5lSxB32BzcEpXDiA1fPeBrF08H+MYNoTI7ZcwYiD90I9JVfp0L/sE2FqgW uP4ds865DT9i4bI/ufIQSahoz7SuuhigAuU10KRYybEx0us3eQnOeL49Ds+zgRMRMHqNPNnB/64U h379XyU1cxBR4n/vAElTUdWYPsbiLhKkF1IU1bxX9GTyic0OU3zJUL6e5FoMJ3yIUrC66RnwRFMy EJjvE+QGoqf+hyz82VAluEBikNAG/z39nKvN+WaSxWxU9BNx10UzxGen2JAEND+UuN7TU/PMrCwd Iql3XTw67M+ldecAbownyoV79DhPmr5wABRqFhqt+y8nKROAw9iO/Sw1pZ8L7cJjE0dYVxbX1nat BI3qPpd/MUj5yI6JeO1dmPnkMkyHTCHuSKgVq3nC5s4O9Hf4GQIrHxoqGb3obnH0eE+cuYDdGtjs StEqz5RjamUw44bbc29zfGCMVhqCQo5PNJzIJDEzRNMsUu3xipVnQvbI9HdoM69n6V9VoNlsfyY+ NO1vAE9KvuddgWHrRC2TS/25MryzsPRNAjlLeRxHX0hAixFR9Czg7QEI6VwLlH70lUeeB7hRY57r tn3/dS7LUGcpe6k4i7GkSJrSpL//7EHF1ko1SU6YVau+OuGXBV/yS3YxHE7/uNkXD46mpgVBrlId nXtdcaKuGWeHrxrHOnGLAJoAFslXRjeQkESX/bHebHf5S9rfkvkk3fg0oTkD/eocszLY5UOkbZ5h tEKkM86JdHQwpmALP5flvr8SbJGMA6u0McJxQm7ym0ScqT5d7zEv4NfC+mTU6Vt657W06UzEwYQb doeKLj7x4xbDVEo4zNynfarKDFQkY6rI/yGYlrNOaxpZVJlUwpnEDzKL9SfnI3D5VW3QvhYLdncK QkKwx3isK3cqwEKXrRZXEmzL3I7J29qei9dVcONO53O4S+RKuTj8n1BL+C2474aNGnl/muFIyuM+ fsJRmkLhrPQ07y3SCAS0AQ9xZJFjMDDdOgqke0ThUNcjk9iSpgfyL20aJokl9ywQ+wP8jyGqgloG Oh5wm9nhWdSFLSeOoRkNrVulPJ1UpalyqZ3gB6Jgzim8EjInLQ90D2CYjZlnPW9QNS/T4UGpE2ju yuD8rqRaf707y6YgdZipe4NyY4C+9zuThJwlPGrtxYT8pysO/nU0RFXjVMNQjvFzxlrYQh3fjN4D ob15+MZ1aLz1bywuJwpKbJLie7BioLHn+jelOVis/se2X6DkaY8q6xcnip2O7viybepDKarcrxOA 6MABouYGyXzvIolNX8XPW/eI2Un5hczHYD3idqY65GYgp1iRG33Txmewj3TbDUCgsFes5l+4aqz3 oJ6NpT9OqBCGX1R+x07yviaAeTIBlHN55MITgIlzzILhWZ9tlU7nPaQ7pmJxigm+PfRkGX6LhZD5 mJ/9BF429lR2hE28RoCk2YcnMh2NZa9wp480Hm8LlQsEVdA+yTjg3k/UA4TzyjJ2UfvkUvV82mZw bvWc6ewUBu2i4ecqjgXVAG+t/aR24Yw1Pj2XlG++mtr6M184eJ6PoxWlwSmuiN1/AVIWkkRHHGHS Qu+MFEluk8FVy6xLyB4gMkjPVd3m5EXN9Ake0wqN6TS3cXhUT8S3gH+RXKg4fnlmE9X/LEH70Y5m xjWoG9eiz0T/bFsaNtTOQZ1N6GlorgEwuhR49SfyZJA7upTdsBf+sI/+JAsK8V2loWwQ22S8JdjL 8s8psj1KEaqHsGbC6qMGJqrZGQrB47JRnT3MbdBbJy127yP1ZSpiCN/c+fXNg2nIpUR7gIgsvay2 q4l5llVH9s7xMfUO23MgBbvHUvwtiad4O7KZQuP7LcC69LN1IANbwyVHrBF8De7NzUMJNUtAyPw2 eMBvcL1Bp05iGdXQap9dvZ6X0eBFFpCywebQEdkSJPBTmsuSbzi0bPDYSufbo+XCJPXfgEM0HAmV HxHXnKwAO00R3PjFQyem0qq1AaxYyQqNwDTokgp9D/O3jb4Dq4dTrOdrakLer5do3Y0XSI5r7m5A 4NryEJRRwIgB/Epx3eEpiKE+tgRtBuOoB8bquPrnZvwMfQl1eDjISQ5NYkuweGob7PbDZxBJktfa dotI0+xpk2Yz5qDPT4locidIpX+MtlximeOGuVqt2c4UeYbhfReWCRdsf8vAs+SnEq0t7rBOanM/ rLBymUPeborit0HaWu5X9iwHlc0GuKK9DCITe+SZo9+bBVinPoRPWFu1aE9POtQxOpK9rOhvPBMN iRdWBCmVYFFURzbjcrzAJ/T1Vz/HnIfgNfQWcTGKN4n0JlkDqTUcP4vRD2xvFq/MHAskUcmK8CBg VsiKZOZw2+9YKN6+4BFvr1TP8uxuWHjubnqQxH+vd5QqCFwMgGsUDYGu2G4DH4/nwFFU7sHSLnRm YGN7Q6bAgaAdOa233ySn9rKK+WMBfYwJdjSN4eFqFLR734s8ur68QjnBPIaoDSOMPdYD0jUEaIH5 UAOA5x9/f1Pc/R2vLklxR1jfWBLgtdgOoaJ8fg1n797YL5V4Sun4VePjVbIPXsnO+HoEiqYqTTvN laHwDX2bSRbgNU3Q4X0sY50142B7kwkMXsNgG2zbfHlCOmProbnggFzI5F3de4+OHQLKo7eAoDxA kNfCFpMF75rMeM4sZtybUz8mvr42htca+tQ1pFAXE2TAiuoHQbNcrs3P4ZJNo8S+016/ydvZWfKn ee+NZlmGF4gdYWFY4/WHa6VZ+uA2h2tHBXgZv7UznrjAEREIpDbIv11ba5MetBIqbl3EJHysK9gE G4VcQP92rHueRq61Gbftz9/hv9QtxEZAVmFStOQgQwW1y++JUyxmZfJYSKixAjN1qKGRpDZXWEFR 7AFUUGVHNosnqcffVzCQcSGrTXX9OAOByrs58pIReSUu7HWCNCdkXLCBO7Y8jzadKR9oS3O6uP/g GDIBdgXhfVa0McJ3hSdzxKjqUFJchtvWCU4xbqU3SMhWlairJJ9RhOZVnwMO29VirwobKRVUTwVI T1r1VkchWDtmuTbdRT6pqOLczzkxKSGitx4uw9SrNeoTj60Xd7FiTBArHWb4S/mq4ScMLHbvF8ku 8OZcxjXvadwJn43u4+nj79oXAObp8niEfr7G9eLlI230CZZncNIxuqM1RxfQKKLUbX4iweNytNwh gSHoWEfbSPOLm+01IZbDszb2xCj9KxEHgVq/GQMgf1QJPYOmEGFxwsi5l20vIP3WfoQ6N4l2TmY+ axq5SaqWE75tmCzymDxed9HKVgH42w0HFfwGuUnnveQO+YfZUVlNvgINbRSW4baHVpr5W8OppVYV UA8a2XSb+P+SOrYU8ojGtXZTDQ9Yr+EfjRiybfDRcGjA5Cgj4+6x0+RMKzyVlJfvSkIBdum8soXz 9PD4L3oXx5DlXJ6o6efoZXkhDSzQTeGUfk0C7TWI7+RvyLdBr80Kiljx3CwKljvVCm2Qf8eZZUC3 VO8L7X0EwK/vtJckTfKWb+QGVx5nFuQf+9apqtlf2/a2PqAXz4Hv8RqRlM8yaqXxubdqNWra07Fy Bz27t6hccC7CpxN0R1E1aCUENimaSEmMBIHy3i6R5UQYms9bvH7/jd1NgC/BYDa+ecWAHZJfkBo4 H5jmIBSa3XxvnP0Zi37k8M3RcNFfIYm/3fskNSSHKLvJCGcondf9Jiqw1mwPzshkZMA69SozVbpQ AdGft1nbo18DRVsmCTMFNCGF4lwEFgATCn/h2Ip8svR7ns9yeKd1AbqR9qdJ/1NZPxEsPMMIyF0q OOIOwGa9jnt5BnZw5MRptgnxGeCg4Mmpec61WtqSS+1ROj26pYFjvklYSD6SG9ma5L2qDENz0uCO KTpEn29MDY+8h5dpHA1vYGFu5OFP1reljZGWWht0meozmm6wQW3g6gXjrEgH5JnPvigafaoCPx5S IONpvJ9e9H66FlMpjG2kbwOr3n0oLgiVfPlhW9QniyEbG5PRTKSlEssYgB7MYQsBllKFM3A+cwXb e9P2hSTY+xG1YFx9JG9Gc8v7W1H4xP8+5WTEeLXenL2Doj10uostZgiWx3Uw7kbzN35MHkcPMkh9 aS5ttW7f3t3OIZrLj5daqNQzkPO23kPWULK/rYD6+872kFGqr8SpK69QJrPk2fID5tqxe2PS+r5x BiYRnJMWc0EbLY4IAsEV+qmr6m8aih8iFo4GZD5MDZK0VFQZCPtv/rNMZcYTf3V+DUHUeZsCY50A kBQ6QJzYdRoTTTWI4aiTsJT0pXWUCErTb52MTuDoChag0dr7p43B9NJOcBLGG852Eyj7nkwBTcay X95U7eMSmIZrbvpeKIObzU0/XT5Uacjj8m0S/ass2Unf7o/DwBXdA0GQBihBlDKV8/QwBGzqERs+ LByAPx+kBNAQa+1kK7zO4zuKeznExh6aHGLwk2mkU9b0WzMtd9o7X48C0sJxT+Z53jAqP+vlS6TP EYYm+WMWFL6j0zOhFe+fcldZZ1TSriusVjnshJV7tYyprv+nVQodkorJfHPrsAXPzn239z4dg6lB ZX+ffgmptZ+qYy+upjsM/BvTKAz93KPGEiob5YXk+SZOei+pLUlCCuxNTA+/x/nMGACJo6pL38LJ 7YDN7mm6ddOvGRBKrit4YPRPYH7VTrtXzDjuvkDFkotOfvr9d0kJfAlmy8fzuQCtTEl4qWMRgvvp P8NoiXS32/ThnMEpn3WIT3QzDHDpgEmpWFuWnxGUG5lavAJsI8hFQ5hpmQls/tqVwG+H5RpfiIZX bH3vVtXKBTUnVFx3fwCFUCp3GSaXyYOEHOf7k0q0UISkHtOO/Ws+pG0LySWrWtE1gmoUdkgwloBh 2iVzigt6mHmMMckIijV/LIHy/jnAJA3NSk95Q8hfn3wi3ymQEnZjbmPOf7tHnsmGrfKAt4CuE6Fp 4gxEUMX8CnjOG5XE7dfiTxFtyphwnYj7ukcPesclCIgLyuVSpq/gseY7M35I1liLcf8TV0/BCva1 17KmPDUANeFPwhQwVGGfpGzS+r3CeEiQGELbADi6eh8H+/FFk9F7JtVw+hN+/Q6ihsc1qUcIaGv9 u7RIezoObunyAJUd9DzPz2dXj/CDcXDR73sDEbMoyRqHnw7wNAX/kduRFg2Uc9g8oCKHx8k1EES1 W5W3UDw4UVwaG77wqgie9kSlG1Fotijyn6v6gr2l/2YG/ZNAMgK8gIleW1UkiL7W8kzuVQvW7yAI F80geO6Am5zGMqtmSWyV/+3E1OVmTni34O1K94x+8bnjkZh6t7/W+R5sf9ORR/wAwpvVveYYf8pA /4oPODaFgNnV/mGD1lTp8i93JUDnl68U3OazZIptjsoVJV6s3wElQtnNRLLh/6BYgvYiF7cLHeUw xDgm1UbrWTZO1SS7aRcNUmpC/0tkSwupd+eC2+QTu4hfxCCG5mW3z22nX4ejb0hEYAnwq4l3Td4O EEm1Lto3aDOPF7mlUI/Ij0+8I0CLMOcjvYGhrUNODV6HV7066ko/OC5jdn3ZRkdfVBJr+auKCIyl DjcdZXTUN7d2scTkIOelgsdEFJ1nZCedHIJxmPnzvObE2hSSBohxdrG6L1w4CSrZKIjpRLwjxhnP 1HuAB8YnygHgMOOomgykHmR+peMV/Ig5ElFXwJ0C5Gagl0ZuARwNwQni2OixVCzVy/udunjI6pUH JMCioC9xYtR0ng3/GCnWZuJXriwBvpXlc9It/uSLKxV8vYv7F2qZ6k123tlZlgt8eR69YgUa9gMz VAzWk/RQD7buFZFSSwDERT1dzNUZhEuI1HQqp52NFljC6/5rvxPEE8EmorCEQgOuhtFNUGwp3sjU lfZqEz5vFLnHJacfzeSMhFXo6BZHFPk8zpAvAphjd3G+vuJ8sIBXjqjRc/kbTpDsac0qOYcu04UQ V6vwo/sJqKJwJ1Us50AMzQuyYgY9m11RX/l50j8/u+6j40s20re4ci0uJYTo/aQ1Qc65pm37Pioa aG9Bs3So1FL3MPy+SgXZcsv1qsnxl8Ef90kO6IbTUuXRGaHJTAUyJVEXRV//QXRcRvRiNpQiLT3K Mvx1a6uzUr+P5A+lCDPcz0NdmxZuOBJqtO9et6azP+ErW3VdBWLAo1eSOh/5STzB3TaregliSgAy 0fsqv9WZZ4bEzsq1sG9pBEcNhFkw5JeRbVc59DS3xPS6N2Ag3+ljW/tlxRYK3nTVBmoTIa3r2MaG HN8yFxFcDEnfjRP67bkijiGqcqftlrkAja5yoFIKfz4M9URk+n/GVZTzYb2mfZvX1Gyt1gH9udFl YWV6510j08xAZweu8YzQUTRMkW02ATpALEyI6R3kFAko6pT4S5HYqp9+GPObAxxJw5dcGuLePGOj mNWrjq6aA/wOA0yaYkpUPxPgE7SawvGtLK/TgAqbkFMpWxaQmQIw8pZdun7PMM6P4udpo8YbygUV S2twNfWJAOsI4nnbnB2HgY1ul0Z76VJQgpZIIcEH34/RW7E0ZFyME0O5ZxXt52NLMOtRbQkpFR5e crwp/CoJpxtDmQm89tacs7jRr1ij1p01slrsFlwZjpBgGsyjgsDJyy5hwD+z5A9iP7GRjrB9QmCa grza/WmpwM6+08fjLTJRQWAgDN8Ant86BmtBLTtqs7lezVVWB5XkjcgxV0Ok1AM+2JxD8fv4NCA0 Z+3WQR+NdG8/auaZAhUZOiMwPfVveb9jmU1RmAAp+8CzWHgMvUOJI+y7t6A1AqW7WTOmxj2ZpAN6 nMTdh6c8NiJ+NQWvLlDaW56auHi4ERzQWTDy4oZEqj0cWQRF5HquuaLevCXNMDRnKQKohJhwSaEt 5d4uNETDFzlXctjqozunBrTFxvvJoJijUHJY3KP1Z5kDlXb1XN+Vnm1Vupkqf6vlaOYWxxBrfiFr v8d9LYG8fP1DWhO1sshbRv6tYdo0C2lYjBljVLLEUdfKWdLnAIgpMPp+8/BTIQ7g861avpWSIVDX MTyXeJSBcfWP17goqHfHYsZZICPusT3xw5VBWY+F1WUxoxYhK5lfDxqnnG8AFsg7PZs8kBIzKXiL TFa09Dv2OeGv9LrXk9t9sNolYICyDZngsv4r8rYioSlFNoQeBQXP8vq/f7Vu9FNHrjjMlZn+GAgx LqQJowH5ok0+/CeBADmoxPPFyDzvuvnQs5Ekbuqvyj5zGMZ0Uh5OHqqZIe86hITjsFEJEJXWbpL0 /Vz+hb6nd1y5XCcaJ/wPjfV2gk0J1XEeaI9QMwXNaP3zi9L3FWc3ovqr+ywuBP3uAS0r7maJJzN6 54bIyePmJ3XrBLvz2xlmXXhFywSVWbduup6QMD5zMpfIAcxNgXarVI/IXj2YqmycUZn0JtSs7o1u zWWpGQifRTxNrdArLxQDfnfaIZAbjDN8Hqk8mJ/bBUcKZtNYpiASx+5N3E9tXfMBti9xB4zH6oTD ydvlORsapS1vmauuAfHfX1MpzA2H5UJZRMS76BSVZlI5OuFxcPVwG2FxH7qdWNYJ+o8SOuSSPAni dtjQVrAtxoBqtLtIyT/3t9DnrMc8+MbZtvwXQt3WUW4aXLXKTaih2ujWshzx4c6CsBKy4O3xMGYE ST6qQ11ym4ZObjPkUhNos8JAevP0SGxVcqUMDDiPvGDqanMIn+oVfjfKOuUeoAHAXDoTOWAUVMBL toqEo287iMr9WoUG2tLfZoxG687CEUvs/1lGzCehSn/nlzasupq+f89ggLyRy77xo4/LFdGtTGKn AP3HrNR1k0+3MQm+MzGdbJiKq9maWbVNg1YGJDHDxNMiEgmUyJdmxNI6PQwxX709sgoi+nBOXL8s 2s8R7BXIiUg/9syHHVqrcweTOUOWYfEnz+AY+pgOvi5pSHObpdttqo1iKrH2BXs0zcTonLwK8Ek4 7R66VSwBgAKF6jT16LwC6ZSiZGja83UjIWzbD10AGsLD2BWXrqDaYcmLZO1sfRdOtEpjjkqXfugj ViAd4+qc/Skf8BTnKt7TeKcHtzLz3dmJpMbCp6uOQriUqP2j/Ih9W/QWdXEnn6BFq8BGZIQ6ccJg 7TjciErqp8zJ+JF6QqRVjhlAd4cLoq9VWFdrfyV3FViL4emjPLh4Jgxj6nYFh7r9CxnVgMPAihFc dj614X2F8g4AWMchQcYPdw16dlO/NIq89l+hOnVeFLUrvUzWs+cduZsLDisrPrN93C8OiarP/ynZ vajFlq+RuRl/lF2o2e0NkoYpyMTP9PIP6ylhJRzWygR9FwsMNjvu7tvRWvZBGceGVBm1WVCgHKs8 rDvuTFCHHHvMpvAYbhnkjWqPax8yz4TFN7Y1+0XPLRtPo9cWvwIh/3IWMesFxWTn0UW3AqZoJbno A0OMNHOPDESnVa7wuobSwk574ahhe0h+KiJo13XLv/mBi4R56dQYxIKbctEptoXYw4hj6UNTAYTt 1B1khGW2p6l0sH8KxMVJRItusD86BJgGMBVVs9NU9676MtaafKlnVbiHABsotnC2HzriJXk9yYlo J6naAud9aBLB2fQxo6LypmhBXrFq32s9Ya50KDtP0bDE0wExu3svZzwbwiSsE5Kw+VjL4JpsCcdp cPFYiwhkwAO8MJslgg9a3mOY6ocTt8MtwF7AbQq10xFKXjkPukp6/VLwNFdq1p6U+NpnLvwltkgX bhSKcNDmmhMX/Jjz36WHlFFqdmodYgUpMsNFZMkueF6fHbFxO5k41ScviVBHIli8+bIOBobfr757 pN+nQyqtLpFBvoOWIWO5gY3BUYuX5AwqBz+rTKko2vgKWH/cGdjPvylypeu/o9A/djtelXlmzNdk Myo//xZKt+QPJAd8gIT4HgG6Ev5QJtuHfDlwS/5qrEdjEb4TqpBN8rhuN0KacmIKNSZe8+tWcWRB Spcm+NFhovmbxIbBmrmx+bSZwMFSq9R0rnt4ZkgcjOsrFROnqfbmrjrnmTqBLwmx1XE1r1ZYaVQe Sv/7a/ToL0U/L9adOz5IlCv6KSTdlnWhT24+z8w/izGg3Nh/JdpHg3ryWPdX3bsZ1hzL+JOGNcK3 sXQho7EI0807s7KKWhRWfDURCrAdzi94gLhYoqf/FaY28LaVzOu9bC9bSlDk9uZrJHef04hNvjet Uq710Y1ruCAHB6MAdJjS4gDHD6GEpRhGO0JxdgfmVjODOTnepTBzriHgqVSDHXaTRiCDeBxKvfQY FUIPmjm/tRtbpDx4HguzlAf8rUHw6uTC8BeqKG8tUUj4f6A5LP0hBfbFXt75dJWlvovYDM/tpDXI khzY168dX1hcnxrXjr7bdUrbt1cJD5NWHhnN/b0cvm6U/7GCfUm6f+HyNNkl7G9yJ/ZFh9N47/jl rCC3cRfEXpazKJoURgT+XprUN4Bl0qAaGwHan7UW37OemMEP2Rd4tKozco/P21uMu41mjI4CVxmb FcE1PlV9xUXWGyRTb0I7GdiCQuXWVF9Il2PxEJ+K3zGph5F5mhqaQeXd8j2EDaKoyvEjpyg4TQmw 5S16yGFNq9EFowe1Ox2jjjAz+WZHxJ4zMlx9kusmJCAi1jL7wp/Urlv2qOFehiBqbyufVvcFZnr1 zISD1D9ZttYffAGFCb18+3iOh11E3pnZffZtFnjXJlZzkfbJcHbT2fKGNpaJChB050vkaWJGWUDG 0KpendUlaa1Iz5tMFUBFs2mhd3uTvzSPzi4YnuntwP+76deSyPfx+U3ApivEL/hw6/TJN1N+QsHy GH4vUL3r6TcIQt6iZzmg1Ukr54lSB9ncZstT4alAf7VIy9mp/xun/FjTjF5PqfLxVyhlfGbaL/l7 maGRTS1xM/Ei5MxN7GsTLXqWCCI0+XdZrZS/BcHw82AoI+4R36JXPG7oCFkA2lew+EpiM5j0Y9ie W6L3J4yOTdsYWf0Tmk9Xn3nFX5Uf10eX2px8VjZNBZ7RAkqaPINoqb+/zsPY5hg/G9UeVVH2ZJN+ 5NHaajtvjgPmeb1Yr4Nco74ia9om8IsWLbIrcOJ0Wk/5dFV2S6Afc2cOlNDcJrKMPpFSUHZsGDTB sS91oQL6cYizvtQRa4QlXX/lDzLBEokUU5j9b0vkPWk7VupCLPYestwQlzW+tjZcZBUVCNjNOMVO poAxJSd97K+WBp6i6FtF3zho4iS+VJbUUJbh3qSFBczGhCFkGeRBSzdaMYJBU+cb+gJZKNDCTgyI YdyEv/VEeC4jCwZCNNujptgomjuljRdAntgUMf0NCB/e9+vOPNwB1fJnk556I6n3FQrtB06md34h d5dSdpFd4rG4NgGSOEHZi80ddhyJaeUvJDM7M2zw9kmCtOZfGGUU47BmUa2S+bRtYg1VuCzuowfR Hzg4KfmSi7U9q1YRO3aR+uw2U1vRsADKmX73WfjXzE3nml0R7Q+I4crdZtrvEDOxMlr96Lnk76jB r1O5P5klWAPuVP3e82QBr/Hn09gWWTbpj1mFT9X5n6IhjoXrh9vJuKRwYc9zOXr9ydTQmqnOLawU m5pSJFORey6h7uOBMl1eyOV++VJWUqVnBwhVLeVGai9tTHhxx9EfrOjWFpJOyUNoVoXZUXuqtXUq x6E4BR4oJtYaZqt/rSmaw8S9iXaPd8obmHzxof4au6EmnyVgvQv1rURUa9wAUmg/XHRw67FDqzGE lzq0yXE67f/29da13wOTL5CV3C/p9ZfSbROMEdZgAPNXfSSS9kRhCJBl9mN4ROinj8F8+mu5xmeP glGRBMRzqkSwikr2sSk+8nOqWDweAmtux+sfFeJH9CT4CcTx9WgwnZfVF4zKc5OIKb9sOI30EmsI YLyJw8AuRie7X2uJ9FbOKUkaqo883PksaoevnB0ACaOjKDFzOZRZa9VTKF4AvLhPrzbPHo9ktxW3 /q1N8DInVld9PLvxYtOx1V+mNe5GuMdVGuFihgsLHrbYC5qQtkuKkzgc0MvU1AKYhX3WZfnF09RH Xny0twE7RDYfgCwVY1yuMtMGMOIhFIQ2TK+L7DbKMcIlRGeN1byOxC82kmRmdpTxmt/ZPmA2skAU Q915vZWVijhmgP2xZha3XPBAKox8tMqGFoOpFJupnfS+A8NNyccdF3VjhN6HrtfJkUQyrs45FGiA EMx465CIIiSfny87f6LROmjsINrMXcSFYy/BZrggB13Tm4A1Hs8vh0KWnKsCG3Ou8bE6hkWFw1n/ 0kjB/RiUhEfYiwV+thEZXuTmyFeNwOp6WPCAoD5lE/ng2h/G3zDV+P83zkWotppm7dqMTjr2eAeK Vocd2R/mruvnjB+zQuAt4I+CwyEDQ3iKj3I1anDh9OodSLAVxTOUcMtoqJNIdrxoz5VwzldHRyY+ 6HenLPn3juM42dk9oJQclIyQrJ9F/lixRVKDOR2ODFeNShEb3HPMhT0FDpNGE+MAvzc+oto21MfR e6+iuKTxsZSp7XS1nji7KGf+qCmJ97kuGeXJte3ejkR0FFz8FCWrUIhJu+Nf4J0QHOjhVujrqQ43 4Wh+CDwPZ5GqKPT6cXMuqBVRRjO3sr95uYB/beTrGnzMb+xlZnmRzcGNhUIhrlWpAT9XTqmhLUSg fveQuWTp80xKTSpBgzmOhy2iOUnAXTNJpzhL4lXaVqW2MueqorSVi53L+jt5aNn63iQ60p+FyfhU l5O+F2mkJP+riyC3NEDrD7PKSf+WXlGD+7xyZtPQzqAomOFyfRhzNEN2dkoHLox4UnpsLFL8hMbd Tq7fOai7LSBXR2UgtnZM6RXmx95q+arAQxKuB5E+eLv/9dYbB9YLNPaAMjD3XUJqYHn7qskkHGoC Xime/pK2PptuIyRg1PMUt0Lh9y37tFlARH7gyJo/NfPERFRkRsj8KsB80SrQz+WFzCFoVv9rkQOn 99B2tvS03EFSt6iJ8dNgr0EtH1sEmn1vCiKXQaoW7LgcR4SHn3qXJiO86w8K881r5qkKeWWU4HnA BjPlhSOw3N2jChrFKueJIV9+OdIjp7Uif3IfeXO8ac3PowksO9lXmJ3VFilpej5FnRezwimMyWnX TGg97F+4vEn2PEx+Ft6O2ymR2LjTX6AFnTCCaXz7azIFTYBZTWzJuM4IkBFegS4ozuwWE86gUhbK rDUOcNtjos0UfISVCCiXMunGpQXgLANkGzQm0cEjdEKyyK2gmom/zzIHNLatWjvv5bSbOC9Ui8Ry YyY2JDHOwBerFR2LH+LaEAJpoiHrHRW/gSBVKuq7sNL745GPDCuvxwIR4fM+XBVrjjANPYxQjeEo B522h/pMq84NgiCsXO7cKv+CDvyOp8ncdQmxxBB+dryxMjlPBGqjiCDxmKUM/Kx+HMM3ruaDGf6f 12ik698a7yzaWX+alKpKXQb5un0dpjqOXTh+1ba82ZS0O+MBUUFQY9GbfXW2ABWvXS7ubeJF4oPD aAPvdwL07cgZBhUNdSTxEvRXn82KnQW8QMekF6EX65bp6fvDC97ZMoFXQHKQj0d71HOUT5ASFZjO R21nAYSZ21VKadNF32mupYfurqGobwXNrp0clGlIAmTm4v5lb6Cr/t9zO7uNbEyMf3bNYmlWU6/i dkDQL2e71+gKDOYXmmgMTPmAA6vf2G1CYDb/n5+YMmMZPLdPkQaNMd5ddroD8sQVG05MIdf1iYlo KHBAXgUsbqiX9kBMSTFjd8ozruh21cy+QFNYSLP/QHwWj0EYgZzDohCg9RvutncnUMk1U/fEMAwB Ye5J6BhH3+sI/lVy96kcLPTgZT6wgZ/IsM+qC42omVqTFB3pnm//VBluWZ5zPWZ5q9l2bAufBFu3 HA/r5a6PI8vcwq3hUHV4+VpTHnksov1gfC8kLtwGDxM1f/4VzmOPSMHfXEd+LhoNtmhAkMKYpCwx O9ZamzsyRfLZjBWZ7K4tVyBo+V5b+2FuO6ncwT6HXKbYx6AC1gJwmq4eSWPKknZoH+4a9K3v/R+Y upLKjrXJdgYIpx0AHjyU3vP8gi76fabywymfDQAAm9ecfEraJUxu8F2XYlE1KZVM1XJX1D3VoSbU lyA6mhIyGXpXQFqJFM3tlywmX1v5NEewThq175J6vBL1ZQOww4squoapKRN/94iBAqfBH4i51SVk 6H2mDiXWjWjYTOOOeGSsYUZ8Q8AgjIoyuCn+08lPwc3ws2vaGTE3uqIBDSstzVYR+IzUH37TbA+b naPKAbgh0ZyCXMElR3NtIfDq46RL/yVlEKDQhn8TPXcdb1LpzybjsHllh475ZNYx4joG9/8xfUiT S4uCPF2lz9kCQffE04cefNyNF/sef+TUL/nidmkyLA/pNa5dXTChT56TCy1BZ2FhS/pVYHmdk1x3 xX80tssl+emPDvD5EQ1pT3PGaehEhnxtsIULcPJzq95QxaVRd9Ka9Gl0VQBztmMKKXsERrx6CU4G UtSCyg03AqmaLzlHP3qBv4nvjcA30+IKVPzwWdqs+5SFh6e+pDxgGi/7xRF173J6mOAdX+au86gu juttmcFc3zgl9bIatrrA+l69A7IQlb8JvrEZUkxZWSgoedtwDaJSfj/mnJhGXnH6i1mzN4aQ8juD RauHU5ok9ebjsF/mC7BuPG9cU5ULft4jEIsqgfwcamwRkJIhjQse4BfVHSoJBa7OH5pF2/2loA3r AfL0dzAkEx8EaFOvZV9EioEquS/L3s9lU8vxPtHbQZnnywgmWapc5iSHZQCSkcL8pJL3riXdcaZJ TL+pJEOlp0fX5a7nT0AP8t9OZRD2sy+clyyf0JAwGMoM2ptdG4F5tCIJ+Z6lFEUXUo0QDaZK0ASQ FOix9/CE9eX/HyxoqK183cTQAyqQzZYYUckiw1MB5rsJr5kE5ezKd27j1YtA+AMWuqdrpD9q5bZg CNAnDDA3vKtmY+maj54edVpZUTLOiGz5VhOvNUYj/Vxrp/GTq9QkcBptgksaL6Yhk2JliFJNzPU8 3HagKnGJwi7CUKbS5IQT1H8tXP1A1nEDqefdm+BE9IFu3c1KHO2LsF0vk90tLzVn6xTrJZ35U8oP sGWzMVhoENAxpZdDQsO4j0zCKGIsyTZwvPn/LystnZsYvxveg5dh9P7Zj/XNQovHCpT19nU9vPYo k3+ov9BM2YSDZrewGXpc4JNAKWy+ZRUoV0DnswWpm0Q0s673KlUYv/fp0tdTaeiFB6Hm+EFbsnkP BuybCbA+ueImun5umeqKTqcQz3gmvkp/LaQMDIzuGB3DFPg6YffSf/g44Sm8OTAXiRxFf+VpkNJi CXQyYSo8IxzOe3G/jOHH5ShFx01gjs7iqmhPvJ7KwkO+B2yByALJ9GQpNuCJqBWFpbEuvFPX3p81 jPkIHMfGrw6uMYS2eH2ZpnobJaLdubgbpnNERcyEh9oNdj0WIduDDYbDwy9vzapl6/uLXLn8hAMk NLB78DK6/PraNlNZ4eCc+Wb9jkmL5je9Q0y/NGdFw1r1K7VAoraUIMsaWFgFbQQuYkH0FTMEyCeL 7ib2LpR5qHNSWwzHkX1VnMdygvcDhGbre5hcCAPbUnRXxy9B06++9WnDmi/k6at4w+UtMlJzotRb IcTB6btHgv3bu0yxMHsFAUW1sB0LtBvp/S2r4o9S9+M1rlW4JFOfWObMLwErXabjMFfr737SR5N5 iYluCj3PvKOyUef0xNd4BSnk8JXKTf+Q6iJfrdJocnEnipTxHLPpK54S933eL8A7DkKTXzt01T6Z UK8Y8J2UVVrdmqjhgIi3l9lk2bcn0yaTPU7j+kKQ2yYPbQWTf8no1X4D4pDeUjcc2Zz7ssHAksca MGbAjpXdkiqHcCPKwH9MYlwBwr7tnA5aFqYLOH5nmni8tUOyi1g7ag1/ilz+CPWwTKai56yQ/zag JMADBs30VDVUUzvY3Eo4wL1ZbN/X7PgQUMhwPQRSgrlLj3sdx3m7ubzA/AdjiNPph4T/UcI2+XUs 9vDnCoR+MxAnUgyy4LER3h+BJXQRWqDnTt/GhR1W8jcI5sbabapeUL99aK7yG1XryCk6iQRlYjC/ WCpYzrpRpNzimsx/XXLFLTUWJz3zbRtGLYC+7Tmb1NuQlEyqL6BMyL9T97Ygqe7BMR3DrVTMqkMC AxnvTvJspqWn9p1sa8cjbI9x2mQKJMdW30K5hRWu5ye45aJCLrHIYxjA+9ehQsHIXZST2RwqPHmd xkmuWLxWdd2wY9WHfgoUGpm+IxLvjEkskRyc0gO6ueKVw4vREX4rglsUs3J4F1rvQjfKUJYAux2t diKfibEecBgAUwXWo3RkQFXLdVBV1oXJ0mPmus3K5x2kPNwykKBwNdrvs0pGtFAbtWvYLqxwggoi GOdJOwPtwdICyyCUgbr6XlahoVdZMgaf48IPr66rJI22/TAweJG31Gz1lm8r94bIroZ6n5BlQ/2Z RHbdn6rhywAKRi1bTDiM4PkYBr1ucrV75JD4IHLsP+bCmkRDjm3ClyecLeoQFpmU6uz0IV3ZWH0e vzz/CwxNaSfLD/KvtFEuo+aY3XgWs9Hd/ehrW83dTpWu3PGfG32OC3qTyGB7uLyDjD1KPG4Tl2CS bq3/nYD727UyKS/YOAjVEJ0oK2cX8uxt34iC1NuFa/qke47hjbKMjRsWdVUiqbOJBcUcqHM5Ykom n0KfkqgyHQ++kG1geN4eNZyOpHiVck98wGgad+ALsNAuVRmAXk5reNWFPvdjVvleURQ919hBjykn h5S6W8JaO/Txs1TqSgTIimgUtwL1Bf5rkXkf8Ml9h11tme8eee17kfGy6RtCfEEE8JZoXdTxtAn7 LOryBBLvW2YSEGRylvzbkmx2X0hMW98ASma8UJ9/+2kOzSOq5LCvMx06doALjCSPiQMI/nx9CQ83 0BxGgu9FOC/H8T9WZwdYVeCioUfppHLJyh8Ub8RiiWGFCg8m3L/VJ+ChxTE740sis58FKTsZ1ajM QFrzyTfO2k/35fPuX7tNVWHBTxKpdDkRw8CesN1/A/6U8yElYN1vkr5n+r0530s4K9fDwAHRtB7P 2ZO28PI1igQNrxPBZ/86BQlBw+3ydrhbM4WZdeoz/OlqVZ3Me1YTsK6yj7TF6U8fbtt9+NxTgylA pvHbsuu11h+3IxQAd61jIwzWAqjs0TXvfjlpOvQld8LbHOxLuQygPAv+FgyuXGZtPkrDQvgim13Y 6bLpk9QilvjZ9n3gYJ6WjDkHUMOrm+dEWpk/I6dftWZ5a485kLq1/SRUfGx2u1jiDfwkLPe+NLeZ saZ8fCGK8/uEWC/r2AZ6riRwqIazNFgj1ihTVORj7Vd32BIJxPrsOCzQVcNfKZNrZgXBy7kVvQJQ BALRrTm/i+kfy7nU4/VRrlnazz2++NNSStIUdEPmKSTzo/bwo9XRWv/nq9cHMhpqywvAe1VUnh7H XOkTHkNJrUKuSqa+dQvll1OLrFu4HCV0SUrO+tdpFikWN/tk+SQWdW1fo4xdgZmbgLR/3fqEgk2P 4IjN7J97nuroLZTU0bb1Qgh0wfvQGwsN40pUV4ohLyeY3mmigHP3hqBFC5GN4Y0ELqNFN4TL/s/9 tJByCiXq3Qde+F8jLoV6aMv30RKBynoPN0kGjYEF10HV7AsTE3OLKE3lAoC/8PzjZd8pOsHdllMo VVrjvCNVOW9UGl26ZrsLX/G0QR83OB4nZRCXQ/09MimkgR2TrKYkXQu1g2/8FyGHCL04z8aGCZbu xX/DojWW+EcMBIVeek08jNYc213nd7NqNMoHMEwh8IHW5WG6u9/IMoClV2lJpvX06Ylpz16+DmUm 2thxZaAjy6FCmBON/lfElKlsSTijqth/a/oHr6jPAOo4NNGXDMOIbKwEeysMCPPWGr7w4eArN/Pm 06WHNkGHdvwR7OiwvAEGPD6Lk07c3Txi/r57ASrxji2HXzGOZfIm5ZE6LeVlb8WFqN4Rt+3AXQdK +i1XTcippi58La+1KccjiRb04zMM7T+0HW/odQb99J1tG2z+AYlzhGGp3UFkOM6yt73Vo+PJx2KH i3n7/mZavVtEzDmDNOLTLvj7sXUXN1pf5tBl45ZMP75joyfZsTJJIdSpQEcgeLvxfGvnncQlyz9z PKGNJai3b3mb/5Zuo9GDJH850Zgajsx0jpsxG4P1Zi1iKgxsCmhuy+C33DAuQcnAfd2fiBbZ96T/ PjHJsEK1f2NLWBxhA4i6yytQzI3iedk4shUmIFJY8crL3z/KmJvw28PB1gwa6R0bqBosleQd+EIw qOYmwiGwZo84cVHCG1HfvrQgn3IxfQvYlfuWOHzc+4Q39FHHIu0bnVYy3asxbxM7U5uqr9BLpN5Q r2w7eyJvXkwDpIG156TwIFITSugaZqU4EtpLFQSX65Znz3caqZ1EF+6oIsU6bbRu64GyiffidZhC rtUEmaYTvZF7fs0XMecju42xQnXYPBjQhA1h6FRfScm2C8k9P2pbSm+Tt4Y7uOSPMHzU/Zn+eJls FIzgv8P0crzpXV3bgki8HoTAqlckb8qLOwbzHhOVNSGDjMZxPEtRSPzH1W5ZMJKhLMSMJmeLrU8D xi+/BrERwWp8YtxT89E0ttlvsMYttasaE8B557Qae1daKpfW2M7SNWKODVWWM0lleU8S+HxuWPyC jDtLOfuj1JZ39mb6jXY1t6oFPWsNHmsytq5JqPIjI0FmLnibGY1Zi3a2YqFZ6zIvgFNPtsJY6f8I P6pnL8VlZ3OgTLF+276g1hABiSa30ogqlQ2uLzEhnOrapUdt9bK1xKMFFrBAAMfmAW3QpY7L9a7p /dRyuxPb8SW8h989389f8oRQjSmpQZUkxRPeEf9ucdx+I/Pc5vWleyhEtmAB5jOrqhYeVRKgKO9H ZbvbLgzedrkNxAxk+VgdLSnaVpw2AuaJOzudc6HvTdCwrb0EHcSWRnvkibUzGs0LY0f280Ju0hNu axqBEr4P05pUk9AoHC4b5Dr5hdJYrT6+k9tDwHaaQoeWv7axeCk8aFdNyyHEOPnriCZt9mHrM4zW tkTrYs6F6oilhonOkt0VOR3AGEFBCCxsjmKU0N9F5751ibOF4MaBEfoJ2fnIAiFj+pWMWxCIGytk GUR5u5OSWY/Hg0i6vsE2EzGw/IU0zWM3+KoVUd4pxRPkREla2n2L10oz1zzU4w0w6dN9ru3y95Na YQESXKmy0CKq6b21V4UD0Klek5Slfk2yteCkx1ZaENN1rbg0VuHftCQ9wzAOL5Ju/AmP+zPciFdh lCySVjondAr0hcHrB8msZl9aCbFh7upA02qqNAGVt7hozGiCM2kPZ2xiH6BjjB8kdbuWFiEVsizk wYkwYeqXIE5uHum75oiwmmP9u8IERree78vaF6pL0jM7K2aqC6qj4UJJaF5ge5CjhnZnyGxb/Z+m 5fPUeJDuiKRJOnjD/VBmCGXRA9wuLHpslpI83bKST9wM5GBxG0r6okVRVHibjBrghBhllpwMS7DF pDXiOd3NCvAbNglKnvVUbVX2I7uoN+5BC2JhP9DsC8P6mhXYwHPYNNIKKTfpOYy+lAOwXFhtn56L vSiLqZeJROHZVE0DXXAqv9uUKfWDgFz4hRNxwDA4/K7J/pbB7WtroguEn7rIFk0e5NgoFouwSIbM NRG2xMdhMF9gv/9HuLFn7LTms2OTkU0pw8K+1AaYoVQpNYMFmy//TLBowr5oRqsJ5PDOdt7lDpno 9LQ32bEsYsvQttZehkYmw7pkdCMAI7cGuEXh+JGh81YpN198zq2n3SsFFTGzucq7Q6XgXpfOGYRF 28KcZP3eipM/4ZtUkWYlV7gdPhRD6OxPNaxaQM7LIFokI3tr7FAdE7eOyZsO+8LeNPgwJvJCU3k0 2K/X4AzbK3Nxk6vANAciisoQMBOVkA5mdliayrGlf2Pp9GTWRGL4Z2tfvF5xY7SU3nLor6aQKqLv eAMxptRnOHYD17Oglf43rAqPVQfulvRnortthMaICaeiPw22l9O3kyXY/svkq7GmTG2tnNZBHLBz 2SuA4AEkGwofyMKqVa+InHcazewgbVPf00LSoUZcpeUmCRC6v49+ljtm8SEFJOIJGyzgL8YUggjJ GAPxJv/6Ba/zgZHabxr//1VCBOgRbvbD29ZDu1C1dM3aJiojwaYSoI9AXBAOu+I9THTDr44tdvZG 09iwaZ/Z2w3oWcdlr7LH+knYpecEZJkYqHvPhkr+/JK5iAoTxfmwAGDwMdnS5E62/CynW8b4um/a cqcUkZCA/HhvmkHrT2RL9DI3LGp+Viu9z7CPmazEUfk1SoYMRlcS/gRyvL9CvxPWpujc64VPtpjA uYDKPIRO/BW1w7daqjVzWBs0OBi9mlauUe/9W9SgQBxl3+2ojwFwwvBWQ0dAu/Z9JpidNaQ6RSS6 XDWxu9xdXxONPq+y0oycJ4TwbG4GEzK8INRnf9f93kdLfuLVIY+4CapN3BvleCTVek1CYuHvDeTp b3m52eSCGgMvO9EwXUQzsRigtkXDqxgeRxpTnS/R6t57wvtBPb+KsXj9FpXymwQZWAWJT3oMOBey vkB+hC5304LNgwZfFcq9Zl0C2/V8k5AhasKsDF0JNxiKPGPOPmcZ0xxQ0G72VVJnVxA3vBKHokOz 2kwCd6DtT4mO4hmNdSK8DX/AsNQHcDlbiRxam7s2LBB1BoKToIgFfx7yufk07Innwpxf+npiEZRJ jo4nvkDFtjfzGghatxYgcm0vIv0vQHTmVmguixWpODa0kGqUJasw8DZuu21ch9nIYIyQAvinhx81 1sz0EcvCgPXlqEsYRIC18GUHs1kQKUHOPj+o9tI1DZIwoVup1JNiOP1q0RKcBFQhySfJYFwIYlSO PaeHd5KaKe5WEZCHLQax1ll0wmMrC+8bgdWyLpyxg3t75xdmSbCU/Ws10GXXliBo3PY99HKOKYUT P6yC51Bu14ejPswA8GUdjSQg4+YD0x4blcMwVfMPJijqVk7y5u/2gm5Hoc0IF1HwGVDay4t7WBzA wKZpTAfAWsBOgP93ftt5Q4GU0ZVTFD24eeWmN9pJrgnBGAVPh8DwJciLI1cMuVQ+N3DriMOA8jq5 pGDKgNqCiiWkufgdv72fpdYu5aC3Tnq4KO+OSZ1/9tflnATOHu0AZLgMjCyhxJJAIDiKubHYdmB6 Lql+a4ZJXB2G0vnUCHYV7jR2SIMLOajv8ZVEsN9qBXLpq6sDb2pXiDE0lOy/6RkyhT6fB6LWao5r P9y/Wlep+Jeqg588ykt0VzBUWVhsNqJG4vX9q8+xLqlmEq6wIzRKIFX9q3CtpWBmKTdpPjfJxXB7 uGHfC7QaBx2wK9LNjH4gm3/PL0zv/j4h2rNvhaAFOfSuAbxFG2YX9NWFzVTR/Z7mKWQFHypEECfJ 4J1z2ixJ6zSSecjNcjkOdk8Z67AhKEhU1OBVoJLlEdtQSAZWzkEN2L8naWn38IuAc1iyl0L7yDl+ MreshR2mZ21Ln8EGN0LBHwBX25SfoGP336b6byGGvG19F+uOaNpuSpGTmPzCX28ff+jtNtUx4AqA YqS2q1TPpzFMt85ci3b6i77eGh/EN/BIJc8wSATGPGly1CChgdHOy2eUelMp8rKxp+98Kr/GTn2f N1JZHPqhidWVFAMI8HBZW8ULckF4AEOC6Q2YBpZcmBbZQocrZ6dmlNtX/AFAnz3l0VPCvT9J0een wRkNffPNJJha1RGkDUcZhYvIXW+gyVJiN4g61jqUXNlRklRL4mNJhxOQmAgqOLEvvjJr8bOPEZTP kf3TTO4Frl9h5QfrWhgU1RU0K63/cxv9TMFzn9iQmCpoXAjRTtCG7b0TNdEF2XS9Cz7J/E2NJSHV yz07T56sXljAKeDF+hbZPk6HZJE/yU05gJQETY8f399z1F9BGyXea+Hd52e0QUrxkpmMRz379D5D nKk/kNf2ZpdNMRhXTAQW5aVpeslo4mnWciTT/DGlFkerHOejLvLUxmYr5HpVcq+l/DpCkF/4leTN 5xHDmnuQKVQ9G+JBdgfnxZN9fzTjbU8hAWOqUT9mNi5zdTcqj6KpIb0484V7feznRkxB7AGep2Tf 0M472q35BiyCe41zet6KcBDU7En2d0CVKHCBfvmtfnRgIxZkTzrT5PM+hUncz2Ri3uHi+HXNt0q/ btN/alRhoekYTPXjomUppmrscOpqKmQvOC1Y4ltvajHzMuKVgcuNEhLdWePTAPPgAboQtA1YbyCQ LxtbzQoYDngudu4a4s1my4p5tvz18S3pPaKoDpTd0Fw+CnwI8+Ayi7jEMQQecwPYhYHj3OFnKCG1 fqxOxt43qj4Vva36m1bfEa1Bqu891pfNdzdsnhUegyUxuDy1YZwbYBOiikPxVcdnfUe8V1wthh6z b0S0eeGFiNVGfYgKcrW01Pv6RpRU1bMguLJ3TD/Eoy8eTfpJ0ro6WyORoZA+7jvVM0DISJB14wcd HCfSACAh5s/WqAFQHaxRKXCq0AySA9LUlasVtYG6QVaG2JEw1SrB4gNxvuOdTnF2CnCBHh3mSqEB cQoaLfcka/6UXGigB3ZP39bjX/TY9q0Uv7fvXSPrwsi73bE6rxcpr/8sViSMpRHmdpNRDZspt/lj FG5N0ihtQKUEbmOInbG3TRUYE3u0tOZJsbeeOlVsG91FVy1ArlBw7aQpwXA5TWgIryeSeNmG+hZB izKo5aUYYl+rtdPC30/FKfsRK9YNzyoejXcK/Az0hGe8uBwwI6RgtsuP94nLm27/HvDvTgL8A3p1 0SodEqNa6PsdX0/nc7O+Uq3+bzev988+lIxRcB6wB7rMbmi5pwqn8hDUuxaK6EnrREYKw02nsiU0 UBlGpDoBlg3gzhM/9uVNcwsFhM79kE+8rRLMyWOMwvw+LWWzRCl2T3VqqcS8pwMDQBtct5LgGHS+ Nh1DPexFIqmxbkYGetEKiRV69GOiw70bXavr49vIEIGEVkN859m5c56stVhRtDdpNJgH3tdYndVk Mz8ZUbcax0cFgc3xyZAtf3THKYRmA+o+0ia8i0lxyTfihtKNzQxZjQIFds3y+9DtLFTdHm8BfcW6 0NQa5oAtJ4wQPBxAK+wy9WscoBf3z1bie9tcqJC8zxam8baeQR4Ct0Pn+3eKbmbdLotEO+F0z00v p5AREBp71klUWsWe7+nNm8NYRW9LsPt1KYxWXBSQPRgZQyrTqNveGduZt4xopLG8veQbJ2KcXzQk n+2IlvGtCZt/QfI6LWUPcA9854fGayn+dg21o2GWSVZhn7hEAeIO7MDq2N7/Pw7OW2dyZ/xJVttc lPtgP0gZV+4kOhDFYU+BxfMHC4OxKB4WO/evA9CyQLYoy0rlWOsR8lf9TRNUw2ufXkokaetSTfyQ 8M1PY6jPdP2o5rNsOSK6L/CQdPcnR9dob+Da9/as2B3o8llEqBqmIhvfxkycFNSZkW5gP6mo0KEW tWr7ouIbwLoFefFTp+nhLo6Vv+kRZYDF5nBFCkPWPnGkp2QPjY43+vt7Q66qz3xTRPZgGtR46Mdk Pvdx6CYoYF+/c6M7u5F3noGpeJWxOYt5Ys/R2E+o468qISMCVGN0JvQFAjhj0wKSmSsjh/YFZGyf uxD7q1ikC4eyJRclAqVKUjA+uxMT5PrH2FCFlOWJoN5sHEKn3EGtfGOPRk4yUiPX8eq4HwaYUtXI enuZWHW9/dDlPyMYrhvf7q8xLcDADlO8ArPhjSwmyrnydAPSDCmlPxFIse43EU4P3KZlnZMIlctV faDYgX6a8UUDr8bTmD2KVAXooBlyd+sy/8oCk9UR6VMt6cvA7dsjSDdQ3/L5e3EVkZPhHN/gG4DG dORy/3JREDb7RDWh0f0jpCh+X1aFw0me6PGaiGJfL6FJUcB2NNE+bet9xSQ8sWQALhrDGLnfCzvs a2YneQ7mTLkCDAwLhxuSFrXZwNmcpS08NsYq2Pf3AV36aW/WNs4HR59rei7wfBwvL31QPwUZ3uPl Umb+WQxp2SlBFLdE2E9cDBnlAOuFuxMipehXUo0pFqJuOdDGSKPAg1HNH7907OsHEDmpX52sI1iZ 5xqSOPY3a+Bblt9FxoxTGzxgAgdd5XLggQPYuaLZgWYuuZbvsVygPM2/ZVBnFirfFfwnxY98RWAv k1R7fxN1VLOg+M2e3C32cgI+xGyXKvhPD0XlAfhNE7mIldeacSO32a+wRnt/A9r1ysD9q72JRXwz SMYLpYJsmmw6ZycpC3eDbyxlCYzmZ21PDa9i/Nwz5SSuMKs4QuSc8oKfDYO3AVJTSzt3ICkX2ngQ uj4Gmer/hzTyUvy/L7d0Sf5Aa2aWGRzicGv4YTvfrFlyTOLYjGnCb1L7Np/RNYg9VW/0C/Db7ge0 g1Z2OMWa3kEvOMPcCC36DCNiuRHx+lSO5S/uDxan/EPYC49TAG3IAOxXd5PzUR/1AQt9cHSfoLhk jSYmxDQqs2WYXaHR6DA37bwfe5bf7IGWFn07JfTLizzOl4IhKMMKfiCw5YIg7JBd86Flly3SMs5k HYZsUpP3e1hWi5HKU/WvDUCnclbRuPcZ+cB9vDHFI+dgcSWIyaDwFoQRH0XSF3jy0M4mTkHmYy08 ZY2FfU+alGXiDf6qoRqeFPCPtkfWw9YUJFDtMgm6RtbOLDBaPvMs1z2ELuk//0wworQ1PcVmU/MA rfOUuAGhWwL519jVrZLdfoIuoYmjD1lFB14l0xvxEiY8DizA9BFv3vrhXX2kiyWJK6Cgowv8Ru9b M4m3rqYjLsUAZU9xwV1tN0Kvxh/uuLz39kzn0l3DLjKqqFeMk3m8M+cC4nLhnR1b+MRdxdhU/gGY j0+8jfWAJQ3VYF13k7bkmy6gtyQ46EZwRq5fxMr24zV4czNJ2R0XvUdaSzBfI0msSkqzqvJaVwA6 Mf+GgRzHJIWjnKKeFkFvRosBNW9vnr1gIpmcGCgrYt13y/IZ0DkEzOpv9L5OP+f2+oKXRavsodrv bEwC+fYC92xCYZagoDFrcG4l2v9MeYzGy7Lqby+83Xbr3NgjyLocHUMK7lNbYARm+DS2YkMdNPaH MBK3CC7CUAAOG7d9YKSDmlEX1kbKsKgT21G/M0y7Jqds6xZQz4UwUbVqquS3ORJBrMJUheO0OMli aCrvKlY2CXw1oWnRczbvBCGKC2diTcJZfv2QimibhJXcQ98WUrbolGJLpbjZWlaL4/oMtj6mHqHt OfvJOaoOTYHQ/AGKrWoyHQHUuacyIcGk7XcL8aM5+GSKLmpu3nc0AwEe1nGJ3vjaTm15AsV2HC17 M7OWQ0TtK/yhqrTdOXIQNNwxcsSABn1b5quHWEwiToRboXMackGPFVN+S3xbSwHd1T5dlWRkT649 OP3/67CtLmXdKcXyhDx3uywgF70g0JVQhqHMTlTkRAV2dx90HW5GGPTPRkX6i5fMSx0vla9p8eyM gczmzqCihHzGtJn/pHe1v8zs10p0rhJ15DcJ0VlxLk3GNeNZbLL2nZKW0F3WxzNu0uB6pTRyuJvu DEhWSYQBEOMGsymTHD3dPt//OkMVyTGNMqzzN/hXCOlFH1F23vHAecHYszpdt9KJn1iJhuP11ARx qNWI63G/EAjpS54jHyjy8k8reGqUZLC0jlU20OCamFBZn794ZiXjFI3WXOfam82h6pyhj6Ps2Thg 9D9OGzabmF1lIteZZJvjB0H7HAZzg14U/xoCLVLiUU+dLbjcjUOaKcRgS7iW4o2zynDMKyI2CPz8 MbGQsz1sxvMxu/UI7nXPtj/SivdoUq42mZAEF6bNTxcs6E7xc7+TO80xdGisWoyCAq8uMaCiG/t7 pLxx0mVKjG1Y39WTNTz71IDzsGhcV32px3s6FelhMuhX2cCB39BlkxmVnjiF7w2WYE5/C7vsCCzA ZXL2r4YIy9Rq3r1gfKHgd2168XrdxjtziW+2TGj/l6IDFuQcRxaYpxfCgQT4NnRcZdkIgpF0vNpu exVtMF7/GK/pG2fzQ7zTeyAjE93khyFmHqelGeGRFTvrdXAIt5XEgNl7i54kakg0zgxl1j3BGTDR TLKi3xm3rALFQQHSOQad9W3LL0zQjPh9djFrBMu9wkgPI6hmnIekolgXVjc0c5VmYrKFiKgpP96O qxwGRWXglUdtSNS7EE+xO5jEsVBoGXafM54otNullmNJDUS0GblR8gLVlYYn83OIAPh/7psifX22 2nr2piQRq3b4rfFjNx9N+Ok5/jguoEQ4s1ND6fIt0KyRggWZSiWLdYCzg1KjFURda6r1Rcfg2NUx ZWEAdVjgcWYbJQDoVyu+jtxNm6hP/cCe/1RWt44rAYIDFt3Om/8r98Mqoc3wQy+wZhUrz0KJLjej dwtc3xHqKNXC5Iy2jq3yFIFMDd56zQaaVW7pm/MFZxwJrnjVk3XsXlboUJ2m/WGqueQ35ZQJKBPp B40iNExlrhna1D6mwVrANANDECAbcpD9SWSvUZIwU9tRJEduZN3h6JIgYqiKJsSUF89as1IUv6dJ ZiU9IMUPoF0L/WGEmXa9HCHVH6ZuaMe22Gbg7llFhScbHAxiUBEX03xCnL3ok6H8Clx00GFpDBIk h4fhv4dlPAedUYPzpqTAP/pvaCKPWiaRrbb+dekwj8I2QwXgCGwrsaFtUysbTw/T+MKyF7yH2w8w YpNA4bUXb2YNZ/fRytGZY7Wba/UAUzOusZTln19qTzLpXbh4Y8VNDfB6wzQ50pRyPEl9jICq+Vxs fEofiL4JMlQ3l5KG/EuBKpX3BIFK8T8Ey7jf28ppgSoq7G+VllwTEUIkyozMFPEgp45dOKx6lFUw mDdl0O/tjQ3NfCeUBi6qXrUtsBGhtOkTf2ldsOxWcIsJyoIxYNf96sBmWs1/LaK9qmwXtGrZyreG Yn9HPfAr7Zf5zq2pAvrss5J9M0MqMCRcsmFHj5+8P5JbJ58MNTEu3dQ1GXBbHb9RDIz3529NS2Bk TqTibfErTMHJhMbOMs2q0oa7C58vCU4tufwY/JdwF0/i/2v1OYbQYM2l8XLvFdrOIOFs6GSVsfiS aBY94RrSRPaPzqOQm0nhsKtauXI4lRtOfgPCo9/9Ngf3wTjsv9D/KYQhjfiVRoEJ38/IcYQR79uk 5k5kWhWMP3T+ueljCIDiH4Sjpk20KKguZqldjSYA5+QpaQP8a/zW4aZt8ALYGTRxhCqYwqpB7yec G6UspeAZwitLkA8lW6aU63gI27rHPNWFMNJADXrJf2HZF2gqsyfy9aF2HhiehI89ZtfXJns0+AWX jgox1y6dUEBfzzijDMrr9Ag1x2C+dBL1sjeqH1BV7mj57Z1zKU5HwlLK6HswnwK257k8Z3jNIjvH PsTfqjK0Mr/3Ibdool4FqD13qt377KkiC8i4xaGmww4GI3RsTKd8qbTESDkJ5O/4VtIFen1mUDbS inwoXWT57s1YCVLfRPEA4x5QkytfNakVxeF/nBZuCuMqG83YiA1s5JPTzrDzpCGJiG17RpGc2O7t cQE78ivd2Bylv1+2wEDSnUjbVw1Q9EzKSSlFJVuqu6wEeXM9lVj7PdryL2LFvXVvrZY2SingQRuy 8QUWc8wdHDzQWA9e1RTPDNVWMbifh5TvEG78jP+pfhq5LrEMgA5YROaVEaT5fDbAJnLGUsFZiUJ6 QhVLkrzQKm/Nz4RXwCf4Fv+8Z0PMol1QuQgpB+d1SW3ypw4PqrwLNoB0iCcIb2W31P7uRcaxNXTi hZBLhlqlie5sAeIQxzt10Lg1oKm2Z+mP7q0CQb5QyM+kE92Xem4z60J5LXGkmxGk9xBHjJf2uJ3P DxyX34PC5toU/HPPGikE5fIeBkrziAefpudOGVE/tgUn8duZGeoNERXBOK6uUcXm9YZQ73c7ZMX5 mZV1SDWTlqeYErqlDOKh4x2pDSb+D7CZJIHqgEdMQ+h6pSnVt61yyKKO87oEOcwZcPeB8Hpg0ZkZ VJ23Lt8b/wWbltj6chfm+m7jzGN4pKif2+1yDvKOmIXbTjOfyWbByDnrD4GxaQ7an7hK04nn5YPf F5sGWXuaipOCnaGN5TWr+TEWG+/PQjtkeVsDA+ie0te3zjbVmbOvrOEuk4WmK2wA6+82ekSMIPAf MtUyh7gGJ172xJi0YzRZ+6ezQLx6kyjASIm3Am7SnSkq7evvkD8WQ7f3QqPp/QM0phPizjWg1sIc YY3RZjWY9qvWoHFlZvXoX/5TMQEwfrvOHZ36TAHPXm+aTCELl35lRShT7j07chl1DcyXnfvSJ4Ot VMn72ZjejgwAQh9mPMfkeAtltsH33B3JXFEsPaYNT5lUmv9+s2kANNm4KU6rMjQUsIiV2TBx2oK/ F6bctyvdw+s6+ulwgyG0aU4HPwNSGsSTA1juSB0uw0Pl3NE8H5GqZVOlkIbaaDH95yVTS5DTb7xc CLDmhw+RiM+Kocz6PP430jwzSNxyGv/9Hp2THmmTnxH59Wrh4T0PvD1/EM6Qz/M01M1+vyOZ2i+q sjOSLJdITyCG2ZGtGg8QZvjhDTlnL1seMRPNywuNh0YZU6ofUA+Srqck/US4WsogItQdJ65Djx1k iv1qzitBzdyT4HNwcKAngjQWzTRWH4yQmKyHJTlF+kqHWWBYJMLcdAi55fiRA/uwsy2soLJrpIJe HFFrnd6j2N6xIjT69pagydNs6h5IS2UEcn9B6ZHNzANQKX49Sqi+bKk7U+y5jGknObjaGyd2lkQ0 9HoymE+te8UPIiUjw6hBYIuy3Ed0yOtBmlGp5MJKZq5xmh+nMIWLJRS5JwsSTOOaUh2b1ZGBfJHV xPGVpAs/Pp1dB5XM6MBdWwoYaXQzBDhoCLRR/EXVJ2Na2GE8yFtzELj6FcGL9hFuFDe1DUh7vqov nJFb1HF/QMWtPprZKNAandKI8CVcg7JJIbOicJ5YfhtwxEW5LDhssGNJPK6OXl+5GV1vsgiL6ZuN yI5gBIcQu66g2Emch4OmT9FqpGbxdaUu2pA7tIqhYFJXrSe5o+yOYolo341OE8aGMEkWGK8mbzQ5 zMIH9c75WhAykaEd/TXsJCWeZcB8koBlnCx+D+bLM+yHAs5qqVkHa4ysi6lSZLYYVs3UypTUNKOb rxXa2yp7Dkh0zAp/i8+aeKE0/o4Sn4GtLR5GFi5fW8beIt8d1IzNBTE0YMwrtk1ApX/015+LLdHF K+++C3ooYzVlHELHm7JJhFuKG7Vk/89tMyzhm+ZdUjjquwEK1zyLqNlqC7RdqDeMHGACfSkGGt6u qFYusM5P6qC8uvBEFt6o6DSZAQZPh1yFkogbduBWnVkHcF34aGTjOX6DezLfmQnY0jG6G6jDVlkc ECt6GORNJNciU06STsfGu9YGAt/OZ8TF5EzmEqVGKuYCznbBJUfUTwB0PO72CKi0z1HqugAaqbQT lbJOo2teDFudFFzZE+I1M7/7NFbySWG+J9XFyASvDRATTM811Xfh/6oMF6ZAJjT/9VPQYu0Yz1MR jIWhqLvMUwq4hiJQKqa3zpK2zoRAMZ45YD3KCdlmkcYPe1MeW9Fe4RCVD3HSJMH7vUx6+yUJwwo2 c+8iTqv4bRmIeQza+TP3V53hrPbeppK4mqxys/if4TPME8loioTp1DFLg8utJVv3AyG9GfROJdF1 /j3D4BNXZ38RQJji/nXGt8arkAgs6P6Ci9AVUwfTbDUoCfJAvMudeZD40j7PtsehJuPmuJetv1mF BWbX540CW7vkMDFgO9I/QiR2E/vPPufNNcs5dBGRxDANlnWeRo+7/xAyFILfBxkNJEm8c/i6ALLc p23sUIYfNyHFmVDB5ZV9WNr1dQzpymJamx0Iz09b6krr4H9YkhFEji9LN/JGH6vYH4eL2SUokSbG su9qsDiE6iymq+tNRq4BKygZf9bOj9VlyKplVa/CCoszgZS72JRW3HkgN8ieK6QdF/Zjqs2OAqdq uFUc7MSvX+Ld3meHX/tzBngeC4Bw79pSjwoZLWZ6xIn/sQdLD+quWG88WOp7n+meYMlmLrXyd6tN xYNkOGYY4UdqmIn796gtHjZq9aNZnkzqoECmfUxZCvprt7FB4SDmiq8+ReAhEkafVNM4y0xMShYE rlG2nXIpt8s+fk3QQyVpXtuN2cQU6gSwde57jGYuC3d1+5rDrhY+taUDJL9gdkLdIQa6ggxgJgrq jM8xMtQogcSXWF5H6edeNuKWrkK4ud7nw/fUXw9TrHoxqVhOqtmLfVzR3Z3PhB6mXr17i0EurVXx wMtD3/p2P3NjOGD3z0ffNpei8AOXPYeJv7Z2TVcMqli2gvsc4E0SAKG/mN+WMAMD4vZxLT2orUAF 6xAVtrF5Via8v+MvALofhncJkIVYv7S6QVU7oUGF6TnpKM5S8E0bHzh89F9MzZBenU3izD88g5La 5emwr4hG8LvsRRtf11EGGtfdmy1FgnOjp5wwXHWGaLn/HTTExo8uVRTEnvb0L2J9T3tDl9o2YTrt tIuQBvM3iANwFPsEophDGLM+qjgj92/h9YztLbcawfA3V1txAB9WgolGkOedZDgSVrdz9zZYtI/o ElNNwijmLjR+h9ODE0PVJv85gdJ7qrqwDWMMKO5+yTHHbkSIF0PQ0HgeXdT5SNT9jXOe4D1dA8SL qjzGVBINgHCxsaD5A6PerQS6PoBONurOnaqb0HseRFWpjwQA76L8yQaHtUrHKSWyI16FIOOl8bGo pkLOGf7gEFnmovDyHqKApM09OoFTUmNOEZ09TNDFBirvYfaGqsYPJJjTGcTiOLZ2Th/9etngV/mN RVTVm4GMNc4l3xm1H+GYnVIU/rk+Z80icPxL9Tl6YjZUZAR6tmcUp/eHRm8aPXQN/CjjFJrtJAjh 9bDMs0clYx59z7o6i6dd7fR9MDLVgv3l6YLWxdurWGI95uI6UKXsC74spWWsDm9fKN6y6a9PCjUq B2uSwlYscHhz7lwHgYR/tb3MAj9PE4NlgTk/A7ad/rOGQNZNtSt+fipH5WSv0gpPcZK/ysDzJ151 PoX1RapB1eZbz3dN+qs9zkgYprfarcm3gOlPdD4vqmAmqSdNcUTLbtFVQV2mbP8ztd6y+z9ZC7AB yUQA66ZPNv/SOhHhmQ/LBoSyPDMAUgm3XMpZZQut43XJItXWxS8+7tXZ5MLG4HEk4orkoLGINbWz RS/FIViHM9GaHTBCGhe9onmX3tgmI0fQLYIQm4Kzbu+LN2P9bAix757UH9IgvDwX4yaSRRN9UuUp TXnymOvpaZhmqZDlwNAetLEizDqfAN49fOnQ+P59ESsE5F5S8CtmVATSLmB2fW6trZq4bdHJJrm4 tQAQdBZNkrpMBpWPQCdPQCvNY8iOjKYNFlx3Qsb140MICTGvUnwETm8PRHz8O5z2a7LuQ/eI3sku MCxDmBPtx6QlTcgY0nEzsntcfjV8tbWuWJT68zIc/f462d5yYKvS1CEuTZJEnYt9SokJGxHZU6uR CVXkQPcHfQnw9/wVxg6WQAdHLDp7g4TP4WiRjX1dSJ/+A+Q/2X7yeKeYHCq74cONt/SJur2yLSYa 8FK6mGPFv37lL6MsJKqnfp5kYrERDbNVBcVE0WQOv2ecSUC5opHi46JeT2XHaV64kTbjRU6IMwHu vDT+lY7mqvLDuEDZkZJcrolh2imKdYf+eS8X+05AxdIKsdZeMCDVFTrPiNd/j91nPUczgh9vVbsj efAzzHcNz4+Lbr3TK3B+pfn9WaiLoqZwYkJSaasdQ/uXjQi/1LbkDJEY5+f5U2OR3kawFg/d6f7k U+sePfaWVTOlt7lq0ZGdK9L6cn/bhsW2hUOnWiFZcq2xrQxLD/SvHWx2GDd1NfA2dUqhOZuiMjPV yRx9LICWXDHf1rB1fHpH2+9OhbTXVDrJDBcHXFcwL0tIniOoxkav/f9IPvxoVtHrYScKsQVmNGSO xs+AnoLSBKXATrWE4f3xttqsNZ+E2TJT5pb/VpZEfn6IwDMXX4RY2FQ1916KMHEyaLVvrLEzLnnc bA+rtmMQYgxqCWd2OfH8qSwAWVY4pAt8nIqwgw8AW2xgaGNAdjbbRF+augH+cY7d4PJ0w0yC9ALN q/ZL9USVUgSAv01GK7NCnqo6U0Kw/PSLqmjkaysY7GIFPrjPEiO2OD0e7saGma1ZqgUoJ4hyHO/1 nARGykopT7ncldpq7cp8QNKdtu9bG1JKbs99bMKN6B4KDvz0rqBvoV9GvKknnN1IsEyrkKN28KAm LElCyR+VCtcv3pYOqeXBYhORvqPTQQtRdNHHIfcrCl7cw+gqh/tA3MSNnJ7kw6drTkNCOjZ09q0g uOVLXeSV9k2DiuQkUPzFtAWVyTF01muwqtXv6PDhpKU35RZoVMWy11dz5Bc6zASep4i/EGqx9Mk1 SSYdshfswzTJjmxhHg/MNgl6+MLzAfUPl+QdNNp4Q7OMvnQcH5O2R4icMzmlO7bP3zFX58xOoxkh jGyJ21EL2PI0ZFXueVbjQuc8LVbGvMzxJG+1EtE9wJgCv7Xw6zjxJEJSoKUPvA3O64jk96Xlvq4j x9VGrs9cX/Esr7ZZh2fIckjLKP5rN2YTFUUywkvPnvs6bZsmTJW9mJKdBYn7CvNhoxR7QAC0kAEI 66ATj7UNWFE2RrUm9WX3uHHSsEtM5KawiuxkXaDFRZRTaZAc2Qv685dCRfDEvuL3RJ58ozJRBJ3n 7uoF2R8EqbQZtyh8i8bTLfbCiWS8k5QqWs4rZUMH+UH65hlbIMa/WsDmwhvkzCLTA6y94xp5XdbP rDMGOf4ABueanuEloqMaS9SWpuvLhq1nRhUVWbPt0imop484ScGwOPy5HcufpqpTzqZ+WtUsggTU wisB9TyfOdvHfxT8LlkpAKaJdEncH/xzXW3UIekXXCf1kXlzOGSGkEAVjdb5mb/FAzA71gxt0fgS 3IfJxpcXD/1DcpxmNi59tNjMRPoayjSImzbmNos2QwfYgYzHLFOKy5o25e03T/2yJwjXG5KYk1Ov C7leDkb0g7o+/tHm2QjKRahn2cKvtfWp1TqRXTlFTybait8A+V1xR3WBcQ1bpDkAPmPKimh738jI 5/bhHkDYuSBibjlvbmsBx3gvFmom5CSQ7lqmxM1b3t/oLc0izvdVBT0AKDyIYNR1lqSgai/DBUkU 2LsMIhLK8fsyJIHP2n+HDaMSYRvOB62Zix+iyEmDcua6helYuVm41VMKs4JXqkFv4O0O2svOuSu6 UO8C9x/Z907yQwPSBd5iDWMy2iyYn6RDtYfw3YAMyyT5NLFzag6t9/mmuPTw4FDLdouUzzjmDGIO fMJQmSFP2Q92+EoUi606G0spPMLnISb3b2ZUZ3M8fZyRsHVz40vuHG+DkUBEJKztm27GRK4VMUKC saVa8+5zc8fNpFUxT+Mevx2/dyHL9jRZvzc5Cwg7VOf9CFE4E0I8zwPxBLJ8T9t2MW2m1FMxNMgb kjPeYxPQiKTwlC8XgcUW5b9pKKthSAm18rHh1tHtSl0ywI9G0mWLvpLolobDMxEl9s+RIGqhtyWJ oTm5ZDHIvv/lG1fyiRb/17JtFh9hBJ/fep5wkUSGALBLcyK+J7YaKrCkdFGbETmchzx4PHjeWvSa 30P9eaEYTmehOwM2pNonaPmE5BFGjzu1g43G7Nva6+PPJI8Qnewq1czPiuj0YGXS3DPHqGzAqvig 98c1iTrNXQ6rGrggUh0vTK5wMnJorQOA1ZjOOMQ3pH7LInS1RT2F9DagGMBDwj8vmsDa61crnlRi 3iBqXkaQ65vprvK2Wu4xwBOphiGO7VpWnxWLg7OgExMxHvJi/L4fOK8Jd0gr3Twn6yIljPUbukYf qAtu28sJuXPs7v47tRT9WJFzFqZTcGj6Wv6Siqlo++C3yE0/S0fpod1ZvZC/XeeyTRq0WKV7bz4w xbCMfBZQeRpckBKdxq2D9ATWP/bYXCzNAXY7IvBu7PFFroeF3Kbz5O5oKH2mJbAan65Z5Hmgb3KX Gg8pFhn4hHHnA5YedidaTJNIxTMbyrj5Bgm36NqOCqAnlCHge82oGKWHQX6GEfqNng3Mm9sBc9W6 qiPnTK4Ai744da0nSUFVOgnRzVqZeNp80N4WlEd0wFG4Gm1DU0IDTCmTpk518xinTAskWE97bOw6 YYD84gCYebs3uiXiRTv9qi16ar9dzgc8LiWyJCTYeVMvTU/+tSYpkuTU+6cEOVE+6/omaU5i47ef Ry7nEujw/tqA6OoTA186aLHJ3I5zFmB/XhAUjm3FeIj/h3HLvGMdjz0FfqJSaFGmgoYEwwneCLJE 3a23mnDXtoHsS7gRPxmIq+6zQL3VnJgG8wjQqF8j2fDj8F5p4BvI+JFvKQ7uA2B3zDSG+k8C6xhA lggEZNpXhO2XxyZ4TSk10JGfsAFZEr0v2AG4Keudy91sdB2UKJSZBPJDt4hc+SMoA/bo1ejFwLO7 nClA8LQ+BXbNTnGwEIlXdKHt0uMuxeJ+G9pnHTHrdMbgvTfwxMU6VC/WYm7hFVfbPtmdGF8iFH8f hPnu80gWmTlAIM01Foh7vYVpxFXOx0Me/3k0hrKFOLWiEzbC+SqvzEct1ICQ+mxmnZ1glQikBsQd gRdYlXreHuic9tSlVg1G17WP0cTvGWB8Ndcvsv+hUJ9ZVWjecJZq+MlWQRQzgRTfrFGkaDw/4ZbY SoRyTcM+V7thH5lwgx+/i60n9s/xZzU2bSniLm8EtcL+z96pJVafAHht89fBEGj75CWMAx+T8xyQ cw/559ExsHQbHF+RsY9KRz5F9o/mtPbOh1zCSEN5gft7YGUAPkjAv0Hgx0n+MF4j5CETwIKqxuBK o/o/NliuJnWtV+Z7jRiTWQB+lwoXY7HWfkwncI+15TMTk3dKxEZBe7e/x39252Z6PA9gJsHGMm0c TYewowntmdq086dQPHGkU7zxIreu6E8IfriPjhLnMHbpq/cltDHMHblGhgDDyFlP9rQEts15TSia 4y3/y3gRg3NO2HZ5ALhW+BqIxAXqxy4dMDiStEK3kVsBieTGgNQGr3nXZEa1RJhsJHWApF2yLODY dd82eDCbRbewDkxe5d3ZDPgOhMOB5P/9y7Rh38sEDDw9qMY+7kHEbBoSdZI3jUc6s4vE1SBicckG LNhVAJNjsTWARe2sfkeq8wGjM8Zma5WjTyB8fa81MHW8JfFSOyHJixLpzjs6Sv1DRAx96BtF9xwW UL1jJ8hvxTow0UpXpI2UcrFGrJgz3kWuijmG+aWrBU2iUZJh4jVC1RJo/fxVXehrQf8IPi0sj8qm L1LLZzIoWLrM3j/6F6BYgDpKIaN7J2AfG/7FfYKlQcQrnhHeOXmRBXTVfG+jZWkR8V9lOLBUvlii TGIQBD1RrBLlHISB/ognU4PwaHkYMyNID9r7BBX1UTn+xAsHSW9k0cAIgdkJABoW/+kqa4r8cH9X PXIBZ+MARCVuqNCYlhPmQZJyxui4KIBsMk+23qAPcZ0SqxngP3flNBHBrKF3C606M00whPnFbsCC +okRBAjM68ayN5JzgL0Mv3Uloq35lRTx+9JElry2BVoLLyZCBnCoJugbZwwXbBrAFkc1KGo8miN1 WfcdEsLpr1dp68JlUvziTUYsFwHQu8WFyPVZjejf++VIWjANxftsrSt1ejsS+cYv+2rsSnu1TjbU AeNZyQZ1Eipt27xCbWxBpKRLohv9nyFTQdoxnJBJbUR6AX7UbJZswCadPknqFpphQlmL2Wn83LFm FTEl+eM+eXhMNLAoaN6GXeWMIraKGbupCUFrjIzw++NbrG7uBkhmvJlFFMajSe7idZomEpxQOWZ6 OQpMhfva6veU8Aeeu2oAvc7wzHRg9Kd3l0ltKe/bWZfGbdIiQthiMeLveafIj+1FiMEK82A7bAjj O6GD32Nav0VWK3wgfdNEH4OsP85RCmWn845pjOVjmpIzEe3tzLi9LtUXb4DPf2Dr9ZPpnmBbkvab QGRSAFv5k8kYLVGUieyE2H2yHyt24hoUsg5GYXNzVIeWJcRNIEbXqIJQE6jwxmqAGFpVJqUvtZrf S0pSwtafP/gYLXjJmuRYf65uqiNiKKOrswyi+kq0p+eXt0Oaq9tHii9mttHGL1mOlCVjBMfbuzsz cQ/yX7WsGq4UJfY8UM1iWqbymrrtFicxWd18eUyVAQMy4DsextQ6TkEgOAwMYRIE/FPotwL15DCv UD9DqL5Zl7mV4VJzvqtiF9Wqw4uhHMb/0Hz3aJ95DEZbG+sNlAbldxkfr3V9bXHScTVTMfVzOmxr RFfgqQAfwfMx8GzAXmP/JkMW4gYU9NAFfH0vt3O8CnOWY5TW7AqAlRzoXb+Z+dI5RiZABGwrKOmf gkeY4vr6QLK2SS27+5iXz4qze+DzdAbmQ6rzeqXUVHwwLURmKUKgPCpFh4ygrXpfMOA6n8Ck2Bwn dCpPBizuxkmJ6syJDezLN/ijyR0/PTqF4yBn7plnCvOtCafSXCEAgeHNeUjUt4luSIy/8czwjNJv YZvuWxAWdI2Kc3lCnh2DVcY8eG+9acgPu31hZn7Nrj62pqaFjNYf33NzmNfjZiQnHA3wjpiIagcx iOuMFb70PX8b7Ks2xpUgRoUHuQMJAr4LTrmAwolF9znQyrqUGFdPnZfx/kHE5h0q07H8PdWSp8Qs 4gnyevV2H1jnl46OCDIjGHzfUvL5r1iU2vpihMUJb8JYJC9Kc2g21xEw33yc+Wl7XjcbYoB8sEs1 hiXm3SuUjlHWAihviAs1c3ZoL5GQOxJJzgtiKxBjVV2F07z2DnP4XQUhkz+Zgad/wIlrLu4dfNvX Vj8/YZ2YWcSHiK9FbZxv5ZOFK98JygYkvu78w8NQxjy8ZMYpicpMJ90u2rZez2dkYfun9rLvwsNd xxlpbbQQBPXp98Ds1mLfA/dsE+tJL/ttRuReMmjbael8Aqdur9sXkjBQpH/C8IBYU7BZnTmPDxjm gl98hLVWPGM0UBTCn8tst0ZqsqDRoiSdvNOvn5X8ojhLGUbApPlcv+km4z0LWmBNprdteWfs6Hda q64OkmZ0kT5bUHLKgYP6EgWIc5PGAQB85YJ2y6jCVGtuVcHxlqj9/M9oEMRCf3+J6u3kiGuAfHPo iryXeQF/s2c8/xUNWnZwdH+iJWh3S7AhupQqN++UqdQnMj3ddRKq9PtM7LsbM5jKDQzRzEW+LpLE DwD/GKs5FcCsjG0BOB+k20MaYAH1Iu6a3s+SG+X4+DSonOHD2Srswv6O+dBMHo5kdFT6WLEfUikC MTSHF4zZRWRhz7DWJ6Y3bfzP/SVB6P0vbVfHtYcDrSXiPpk6mWwhzW+aVUGKWxo7aM2bmY6jKEO4 gBlrkN1HMlZJE8L9DAOU2An4hYAQwk1TyPvMHeOJoAMJajiv2H75DOGQQxjK/0TPGImIzdv6mbxe GoMMdMpryVOuNYqIpNKG8aEb4LwqfLVcoEk7anJe3oHj9sbYe1mR508wjcSZjQXs92vJWl7XKLQL 3K4ScrbtVT7Ed0+6YCh2ebg6LBeHtW2A3XUrOG8rgQ2JA6Qgi26fHXMZthakvbtHB7OUhnbVBbrR kIiQhq2EBOHeLXL0/ZG/rT4T78vHO+69pXogzeNrDdt/QBQ6DVv9bopBqmO0zPPShCRY6EZy+kCs clK2odObYn/NtOJnTaCPC05mjFgYn2Zb0VyWo13jMovBUQrwxVkDHkrNhVeu9rrCnYXWShO2e4Nb V9PXO1D+d8n0kYIG+nyM1GpkyXbZxVrsAgJIwMaDK9M46FGCukasmkZ87qMSxbM+06UI02FGH7jP MehAsjTGcXih1Es8qU39CrJCGC+O5xkR69GHteQVq2sekZJkUqw7Qb7cgitJtC1bZZgI2qC1a/YE Eay5mzfNPlHO3rV0Xe7YP+pxdXd0NZwNEwkoKE3zgGWPs7+lBO4abuX2L2E2apRkvpfCriLwCmuW f0JFjvRsaO6fQQfqUV2Bqj0ajQBTOmG9uypbIzmZ6VO9lWsdzfidadGfjmgbie//NGF6dLSToyXJ 6rN4PtJhUuz4zU/6zr+v47CeqnaRTPdAj3XSZ+E7q3O2nw0UvlbyJVmcaJv9rCZgCKj458o4vum1 TKeFn8IGTaPV/rOcfbC/ESE+CseabtTgDMtApAf+mHCavD8OL/8NVp9FDzrbscWPWkI+tPIuAdT+ 1y3GHZeAqCNPvuYnoHlJsyGQSUSpY+daTSEAYhc1OZh2RKI5zhrFSrj17HbmP1d4y2Z7znncZTeJ INjwdOcIXaY23VuMPoTTgEAelCBY4ZoTTYk9mzm1p/FanMn5Lvjszjk7xAIPtATZJ+FhvRAV4Iwh SDkKJ/rRzJkvOrSFwiOjVMuexCF4779KULcPuBeqcztMFNVkkNWvOq8/m/YZ21pDcpgVoGiE2hon LMx72Qy4dzcJqhMT9JnF7sY9Gq5/XWrkBlSOhiwOu0eTHKuvjnb4LTw0gqqOt/ESGeC8LZpbFcc6 a9EA2ID1QabYoxSe5kISaiaXdm5GdUXsAn4b7GhiycNIvEvEM1uVGbgOscUanO6XiE6VfatfBseT By7Dy8AViasTPu+oNTjSxH9oqqVDZv+0VBR4E/c0uUE4Ulpll771bs7PM0ws52uGZwP1B+DnCyt2 60Z2j9qe7gxhm5ZDhXzvGm85H/fk/L5E95kBQ7qjgf6Hp98p8YfgOwpx2DG1wxOd6h3WDQe+34cF UUoBLw+71dBpI5DfgGPTMOHpAacSpZ+H5Wwlk8rRqNvcsB0LAbqZStKVGQzYqdwE1YLvy8N4PIsu L8ncSo5IGG+iWj4lVJOmvIC1dQjsS/etzU/g/shGjo8WMDPhrD5YFFU3yyUBP3NClsCceAYbq3dE 0db9r5McSl1WeLWyzB+prJ4vqU32V4GfTXm/82yPBCQqJruNCbxj0wBPxQNKBQathirZnvFRhDkJ TZC40vUKzN7YSe5APRvz2mO//bsO4EWgPAsV+HIBRBd22+FSfp3KBh/JIi6qOSNLylA34cgJe1dH ABaxXrYjf+S3k+qSfls3PZzDTYvIz1T+bInlcnvL4dW/y8Zym2HH8SXraqI0P1/ePVxYLD1ILc1j tarw0Sie55EwRF8tDJrp9spkzBVrlAfNiy07kCCZAjzEvNjkvC9KQXGU7TFWehnaRC0EDyxUz5wU teeR5XJ5mUDF0HNBHLqoFITx12gvrYDpDIhrtBFVVgS2oD2229iesAHYBFuREF7xSDVtYAObJmd6 Tbo9rhF64clbqw+KdIhQXjgDtZfVGFKoSYmLR1WAAwEjOs5VkQTbR+0Vtc50azXknGvvhHvz06c9 LLh17jSgaBpgR1m8OOnH0/zz7v3GNw6Hqhg3O3mZ+vhMnuOdnkn1edXo1XZ7Ou8vrQzeEy42wyrr jHbWACz38pwoYX7/cneSo5PdQiaHJUvnzansNz4SZPROmTaNJkLmdw2EonyHUvBX4y7IVmT+rIF8 T3gU2NV+Y3GEHzFTYjxTcSyIGnobR7couLCosNr2JO/5umKc5NysbKDtFUBrjithiGsI8Dari4mE swnzU2GhELQXSwwmqt0iLUmE1UAZUX0QxIGrnvLavpJl3tKbQvOH8MzSFSfzZN0lUtHfeZWpanYR 7R3Cmr7PV9hnILlx/lPuoLkRAOr7NQEczBqgtg16ROgWeAO/vg3I2rp0FyfxGKiZXJWiqY/Lrl+i UTOS1qKtk2AbuYmaPumDhjYeCjXueiQpOF7wRkD5KDKkZDLx/0hgenN5cQu86WVJ87TX485wQCha ca0TxfSBuUV3wLvsNKURTDAFawBVxG5ypauJ1CKBxbREZdvcPex5jDTQYGyR1QqNKbfQDGQKUSho yBG8uGJX0ivxfNX9bPcCeldidMtb2eh9BIc0Y+MyRKFiSHDA8XemB/W+30eiNtBSCvDRqUA5DYEK gyoCQIr5UBQhhpfRAHm6G+yuQb+UApn2EISSDUCWj+tK505KvQDCQmCoqzblc4pEZ6eos38EKh89 B9iiWVDBcYMiJ0t3jrl9IgwI0WIXO6WClmvYiWpH90dG9ZJ49YLZJgB7nsV62qP4xoRZubF5HRKj rg9LNGeC/l11lSgGh75PsRbWC+NVnBCGFqO4JKjTO7J10UsCCcKCb5lZKFdGN2+l0rW3oFJbJ8dr 3Z5drsGKqiAb8aavYpJBpkVsma2Vrw4L3LeAzmajJgwhZJK0hx0GRFLdAuTyeCZGoWDNaCDg10+8 xYGy8S2s0mQ6+Ly41EVcO2SGEZdvksMh6q9SE5vULct1/5fSsuaD/Cl1OWz+L0V7qYXddo98rPrB /1+cJ04HIx23OCidTkoWh/i0TCQgkSckuFwsen0XZX8OND3RIhek/f7ate6Fr27P26+boKcAkcjr 1yxi7MKKAkSehJk1S0bA2iGfhSkEO0WnsLV/E5QszGP+HXaNLbvEdApdBmhn0dmB44luL8CU9neT frn1FuRx3B5z94777mKgOvix06wYba14OUToUiwnO8dAOJuGRzgFQQ638b4jenv9jFOIwW1l/vBb lSHhmf/EAT3ytWSiJRFUp0CVVru/E3N4s7oqdhdChVK2QqStdAE9yt987O1FUPSqrA0YadiTULNA PU3ub2UwCse3PGSPJr0fGjP/pj1F5RRqO69BInBSR6Z5shGGQt3MCgVqS4ySYskCQfRDG1KaYKoK YJ50G0ojNLED8k4LqZ2eEPzhJSBxjMgn+5Eeiu9c2eIXAoxHNhOlqMHL97sCA03x6lqaKHWV/9Rz jMjrJFqbDUSuP2XSzT6OxgfYKJa72A79dBCUa5Nva8NlprJXpGgRbZGgpB50VBA18THgm3WAPmyI zYxeu8lvBbQVMxjB00x+nTO/YoFGkI1iIX90c7pt+zTc4Mbuquo1FbqE3lvh5omHXcD6INOc6v8i qXsFOhruYnLh+/7ylM4KPNSnDQ+amgIeYb9I6BAuHtCD8Ajz9DY4tnCA3vFNIGYBjMpLYpkfgG65 bXZcOSG//wMm4P/cU66n2xTdJLKs2jyAa3r7mPdWJNyoVEI/wvCW3I2KptNwLTZo10UjufO/jkCX ju25OoKUGz5fdFMP2rUfnbn68oR5qlLyQQPtUoMFCASnvWT5fM8lFVTgMXjzfCtO9j9VreqemmOp Wk/XDio9ZGpZNlIf6MRvjdEyiX0FFzomR9PiLNkNYCbrk5YqrY553abNxfZMvDCbELPNQdaSoBoZ 7KhFEpSJyqtG6kJyfRDz9pkxPYBbh5p6eYAb6N8+k4weOlTnuoE2hkM5OHaPEBfAnxtgY90wdQ+C kS/JVqgx5STxWSjhRxYkEbAmImEPIT2X7n/PPdnC7bu6967yewe/0nO7hFOiXgbzp+m7I9Khw8k8 2n9U+fhWLwxT3kiKUEpGEsNvhW0MB7Y4l7kAtMXir9eBeSJ2Ts3j+VT2/+iHEa1BaHUy8uS7x9bS gKb+ZOcc3oqHBTz+vLEojjrYagtTaD/2WdkddeqijyGHeZpx/1x0BnwLV9v9yKEpjhUS+vm0M/sN ULlAg7JqT+l3h3XZMZbMkxyr2CzZHWebrkyqlVD104JQ924ZeXf+ezF9Aazyr0vxcy/9mGOM/Smg HBWxYKhwzQ5jPnWeit4NQ/If0QJYNKO1hNj6EMjdQl/BfUFBjXNEx+zhXNQc5IYhOJ7UawZf38el ah3lwSNwX0R1sFYvg4VmzRPrr2RalonZnSLdlROKnBIZsyB2WaUsW3BhAGZ6pnwznm6YTWEaiXk0 lqT9F1jLKAh4FXcQz3je5uhZvBpmh3BhCEfuNKAO5R7/+Q5Nfx+gEj1ocEkwddcAotepXVxuVDDY xuW0SVlw9g/r7esYOoAZ8HZbmn2TaESZgJ9ehymCHQdZ52BoE7q9iZRT6AGpBrTFdwL/yFpk9BTJ ip/eT4oLJ1JwWvJ6ssDSP6NoyW45CqKUqatvQxiLrzhSvgUXaBIJccQSdJMyoJoPQL96tm1VMa9S ANivQaFU3nGxTnRxFfQD+Ef2sxDswyWctF48q2GhGnUZ1Zfm1i/NF1Tr6Nw7aLhNH+WB5zxHG+TH 1eriXMXKI3bK4jgv7Aeht88rtS3yrp4fjIC6lLmMwAvunn2aJz7ZvX+SgfUycoVBlNXa7UUzmQNQ xPTmYtFUNWk3S9QpgQJf/FJIGNKS9/ktp9a2CW+D0Pyc2Wfc8K5EfJiz7typS3izBUBeWfuovlre FiojQkLntw3L2ueWNaaigRIexYNmI+DOJeV1OGfjvW7gfYeeZllj40rZ2xQymzzlDLskbjfHE/WM qG9GlEIHwftI2cXs/vKNY9Mwrze2fr2N7sTZsqyq7AsaAZbVGW/pXvv08KdenDyIEiCH4uRz9XYY ybtmUJ8qowhBtYdfPNNtG7zV2ERJQojSfpvMIbQaFgcKHbyggLNRVMOwluLhNcuGIlR7vQO5K8Zq VdOFkYZif7uJdU2GPHRv7mv0rCEVVAxrpf43MiFR943s8IkHK8EJyTiHJp3dm/6akVlmWJ3RoTuw cbvWoLBPrnb3e3IYLs8ud8F+K17fwd3wHZfcKTLzWJroL9eObA7N+bazYeFO1BXBGUwpWUukrB5k BwfT0dg4eeGruHBrW0ZSWV76vKFD8y81op8sKFmwpJowlRETdzZ9pc108cBoakjJyWGKrAQ9mYTr ZQz3+9AGmOEv5EoAFde43+EDRYdt9OxBULkLv0QfxOhYQfJLKq37z6Ceox92CrAUatkdlqjZCr9R I6Qbl/Ik0hSVdmcJlWI2NpvRq9MuylCiX8KTRO+b9Thbw10bv84VveSdqAkwxRARMPH3DW9LAjtg ntovn2Jy2tSo8QXIfPfy/5Hj2rNW3JPYTOTHnzfAT7rmm9T8L+bN64Rn8suB393uvIolQuhPR1gC QERKnfc+cmR/QLfs36EZHW3werTTlDqrJWnM8f0ElV9V8c97Gkgza2irFKivc7ZtNx71q5AX30D+ OJM6QL5l9oLc6Q5Rk+6Lc+nam7zA1Os5IrE1t+L22E+QLL4rJbPCWZeBzveJRIU9daokAAw8+d6w 7sPu8wcUycRAZaREkS3Fy2XITGd4cCtVQXFiZ+xAnZgShmhzKdAUi8Xxn8x0ipYY4dSIT87SiIZN QEhxIqWOWA7FUixL6FVWQRWAQ1s2HuROdy0ObiyQ2TZYzo73eUOA4DcbQ6pxPtpozNC18Qk75ecp aVGL0ih2/vL1Jsc2imAqgdhc4YUpGvgAIxUqOe3Zl0/ZEz/n0tja+ySIHx1eJ0bpJkI2+fNvurPq /cbH+sdlidNiTpou+SPZ69XO6Yo3CZ1Klrn/QuA68Uit9v1mZYcQpevRmEB7T224yHxyJFfedP2F ODcLui8+RC0LFQTgbl9ABSM8Hj0WRjunuGQHbxXEmsXCPAYeMFAlEhRXdj4ustkMxvDAM/5O3RqM oZsCQdXLQ6Cp5zr+1fQXyW0ZI5ptZzl68qM6s4LSrUZMPUpiFkdN8uErt1MsZr6bbZxmtO36xkeb jDIu0d3Am+UHqWRHxkxUeKyi0LVRjhpztxFeW2Bv5KMSGNzPaoPwT3t8J4ovZ/lRAQAdlDtpVV+S AO8DAkr8StoD9NiG0OTDV5YsIWFvtrqUKu3YfaEj8MA/AIOsOMP3j5zMklvfZT2VioxQS7+kNj1d Szfqy6OfkNvFucpmwVQYi7cvM9iMVDvjBE7bNpQoLC2gAkEC5yGE+/2c0pmWBr+O1KOLArLGklJC REb5Kd/7avFi+noM07lD+HW/zbLQ1BEAPlfysNTmDBVbgexavaxim2ohBWk8Fw4+MNG4u84qjvD+ tN3Mvu8iw3nSgMpN4SwDR13enCqvnidz3tVExiSiUdwTsweORuD7LDSZ2/eb7TqtNyEuKsIINSa1 TpVgr7DfsftH2NsPuIo4ml3jEm5IGfWm1IPkOV+WeLtS5d5Dx+kox/uikgtZ1QlxcYh4NecYllKL dbf/8drWJ167jcMvsvWLaCwpGHF+sZ4lWQNT7kwXvFYRitDfyH0IjAtsd+HIIsrUM1tPK0Mgw6rH Lx/xp0F1SxcyLKLKsfHQRM44yXErWPkzW6rIgNeyUfi0/D5ocQ3Ce9vg2tqfvpA8m1iUTEw+LL3T Muk7btpYZbhZvfV76sH/jueL5xsi0GcUVoO+o8CEqwxNOHxxtGcp5uLMIYkyu3DntF9j0H58Ab8N aDpE5E+6dBHMNOwC7nu7QuW5/RfEpoYCUsJWCUUN1qOaQLOrNr6YK4a9VneyTrdCeoMdJ7aKdwgT 5/EPL0eA/asCTWSx+c3YUO8th67aX2x8AAQmax/N2tq68wKGJ+WCpo3P/73ou7VuDr8V5u4l10f+ p4kPm6IRLb20yMZtGmrllpedqC1FF7rHhCjj4JM2mHfUKzBl5NbhldaeBwrZC/0sMW24zv1mOUln 7nmdmk50T2dAs/EvnimTm6uAXGHCNTRpDPXLugMi20EacFjA+U5h4OVMWRIOuDaCC6ntDXF1/tmO F/fToYK9+zf84cLkI4kGgFcehu+i8D7h4WOkVAvijJASufqBij9WHesMHIpH0+cJ3cVCW1GR880w TRYb2jLTi9VFNEA6SDYLa1ERAQEUbPMNh/L8+llSqjZ2Y/Hs1cN+EhquaJJOmseK0uNclwWiyqxN mUSjZ5NDqVCFoNoB9NjTyhOO4JyJxzvPID6LY984xa0H+d0ip4U8XeS/ltPf+DxzuHac/isyQpDe 8JOtpM3rFfNkuinL4cqf8AynTsCQhPbCNc8N5FWG+5YLksWCm7pTpVgmojWdTvCj5nGjuXMVqvKs p7gl52SVPkrjwNBWOgjcOaPajge+M1B3D3/lap+d89HL5zq4/7cYratL97dxcXJlhb9OZ5mS+BLQ XIkGUqr7X3JlvEgPay0TSBg/+jR7GUb19LKPmsGFFrKyB+mxmtoyaolJuGA1vY3l466hzsMRP2NJ pw0fYMaK2kPywXql3yOH2LX6PimGZyQ+s8iLx/rfIMIbCqWXJo7Ws6ZnJc2/iPOYFXhuVulNXXlK j4JNQEe0P5A6vPBH1zL2hZYnkGD2AMaLUEDoQp/ZBLjauphggNVC12ZDg8o0jcWtWBn3vr2kfEgj ko9DiIGCPPdw6OWjgWSvjn5YoaeKhot78E+RYRQJdo2aKMbQcwhmYQgbeQGFmp2dO6vpHcOUVHii qVDNrIwRfKMbk6KSmUCI6O3A7IVWCUwlPGvuVjMvR08DpFGMi8lYtnP/ISXadJwP3VDHsOu73oJY bv/rvXeL4hiKCuFwshCxWCWn6KM+RtKxu2jfUkyPzGOr3wgujrYqjgK1B6UwQMMz8qU45NN2Mdbp IooiZK7KBo/8T1ueximqeWpo5qfpn/EcAhztNVnsoDw5pu4cinUzo+DUWR3Zgu4yb9XzyuyXlRBs x6/jELv71Gn1pNozm4bQbppRTsE31oXrWGZFKNDf92b5FsV8OckmPRx76+OLI88yHqKWdEScZHED dcW6LfE4qEU9oigECLWKSj/+O3j4MTjQ8xLpweMFMUfgqPkQUAF+bPTrlAcEjTnZ8xDmF89W86ZM gzZc9o7h9FmmNqhtn38W7Ifr5ages+I1SknfSkso4koGZ84UOL9VHuKwbqZJ16Ss+qt/lCAepU45 m78EzPyk6KOyg/Gx6UAffjKtwToxSz1O7mKx/hIIGTMP82ok08AoLwbb+B921aNMpM6MRdJmoQR7 UFejfMPL7n7KA7uBAqVdy9u/EiO8xHHlVDell4cINwj/aBwgW7kkGw3b2eLiSEcFJW0A5WIhW4nU 7OB+8rS+hUZ6ROtbzvb2/b4mqbjgPehM3OVK2iT29GCkYeQfPX63uaSQislXO+WvaM6MjVsVl09w fZEeqrzsePNZFJQ7ZjLOOEFwywxziJza9Z8mnun7wVa50ETvf6/6RLUPYyQtlQ4MrBTpzAuHeH6S pNRwUYjRiS8olv9MTun7L7XqHhIwsJjfLd+fo6HKOaPBBHEmxGKpbDSR8riLNIymYpyFsfX8loZ5 75kc/nZ2Rm/GpLiNhlNQ3Vh9cER3yw9+r1jnHMEXF3o0p5xxC5CoPdORPvpKV1r2g0d3kkTxYBPE rQjw1siu2QsTdbJCeitB+xQEIuEUNZHeLWCmHZczr+Etaw6qfcv6FwcvpPTx48V9EFTHKOZ05Jji J03qXoLoNFfPR5Q+E7rGkIJFzXcvvmhOYnujah/9MO1J9YRIZKHMVumILev+lPMZW71Lx8cPR1tU KylJs1rub0Q9TaYtw8k8UUBQABg9Lc1YXIQSUEb9zlwZrd256IG6ZBUl8LiBK5lpfP22M7jyvDbx zhtkNHQgf24YuMftYUpWFKwdCOUrXfYt1jahoMXCqGw62HpvGsEMdXlO+tnRvBFTvXYLfWAiXabp w28dY6Y4iHVMAYohvOjyQz1adBVA//HXjz3xI73yWfIuc3EILYKZuP+RiXXyzRdIw86l9kmxZsjF WjgDKrPdD416GZss4dYcRVMrvI/muWJH28tGFpqmbzhSBGG9u4y5TDmqVpHWUEH61tpYmFfCIvFO qoGC653AilTYQjUkG9KbFePW8fzqZhz+3n2lH6DXKMhvar3Pn3i8ejUEzFXVlAv3iiJ3IBMQZaGg qGtVcn5ua1IcBiY2ZOjA0MLBcrEcY3bYpkoRofYWyHdlCvILeHKaHrh0O+1SLSONF1StwHz3zWqP 9QCxn9rQbM2s1clzcfMqJPC1qK3bIgt4GCCWft+E0+mlpF4oEZef7ENl2ELDqIF2+dlo93/Gg6WY qoe2Xsd4+8exQOtSuNiFsLfHvheMZgUsfLrJOgJ0gw8OwQyllxQdGTdAZPIqbWjNPDifDqwA+g2o 2+zPUPA3nm0HVWo6BnEbgFtKRd6LlUjdld3LVqfHVtWIlYSN/dhYfJ0Y9/2N1YIs17Wgz8tbFOZ+ D8DY4kL50DbLlNGjXBe14SdzPfn1OOejzhcbK+1S+NKNcmNyk4jLf8wFlEL6ymJ4yfpAPDBQhhl8 FduBZIcq8OLPdxo/mPUvj5tBChCAGVfDdvCcan9TfyPwDVIb3JAsQmJh0BbC4gCDe01tWm/sXEkw 9RbmFOHQsb82JN27HaVO0Jk/cx4krLXaRXeRKgh79HOffnBsxsKlRCVOBX6Pw/lLukf250KTxgwm d5AnfThtUudgq+svOvd3mCvW4d4OR83+JSTk7/3eBQVEZL7uewivaaZ0sJ7HstIlw1WU0ZsuqoGL DnpKYJepaHquiFnCQdNvxSG69RhM/QTdznB5RGvImFbMP4h2b7UbAGN2heP3tW/KndW8mM1MmGIc Alsu6ruhk8rwUfHDrJ1WG38MdkpVhFloEZ6lT3goRbP6+1I5L9JHyqElzsMf6VXEFZl20tgID4ND +My34JHVRN2ljDtiD2GLP1W4Ij12hnpm34So8b5nYFh4i1Edx4U434bM4QrosdWSQM5AhcdynHFL /SpkfAYfrQO7N6A6BEZWErK03agbcFVMz6HiOQd4nt461QbEFwu1Mb5KmtkacW/n8w00qjpl3ikA Cqx0w8GSkKjRxeoT3yMB0hft+nRYwX1l8yu/PFhsiHoua/wGwEv9iGbyqwbGx29D/fYw++Mpqh46 J35P889w+7iYW9g0mz8B7FjE1IMmgxYNdNrIWJBpWaNVAQiV0vV/+p/uEH5XHGk/fKpk25MdlLQh jS2v8+s1Lz0llQhhpnJ5RWeYv+HMPc6jGYVI6qc3JGqTdTjuzGElTJ+FMZVhp0TXHQcOM8HXsnPv 5XEWbCaEutnXRiBi34vDBa6xiYqlylOa8BpQGbMs1p1Ucemu7g3TJneB+ANzX7XyiAGP23ccY+4L kaRNueEK4N1F2JWpDf0R8qMjern7Aqbr5IJzhLLfBelM0WMXCEarZyuM4l1Dx6SukPWCMk0F2PBE tIsKaaHdnevK+oRjH2OkbHnP1gAY8/U+FkjWgHhwhTUdfesflUgO3BYAMsbsnk+e837ZxDZS/j0y n3ep6eCAzLN5NbSDVRNDuE5ArRNE3UL7aif3bLYarrdBXoxEXH2KWt3Bzoa7c3vGspAqEJYhAHcL IveIDzLvRdAxks1nRaddoHD5Cvl92IYhPfz2gZ5R777Lo4zvEB6L4d406JpPhkEailABD0VNloU7 FmUGKohDV29cUPDWFw4MKwYg+HXVZJc2UIYDctH6MhEQfrXoQv0HS13gDHUFjxTFk591Y1ohk8tv J4QBnokI+xzUfkq+apZlK8rZiUyyA/VvDaUEBSsiLvUrkT8xpWPzzEHwT2Gp1ctxkLzxji7I3IV8 R6CIkORukCvGaC+KdYXZB/IrGGsUFdFjy/DCN/FgIqEEp0R9g6gNHUTXVNS5LKCLTdMUXmEcsw2b MpKx//ZURVfwUWd/gUdXT68t9YUsmUTzcsuyk5DyxUJRVOSxDnjUSKt+BVIpvhZ6qtPCP2UW0iy/ COcCsoXw61BLQbb+Zx5cdbOhrkbEr712AGKBlM4Ln+sc4ivTUUrbpN1OxR8BfQMxIZ+OEx5hA1Wo UOJ06mX1Tn9wbeymyHEStHo9tD4FBvTK4JVVvH/MbMQG6q9q+q0YoIuNRcMeka90s7qCCGKjGWh5 qE/RExcviW3iNJz2q4BxHsaXeXVEJqFuPtA9arkAj/8KkbpbzxYur4Jg9tqA4wUELbKrBUJ0SqhC cDmTClO7WPMC73N2KsacvimE4wzO71zmOlcTTrx9S8/MCtta9I/c9QsfttkFEA2pmFmn88Y4vYVq PoXhJonyHGqt+jAIg3oDNSYcnVaGdu6cfbhcvAC+75iSKQPvWKV0kIx2QlIugLwPklVeDPHN+6lX YzCEWQHIPklOlLZ5xQC/DpBsu8BmdHOU1re4BZPrRVGlka3Wm/+DfFmCV3N9ENg8ynU8xDg8orbT BmZvFRtYh4uSXKbvyqyX71eAfJWZGmMisFJ3OCWpmcfhm92LpxxsCucF73A0dJpBAiIvwug8pKol M6H/fLgOW2Z/uSWPgVd/s/XTRh5R4t5h0JFEixgxK9VKR6yw4YpWkeSFCq9hTBucdzG88V6wLT7r co9IZYjmo7SFwX/1LRyrQhbAf5SFUXsyLPpqLV6amHxAycUR1XcS9DOPouTeGn/hwuLgmiJLZ3AH /6oLvg6FzUddomafa6Pj+EVscKyQtRywaXY/GWbg7g0ZhFbRlHd+z9+Fr58XBRyxSuNy99eXvgPL x+J3N8g2Jt14HzqnhFV/aZHabCuyg6wlKxXf4NrFy8G0g9io9UndKQjSzAkP2SUhAg/xsZ5xRHU0 jpxHaI3aHVJ+Oz8pxN5THe3vnK1E6TOAgNNZT7XZzJZ/Chfv9FDAKnb9bcDs9RHaMel0LxRj5yBo kkd5GtWBcr09LC1kvUb+Jp01J32tI2HliUOj2cHCZhVd4tcN2EKUk22DGNbNc94JgNICQcyLabNc e3rLfZw3UDqD7q3m7liSmHQ5U5aKnsMzCA05jdeUvnQF7g5MxWPA46oibP/QWi8NmBKcLzzPuxUE lTn9YBnoUObqeGremK+TmipuadTOktnw1YLEQmzfNEMDouZlE/VEs2Dcx5W4P+4kHqc9eaV9K5YH RWkBQRMQZlR7dGSSeS/0Yc36Zxi+z76PG9XWRrI7GInoDwDXeQyO1pVGfJGlNn3oGHCwdmTj8s4G mbrhCenZo5vxNaQ/pXsTG6+ATApVThzi5qxTRROQGFccN2pdsEYywwgRce7CgHfx7rnlbPhoh808 2HLHzptChidNZkbGcQg2PLOx7V42w1cENaAPwkGx2pnFfmi2cBGug/w78ZhV7Fs0neceyPP1WLCT 95yefpOUztctOSCcaBOFRTEar+Z1W/WWOFOO2GNYunHqyrtAbWpJgheAKHghiCOS1ZO30qGiOCDZ A/WbBlfjjrlSpbPdchdJUsop50o/OIQEQJFoFgbcN/yGk+nF/iAaW0LCVA9bB0lK41q5Kswwt0jt HWoRbqWb4zKBeC1FapqG9GGQsKfTfiCKfk5LOyQWyNE89gkhmJcSuMJgg8X5KBD3mR9cS6Sl86IB 8At4njQkypnkDlsn+5kHmmNwGpe3PBH2BI1zHmHUFyiB1TdwvMFWCz272SaSKFjIqeWTnqOAqLh6 Et0i8mQJK0jeMx8s22HG0OJ7wgo8af2rl9/F2qDXoQRQzU7xqRZ+Ttipw9q8UNicNmV+jEUiCuKf atM7pTfrv0RtjQYj6+Hjyq79hiX74KHhfbFJvBX2+wPc+d+x+vP0PkWm3X+BgMM/OFEi7vogXMJr NcCOsAVN+biU+5gvDg58tRrKG9Ulmb40306n20A3YbylzA9QktrPVslWAkxVkt/uhq5mJXo4ZKpO WG2jWiIbU5xRhC1RC3oS4jvzJ6dOBdDGVpMzraL9Ca8zAU/gfgL76xw9+h5sbqD81wfrZrBEcxk2 N2ifhAqmqZHMfx4WNsYnq4PPKaAVAIpyLMzHWV92RhQE+ljBfh9kjvESqdSgnTKcGD8vk4AR3voi fp83jJJf3xTcNWL1LLKgcj7AAV9EsfW49QBos2uEEDHfn6FNGDgEnykxMWdZcOxJ/x0LZj7A0WMy JFnoLnZNyYXBEkph2x2ee4HN8zX8tkB2PqLD5Y8XzK2KzTanOfOb1/vveMX8nnY3qL0W/MSS2TiZ FnJ7/bcb8D3HEZ+Lt1ceK1Ebu92Tcxp2Ry63c5/xE/I3ug1PCYTkrNkwb/RVC25PCpk+pehDgT8g 0UX7bjK62ArBVWTqC/uYNnM13yd5F+fIJfegLXgtxNVvW1qfic+ImHQejsJLodo8KmM2ZAfAYLlv mfSL7VhdI+kFCyf/aBgaJoxHlB2UGZZ9qXmQs5ECz/y9Xp1cXcUI8z0ukgvPiSjKIYhcSY+Feto8 HQDRQWYTauQNNbKB3wLo4LRvQe6USaiFWIgUiwapPWYjb9hH9MWbU/iPMZ1jz+7FzUPU8nFx7Icu 4UfJN1j02VBjpZp9cznkpQqoLElOUyfqtCc2ppJ5WQRAWF9rnOk8eCZ9EZfVQBJJYsNMt1qUjg94 VT/2ewgbitIC4ziylaRLYas5TZd+ss1tMTIbgI464RlcOSG2M+WbGVXjmi1KJPwKAXjmeq3Z72Jv 67MkPJurzc2iJnXM5J1VwB9oaXiVkV7eISbqUwjoJLyGqYCS9Mh908rUIH0bHt7Tj33YUOjCDCfu juiR/uL1GCcLacBIJ7HKflIa/OySD2Vg4y9cUNmrNfyPqaLaHbJG03fJWR/I6ez9GAjoufc0WlWC W2S2/KBjkVickGQK2JrhU8TYn0isD+fbE1HBwU0cl/o2uwwX2acVUPn2TzQsqAYsBhQMtDvffTR1 aRY1tdFRGmzk8BcWpQl8kFO9XJici/alcpm+eZrib8iYtKFZg7k7PQp0zleodyg9feREPTdd1eZe 4TRBjjvyFuKGbgTo93y3NNd14RBYxP1uhhKRigRnIq9NbTfLVMW8G8/AxiEr3KPoSGP51If5KB5v hbAHNFBYnJd6kKd0rqCA1EAIBg01nZS4jweaDlaJW4i5T2LUwJIlLKV9amwVa/LuTbazJZyTkmRX KNbTeSquXj7CfX+TdjbDC5JfwIIMaMrdB/sqsZMfHre9sYerKfZaW4muMqGjlaEE/ausFqZfQVsW UrK2hVhw5tQ6U8ZyNFiPzIPFl7if28i9KEw2z+Q2bQKndLodwRpGbMc4+Y8IjArmLIdR/ejyhHgP PjH9AYPrmQzBpTxNlmUv07nXvjmxQSd+yAt4Y+5Bz8o9SttaPrt9saKkLZALYxOuOL6tXiLBEl+b mUkBFjhxsWC/nFghlUyBTXbtGu9Sho4mo3lPL8zP895zX0+aHUIe3pcUiT2MAoVVmKnP7OSQHwhR LK27XpQCXlhbw3b7+QJqGx4PB+ki4pjiHHC1rQQfxdSxXnqXNIk2Ek7GJqcL4ZZBcdYzPazbVvQq kNo1iqNElcYn7iKJY9v15vvyNAH21PpEJDfrcq6JCeBvut3G5svfTDqwZRjM6z3gt2/vY0GV02Lc A86p2Yqd18vy2p0HLYfGEN2wOhpSCnoENb+cQnDyxuNJw1T5KdCTMFjc9NYGr1+jTRCd3sfQmHUH I3BTLoEYtwSGE0kkLHMXhXUs6wgmJ7OaoALVdrMjHIAWyDPfFa4k7Bif5V8sPdwYSPn7nHPJFkBH UuudkLy8aQMxdB9nmVOFJaQ3fZgtoBjWVk+vyZHKRALXaURC7uXHC7d+gwXaTiKS5bYx3IU6oM5f btwr51N2vnVS6O75DLK1sWK+NCWus4Wlln8OmKrTr6Sb62CSELDQXt6NKY1UwpunVxAt6OhBvaR1 lrnkwxHVQ0T6PweeM5D9LdsaTCktmyVwQMgoHs3AbXPI8MIDaa6yxhPqihaIHNmPu4C17n4VK8yB 1SZM9Yd7rXDFExUgcTMM4maLoQdaGH4D/or1LbppT3URRxTn8549qEq338oCGJ1ZDT0kXpxg/Pw5 DKUWp3VmZeIBLkTlw0aqa66AIc/okz8K4Dvxuqp0+yyOrm+hhDVhlqKMPxbwBlbitq10hHBU0+3L xr/Shu5We4zUay0UZHGA91Oaaoe9ORFklJKL9F/UWC83Tl/i6jN13hxp4h6wNOGciI/soTVfg8sh tw6J7SUQyQL8ZkikJDOQ4dY1WpY8S4Y/hHMvlG6bkuOCbF6GxFHDZ6+l95WumB9j1gUgi63HL6aH n0PLZp5VYrpFrI6KkkflyEvhAIh98nn56kDLOAYfrphYfiC59n/k2HNTmxw/i+yEMJ5ZvmMlKvfQ 8q3mCqNclLIR7ro4k+oexieY8KlAr53jQNrnu4Kr5mlCAtntCfjLYxlJ/y6zEafnJ2Dyv9l4TMCU g9CtfXMLt1ubhZYW+gT6dY3+FbVHDS86/91SSWIh/JeTozFsRSAse7VKQi3GvSXJJZfJfNQGfqsx XcwxtKARfd/7pmAoLCf66YoJsDfPBNNOwpL4VvEQ2QAg25n27S2uSniBjHX3LAptSSvRDk1viQ/c bhOKrIUs+O2NIXH8LZJ0NTkon914nOA1kmg4rapO5TfL1wyUrHCTZ936cHLfxX7oqlR57HtI5Aju liAdmDKfeJ6A2n3YcdzMhlHeFNNSqFXVJFOHNuMbzompJMr+yeluKkN28c3tOoJp3RPxrdj84/sQ 2mooTSSf7/UsRZJC3DvQgM8kApsGOSwyiId5M8ENGGfUufqoz3G00pHl9++KrBl4hewFrcVJ+P01 BvASm3X6bNHOeS+YMAvYJQI59bL2En2OOmcLOXxY+/nC/gCB3a8I9q4VbnnJ7tSYGMjZpJ+EnGuY AroMssfw0wO9wcL/VW/sLPpGMcTb/USAxWWqO81XdIdhGLmRChLRbrtLCJBnF5UOGpKtRAFuiYwA I2mqbSw2RVsu5yi+TZShiyAeeHNnP+Dzn9glINs/4EB9pS1me/ofy9PJj6tzepcWwNrpA7tDLXBA cVbZ7NHW4Sz57aecdYUUtHZl7VmyOlQ8C7AM5bYb3DhkHrk9tU6CyoFjDnPSl6QNVOpMF1XazuSl hB8sAt2TDyJQUWbn+IrJMdjm+sR3oKP6KBTVvKnpKnRKq0/ikWM4aPa0w/J3Tusi53pJ4cIdOUMz dAX6spGYDQyCAWuNY9u1RLnAmQB5encKzjSqcvLTeMTFwDCMVgrujReRT9M8Hu8iMOiQfu+lqv3Z NUXP4QR7GNkNyINuDAmQD63LAKzwqZQZGCSUrq/vk3OEONtu5QiP/Tv4Ly0sY6OlwbXajWKGvuku Cqt0nXwf9CoE0yd7Ezs3+tFraG1ByEHcdfEWFw0ssnQ7lPVUdokBxxmKL1iIBBqWZJ1zxd/goWlC vJsGI2/c3R6XShBWj8azkAtTP5PIdKOiTdV53+ytDWoBKLo5nJDrXfGBbkD7a9kz0xpaxpA90+vs bCtn7FPB0W+vIheLHRrrLqX9fDClLTmP+g9v5at4wS4CM6jpK94PtD+i+KlrSCHUlL9EfkfLjotK oJCAm/0xF7xmzeaA/E/yaiH5CoL8GV3mUFcOZHGHa3jLmpPoLDG1q5qYmqTUpjBEFebHMbqA7UXU zDzWLSDb8oG83k4dL1uO7FIbE4R7abzh69NhhD6ihWUyShdmKiZI8MT31VrszLf9xmkGK7dTGFyD WOD9sIvzbORuXHZrpOJetikRMEPvULkuoTsf+7XiRmdfcOyqFamnJiuUSTR02R8wiRyHd9Buh1lj wp3zYeXbS8924vwkybVQx1epoWoKamwpguD5ve188mqlBgrvngT4F5XaPFAEZxjxdGTJfphsQ2mE jbxnIe/8ffbWNmb+A6Ca3laclO//KX7s62AyaM2g34Y+2cP7aCRlEZL0cxvEP6pFaTmGS52sZctP jMQoswIlR0LL4Vcmgi8BnHMla0RaDgdl7GwNc/nTVoYT42Y5tGGJy1BijVGcYCQwtiDS9FvJTbRS FA1SBqIoZesRvCH9+gzQnRfkGWqncYnqSvGSHzsKTr1pz9Xi2fnfjh0y6lTj7GdtL56/eTHCK5Ru C6Sf8dnzpLX40b0ubEUM47a/lTdBb+VD3nP9vif1M9W3h9MZZ2xkUiHMKqLXiij48eV7Ehy5M6C2 KPB0ib66sjM5Sn6Hgso13c/x14y8vrjEnDtG67dOfKnDa475zuMUMjKzJ18o10dr4e4yG1+LKA7O gjai4NPWhXHOrPB8SelZfvcJi1+3hnlat4xrOvX9lIVMu6L8LKDyvTuApXeC98KyYKV1F4goEqea FU9Qyj7U9evjLmGQX0hcHbCzSgSurhRMEfhL0w1eKPeViD7HxGxTJok+UQ+weaDT19hdiVSsJVyv gGJLVwaqiIFr/mZWmXCiphJyRjUxEGHzA96qWYikmcSImInsLSYfmZQ0bNoSuyKLvVUHm7Nq+/2m UQwS5gqYP6w6VayzYFHwrooLyCZmCsk9UcGX3xrs8VRwJwGgabH4aJEHW4GPC/E9UG1zkdpYDCc/ GOH1OYJQMiWxc35cI8ELlYfacOwA1xpl0Xzae5LkmSfdIKHwX48XFA+QgjRB7DSgN7uUDMgukVUx m4Rl/KhKBQ+UBiHPnTTsXD2MFf2b6uhVXDKef1NMpcLqVMwu4d4MbXm6+SMlDCBT3ipNCXp5Kk7r MqlxifXzWhVND66/9HXT+U5u7jOuH6u/Qk5K2CBwWyGXHyW4S2gGGtHCpu3eL9vL7kLiI7vm4Vgp u0Cn3XP5W/qqmC+LGLiDmL9Hb2K7CB91j7ssejSoTDZfr8VhVf2waa4Aj6tc/M6QyAZn2sIcvDOl Aa2Uk7t7PwCrWtuba+pFMoPZXcBVLXRFXTLCWowxQ/wWSy42/+CGfPdeNUy9c+Xr64ZkRiYRkr7h THP4OH+4I3QvBseB4Gs86d86v90bcXwmJrrSKpe20ZUiGNcFPzmiUmftI07torRyHLGrSi/F+RVH iVN/t+kSJVI+C2m9UXBEUZqY9pOStA6LE98rCjX2VXU6BeKNDuul8dt0/fTtHLsZ5uua4ZRstsHL CgMosuj8PAtgYo2AG7e1RLGaB+IPVoMQB98MqzbjsE7K0zxl5deiTGioeO8k+q2hkXCyf1++Yfuf gsoaayeilB5ZB4dmdHv8H4OFl0SEw2JziEpKdad9QvtWwXKn5hTGf0nMReTOnfX/D1aI7429k5JO U8ZzD3hF44mRNwCT0T4M1XVyg2WfyLOHt2lqNSkMLk8mR+8oOBvlikyWQRxFN8ofCJ4OBLj12UMP TKxybBl4yM96y8tLiSdPorACcaHvufXANVumPoDnYrQjJA3Kp2ZneTyVTJTC7behs9qPRKtphZHP Zp5M3bNKKW32TjxOKhq7Kt67jv+jVxkHG0V2GZI63wqTlpuHlc98q6p7CiTP+PsJBZYrjkq7yXxK qmaeAFGqnYtc0YPERhIam0frwfaN4W4hxgD+Zf9WXCRZkDhUOSMH5/BbdAfAVFucGLrkDKlLCIZf Ed3+g1eeqbuFmwMt2YbmDypcU/3KaAOHmn/c7nsm7Bo3og6YZKm6+tn2jXpmspr1Ys3DNGKJ2waq uIZWGX0JZUHSbe0kpZljWUyTUy3e+E6BRsE2N/odHh/8ki+oUrmMMTMSdDw/p14U+fdA3gEWQaHK M5pGU0qrLGFZNSPQErjZygP0lwY1cc+GzCGwknzUPWl1qpnPHTTkJLHwJ5d7/5tHBnawIJI9PerG D3BkoeHFXTsU+LfWlzWO85BC/P5h869N7IqgdD4mjXGSDXX4br3V1XWkAZmHNwoMxkLt+Qqe8AC1 W+DAqOuHke29Gm9tJLPVWIVXGPmUEZO4joIT9MlgVkIatoYSYYvMtM/u1mRaPIGmqqrBtM6H+se5 XghJUWbCeHRTskcvSkMUJ53Z986DbGQa/eAW7S5QU2DCRq+PnfP2o/N1sbrIef4CKHYKuTNz1srA G9IGRHPC2uMMKayW0iBSNLlN8yMglQdSKwBGg1aLHSJrhm+ivuEGox3PnLN+TrwHqTUy6bS2hneO JuC78dIQrW2VKXIyaqzjrp0qi49N5zptsHMej19b3CuEucZZViacwB7mO+T9rcSB++uTMO3elaWx 8/10WX51xuBn66Cv2nyU2jrh/JZvl6nv3mACDYUQMrO2//0o7gW/Ioc7rTXs/53hoTexnCW/oSgX g5LBQVYDX/tXN0KNkOArVhXT40p3z5PfpoQPEz4bya6PbI7WkzboJQF22XR0NvIj3WcXM3uO9+SL dZYx5YnbgkFXJOnh3W1zZXlyMECgLupIQUp/WIeYDNyCKfZ0VDHVCQG4fkWbTvSlhcjJ86cwt8sc /wmOwERnnDrJlv+8P2mkG6RdHWh4z9ZnOAjIEWkiEP8yqmXNo26q7PAduLxdbyL0bmfcLEqim/nb 1l9tERj8wnpwYOEvT0ETdepljIkpP/7zgl2MoSVYtg74rDKBRphqM6v+lXkM90BlNXSEdeN7GosS iAI0G8f2TQH5NqFJRugU9nG1muGMlDUpPKHDkQ/Nu6wRquzxRO1zNV7pZw3GCwUjtVta4zKoRdz7 fm/zsS6Xz7EuIqG/JHXWspX+4cJ9mum9jLQS2L1b4lk2VskaoM0BK9TCRT0AFvCDhYdEHRyMdu2/ zBHzLjEqAh+hJ67ZXb9gaFvH16fiVvstc3hD8fJBpeSWwUHpUAaG3dgUIx6HjjsmqPo1Tx46o4H0 ymoZQ5Ki2cGgstgGU6hcNImgCQslilgPUvABXdzhxxyHDF9WsKD0VpsUAyIcPCbiT2QMzqEwKW+R fyf9QusZjNBcasW7XRDwfBs5WoaxmfUl92APlDWC7EjT5gIk4/k9kNJGp0ibh0pz83GctKn4YPaO 9mHNqw8HJ55wUKlH2ST4chghPRd3SUJ0SU/cdIj2V0JboOeR5987hkzSrFdCqwR2gz/Yx44pgdP+ Oltp9+WXw4dDLN25TsGJy5hXTPAsUhdjyNxvmNqi8DXiAqfdxhS62KQikqpQ80xzog+GKat/4QfN xbTv4r15AbQNVG4TYOl4k9gA0jwgIze6uFxJj6f2mKIqxOe5MRAvOTW0edEC/G95ZdhRxmrit2hs dk1h96sjl6PgAMOB/o9iz7D2LX3bn1tZfuENbLUV9fhoGDwqE0UGhy3UJnPAPrTPdcea0OCQvjuQ 8Bfrv2cCTHqNb+HRD/jonBuQiOwN3DzQ7p/rOpsQeJxjN/kSb12JTa/368+EhRG/LVsD/6A6etgy Aj52WcW6Thdd1fDL47xB/oG0SUehaKH2mx8MAUFVTj/hmMkEeGR+wFku148ep0JHNnZScRq51Hz/ rKLKgikTWBW0oaVk2UQC0iery+X5/vU8YPYxrrbAS3YoB/N0zKv4z/A22sDx8z3zY1UWibDg4/UL FlK2MQhDPaWt735B7vGbiKC9IdBCKGbx9yHFQGxp0FCQqbqnTGfmIDRSlUE7L3lMOdbY5YZumLND oDSRxGaS/BNKKOkF1pb1Ubn5o7ljJgFGq34BCFBEyUqbwfgLHRLEHigyN0SLNbz2sheO7fQkn4pP Wqny+F/ji2WwIx88FrEtpQYFWmRhpCK9dcjL4JvX1r9mtYhpEUSSCgH8F8iS7jKeJKfOpczcp/o5 eYYC993h8v4jGGB1uubai7AM5T9olz05WWYxuDxA/JHsPazN2T68nlUCWMtVpE37W0JkcBy0O9M+ JRbQhUKgie0NTU8ai+0T45CyhE/Kr5bj0GaWdN7H6ChJ00AMp4aR1tSdFbmR3a8DPcHWP8sTkQb0 odKRO+ktioK3ATyw6eJZp9ZIDEaGs5HlHaheyRQbO+iCaF2PgCFRNltTzc+jUekS6x1fpA8Zcxv0 DxPB4fe0TFJYWHuDoHJU6PGrsQsafr9suYk61iC+d2QHg51bnjjqnd3g6MQWh/3+eaxSys7vnK8r v+s/HgKVcfTuGgF5syuj5q31YrOpgGzN6S1XqzELt/AjHJOziRMVe4a/uvvCefEUHjedBv2AfVdz lCQ3XUVPwWvufFszJALRJ2/gqMdzZMm9sIwjcciB/L6nwRZ0akbxMFOQP81rTa5zkpoSd09SYnT5 gav0kbML66/JUCPIN1lrRZOYap14ewVViGk9Ay2Gh6O4u+koVIASUSHNGSXA4ne8MZuqt4XVUrUm J4kBLUmw++K2SkPd0/ZPZsnApaQ09QJAx3WMnaPjd3+6A439jymhmLy8LAxxijducgvTmSv5/XfP XsOAmh/HVUzI6WVLlUMRKE7tT1UvqCSwuQqt41JM+5+QfnZljMHvlcC80HhqN/vJd5dwnAw1OpnH 8TlwNw7ynthXqXUwQO/vxcMrg94owfnyUgc7ui5z8Q30gaGSTB2vkFZtWAswayVLmLZg/i59zRzk pHu+E8IocPIzjYBNbPKADnLh4FXarmp0HfKJh1XGOLbLkvCc/64jNe/VdBN41UePc2VuFKGsjCxE Wkgns3Nn5syYi+Q/RZRQp3ldI7rxJMEZEKBGAPhi6jkGTUyL3xfPGo+iku0cjJEe9/hmQhRQCf8s eSQx6u3l30MMMqDq+In4SAPL6RSdmLKnDSFjAnJOtlo+HKQzkQyDtRFGFxDmMKs8uF+zGjM1HpHn nY+o4K5LaZBwjFxLyMKeIQF6/8Bb/qpWmAFpQIiT2JApF8wyYNpjROp3+GshHmGb5t7I+CnJe62p 0wH/cZ1NbZPQUCZNUMgzrC6o77Ha92nOQiBZa039Ajun0C3e17DgKR4AhI6dlEIdJVp5lfTCHjvs Zbtw5UppIZWrbThmcS34vldlUonLsjZfOek4JQ3MzOE4Ld3CVuDfz7ItpcSr1yrlU6xNBvT945PI ZTV6lfnz3J3lpjjxYOt8CC8lNbKSuGFG5bxxZl456bhnFQDJc9w81bGGyFXPtJE3b+T9nq7V9qzm AOyiwd+0A6ANLpPx138+vLRsROp6bDS+45Gbrud23K/2euTHoMEOKT0jqLgfK+mvyeSjFv24lRpT a8Kzb09exF9lLaMoRy5On25wTrJfg8YrTzg+9DOXaTTZuhg+FHhcFhN2TuLuSgQmX+o6XPXlMdaN Pla1S++aupRQYZdXtqNQXxPeQaKM+zwPdCD5JFeU57Be+8WzSU+uiAaGFEmYOrjWJLl3n8fvPBKY XIFG899FdQuwq3qYFrjBH5wbse5z5wj2ufutTCQw//Sg1slWz0kzXxpnVWMoqULCv4hRWuhgZqMF uxnnTAgmv53/PGsUfkH55WBFzux5HK32xcuLCMduMxL529Psw1l0DKBdieSzXUkIKI2Jr6p+fOCV 9E3EwkIRkmiAjEegNnVOcCgM2BQeT/d0J0SoZcuwdYQP0djNtJKc7zDFtbjqJYu+zjDZbnhqy6VJ Eum4OUr2tIjLAxl/ETS0dY/uBRW4+FuZq12n9xdhg8KLaHHDLE/hF/olCBQq8sRCX+bFNtwFEsl4 2PoosjHsc5ocYxer6aPEsnUViWPo408e9nz41pwqsOu8IwoZzHAVbcT6k09KoUOlHaBx+eMImEmc s3VWyOUDzLfYPsjSrqy1Rp47M7842mODrnURac/UK2MtvB4Mjj565kX1phFLDlOT6IviDH1WW/B1 pZCFzjaDo9atd/kVCtViuIPckduyf7d9MJzckQ3e2Kw+PIuWpgVL1+HMWX9Zz7Yg8n2UUs5R4wC7 D8Xq7v5VWFeuxUhJa8VCcJMkcLJDfKU+osYcskDZULGsY2+VlrihjF+O5o2fElJAdPhDDSY9w8Fr 5PwEZlUe/FkWhhgbvoFBcJAQE4PL7CdYtDYIjdWflK/GSrQDtaYS8opiLtHBZS8abJD1+UP+gc/y +lX/WQl0SWM7re5N9Bu9Nqq/erKNnVf457vE2K0Yi53vOEBhiTkvZEyVSpVNx/bZ6tQYwVZu6gBR DmB/CT/2Cp0CwLbwY9FSP19EMPEHZ+vjCykcjnZWq9AX3WmmDA9pzgS2t58kJeGj7LfrM5Couf1H 8aPOQeBag6Np/NB1Ej74Y9Sw4ls/NmJeJZAspUQfwCVW5Zyc6oWP3QrDlbnv579LYDgi7O8pWqrG Agr/DGRG0rDjoYUqEjWZsjL37+r5Oal3f8GQ7DMy1kmCJxwgGdeKlO6EL/5n14xZfbqSa9Y2aB1W cewD9anZmPI9WGujMCeKt4G8TQ3sQdYCB6KrFwIGa4Runhlr1AoPF7i93tFLVh2HmmGnOzFeh18k rECj0IjSgCZpwMCBcehKaQ5TYTysm48Yq9a+ISARwNh295PvSI3HHdv6VzMavj5UFPSWZzDr2VSk egiI9mqFrg26cu76qWGCfjWtZmDE4S/pZi46TbSCzwk0oACB2iwCZa+6F1lY26797xCCgM8TjIJy m7dH8lhL9Qz4RK/yRzHPEm7fFWPwxtLqv9pHb301humfrw/7Kt0r993hIEc7rvzBv3fhMfjchhNV dxFPIbDC8IpcYznfZeeVhuTpm+qyJyVdmjXMXdBIcdcUCEp1akS/i9VxNhekFmzxjOlvjtA2sySr ESp8cZIWkMVLgfYiXGdzM6V0bO38bjbhnJ1al9ADsgVeZk+ihKSo9DNULDni4RaTtBHzl7oDCeX9 uUYSPalPPwDwN1fL/NsUMxmxgHS3CltIVRaLPu0WDle4WPrsNLRM63LdNi64tuCqjDRl0nYcIIO0 RFyBe+erXHUF2eLOvKyBT8HHZgg5RA4rPDZNsSkwIXwyxrV9HYY+snynCSA0fMHAviwKvb2UydAS IgYmjprUuUcr6tWM1kRvSBE5sPB6UUBUMMvxlAW4Eb6gcUyV9Z3y9XRtEH2laULBA2pajOfXtdAA egGLPJmG/x7tjsDwjCkDUw70AqB6Uw1hzc36oJOurxLgVC3NPQLqrALtrZPLBJJx2R4sSrfdPjLH vEoqOyPazdE2AOEJe/Xjmy88DfZUgn/nAqKFXJuBZhJxm1m636Utm4MYeSiq8LvIyGfLzZJZxYL8 dk00PsYeDP76Z/NqjC62IkNhMczK5JeoE+AfrcPx3abA1N+QYehKcbv2pvzu+2KItyN0fEzbLm5S vk3l9mcjqGyQYfbOgTn4Uw6MjFdh0F6BtAAsNM82kBb8wJ1Ns9rLA9K4+sHdHT5tW31sgzZEn2AA LujEAOZaARBxf0QkKqgGzPJMIY5UccMbfXv5xmlFwNwbiYEL9ySTo8CCz1JokyWiR6b2SaTCFODN sX6pMzP2ciZz55h52mg79+zZDEcHfz2vvM4qWbP1pLiL/LBtpAZ68F0yG4GWiGqxxQhNE502ilI8 khUzGmcxsCQ5QxXFG7lP+I1frd4W5GDZsEx5HiA3JlCP0TUq0toedidoXC1chTF/Ek8DcrvB8YJg +o6MWdKDJXcE3QSv9rsGW2888aKogddBNXTSMIvsWksk72t8+BL4ZkDY9mI1vc1IASk6A4dbpFwc 13btoBR3iH692NCNWVZDvy2FAe/6WraL/ZSfOsPB+OVKKBBh3weY6RNAqn9T7z8MNgZob4HUk5dq NNnzknQ0jZgJ9zPyo6xm28jUD1Q39XOKvokIODeYQ6Hax6aclr65wjttElkPBVk5gHk07kw/8S8/ 23X0fuMwP7r2S3yb1ROedi9qvZw1S6x/gkEPPjrYRZISel2RGnRTs7EbsDYhxq72rtlxE4DUKRhZ CPN4Uxkd7EzCr2w0cX+roM0jFrgr8tCpUGTfuFWGJPjLppRCR/auWJ7iBZdcFWYsiWqzAJcwx99l rsnuOyKOhs7KgAPNIH6lpZhkj6oX8z/rc39qh3m2gSRdueaZb66dnjtAJSpkFOI14sPWopnCKXNi gyEJF9gE/Pr4ki/uDRaEnnDXAkxvsT1gLWfIF6SaQ1l5eXjlrm8wpXNy7Hxkx6DBbxIRhYBTiQn9 z1fOLjnoss7d6heWDTJZ/QoLgZ2id4uRPpWxbAGjTUHXLYhBZhuhZ4wCnvgMy/7GhSG/rxYTkk7+ t6HSX3JDV2YkEq23q/uN4dSXek2/i1CBXYJscsJWVyv7BTDOlGTq9n19w4PapCoAJphYIZh9Mm0g 9QvvaywFH0Y5Jk2PgVxnhOhxMN+P+07Ie6OFrtoa5uW5t2jAnHF5fpUO40mVyGrF2tx17vNvVPmx xaiEIaU2sBNJJywI4R7TAH3Av6R4xnGw8O/Trc7Iqm3vM53LC435/JeTudZE5m++OROJUXi68vWZ djroNLqGlUl5dgS/EiWNHKX09vwdpl0IlRGsJltUMjaAfzLYPSYi2ZU9s7M3w77ORp2In0t7b6Bw mGm02YCNqw9O+ERktPkMIOVX/dK9zvIwvbE82FitNQLRZwN1vJHP9fMlOaTQAdvMxzWKrgd4mgl6 N7ftq1SHYpsZgq/XZNhOVzn1eIDkm6SccmMSoMhywZigXrr/tYbwxfhV/pDKlT1U+CqpWiTbpUlM aBCSSdp8e9n8PdmxFq1F0r1jGgclJR5FZv2bMcYGYqN+cBgE1j2F97+NfKtZaw0YaylzcMJ5nwBs G2sp9gPIB3cjHl6L2dJirgtLZeRz9K8XzuiYZUbxZ5TIMnXIxZGvNzYL0qasGe5zr4TB1BTbIci7 4EIWYZuKGNvVUxlDu/5QwErae2eoMGrzbbvG4VKWe5aap9aG9mv+5IVtzXo38t//0C5uNCVOX67e v10pNuUSzT9blIN/uRE3Tpn4317imRgf3mhYXRQKClKqomPwz4kzaot+YBjNmtb2LwcJiEYw1y0L WnaqvcktXS+30zf1g5vaSYo5OlQQP5gFzjr7u5lEuU4jEu+dnvhIxpYysoyMSCdqDg92HFAxyriH zSOIRleyTlztUbK0EedGPETqW2pzWv7f+2Ni/3u9uKjfWLO8/b4rxqmBwknWSB2iWVFWhitaS/xj luGEQd6NNOZpxLq97ABQTE8OA9PIfRn2LZEw843eaII7+GYN4WykkPY19mfO5F7uO6gxwXZCRH9s ao5pAPFEyDYdhCraitGjrBRuZFHTweXpb46tPpS7hNkSsJuShK9qLHBQxdgiggJpWlqdYSPuLIo0 vOMZN5a6Zkw8SQKbExluXmqNjm2Df//cnMX3pjC2PbOdGwuqSyDtlcsZHBaaOYSdLsanQWCBtxEM boVK4ZcYkQzOaw4Z1vLai61vbIRm56JE2q+HPWifBc8uTzORIr76yHz8zUOrc63QQdufjskkuYIu HSf7gUQY85bzdDTB6NXpcv0I5tuEud/aXaxrs1jZTMFJSMlMdja+/Itvvo0KS5QrMYq1jNHH9NdY hm1qBpyvfN5ne6YiFOpAzhNXcbXKaG5alKz/qFVjd5fcbb06KBx1U5E+WNathy7aGesuZrOzUogW 5AHMZ2th7rl3yvtqaBuuiPVaJ1xuxW+gAAC3VIbzNIql/ZaqZViNvknLK1Sn4cNUZpkwK4DmMPjH jWnsSQgoIjWDar2zysVEiOoBi4SACUrPCO0osJz2Yf+uMZQiM06Q1CrRT9mlkrTP3r0pGoa6iDZt F5b5s0hFNtZn7Q/mLpiDFHz1vnNxqG4Nsg4vID7U9cdX7QqAS3gXxB9LjaOjfJNNFXXxApj9sMgn cwaR5tc0eBtyazvFkHnnBzZnDm/xOa9T/OhKhSoiwQTNeH8mCdYV3J574Cqw5Br50wiXAJq3Dem7 zrcGOS31mJ0E06X2OUgfVyZ1NYZGHTTxiCqd9jTch0O088jg6/Waw0rEIHw+XmgW9MwiiGIIqpnv 0HmElRc2uoCxM/Xd/VKSRMlMK1CTLp2J1lL44IJ3kyYmm6FPeR6IyTVxmPy7BIQBGp7WvxTitpvF gvs9KVmXCrXodlHdbKw6Fvg9IE+3ZAnawfNnSA/hApbVEZqlVd9hTxWD9dbEUDXXhw7fnNIF9svo V8vgWdFXw2HLdmXQkwwnvDnQcJHRW0RtJ1sALJXPWu9fFvPtwE6C/9NYrAon+9LiEzygDq+a+JJX nnQsv8/ImMYEm7EZoy9ahu+6hZl907aYvJmyErIBgS/6VbeN/ckKu1v0h7hQJeW+b0tFVTIY1yxR M+taTYPbq91BCv5W9qU14w89JS+1vAQEvNLSqc1irPTMonajQN4f7pZ8mbocl9Y5NDhUah/1/PPT C1g0WnnS0xWXjXyJo2C1CdPdygjFJFR2mJrPaQDeewf9sSOPDinSY22sUNZZlkTaXjPXvOVJwMpS TNqpTFczGRwbDal5GZvaZD+oANlKD1USdrUAA74BVJ5Xb+LrXYODYEtrUqgBtJdnwLxFBPqpLCxm TzPH/v9m23IyhgaxryZ5MS0jiYS3lYUM/yZYRis+2gDFUIElzwjMM72uVO+lWOKDxaTXHX791oKc Gl/r+pndPBuS3ccItL5MH1BVjO9aV3mbrwVsprzlq1GFZlMjfuxrNt6XfplD2UnRFb0qFsHtihfA rVUMRu77SkqF/9see+u00t+XD0qHkQ0fQWfgGAsjHPBc6SRz22F5abuM4tTF7nPLdMs1TDg7klJj 5Neh/qTcMsh1NQCXesdhz6p89l3sGwU2duDlbgUjsCF34cxwFGtm9C78vLmVYD2dIPKmhKdT4EA+ paUyyibg1dsFDtORdd9Guh3feknvPZ6Uz10O8tV7BESt6mIH+ve1wCwvyxJi50CDdyGKkBm1N8xW z26/pu7D4vEjDzbxP/mjY3V8MHRvYIbK53LsD9EdDXY1cU9NAMuZ0BbZC6A5P06EDsItbj+cjNtu bBDtf89b98UaDokPsU4DNYu5t91Ai4qmU9LvG6e2+gDnRg+0yit0JmDca6FwvXaqJ3esLPDhaTfF 0Uu/1Sy2P2sddMSjSEv/vr3fkMCu9NU1mSeBY529cPEzZXJ8bHR1Zj39P94lcfTnhQ3TCHRUuaee Q/YP1kgoTQScb56IY+xJ4+JnvwK9YZIEbjwkGKnBcVFMCwDW7MW9eLHwp6QoM260FHpIgaGjEjef iVTQjWlOc5B/1R1CYqSmAeEvCmMJ2IYdS5YrpM1ye85vpOuRYQv0Mc9gkswGD9POM8W+4bXpru1P I46IGxLwOnzxdJyFWtkVWzosHV78UHTl3UKZ/7eFWT57JtC+WVvmCRbYaZLyKpa1Aa+DKQrMdlxY gGMEaq87SGi/sqXOLKGtcq3RPw6FzmFwiwwCrpoKdqexhfjGK1GPU0kMqX0jFCs7jlUfqLsuISXN 2z88jzC2naUrVsFJtr0znaDWo58SeUCSV7e6efcQ6CxnfuCgFyn38bdTAFYL3caSvDW85j+Ip0dx /G3vuf8ibC66DCImSdcqUptsPg0cIbdNZWjOAbDvHOeDw4noyFpq6NOO/MBTWLVZdEzEnU/7Tnv9 gqNp8HlZnE2Eol8vV/Xbbz2iWpFmTE821+d2tPCv6y02A2iPQefNcKmFphxOAhDuzJDU/OYlNTJW ivZsRXfxYYzOF3+U2i0Eu6w+ed4XfUhHCS+VkGpmseWLqA9aKixnuIT3K3MOl+YnTJLFq+xWOcRV e3kD8wE/OSIwotLbPGrBd1OKCVy1uj1nigOY9E+bianA1nTbZ8+PHIVgBEu6RjenLyTIuxivATUK UH62tWGuX+NEVmGZ7fi2e/D10kBD7UhIrVW0ZuQK/cX2KEwLTlN8+rLOPAylPRwuZ+3Y6BFunKwv ovMxn6XmHob9Ebvmnle5367DXvylQIDrTtQ1IHn5virR8dUh/EaDJVq5oOjsTrOumJXsffFGPJG2 OEqRPZ3eElvaDXUJxM/nAi55CM0OpGowGGX7w5YPmcLWI6ARJIN50EyFwtlFc8HfhbrNwy9zVtXT NeFJi3IP/9j1YiJGSI2l/9AEm+IPvV9MhJhu/9P5wOiE5RQD+BupsSkY+delxabwzwUBXYhcrV/P vhVV8UduGEA524Mc3ZecQCToeVmQqfWO/TYPQTTcdPUYTb9GRGgyrwTxqRwEyx/Ixz2tm96H6qj6 I11Pxl1lgA8mf2W+b65tA7La9CpcHwlH6Pl9guDelXs2keNR+bpT/NNg8Euy9k5BFQlpA3Ys/dqz yoeHFL1YftXCv8xmJ5F176KaahuJEFjru6CUGGQyVQzSYu6YtggyUPH7k+8rm9j2Kh1JbcgBD15U iOXioOkCmLvn/1EvZt8HExIWN9V5XibbCp7F1qE+rlIOwVWwUSSiGxBoSjGc2nVizwIDw8scbD3O HRYbz0TnecHVFjabvcHJCrHMHVj3LnX6fWIlVnmRWruo3moKmHnUTy7ag76804ZYXa22GHWSJGrx yOp3Bw7mACobock3rFvCAL5HcC/xiJqETh/wV28Ge925o1D5l0kwGBkzqlRhz/foA1E3zqGRgmvj ZG80p/8Vd1feChuLVOMMxIt+KmQobW5JG4DcjPk9NkVD3VW57JVrTh4qeJSl4Wtnt2yoP3zOuBU4 YEQAUQiS8Kyc62UIKxePk6EE7PIxkWqO2vqsgBPc6UhVksGzc9egRzMpDFi5dQNy1bsL9SnA6Tip PkvhPQ+NVbyJE+tKp/+UUH71Kgo6apldQZll5B9eFrtcqLtuXPtJ9iav2o5/MlN3imUmyx58oya0 Xh4EXvTMlyEJ7GD652BahbtIjUCKbcnjoGajXGGTI1bCHFgcnxhHoEdYh38J0d+LQGsr/wDxlGk3 4zAs0P/RnFM+rk8mKCULyRIX2Klr8GGBSP9uFWBEnP5FJSkOe69OIYIb6kuNqJwdlYCNogZWEmDf MA9FDGtwb+nnH8Tmp6nHWa7khgSQy80V+rN2wn3LqAhCPQFFBPMAu9pO4h+tTBe/Y6pHCRtuyVj9 jgRfdcBVJ61bbB30e2rCsBMfioNA9E7Y1G0qRM4Hqux/AWMEZ5k6VEc/r5vfr5imakwRptfzuvT1 1tPqeeszCFddN5jJsLpqcsRJN1Hq1BMoP5aJD4wHURk/Y5LPHzu0v7SoWqPD00MnPU1ITkbWJ+Ll 83PHHVTg5Awf2LKPnJNDYAiFhlr3W5CI3KwCcrRbhDmcQj2jUQp8bJjuadOrm40IharyLnW3q1sF Fz1NCQPt56QHNOAiH1sgXcED8rash8xOwtVASUm+SponYUJReZ4kW+BtYJryCt39gGJyRc0rxH6Q 7U/CucoPGft3ZtF7R3Q2/JDTzkuwAMfAMd35DsxDKD/Q5r4qO4ntLK7cTXZBsjg/KVeb9rAMTkSR TuXabYaAevVye1eiMfGN7yOmEMtS8fINt8k1zgEetA1m+hBsT/p24fciQx4ZR84/LwdddMzugeQp trculmoUjAC+coZ0kiYi8Tok4rJ36E92v9X2doAOmrL3aACZ/FdHm/1HODnXmTCPMEuCsm/w98+p yZOyaBizr1JgAmLNQCRh19MPwy5rbNGEfYPgcMfOnuSxLdgldqncqEMY5f6Hp0AZecHfy90JFV04 E/JMOUIiubm+KPWxrbprt6qRHVT6tAGiBoNq/G+OrDGHc/kowfO0y2j6Xq+2jnsF34Px5PBuOP1L XR70fEKm8jrRChuIb8Q42mDE3+9OLRFCnv4TdS/6FZuEigCS1aekKRgvX3kfszuhyinHsThZXwmt 1PWY6khIrRGmdvqu1PrKVj5rcLTqrusM4HC8lmo/jOJ8LeWgpqUO/kmuTHgUVuT1O4Kouk91nDwx zHy/ukq2GYkEBVJqX9zwFezoqNWL3wUrTcUYca4/cJphqk4bemrNCR5ToRBV52z5qMkguodjOQLk jYNbM9/eUV/XL4zA74it3b721ocrdha/l0GN3r1pP8ND0aE2Nv2w3qh190kki+V9+Rza0fithagl LINblGp6P/tVtWS2ZacW2qhFErlHe3UOiOO4GvvQf2f+0NR7fQpw+c3E4/Qtgj/j2bAaJETZlYGv M4ueoDTZ4dOv6mG5z5bum0WGCZTX5K8S5mNhiAZLqzxfUcGaQ0Fh+F7TiJA+kqPXxonHVHEd9YeJ ccMFXq8yznu68py1bi2Cg8hPznYy6mac24NSmUrap5doEjKr2lwvUkM6kdPU8DL14paHmz29W7hK 3adXSUgV00QxTZ8RWqfkWiyRSCLfq5KUDkQqBVXMJhQ0jpJjvFoWqHCOomTXSPUkRCtjMPXANysr blPe26U1dWiawmb4TlbTohZHjx6FgrCupHUvqdHCif+nXEo0KU23uyyaQIsjeZZwnpH0eD/DTrSQ xhndZQNY8YLvEoTVn7vZYkeYS3RmwtR2T3ZzBpOZnlhmfXtwGuknI936pPBO3WcLNRFHgmytsA9J aUiNNBVqR16jKNAYaQhOAxwOH3wlV11PJWuTWiHmDRdNOqfJHmC6i12yI5M8A/p8Txy6RtM00hl3 /VvaJdalo528SPJrDS30ORgiOL+Nfz1jSfANcjl83rPpm9ivinFklPxvGBa5Ks9hWTtIqsisgp/Z A5bAtB7In5lmwrwXXkJzdTcT3Mp4BbuHM0/eMZp4qsUwQ+2J1bUDiEA5iAl9DSL9+nLNDa+Fi2r3 QkLK0B7YktiyD7xfyrWsBGXdpnMWZYV+cVJ499RKshgUnjTqkTaQ9CWEKb8X/mzrYqpH5iKb7SJ0 1qoC+WxgayG7XS63Vzf/CZBe3HF8WYU81zQnt7dl8HHVD/dq0nCC8wyBaBSLjAaoGhZAvvx4h4gl 2fo176Q+alSTQ5VQXQxAbU/9eAt8HbuykU1iesYlQiWMnsj7LrxpepGXkdr4yLLLRPSBEQjdguyu brFny+0tBIhHnPLtaNe9BDGL9UndBKvHcp0dOhJOjT6aaheQ0wOxdO64/5GTUQx3hMnFkN4z2it5 bNrlXcRKQz2CTrr5aTFfQ95IuiG8625eEenSXcSoNIypRgcdeey8EmGM5JZdWd15w4vq+iABPkg/ bgxBL2aUvT3a8Xe04nYGHE9JelC84+rtAMdbaQ5J8+aZe0VNIKHUqRi81VEqMmu+dxYlP8NpwQk8 h6rbFBGOrn1fUtXzzpH/p8s9QT6jY3A6ZavqnSRLFZjhJEC0u3a7wp6tjLqk3Wt+YwBZCvFlB8X4 cSRYTdg2Ui6h8NLuA+fz9hXwuoJlS3CCMHr1ZPSF/eve8sR9KcleY8RVS82oSCFPn3Gn1PCX6KMZ bMSAXvbu13UH5pMd2ZZP/mHZ2JZDSd0SJ8sbtkvxK2c+q0n/Kw2FRwEyYZqHtr5lrAi4GNy+7etm /+vQyv5Ij5Ir7eFp3qFft1DYXtJsRBkwLJWLBW/t5XybWlFvUoFeq/5LU69ACa/IlKCmZTAFbdwu oZoJBrDEJq5birHy1Bk30cmxgQjTtGkrmHFwr5EwODkvf7c0qAnzIxRf4jw6gSwD0B4ur3Xzddzy gr9qqYSIWH9sn8Auesg7oeGrUgMHJkpuInVpj4f4DQnTHFYysdgTo05n0/3iKaFRyJjas+y/Kh7c lHpNISv2nPU+QJcGrJTxsQ2k7MYmh/szddzOhHA9j2A8yGcWsy2IIV87fWEEeShTSOOtYr1OxgCL Tz1cTOF6k5MdVHqT9yoWtiqB45hYuCQK5ipToGPg8fpdFsn6WR5xhekaxKisvXbAKJ8Yh0Ziuh6H BIHGChB+PQfqFPF/NY6cQPDNBE5KrstlO5p64mNKgrrRQzxzqkR2SXI7qbloO+3nC7HH0nily0hv ZwzdA4DOAaYnMSsfus0FaW/g2GuFs7RtFKF1UI8zjmEC18tQ4XOuU+RmLmZoaCtsTpxI/MkFufyY yI5q8zdW686ZccR4hWVrg2DE+F/sAHA/p6YELA936OFANMaPvdGDVoGVf9jdjcn906NE9fCF5b+D 8wV/N+kpniXZmd3WM2j1aF+Lwz9MPzsxzbTVAeuLEgXh+ezig2M0/ViKz86yhGXeQVPk6EE6NISY 9mhB3C4hwVDA7i4Gpk2V5vHSnn0P92QZUwpwK0asp4fUK2UKlwQzSTOYLuCKXKu173zj+eEMwjgo JblVoh5hjDoN+WIHN20AfWpzMf3fDnEv8NLD5kP/PmgmmvEMVuIOj/uigH/2dAANxYMdPUNvybBr fCltYUsVtRCcBU4SdpKMXIPsMi2hjjGnutvg+T1VQS5/9hJwYPSJDbUW6rhVqdpdHlxP+ZjosU45 iRJLMbl7Ord0hL72eBr1RhP1NESlXWKGIgjeW9PqNoSvc8/Sd6Qq/7ZDdxjhzK1xg6ffN5PzPXaP 5A9eXyz3pxfdWV2GKc7qMlzx3shX2H3RUFl3GEVlJykvQyQgi2SbkEQYSQ4LkqIfET9HpJCFiwqK pfh8JGWOtf3sJS/rOtZib/XDoWSodFEQA5zLo3r6vFv4/soRZdeFhhCTGFRubHk7tAwHVq68ySHE SyAbHT9CeM8rq7NtYxch/s6zh3ApdYxS+7FPL/rtErmIrwps8mp21eFPxRo2nGp2WucmSdvMNgah H5TGlMmoWRqeO648j4YoZaqKD/KnY7+hlxUOhD9yn4e/rWSFKR2r1bfkVv803g1jRGDzbTPHjg3N 1a/o5ax14kjqefID3KaSIuyk0D9b+G9mOe6zZu7772RDWeyGTKxZz3QeHAC3mki6E6wSt2dHI61X tAPsunYftxwlO9QDMwSaR82+uTFHyEmrc2B8S8yQJQJqLxRD4F4S0kA3WxkdOYx7Bzccd3K29aHf aXuUlBYVGivSWqbCkt64DSTSiwkObmgXCYiV2iRytayIXRr+7sO5aF1wda53ywqBzAEXPZJpn0SF W+3URm/Qo5XwjXGYElP/C3XFk3tjSBdxak0Z9r7zX5f2NMvAC8dQoCoEzXD+vdDH8TZAGwEsCr/1 XRsgiLo3nvxXdkw3DE8eRD59Y6uKiaXe9kjjoPcZXfa/Ut6LE9Bm4oqs1zLn/RaNHuCWgNwdF1sO wJAbEcfNFMMv0gLSLcqa2ax2Mhh1a1xnBWK5UTEk4xrJqCr7fLuMs1PsEnLNST0zK9iGazqH8qEO VOLC/UwrH1jkoKsXQMhwCHdj11QxO1xKGaL5QJQrB3y3SixSlz/AGyTiQNbdkeX7dy94R1DS78RM CzUBPj7B0VESQIQIOgEt5vVwImg2IW3ZUbAbuIJsp0bOhf0wQID6utrSEw498kkNXApQukXjc221 1LL08XVJI2+VZkFMmtU6np0E92lLIBYT+iMl28opWwssq2o0WQj1ORuG/r5iLcriAAsz2Mhd+HLW 2T3BWubcWP/xiLvPROITnxq+8eKwhTGvKkEUDU5rIdhrOr+xrv58LLOlOp6Jzr8Os4EVVoi/uh4I u0AhzVw3Q2oQt9exU1k+qdf4MpeHHQaNnXzd533j4irPeo0EonNK6gjhqQ/T6KHtQDHAznarFoDG jmwTFqWn0dVTGyld8HDiRE921E2cIWBhHpq43m9zAsugN7DfvkWdqJuGFfj0TUZRXAan95LV03L6 FayZuG4rUpiFeY699Ul2MvM5IKToFKsggQxKMWeDMBHeZXE1a89ncC3YHAdLACdHYaCP71Xuh5/Q P+EK4s4gu2mUIYJz4s+dNSu/7gWJ8+8ZXq3IiXyFovTOOMD46ANe75fIWLc/b4Fz6Am1CUAykNbD OXWD5o7+LZpFHReEZ+hF4RcFsgkWFt5XAP3MB9KfOKHdKEbEQuNs7EWEBii52maBOEk2KjLIJGF5 2Ti/bx8Py0vTTFeWqvhbtFbN2RpGS7KwJbmBeaMhBl1QJk9HafYfMP306hq6RAguFvJV96f5PuE2 aMsWhdQpiqJupWV3gTVVArxNpcJhwtcIBbejAG9QL47EMeJYk/HWKdi1Pkdp5vAXP8LAfEIY9lTp MaWLcXWyGQAmbodAfw2/30pwukaeV9sceIPBuRQG/H1TSJI7pNbWvlkzOgJUwjQNtU4Xoo2qnkZK CDHTg1fxuXf6N22u2Cc9uc1+Lswo8Eqb6dt6EIiln+dEAGwXyXxjZXTuv0i98FcUjBNQwoQbPDAZ 16OnF06JVcblNONEpABV/76c7tqJlmovCrf+fIXQRyaJvQsH7V4SLpl8+C5J33U5CsWZV3DmWd8O B1onVBVoQGJUFX0HchKSftosMmqwnWEwKp+pNHTxFU62rYehzz3rLKiaUbji3oTNXml0eFfx8ef2 HiuvDVTmGaa24qjxBPqJ/GhRVjMh2sbC8mwZKkNh38SAweuYSjC97D5Usc5VtNVxNAedhnXAJ/le PQlkr+rAmUU4fR9l5F4sZuncTLaEm9Mq3bvRzvJJu7LlKYospo1ulL0kH/BTQklR7A7UbpUVqJ8N 3g62GbjVnRjuJXswUm4KolvkuLXf4sBGSRZUkrSIMXYge2s08krCQ5gbwNUx3iSqTHoDUX9yQ4gj 2C6WRP6dKMjJmk81i4aU+oFS6ZpVOZwgipfxODzxfNHl5ehQoSob6SKXDINoulZxLmuRIRMZ17kY HIMNAZ262VSzQUIcMWeZXXPHZFjErABWmCREkAlf+qazY1xfqa2Y0u3UVb1/4F7xo76tyEA2eBWl Oh+uDulCyRIM+VRTcRPdqMGWCf0WKkpndG6Tp7xZ51G7LJsLGdlK5Pve5+UrV87YgQz7OuYRggcx byOWGYMEoHXmhhhD7eKsX9PQ6ZGj2kSmjf4gqMcP2DPbM2NNEk+FCefowJs7I7saUKKMihn77HB5 Oq04b9amv1SzyBso8ze3RlRFAz6uWey20NR88mXTpPaPaLnXiy2AulWe1wyFJUUVK8IZb1BOGkG7 gJ32d7aQl4bbUVdli6Fu7cn9ZTeeaPgTzi+VumHoZAjp9fSjG9q4r3ItAI6rxfe1sCT1VkRe6Ctc eB2zPC7ANMa6nnDPmEu1HvHHkoBPgmNVYasMVxbjkZMq2nyyWF87uPZCwXOwW6mEnZDJeVnFO3ta wITdgBd0zfzMWyuS6NsHZFwmt6+LQuBASjEN4dCqoasLLQhz9hj9Oz3CrbeC1WLtVYJQUUrxdJmC cg4C3Ash0uwXTMd+YnB0F2upN+8kMmBK7mWCT/l9SlhKFo+a/jW1gWhmYGz++fs7OdaMA4z/QuQ/ aqod3ng5wq3GRAFxIe3V/pFohsWPATAV5BdSjG5ZLyg3bN9SnGGpTq2ECvzZx7vAP6x/TrRZzKbC D8KcJfzJJ3RUzI04bmpLyAMVPKA2U5/aHlzRXF27FikfbMWi6/AN27vdvX5h/SWWmmy514cCZych 9qH6yccIjXj3uxaN7CIJDM1yT+GGCoE3X6mYKkF2s0HutK3vxdyP2zBvHOCcU5m2X5tQ+9MvqEVq ozxTE8BvR0aS+AzdCnesV9kRS1GHfWA8LJYNhB+yxA3vShJYaa9TFLENYLKFsH0p4cBpxrHb2lTK zSKwKEuolDPMTR9u9hlcVT+qi7/RSQWcjFxcDUzpxpg5NvApgUn0PQbRJAYYxZ3ifgoFVwe+p7Vd /uH6LZ3+laN8D7q22nCx/d/rNv3SYsF15DC8rWu4kuiAaxpqYLPPY7hfAls/jDVaRFhGw1qfXnMF K5XVMzHi+2glRRIclCkRe/24zCkNtoUPyaWly150npe0gNBwNIlWc+oLmw2APA5Cwb11FELgGxXB Tnn1SK/UNlrZKQdb4kcB/h2kKWNI7K+ylCiZ/pBOPYnnaU5hBdJ2AFTMWirc046XHR9jFRb+CT0e 7oWw2sY4fbcpSLhunFEBy4hlsd9k7+Fk6kT5bKfbPG4C7nDX7HIm5xXGrCgISnbLsYrL4/3uYsBG rELUosVU7mWNYcJTiK6l9dxxakl6zynUpfg5JdKVFCcTMwLp0jzUqfVf2kKbqI2VOf0cjgZAgaOm Qj0YRMVpn4qZKw4KutttyrB0QF7w0Lk9E676EKzjPn8lZ35NxTi7d/Er9vOCHtP3lLAJid23m7b+ v2NuNuFzR8VOMiCjTX0ydEVyxsHRNDjrIQpTFKlgdIlpE51BCyI9y9oJjsn8yJKv+RRcKNyaQs1b Lzm2ou7vjm8CCE58+Ue30I4LfCarCkP8Pa0iaO67faYZsVT64KE0X+POoYm/1HygxyWCjPdB5wnO A90ED4zoqO1PlZEhrvqd3HnpDDLZTR9e0KR38C5GA6Spot9OGTHqbXTK5n/IgMVZeJgeI3FCAplK MnIWaTAqqZ6MPpFylhbcUMhZf7t+ve1z97GMwVrpQLVq6Eb0rg1zOnNMzOz/YtuHKcKJbE7R7H9F X0OloP+ihv9LFpuKEwJMD8+p8m5aZr5blu3ub7B9ECNCzASv/PD79DhdKGF+XwWNJKc8wNbK0KrA ZIAm1TxjRPyh/Lsxcj8NGVAGk+2Pm2kl/jHwcrnPCdsktcsdb/iaBxYXw4PPKC8tzIR7G9LQDGb7 J25Ckv8G2ohZx6pJAN50xoSR77vwcgZC+8OfBADfzUeRi9+o8CaMocRezk+eDecIFf5dp4U1WRWx dRiMDX1q110+Jz45fIwTvv6iJomjb38pWXSetABwP/54E88rajzUN7yn74tCfsEFY/GyteVfvPmd i/Ec4mkTIlwdulCFsdpRPsRx+y0+VhOo+ITHMd3xIjbAeXNhRPpFVa+LLaONAwHt9jNHCXWeBWEK Ez/rwzrbAI24q3/rVD6oI+ctVBAcq0wpqDfSsDct76m8PNcNpZPEYlh5o9ff4t75JpQa7q2ybZ/Q sfur3QgwBKGSRNoVO5YMrp11And8kNtUwogjbqit1lkFHJwwVmo5/V5b8K/8t7uMZyVFNCd5ScGA 5Ugfj3xpoGvf105NyC58btDdhtDsvi+nqkmBmTM03AIzDrWXA8XeAu8y0I1HTOj1+5AnZlWxPMj1 H6anuCKV4Mnq4EtnC5Cfg72FM3SbQxsCBVMgESHJcv4fbb6mOJHDQY1uzgt4ZczjJCx4xY0NrF/r XaN/jAItZwGYeq6cYFW0jp8r3vfdRd02791x2VdYJ6TBuwB/QlzxH6pU+WcEd2D/TeivD13vNQKk 1zA5N+uATw4jZG42oO2Cuw99YeElG1yC108rx0NwaOIqdS3CSdX55XR9TAFapQqlTV2ijHDJ0CQG ajEwKjhWe4pMs8BLUfj4GrRPxITDdtN0JQIKaQDn42G8MY4h3kVrrtpG2GByOFGX1jOFO3ynNjjy c6qBkEFiD/7itoqiFYxI9TciRZO5izFKXvloUgNCucZ/0V6QrYPqrCoBXbC+LaY+sXEscYCWdZEf /okSbzgMXPoOGRiW2K+VP8yMtJyR6i8Knv+sHH80iaDxikJ7/Af/MKD0rtxR/lppok1qFry/voPC rTWiWaham0wyGvccVLSDjgxLEKrWzW2MlL+3jbLPElP/oXWiCwLHsVVXfCIVQTrJZx7RjU1KA8vZ qpV0v4kCV5UMjFUanwkILxVkY1qY485pCCliRFSA/4/vaSXzp55LD9ldLDHv1nMgGsM68Au41YZ+ 5jaIPz483XwMc+8wBJF6VGS4Rgoywi0Nxq59ktJkVJ9y6/Bx5NckZunRc+yIS5BMqn8DbR6qA/qC kIqe0tq4BVBDi4wSyNVws6PT4m572ZieW6WOlurNKfyuifrvKkBBOgvUaujhjY9SP3+YNLxcG4Jx +ak40LlZfOZwlTK4Fk7sPP8QBsQKq5K3QexsyFnYX/nfwEZTIlXLFrCGKVkLaQyRv6YsQ/wWL8Wd lp/ilXeYlTBQpogN/pI9rgXKW05aV1rOKownk7dXVFlKEiqKy4b35dIG607OD0VXt4V9E45cMQ1B Y42G5u8nowFeeTJK9ZfA+mIy9HYlXAE6spOiisvffnImx5knVWUnQfaXEvznSB39wnh9zpdTfmbz El7uKaCB45AsV4lyjBoDHgY7eRE7A2lLZpXHkZ4YrMvC72GOleNhrDP5VZokduZbPUpesFAZa3DM 67WsRszerSTWbfwTHF4ZchLewqBt9ale/HgO+bnjSZO/Wec3zrUqLe0ywruKgXdfKc+drdpeNeZc OPLFExiMLp5moMkZOxmDSI2cWkHvomj/4aL8oJqb/ke/8zezIeXQWffJMnTWlJdU6zVBTl9rLrVo wWu5lKlj0PWA0EI8IRvrUCGRd6zqIo5gGV11jtJ+MHJSAM/E/SxjugNNZx/zWblq2WEenq63Mv5d m8mou5REatYm7HMlAyirgOeTBqb2P3OIYPWwoO8i0Y2gNKBtdV2CvX65w/psK+T62ur/HglXdE6j WIXmJUt/+bfl11WzHbirqNogGpneDinmmYSIOaky/Cylv+gMwZEhobEpoWB4O5/VvTaTqTochBGQ hUIPWMpW5rSUds/mAdnTEh1pM8wxJ4D1UVurXnti4yxFaXXFzlw2axZzmHTr24eSt6SsjuPO/0UC rzu223aWhg1WBOjKbhpwrLw7hd+3SDslKhGG6YMyqHSYl1lIWgWvtZvB1VAX00gCYzpO+OE9RW7V SHQUjwSWby9N2xJiK+TVlr2p1D9Jrb7+KkNYHK20XUQReh3/9JSSq5hBAFwi0VYUajUfDj1N5DQp zoc35pa/NunT1w7a4M8jnQKdeqYk8/rzmxfGXUzpqEIlNnbDIqCt4/V+9YZCRHJIKY03eoBVaqz2 xTvmCFMHeYY8vAGdWfYprSyMpGFio2bXFi/OcPzJU3NfVmygBF1Y5Zi9M/LMWax2Wh0cOej5selR mraBHW2ElwkJjTafUv4icH7FeATZTQF82t91F8Pq8UNM9+t8InWGiThUY2qiHV/gWBDxy7P4qU7g CQjhZoMhBDW+jZs/Oj8yaY/VShAVZ80bCA3GbVKvZleSZHx25MMNFaGoLqyRJwQZKA/hFdM2O8gP pQKJ5SbbRAC5f2Gq4KFGrRd5cdv9sXq0m/BY/hzUitBgSK5jQhWr+0dHikY51EZqdlr0X9EU3Oiz 77Q6NocKQ/0IkkhY1jewjkk48SZ+daZFI2+100495paiDH6zODBnyyJSRni6H5j6b89q4mcLS1DC NIiBwVQ0z6DBIMgVIIGAsH0yFliaNimudJSB05eQy7/Y32CKE7R8sRRlHxcD0+z4EJAXfyvNP3i5 9DVQk0Yvk0TTmk6FPhzKRR96SkumMvXiq03BwdUDCQwEfQAO7swmJKME9MbK4ZDIgk+nVLCwLIGn 0QbynDWPqVLt2kBy+MhQkkCLYQXR3bFt5bjpm7odNznqtUKrm3cqqFfBUBrKfFnjLsQMoMLCrcR7 wCj2Sfnkt1P1X/2vR5WNeZs6zm/VFYuIgz3/n6JYHSOjB47I7V34jI88TFvDAijdcX/ishoBPPUL TAm2Nt4f/HcQGZ9IqsPHg5IecENavCTbqRCbKf3F9vo+g+WNE9+Hq5j+QVwZgBTWk/9NCgBkXXPE B0mYcHDFifeThnSlLCyXCX+S8jGJOk6RAmjS63WJZK1fVuuC3XrO0arAWrQnfVkborGYD886wm8I autLgoR/0CcEokWlz+19ZP6w4UevjLdTXUpAhlIpptqk0g0MsgIXUaPDuBlYRuULgsej9vNktoNd 4AqWo+IoqVaRq8PdkPiIynafarnX0Ca1fit3nR0vhr0P35UnRRqBPj+e0SFyK7rMeb6B8OzEcgsj RCCFfOOB+qcd/qmk6OIYQA/9WJGRvA3FkKvMK6IOV5jgjC87QGlU06z/kqt2IUghB12D/eLhOi55 pej/0NUNJ/iW6S4zMZJmnxKc78azA1gQYIC0moCjTllqvx9Ql/9K6Hwy+jXMKu7fpQ1RxqVekZgL LMMWz6yq9OPY+Emmq/8ohWughnp+QSntfrJcIQKZc4/dA+CDf5KaJy2jCuGe2mRDbKJIQsDSrEWk KmXgifLG5HNVAodz6rV2RQyogCdPLtABfRmFSRulFOOvMfM6eXPoAKxRCamjcKjrmvx0CBEqNhPs kij542e10mdfdHwVetZLiIQH+rYRONOIeXfkyothRsy8azPT5G8YOisNi4XN0IWn2y4RH7axSucW tHE9c6y8xHMUcBhdnc85Ob+9ma08RZdb58l46q3cLM6ex23lD5pZeR4h0GrEs53wLpPKJ1EuPJV5 PE9fszttk6SeXpQk5/fB+HUL5sM/nTp7gFqsUNRmVpZuIghWj2kIqhruuFwPoH3p983mnHRRYMft ASIbDJQBKzgZpksSRejq9OtpLEuTwBZT5GcEH+up4zizNRVnaLkiOqRMkKlTVWil9pb3MyGsVn2D iF119RY8c3Z9A9/TRnWtCqM5gvdnSnr2WmekM4+8ZK5V7oKZ5bp3J7crN9QyWTdXDXmHKGNSSM0O 2UKsMt1I4JdqwyyfwfJkRC8rSq8CgDxk44l8J/wfz5wvk9dXFLGEVgS9ss4oJCb2Y09+B/EXTohh bq8DPvrd3e5pdyRcHgHT4gBYO4hfR2MYCJ9EYUOkt3SbPQvYjy8XPfExt2v3j3QbFc7/2vGgNYxK YA7S/iexxs9PTKhUCN1jphdc1JAL4RIevwqPwEnbI/8wCU6b5IZ1Ed3arLArXkBqf2oyCciZPQK2 OLvLCY0rslUFkq1+TfKVjKLCfgsBv1wJULp1H87yFvXjDFs3bZqH6kxZzDsy9bpSgqL2hIWQjsx/ ctHFF7BLO9Q++ypmyJ88V45sIWLgv+UIypn9T37IdEqFK67htqUB4VR00bmCWujz+WyvLENP4WPd V+BphZGyDJNtTTxWyUL9+sGyG9dyojPicOzveAHQ4d8FfDk+1Hhewl9RNcz1PzhYAtoDNG2kzuZg ssuUfDUlTLFLT6SW7hndcfD1IZKk4TJ0sjxsxHoZ7AtMDuluiAhwXDAsKABvpBejJoWwP+AUDrO1 /oi3TJ3Pn1rEc1YxX+oEJY8AhmsqzDgyUGKqv7hWsGQ6FeCCsMVmwN8AQ3DzrPpBha1pOYlU4cTs 2NmV8DUO7GCxW0vcRETIy5yuJzp5IxAk3FUoz4IrNKSLT/SMSqTMunn7e8PApjGS+n6kc9iZ6dsR HCDtFTjsPT2dkTIT4zFf6OEgddC5LMMjWlhloAj6JFT5F0IYcKdSXXulmO4oLYGHYnmmXUwDDx5W eeiA+gk4garlldiuB0e6UIJnDpeLDL5R/AzIP13fu9xfwfMKYruY0xMw8EsNbRDVikG/tTXg+7yr lfNe4se6BFCR1q42oFa14ZvfTkrblBgipOyjR719Bix2vyGGvciNCjqnhd+7Jaz4Q3FqNj2R3Tmc acBXAXjc+69ButmyHAFXtykHtcOnbaUixc+JcTIBk9D6QCI8lytvTDuxu2Kv9nlgOVon69J5z04a osF7IUrphYI9eaatN/yaks8gKVwLuY91sjkT8mcTmzk65H48fClN6HkRLnchrHsbu21eQOGC/JGA Mekcv+Oi7EXReVPck9hG4UWAN/vkaucQKGzE8Tzc+ZW6y1UVKopdj+uSHPzeC3QALsdpwowzXoO5 RRgJJRAwsFglOe+m240YatT1emS7DxTy388ZQhQifpoXiSMg0/R2eV9xvYPbSICe1WORebiAeWQP W51xctIQghxU8s7pdF2wQZVSJclLPyU6C4grJ8CFwSoXuqxaaX6OjEHyTTtiaWO9jzxwHdlUYM7r chpMPF2AO0y1qoWlBEVXV0ZJ9Cyi+0m8Z+OXWdj5gnInf7QGXOjSsGn5HiWa+uH/rq8XjP0OZ57A G2Vgzuy9Zh/1d4VNN07qgWJPt/Ezy60uU1pgFWKZqMUEJshjWH8mjyH2if81HacjAB3jufRNmYd/ 3VbhX+HhMHbB/0wnWbRVHPfmryCONrey4VU6NiW74AKs8nVJ4tRNUauJ7TCD5rb7Mwo3MUhl8GzS H864ZHfpHkUBNdEu25z0aYf6NZiMgvWbDumC5OOIRCfB92s/Xb3Ng2oa4FZXVIE+gYAcypgdnuMt VZ3U1hjkJYdQpor/cWtlPPU4vH2i29VD+JvvudkEQgOmtlgsGZVl3erTGByerHkJ729rWCjkqAPI vUSAB1pFaBX3G0tsouHx7G0vCQVNKSSbXpdZvRwqfrd5HdNU5d6Npownoy8L+uELwvlszUXJfk0t Ertrddfk0MXLarbB3P9/g9wFeVxn+wwe4gq86+RC6RsTNEfWGOf/y23l/Y1rpg3puKn8JWUTtKxL ZER6pl/KB8/sFmwj2sPlS4VmevDb6ILWvA6SN8Q4nJ8I4uAuJzB4RpRFiiBDTfkmhmxrLx04/F/L +/8f7ibD4BaMybZ/zzEBvnANKYK05JE0GXeoyuvLhj4bLo3NTi6cjgm7QWZ9/LxFY9fYYAcGEcvg qklgD+7tRwAwClDW+8X8pq7/cs1p6pgL1yT7on1SA0j0x8Dn0nA6823BbmCCa/MmZpq20ufYdeQh bsVL0beKY2QhYgeH3ezt0cjVChDNnbla8oyfPDpyD4+dKjWHxbwdlEVNS0c9qsT9dP/pR9meuqdK Lkyun2tp2C0DWPnm6mx+uB1xMFzeSJMTW77w8k3u8MKk0+yX44ArcedIQgnaQ1+gy0ostQrkP33W jUI83bu5vMGD2dgA3pwBOmICMUefqAfXqbqz4Copbn08q2Ia4vujg+DUdE4wNhnKtD0UYykoeIpC eLBxVIAPlT3gWQgknk9kL7tzvgAqXFMMLh1CZHx2ckYrEKyhwq20tGoc+NMDsnKZImjjmynE954p N1Sw06SNPv1rRa/ns3J+32mhj+OLnCEzo7+wtHAwU0/fQcTXjxuXrktB/F+N5zGCpL88udao6SFt o0AufgrP+X3ce5CikankQ0J5GF173IFNfDdhdAzpYHxMrYqY2790zwFM6ytdyGsJkBPwcyA/CYfe ivRJFrHbQoOn5jrYt5cvf9atJ/d9Fj8UeeRQZi4hj0C0xagWKXPYvS8mPMEEmSlI+pq3PpqH7do3 kQb1+oIcZhBG8QtrBScl/lc7oQ7Utp0NHWP9/OY7iluJylskxt316f2ueB8PB8DxngVUzV6rXptr m4JiDEjODbzPytXkAw8vQvk9g4c9rXo5P4BgOlcNPegRRRtYGevsdcAv95UirXUw+kVe3wPPYr14 Eoks53y/3OIlLZSUtQnNv2+BUdye4AGmu5VTHOswQN6C16F/Kp6416eGokYu1tQldfszykokzIrq ZOXil61y4BjkPhuJrZQYWMBbrPawA331CiDRBKNxl9fhnJ/jOngNVCXkennt9WH0m1M8nD+tV+2I F2bfWkYX3FssBb6uJs9j7KS0EdPZKWDKlklLG9D/RMR63MveVRj/iTP9lpUwoqPlkoU3E3T4rP+F o6vyy9dZ/4cEsnJVolyI2qkEeicqkjLRpxhI1HfcoG1yHn/pNJBQwd6ouEraUUrP3dGDgyoNo9Kf Ntz6pcCp4IddSuzCnRxTjv5O9OhYgoaOXlEoAg/cn3oaah8B3oH0L+dMlzgGlACXh8ONtMY4aCtp xr7m19bifTTb1dCdJScjMlnCZdMFOtW7sS7RcvQbE1ntc4LhO7q25alU61VE7bNPHLq0GqUPoawy nfHpaXja2J02LGowUUiLi7qNHNUYvyA8CItO4HXI/3oL3zF4PhgAm6vs5gRS56z1EEEyFskNpRsd U+J9u/axosdQhCt3Jf+oseJrtUSW3cE3omPBDmu/HyT/ZtYmxfoFDm5Ux0Ch665UD5pL70GdrZjp ijU1FwRmLVXAZ2hjmQGG0AwQKpCbUZVWP70dls40SdDiJspCdZCov/4pIBbtByzlRUsB4Njxmgj1 ExIuqgLHs6JKnQzyxI/bqyXvOWQUtHL9x+4a0X3huHLDJr4t4cfd+VQ5cSr/mosa0z2ipWabyuz+ /FMrhVkQD6RwBxC2zT/W7eC47V28YDJFuUfutjdw0R3ghwtv3jWis0X5x9Y9YQE1fSGRqS3CpzRn KRjNwWZdtBcq8w0SZDmc5IfgBvP0RDJnAr6qqCa5lZSkCmceJzdmOF4TfBPRg05FZA1kEcg6GlPx acsXrSQb7HBt7mSvtyciLaallqEgxHFW/PhQPp3CdKyQK/VGOwrBSMyitt7YC0AhP7++EdgQw4Qh gN6QDPfWAEEAw+5WSMJC5YTDWoArsxabx4poKwsLFI5QfrLyfitlv8aJX6Ubl4a7qiBC1HQ4c1rq J67eN+8wAA6Z+ZHF8HjRDYFPWGwU4hr2/yndbJpthJT8J1r36q1h0LtJsiDCc42sOc8+l5p2kIk6 +CFHxKNChYAFmqPYddA7KrlyuxGzizpF4ow6205k7ojn9U1pJQZngHYM8mRXiQjZjCGJX/p2ZHQZ 3HgTWfL7sm4g5jQTXDen/1MD1nxfY3rS7ZgzMeb/jvlNE8UtwE1KaiMCV7ZidRyl30GwuoHakW9m o5z1dYFe0//AuJyT+cgPHGpE/4FXet/bdQMofC0bcQnMY/LcdJZqUOgXDh7RW238DbX+sgUmJKWH pyjQWzK4lCDqXo3CC9uogGyTZktl/XoPu2azS/N4DSm6nBkzZlP8M5b+r542yhj0I7rf5HfOwiHF fbIAtd6uRKFswajnwXqSibiiEqsMrYxyGYR7c/V/O3eZ5hWtF1dyT9esaK0AAFajFgWtBSV9sfpu Z1+BmXnhOwIZCwcRkXl5YHKMvit+Xd0KM0HOOWIdO3yTyl1CKNtoPmbVH0dmUg+ixDVLR8GZb3sp xLWVIwCCOLr9BJPKEAMyIqXVv+K3W2bYFnZZhFsJW7Cq1oR13iB5l7hETzqpXSYWlwHJ1+1z6vxX yGRQ05Ze/MOuCSdEs7U6K/+jmwjiLfEKis9iL8HPwSGTiOnUSs9T3xiF4+RFBUIB41OBbEq2nvyB 569F+7U+43ONv6/YRDsPwM56ywEiJ1s1a5+CYYksdDkbzcu6Co//XZNNer5Rso2bNQnpbwTLOyuw XbUjIrd689cgP7AjFygGuLAALpvJGo29NG2KDig3DzHzbY7GJmLRxL9PG4zwhLUtj/MigQ96mfyc YCeTbKKw5SUDvZ1ESVMrijddosNXC084Q6af3iIttr/iFTXFB31ddA/qOQxvlM0QhT7vPBvB5XP3 2tbLYBKxfu5oZ/2FZRQNM8zdIRklgs65ibSUnX2oeNY+4qTYhCIRxx1pgiArhyGBRvWTrkaVvjEt Oie74URJ3dJXTiLO4ME3jNvdA6WGMPOU8b/z5RvocI17bDtAEt7GiNgcaS0bpQnTAQ0AcmGc+hrR U8ffzy6sLAYWWKpNTf+3uC2vjAysTGaYND0aEtwjenEVZR6hOkdPAQdcu82jJOHrdKw9o2nqnaGU ya3oGFUoGxf0EUmAG5Pk7NYJZQkJzOzhsxBr1vAlw42Q7IQHaS7rKHHTeUEDvImDOM7wg/rMHo3o w713WqjHAdoP5JfLMNPizXqc/Kkw/Xmnp9QFAiVzwIOWrUbZbiuBkxnMKSNouuTmRr8VuRd4mFRN gnp1y5wK+icadnq8m9nOcUApNXg3CohfgNKetXmJBSCEcZPHn7PdYKimUSGJoQbpK0KxnWDJygtQ XEDK7unOMCJzPCO7D4rn6KdrrkBDFb+ZngAG7Ds626REk9xxWj9CpfXL8kNFlUNTdOC8JQbv11HL TpzHMdhFfG7jCz9qcHE32QEEOIoTc9qc0t4BoYfCp3t8pI++4tMWu9lE7n293V5BGNROCz5qU8Kk Lhi0vub+sejMv4y1iRIJUBP2Zu/pjRCFLQujEe2sStrhMdP2jBxiBW8NXT47uI0dNvVHu8pDFaC5 Fjti7eO114o8SU3UbFQqwHzI1DAZQCCRHE/SuA2mG/MmxG7R10uMPd6Gi3WqCaLPC8Fcmr4yQt9I qTTumCwgyr0m6ZBrnBjem8ZGRvHg1IcSSYXaiK7kbOjhy3U+3f8C3h4fL0GyQRx6HTP0IEWzTUaL TcbhmbSVJv2KM6s6r89pSvAN+9qXdQ8tS8FnyltcxNhGHWj9Ttbh55ClxnGVx/SSuikEo0zQb+tA 2fRf47QXenDAftsvxeVrKg444FDGPnEKGfPSjzpPjTTQ2sMUguLGUs0grTurJsRrWzDGmHEOR6Zh 6sygWkoVIFj6s4buGGHPH3bmoiPAHT/EUx7ibZ/jeOZtvYcmvM0+ZXkwdBdNWNaBLtrIzc+fpg9l GmtelpeJer5/CdgG8U+eKi4FHZt2PWvrW2ZH8KAtwcw9HTiOgqCC1xDyTymPAq03Sps0XvcbJi1p 62ZQSDD00DjxDzLORTAZRnzmEXk5lSsFb+4nbOj1YcwV2eJ8HQHgg/VwYJPwN9amO72fxwZNatbu GuisCXgWiEvE9JAEBR6RaMmRVUoL3StHP4EP38TQFKS6lfcFx6DF7lkOU2B2xHGBA2LEBrDQ71XZ +sS0CUpgP0cQzxO5NgdPcIYUL0KpdKX4FrYnUqTsqSIQ94TYnBFDVm5OODjIrWy2e8ITEcaCb36u bn4/94VxurC50aGl3w1ejGiAs8E+FHBK3JvKsLfJ0BfuNh5z8sCu+kncBRygkzwwhsdz59mEXgnv fIP1yBqN8gznJKUQ+Z54RdlWmaxckb7mPcuUMO7SEULL+/Lc9c6nlGxZ7sUJxFFsqxTwrx3Ch4kY NxlkWo8ObCdwgMQBFfxXpDpBtIfPlFuDaG9S7QKARXYzQTpZnE5IESWmVCJ15Bgfsc/JS9FpBf9+ LIcSm9Atf/w9XIfT7nl2n/+bOgdu79BdUkCxBCnmc0vytrO12RXYkuOyyQNqDBDh6Ef9b3KbtrGK Mpb53edxUhHLJJtgQUiNSXVkgX1xcgvGj5X39sxBWYeLbk7G8LK/Jf8IE/kKryn1DWdBDPnIrSSM ciGpk/7v7+ew5ReTZJX+qlZF86yliq7zkx5gJhsMfNW/7CkGHvJmo+ykntdjUhBhSXzTv/8T0xjH f5Y6+MuWx/V5/mBH5i9qK/jLO45IiEszM3Bd2sn5SqxketeU7hyLCc3wqjyDD5/VIgpCjBezsyrQ 3k6YRC+eHU4dy8oEKh8ZXeNVsDgTBIh/qrUNS2TCbCBgeMT7kc3Ghk02hDwudA/SVqWCC1Kyf0gV uwPh7dejsgZhDMkR8eLoOQGUDoBc3pbZvkgzuk/C48tFQNMvyz66PTxbY9FgQp1OnKNH7JY3rnqp vhU0Y/jbf3vjBhFLZ7TOGT6Ltw9urGDUy4uvWJLFPNqzxu680ZHXixK442tx4lqi4fXctaT1wzLn DsmcGLL/Tc22fcNK7+/wvDcrZktwaBR3CF6z4NSESJw68jFH7dycTBHSZNCrNN5P5qV3IYgBtyuQ ZKQacp0KP/Qn6LeH/1DRg6ajwCh3Chi1S3t4w66pQdQyvdZpFCkaaVeoxndvHBjWeoqFcZQX2vvy CsACy3tWiXsawFFiei/j3Oqx0iizERum15w6mov3UOTPKiEmKkScoUxAunHjWVL9q3bcPsG89ijO aACrf0gEBg9E1I7w9eJ2FjdNwj/lca2+5NiR8WVaO/0+YAzfCSGkIHMZ7HB2KmQv5RCuwZivQHI4 1W9A5h8YkwjXMMtrLNW68EXXi8lYxHNosKtDJogh62kFcvFalOdGJdPeY0qrQUgonPAH1y5eiHWC OP6tTVEOgG9++UIvS8joeH1f8wt/RFFjX5w5k327MJyct1+FVjyzS37jzptqhA2uAp3amDOBAKov TBfHR0UtRqKwsA8mj3ir58bGUlu5mkzsoPCqpFxYiQCD5N4tOX+7Rjy2oqa5ENuuDiZ7GxNKLHiJ 5Wn5xVFbyWllRtYYvjZMNrZmwid9nHGijCbU87RZT7v+lE6aHDf85IKhV+TpbBrVkpDt0FpatW0m I3zlgIsssNwBbee+KzGWO9ICzJIflXT4CX7QnIyTi2F2tgivp0xhm/LVec9UqLPqJHJIOrEn0eBM F6Pf0t9Ajm4avRttq+xqL9ax2relDLmtdLnDaGqB1wlB0JHtGuQdBfFiG9yFYWiNOA4/pqP725Uo YRt0jGCzg9mlZPrP+PeBGOzThNe7yit0dsy5NFq2G/b+cchY73SXuVzKx32L4W/7+USmRAcUN0wt luiSqExTc6YFmOEoM8VpmgPsEp9/V7qO6ljwo1JGdiqggOuXfvg3s35+ho+6Ds0UYdDEfUsVnLqJ sTuEKL9ZvozMXSqxgOBz/aNye9pJMqe6V7l5Mdt+VN6wh7dd2WqTN5SZ0nADU5eZTn/xPftHw2aJ HEI5fEPX+8Xy+dmbcbbWZcXrAdbQYVGwxYOZgyQ2EwWpHMVxIdM+betm3mIM3Z5ejKf4MbYbZPI0 eCnk4kKfxPSOnz2kAMAYl9dd0zxv4S6w20G7iqgk/amTZwvUnWga9M3ojynA56vd3v6KWq2niqbm YmzyaMpGYPyHvw5Id71CWFHESJlpdPV6avLbFjg3/5fRCXuivXaYqaP88XqEEDqEMsM1Fliw+42m BEw7TTBa0HgblZ+Q6IGMCOZqiEbFCrVND2st+dYZ3S3wq2pGyxusAOlfcfB8BwoPsvH+p8xjAOT7 3iFrS8XCQ5z7C0n98TzaS4sAyuT1mZDUAueZ6BOZ+Kg/x3maceNVJRTCy+l5E3WpU+xNFRUXU5Xo rg8u+Y7KoqPoZbItkXwu+nh+M68BNYoKp6RX8aLKX8eXafTF3X37QRh61VTNtREUFgrriZsuAq/l HSIcBLkEnbIB66JOcMNYMCa+J94OZd3XK4ZSneo7vh15MC7e4hGiOxojERv3p6Ev8DImNRx6r0qu glVkAXgS9NhLi24ZWeWLjDxoSzZPuOO1uLLt+NdQuqwIvqZT4QHkMxBEWiGH1GqWL8Vna23k+1cN hPt8WDlP1N9pOd56lGQcESrNme59h4tcWXa90v+zkXRw8z4f89wI5PuLNxoTbE4uK4VzkvR8mCaZ d/hNu4nlWUyov4wWMON70mLno/Ip2w/APUSRZncMgcQ/uuVh6aACUvj71Kz0pKIHusQgWU58Jj2e ZnTGx0KYJXh5U8tcg2iqctaGeOVVbK8KiesB37ExQJ0sJTVXvrmHIp7Bc8vxCaRTrpbuH65lraYo XhfGjdHf++MpF7WGa4wMaRWDyUO+NgpnWTg0S8XB3rjJ+MDHejWe4ewAhFJsXO/PNpf/tckJJD8g 7+hs9invVV797/OHhtYdPMJdCxEQc1SOG4/olbJnudzJHMUvCVz/v7pkl2C2ck1ePXbyTxxIAwsz UXITUhLXirLy2kSOJsRi6ov98+/VDFW469eqb23PnNyLdUgnzXI1YK6+Pvd4M0pEsWWvsbTA7N+U rujwlJ/hoYr4zDhEO2m63fMMs0fdzrdtHeO/40JZPEn0FaV1Yf2RNlKuPuUfnGHTGkHNVngP4lPn C9U8/9QfSFEQcmdTsRyOt9lzF2P163/r3N+DX3XqGcydMO+Cee6b49D7oMXLE9ApGjR2yZ89qT+j mA98XCC69qTyFmPCGelaT8eG06ahPn0Kyq5zhyCqx77++nw917G0iTmPInUIe7/WDYvwq0Ep1pWO U+fU4gr3Rnea65OLGazTeEmKiTi/F5hoMM2TsupkdtLsiwBfs5U+yOyUQHLmYb9W2n5OoA5gWHVP c3lSgoK2gxgzZNYIBSqpm9XRHNqN9Nz1FxaXfBxPjXaDwtNqSHm7T9SwbFrZz1PifLPTvittsMFo 4XCyB1FxlPLbWzyc+mfoIwxLvinhba/NoEY5CPUuonjS5nkwaDrYfhneeM5CxcRosdYrHBnvKdD6 ZI2G/rDcCoF00D9I+7cgn9lS4Jf/tm4Y4w7LKBkYpI/ioRHPi3izuwxsLf8WCkOQo3PXkEsnCt6R ysk1O+wX+uTbW2SnzKpkIjlP4Fv90m2DPv4mSY5zky35J/udaH+Rbq4LVRL0EvxJ4oWVJ6Lp99GQ XvHrKVPyUKByPmIjQ7sONh2w8TlsqY3TJHHRYnUfNjw2RZqLkF4cgs3XceROdhDXaszdS4+f35Kt YQx+xf/ZjsvGTcSRfAIwWJvi5hN6wfGijxzvwTh9Sz8l155JL9nXS3FPp8XAELoKm+RP594yaoLv i79I5xJ3Eq35vSzP6WiSmpV2674h6hx7cM6gP8MV6LX1BgOMDZgFvVG8prhnTxA8E6XVGugORMwu 7VeGXmfDwp3Ou7oVOfhcKnPGsJvMJzxjZMSCsgxmczjPkzcBPJRf48VxOFImsVxF1ISh1/WYQNZ8 TFFDtGEePGiF++mFPbAVtiST0pHbGeRQtNOyrAH7VqVLR110vBRHAHkMTKov49MhXelAa+6FO1/U 38ZoagcPqlb5t3Lgp4xq1djO61p+4QH0pXGPnabuNTvaI7ECy346+BfFM/4suILSwScustOofFjO ilhn4T2vtnby06rSiRliGxF5hfpgpR1aiS68Ab829r6hudi6XRNnL7oI0shVg/e7iYY7SKMW1S4g hIE0yOQ/oMGz+3VX2HVRZNXg7p42sIfvSctFsxQI2wVGts/Nypb473TeIJpt0emzWtkvEpJcdDq4 dlAoIEcsnDubluVp4rdKVX+CbWSrRhuywbBB+NNiQ/+OngIONzvMkCLL7gYL6LCZ8NZ6vGS5RfIN SEJDAPPpfscRT6oMA+Wom70MXBLcvSHt4StM/rFmnIecWT+9t03MmLrIOumy01skwHzOW7/f65Ty 6Mmi56KvxX0OjUos243y9CxNsvBwovB1L/xhA9qxeBwTPeS4slEwQRuSjp/W34TkyUJjgg9dkJdC 9pdsIRAzrSYOwf/6WAn0jpxYk8U4nLpi35X0ve7hf5XlnN52wWKmTOeAbUGijugSnHZbp/lUEYtY HTeW1IUws+p0tNNjPlQzhMBOdgtfzQebCI8GCYvO27eJDkcLODrzRDVYjUdbrrH/cHxil8xD1MAQ nsgFWVW0tSxzocVKXlUNKNkPZ6GQKfwizBpoQpLJdP2zBcAUzxOUYmENmo5u3RLO9DksXLpFAMtc ihDC4NWkmbGq0DEzU+PvNsvOVJeybI/pkALHQmCaFHBwIXg6buBXGFeKPcfKuHh73ML1hVvH9Aen 6YG2KFxTZ7YOqbE9EflAB9+qN0ml2eS8NUb1qdgGnCcgNDKxI6LSwOzg2kGiOYMr4fIHATjppd27 57+X9vm6XRmcoVpYRTpeu5Mg23GhLTa5wdQz4c9nHrivAPYw7GybxbGM5gL3mAUeVr7lVNkncX5p FLqxXsgg9slvGN439kQLDny/wkDDZ360V2w3/ibquOLZ2/9l4hZiPQlcEQRMQLxLUjZDTOoBuhg0 GCJQO69MVxzrNjn21MKtmaiBWmDyYkFVOaLAzuUSd0CR78T9m3ese0Exir9J8PWoF5+uim1xM/n1 mtYboUBVuu4uddfhTvRnx4h0Crhid6QFWuJ8/9NwnYcC6ftkmjFjrKFhS7vCjpkXmk4w7F208UAU L2tkGPmi2UShFJGpcfXotEBokT9val4Iy4CtjVYELuRiAqKmrZGrcbP9fJb22qGoWtbtitv503su 6Xmb+Uu0qSAqU4+zkyznwZb8CFsTeOVLf8jDALntvRjByv8EK4aWQrwqyrghNoT3KpovKkQiTffM 38Ge7BuaLWPWMv0y+pcetLrd6D6Zw/sz715yHkR1RUc+gmegQdw0qoD9W7WJLSRrh2AZpp8GA+zH uVigIYINEojQ/3jMGmBnrtXKJImXQ5+19QB3SggvAfErJeYrY8rZZaqCfMtY2GP/3GtgBqDMEtvG dHT8FcD67NF79KFNQAIrsmYd2EktRZWXTtWoZjd+iP6wjGWKU5oJ4yJL67Ys4JrBPNKhy6E58H53 PAMeKFAqxn2iF8P4ZTCS2jo+F68L/42WR5givIaL8+s4mf3WFSco/PfOfX+QNetFQBiHKWe8woJE 92VgGe49lekltBe0Mo17LcH/+kOvD0dqdKjefVUrzi68s0CCbGkF2WK2jqwi+CmG0AfbV+O6ho8Z Qnj7KV8xYM7hPOmpmtJaaTN9CxQbF1BkP3tbsh5GhyXOLmz+ndkP524U9kGM4+so2jzx0G2GOHn0 scha5n5H6a0ajLyVpUbJpLZM8VPCk79muoJhUOOSGAWIkbAd1KJBk9K+RZ7y3fTclZZN9HACtn1S tYakftynTfZK1NfO0LSTzftp+vA2wtIre7tdu2tHFFCwYjqeeEJRTdkkPjYhRiKVa6Ud4KxMU669 u8j85sNGaTW+pxNeUg29hstb/PcbM5O8sJeOj6sY6HYbIhT6TfHAunBIdiNP3MnVgP5Php4aD2fz QJg7hA4muULUJ58uvCcVMbRdjWWFhNb4V05eYGDHEOmMo2nI41d2/Ys/ksKFJYU9/pbnEx0OWwyz P4teh4ocpUR4dBR3LWal/TWGd/sAY4aMYvUucC1fPRz81JU0NO7OcdrcNpN7dO5OnPRh8Tl+ZN2u OOc9B0lDuY83W0Gol76IhCeI7yhF3DBTeMLR+t3hMkZNJVHdQKgk9ionvheg8vqj6P5/HK0G3YzQ XubqzH5GDtwahjhvRnpGlmEYISHBnld4dWaNeuL2K1agdQZG0NerFi34eq4j1j5VTspWAlRYPHhr WVia9+iV8A6mS1YiA64XxcaMPh4coQtP7pgGsuM6yfzIu9moYXNtQHukmSkTIDBNie6VkLy3MKOF W4UnDpyxswBqy+7FQYVNvqEde0fDuBiJWEj6uvM/g4GS01DLxmYJb7silTH6RAjsylBPn05tvW/A Q9xUJIXsLftKrK+F3WwPRv6/fA6L2hOAkf7IS8dk9NuvXK/L3vGkzmeBBFW7w5QhfuI8cqUPuiJh h7cxhfzH57PBCXbCHcJ38H7QLEB7zpJTRwA9TEu5VZdcGx5Zx9RfLn7P+vKJY3NkNgrvPWYh49po YxnroKx0k9PaszsO5lJEQwO0Dz1n7a9XuQuPsDvJ4jQDy/8oZYmKRwMysc6hjnYznwiWO5dKAfik mlIROBDxXdwdTdCN9IQrvv+/t3N2ntHQxuhJ8zl3I9WGDQnr7c7WDK2540Ht8SZY9Z7/VmHKU3jM gHLRaLRt79D6K+eS44FUu+AXnRGOdJIwLN2YvhNpyvYY7Hb0W67BX6Xtiu7U0Sn+DhirKCWoTSd5 0D7SkBNO4A5aH9qYdNORujzaMBVZbLfrv1NEvR/Vq6NDmUMdLqRpkS8RRFYL4kWspObBVgsVXGI9 Xf/BmfiUhZsrA035H3cSXxfJW6IC9d5mrzmhogSEo/KBeQmIXz05GyyUSkjA/KWtLvipM1vUkcl6 AN4HNa3Mn7/xQyYGmFT+ky5cGPei/rNnJe66eZXX+MWD7eUEzc4dbIezgJvbry5Avak4r3eNwYIa 1vhZ89eS6Jq5Jn5PNJ2cZDGocTEtKptdON6PBLu5wiwwIKwp0Yv5KleaCb7IRTrs46oyLclzN1y1 sqQQANpxnVZuxRIzZ5x7MG6DCgQyELQ1JaMabMuJU2qFBH/pTA0od3R53x0ST6tgwQIehxuG9UWZ cIVH7NKZwsjebQtlbaruGodtE2eYG3hIYKPnyz8y5HQCdXT45xtiQm7/o3J3NuP6xrRj2YTexgIW bYGcgOlzbga8X52/Xka2St4ZCDquxoO0fU5yRbvedTHFp2UaPFKN1HOZJvvO/cPri/Q8GTuRBZM0 BiJgn1Mz5JEpYGpzbI32asV7+S/+/sOf+Ty4+Eug3RVZAi7dU1x65TpLXPp1cR+iXdzAjz8VXLt+ 9WWZeYL4Al4nwI9kAI9LNba9JIbtEiNaJFvxOrETPVeUb5OVyJneo0+XHAqvK/t2XbyulhLLoTjw t2zL4nRD0zQWCog759LIy3bMuusMyvW8SpItxnEEUI+t8VZZHoYzxJoExdnAwn+UlS7w4ZJ3pQg6 VidRab1paPT17LXLme2KETRMuECLiYK6APNw9GBMBO5AO4LPqZ6igJTmEuCq8XRj+ekRFnnP0Lni vDFzVQzWda8QPohA3x4WZ+IKeCWL9eaTSwK3ZGFYRqEuIzyV5Nd2iZo57WuBB+7ejdJMvdkoRZPt zT0jNUlL80h5mnNDP7Foghpr9Ggy1amOhCHlHF+YITQd7wQ7vCjs731jeY6IzeGVYvRblwCT0iCp N4kBJCVvJGNzacDTMtDZmjQJ1ice5shIUSGZ0FDkalhTK5y29O6PN2tietczN3TAevnO443dUhRQ 35qnDU6UNZMsHDe3r+KYBDG63Z5ykndFdgU/ddkmkIVGXYTwc1FV6fGsUIExKM/KgbAxuKr4SxV3 +UCpaaMlsV5yqD4WVdg2GwmU318EQegi6HupC4Q7SV80pcJ6fr+QtJQdwZK5Rr4H9CKkI5OIhF2e Ev/NNwwCz0GiNneZE2Pzu+mZTpRvwWWgxiffZtZA7/GzEOWxQ2czsjFhO1onGBCpAPgnPGKAHm6t uMOAb44tQKg5NYXTCVkW4m8wm1npyOeE7RqBAns21XJ9JDDcYIctkSm4/2n6jR7WFKgISkYaqrnJ qHsUw1h3dlBSI2ReUF4Vv0a8smdOb7OsCnislcAF3uesqs1/kiC95IOmBgv+A6B9nqXmTUZeO0f/ PpiI9TyTVQm9E02OEmle5WcpGNWt7gCNSMc9KRFwNwqUTEUYoSXPeTrVwsj1AVwtKJEUjBBk9hTv /1FLxvDhHrzJcpm1Lfwm9omuqrUzhcwNdfW5jvs3tyc7e0QXTmWGas8DNjqX5FxCuVX5xJ+g0O60 vsf8yISnFwKy7MXKCK6//glBWcNGz5A79XFacK5pu7CqkUqIHFLS/Ele7QI9C+wTZOSnwvrklaUI 9H4G2r0jHxwRB2ehRduymHnu5f7n+nEhiV50u0SP5YFzs79UvfDgBglnCqiyxbt5QnMTW6JdIpwa 3S6HaPNcoEpZa9b7x4RwfPv4qB5EH6Ec2T1LGDysTSVM49dN9jRVZcQnBOrxSk3bFj+J4bgKPcBi 0hi9Igk15LDQRgZDGP5fa7tf3OT1czLlKxtJyf/Lj23yLUTJyODKy99vuVFbx9wm8KSunM5ehV47 BiaHqGFIMfcFZA5ON++zPKkLgD+xldi7J1A/D9F8GlS6fUtGuHXxApCspENXFV8G0P65JhnHsI/k Z6UcmQmVDHBpkWADwRGlcrzNkapqiEJwRgf1ORbHkvjU21asDgMH3APZ5Echb8HGzfqtNDiLGebC e2YvUdcuteYHeXDY3ufHEs4xT98uHYZqhOF/jhQ4/UaX6gTq+3OPh7ufebZHt13mroNc6VIl1OJq NY7Cb/oU4n2U61VPWMl4P7lJpas6MHWwqVZVf42bDkzW12YLoF8my5i1YofMzR1dtMNXVDz//oAo XxcFSiMUJntfStpqXfLCH3IH7u785FRHzMQqUbV7T+SLXROaFYsZA39KHSZ6Fn/mOa0BRLYzeaKf h2dro9QuCFHDr0izNbEPqa8TlbrINkIHCOVZHg5uN2zbM4VSTmzrjKN+WFjFQShkpdPQuNWK1g92 5EfSbK9jle7QFjPgO4cBuwp5yuLvL64ybTQDQbxqpm6Di5SmT8EnowIhEzqTyo0FCV4pe8jNesyW n5fE7dQFX6dwFnuM39AXmfkoIQjhl1ys0Fi2smhJmRRH16Kf5yXtw04XdHu5Wj9DsRSCNr9ORrWL qkxpjT+1+h14caxP15ObquPra5uei0QDan6sQLK27H0MrdmPojvpNKAJgcj2rMAR5wC6xnNNPa+H l2d+5YcjYV3f1EBG3kF/zkYlWrsop/pKU0gpSqzNI9I+qN7Os5pT6e83uOMSlXi3XWfWBJtPyKFm zqo3PIPDMf14nAHTM4q/5oxcjPG9rOdGUG72Ze67NeFz4tduPzwYRRahpX83tju6ml3Tj4NCY9e9 DVZPAAkCJxefKega8wL9WxTLv9kWQiE+17637+NABFPNDPTOvlPSO7FeSk7OpgI5lUa4V+lAhOYo 6aY/eAajFBEkAEizsFI2tu9obEMPpKTEG2ONHpb4WZR94+qhWMRjrjUynpsQLCwKkyXwZYwDFNMx /OBfdWKSyLIwNCAxp2Jz4sDOENGkSEabbcEEcZbtAXpdsvwtDMvmMLSMPBJVo9doGQn0ScqSVsiE srr1dBCQ/sg7D1UEyN5mw73x8IoyAHLuGNs1bZJRAMYHp3XCFrbOJo6Q0FSCy1ok1ApIWzIiR+4k E25y/4Xeb3Aaj9K9GFwa84IWU/afcs9XjqpMFqWTzdBRiaC6QDdUruwx1A20vXHq52WoMjbJLcWE x2dJ3aJyy6o8c/WRZ8ctn5+fUenSzWQz/sE6I+S4QGty/qXYOGFHQ/pwJIsKECaOlGRB+o5Bqepw ZUjw4dTI/TnILexiY5uvkuvyBYdJUmSTDrGngXN1etRY58Yt5NZnbVNo4/dD5SWY+GKGwwC9C76f NpMCKYRPZcX2iLf4CQdZLsC4XeeVuk60EXmP154ow/mMURBbMBcA5XGN+s7DoMAJXV601HzSy7yn igbDaz11xgR6w+LwwnU3ribq5CJuokURPi+8r8cuY3X7NcxaGjSfItwHXaVBcKgATvKH9/KuRXCI YvJQO7DepJGr7xa4HwaCqYJlfxs/ap6b/HzF0n1UwakT8UCp3a5q/LdHN72/bjIdzx5vjdiH3DeE X0vtzt0KqT3fqFQ8SBhFYUremJTVCoh5H028qoszr3f75AgSIGcXFlg7Xu5S9JMXVZ3n7DgMlx1P W1YfPX8JZ3QcUfXfO7/VNwSFmMoRXrx1J7IdmBi5dTrveEjc04E2F+qyoqRsvg5Q3j2o7YmJ5qql sHoo6KVUgoJTfezq8cBf4mTNXR3NyvxqrW9ioji+LUyrapnmJuxdabPI0yW2WCCmGp070yVwJRkg NS0994z51EzjJOh54Gq1BX4RMzUrLJmKK+eRTvgEEZHxciXAO2gUwPhWQlwfUP93i4N5b85qdzUE VsryKNpSvc+E2c9fCSo995IwI+Dpafn1l7jDMNJUeKfhNX93RRzq+KLX2mLEDdNKnJMXJfxooO5b PQZ1nH5t24eXLRBDEQfQJxYkCkb3cXYfXn3heGRSG6LwKXjMwF89x61qUO1MRqlgaVsJ+euOeQvi KCMU8LJ9qYu6pLugEujY4IRGuZLGWimc+MMAR5OkiMqxU5pD7FYz/SfFfM7+HgCT/YVLZC6ziHF1 AylgSWxIQhSLxn7fsTvyiDTogo2GLD6eVjz5WEGoW6J91twP+cZaWddFgxNJH6du0zWVX7a5hFm+ 8/gLzjw9cIlCWW8Jx3kNhuV/ZEOg7LY4BYezTgnJW1dlIPJqic58Fxn0mK4l+2ACo99vsQjpiGtx VfjeTjU4dWnS6s6jolRYk+iSdzh904+cYvaONHnOE6UdKBkwAVvtT+FtDpFEOesuE4d1yNbjSxIJ OEf0WRhnZZSoYRM2q+JURx0ZZFwdWPuyP2aQMCqPLIa7mZdUKW1J7kNZSYE4+zh4C9UU+HJW/zNI jeEbTZzoHzXysrD9dPDv2vtxfthx1x7xbuQ3yZYkITmC8xNIZGHFm+JCEME9HMUIjNqkkpgEdcqJ D1RwpljNbvx9W9agQV5j4La7YWmc/CNIn6zJIoLiSMm7E8InhwQdNKphD5DwltguS6YDOVpw1VB9 iaNE/q2pIPeV9B8MEHObnCV63c2oFWDIQDhbpRN0dZBqhyY8ZtnkKQHxqWhhRiQ6zfrR4FMe/+yt Io0pSfD3kDuHq8zmxkQ+gYhp3De12kZ5Vqtlj5FO2M+sNFIckLn3vJWzmemwMVKC682uhYTsQorm n6il26ktBYiryGutD/Y18IgkqoAqn81aJhj7WwMeP6MTPkny9fXW1xq7O5/XUzOeghEUv4TGzPMd 6cwfFpCepfYuCGM63I8Tcebbn8HCRE7kdv7nDAJZqHLThzm2JcWRCw6wREko76nRmoIaec55XbBk GF7TPqtWUjU3MrDu3CdasQQYzgkIE8DfJedRVTjn8fcgoykCBsf4nku2r5GRzMGla9EMd8L5MRSZ dDITa1VPnK2ce6DgpOUzcGdNouD2OTCCTzmkNnAB6gfNq0j4UFzcr6sMXZdTuzobC458B9TKzwhc RNS9olq9jx9yVUGJY4PVTPyz58Sz8ZssF0301rouAM+8Os2tkXPWzK23z0X9D6cYfxfWDaVBEXEu m8wXala42xIoHYj3ZfWX5zMDE1AkaSNf7gaWSDrCrCnO/1+zneb0gTGbGD2G3pwvbYltZq3t55pD JkVAEVR9p9mLvKKdEAhkuFASwtmtuPQj8WdglV6pKeUV0aKecgCYBh/arrNDehAX3i5jbTBRYIP7 4UCsCK79+GQKU53AV4dRQRREYfbulMiVHUpYn837PAGOdFxIffbTJJHq+ultSLlTZRctvSCRYR1B W3NlOKrVK2KeuB9ApalC+NsVzDVz8NGKfnuiNBOd3MXai0EYk/YYmiAr54WDZEyFy150aCUqq0hq LRcgRQU+fmCoYb1AS2WbtjJcZ2O73/yK8bs1JLDp/JLjC7Za7XXjhNz+ueT8t79G6nMjO2oHE7AV k8Xd4MkbGfhULaIV/v6oBld2uFpnurAscoTrN5tOxz2+21F+P5s6Mz8u/icX2fPa756BGPIMoV17 86b1qYWKGKJvVGvzQT+AQcAyHI0F8MWi/F8x7/VCLiu02oHo4u+XL4DhKm4MWYeLE/omDEE/Jb4o fTMkrT6Ny5lS8BNyAhfmY0XYYgigWu19ODAWY8rV5M0uzjXQ4w3auY61t1Dpopk1G2DlHT6r3CO9 6kOCYz8suwkSwSyc4F5rIlmfKFkw7oUVTfwdFRFQzZYqgs16iQ+W7HavWzPRWKXLX3Uq8herLVjf cAp8wLCeOZdIfe8XlqVcu2QTdewCIIrww0S9EtiNAz2IzSGhOGwgE25rD0el6xDjUMkwY8CTkEbU xqZcSydcrdVxcEmNLze8zK/oCG5oFAw5jL1YM07SkZh+kM+MX2Vp3qCShMv/sairJqtWwR0PINfO EgHp2ggUbDhu7h3DqBWibwrfpyx23t6HwgnlfzU2FUIbrQljLWGs75OepUWKfxFSorx6bg6CBmIn PuOQYLtep95EL4UoexmrAu3NiW26m77vvw45OKHe3nmRdCsMCGytRudZ2tYYeNcVEoHuhCN5bGfJ KJcK5rBWAx2z4GSQLnMYWe5B/5y2j7adGmIIVA9Nb0tguZqh6RwimjwJtyqcyOv+rKv8T5ypif8q XXx4kiOZsTyo0PO9KouoN3VGe2mkPCz76V1MGMSU4/jX6Hwxa5o0ZUPxQx/qHX63evG8Tpgu68yN yCLGTWkkEWuzoKMKB9SxJs5hgI3tOBpBaNM9vAiXNzNaR6siMSPozDyVqKfAEzHA1IFrdG/J4GWH AfrmC7bTnhxTHIPSK5SZQW86WDKKxhewFkMXC7m26OZ3rLG0CZAanVC/pJsVM+zDYAM+zuibpXH0 rwXaKC2GQDkDtcrzKQSDXuvULxVX1A/8FXc8KneXqBTpweUNB5+akx+zrpTr+z7enmhq2RXFWtgD 4pHlolbHkXlW3epQ0jH0YbB0UsN4VKBDOIx0hq0RTDYoRxeaioXM8T4vm/rpyA/qunyLglqsHM8A p1IqFNwaWhoHTf/n8KZ+rxd7ZCXrAH7Qt/EoGzIYvPyr9b5vJHhfJyF2bFb7crPX2GiMN6g1fBTg oYmspGKL9LV3EPF5ohoO2IHSdw5dofvk1zJkjzbzFdxEqeq4YeUxKNECVBvueNsR/JZriV2Go5Bm DFb9wBXXDgOt6SK33W/BAQyumnDfzFJPQGqqdzKKPcwvPQx5m3Dy7jlO9Rw7HT5iywnj8PCA+4QW Bc4bFSnOUPIw1kBTld+z1YPfzN5sWeW4sIVBXPiybiB+TXk2o/D9x927UC2AnrJC5fy3O+npLIMS ZsCdou6t/14y6rqkuBEuiSZpKIJVE1DNplWcdWMqChcSMcEQRgeMdgIthh7c9UfOh3KlXhaYXIb5 thflfVaYAVs5XkC4Ejhc2RfL+a04zWxmq3dmbK0BbztDpaJ7QLs74b8ELwnrLqJzCl5d9Rzmykp3 SWUmcrk7unpBEGXC99mfTHaclH8bqyfWK1YGdQHuqnXuJsjCqp8rHZeK4pZC/WHJgXxCKCiRC/ud c+7nC4Rgo1z0EMA+2IXGs6YojSyjO4AJtggRAecVNxheRb1qAIDB6reFwqPzm/jtjUOLOcKhM+zL 5X4C6D/BtViVrgLo7Y4ao85z33f2L0Aujf7QXvkvkx5CiLtUYFhW42r+HO6Ls1b6ld0rOZsxzq9Z 6R2TicjhkRpTKy+AKKtQv7Rn3ApfYXLB/KcWth/wjK/xIAm07lX98El83PqBItqsdmMn2rVNu+q0 amq6dLqr5nnMrGC2UdupYn7evQ2+XYjm+QEXR9omtrvGSTBABcxae0y1U026qsekEfY+tO0kbnC2 j4i4O/OctIUDSNU3W7iWBDFyUqBfRoCCFLa5wvqmaP5xoJ4uGwbJlHqboDi9SY4e9yXyBpD6ymJ0 CD59ChTZOeW3bQCqLUjKEu7kSw4hP+K0XuYZ4oIvxz7BO4KEoQmFXy1qpIeW5MsYWX0xH4KjNKz/ vJxQksnFN3RAZq+LWjceHOCo63R1IohDj+XHI2+lztZYITyAplIZKRSzD+LM6DR1gGHDdh1/okhU 4Aul1xIhIeNcBmPWHVF9/jBaHOeqNFY9loTwu9LQE/0Wphyp1m4XR0GjxH/hg+yz5t5VcvsAsMC2 dVF23hhWHNO+kSo8nqALL3hT6DCsr2kATD8vY620Z6NCYjjLyAALdUywxRLVOl88phpGhFaBv72F czQSnFAlT1YRq7ca57JU1DWJV6nT2JADv5lwpCDAR+fXuQzEQXJezbFSveiBGNyqDLxJjjncEPzt +8BnnzHfVyMETBlLOVv6q7ZzFSuWE8p+HsGzclyHKCKgTqHp1blosMmIQS/1jQLD1KYQL0anL9wn pfRZKcbo6Ohd95WiPIYGvENSA4aYILGmPxSrgA12OE1PkwvvX6p7H+s6k7l2TF68LLwNSmls1DvN DHJhRWNl2rrBVE5e9UFXeo1Fmq2UM0r7kCVDJYIZJJqd9r8aBq3AYfcKhwEPL69xy6Yytj78S/Ci GhGv0326REtEyWgQKkP1eO8OttGG9gkJyzRBDKhrakm2uE/cLZiAZS3FlzHbS3DXflSkGy7sQQeS CpnA0CdRLfxCEhSgA1pIgypTC4OoB0HnbpVYz7/YSc+fm2gKGdGbqIdedxzoYeWaOwiqDoRAFqtd WZhOxa6ZE+7L5k5GC3o4zuZyYSZGrmdZO+5/lig7+nIcNKQP04DZQhVWHEE0gRCZh39XqGwKkrPg 1UD19O9zjH3TiJHsPdGjpeiNj1DmfIZazByfdfmaT0XAOw/N/6kk2XyXqxa2esAkdpvwADoERGXf UJX09vrM21u5bwxBnOIHfVkHbHWOMXDMiB/jPrJMEqoLzfQyobv5LKfb93hWi/9DYVvXhsBCDTBF 8T096jceoXxEk64k6+7kzqbesZa4B14acH/gfF9Bk8GXBZfMVuIVNZt5o3eKYHuHYHgE8yB5FgMJ FXcsxE9mC7PwVZoVb9VySXAwS6cMJu53uSHCJuGN+BGDo9UYSc4p1AMUY69Abxy8Mxx4eMWUkLXF y2If82lDGZ29eFqvGo1OMKUFqboJLqlxhBma095KTfiRtzmEWAcBxDeO2obe9gviK/wQFbFCxvWE jqEaklb4poRiLVDDoc6sUF+8qKegK0wfqBU9fc3emamBotvMLoBDMYdTpV223+mQnxc4iuy7wjyF h1ar5VvnIfAxam2oyUnektEHdx39XCyie5FOSJ+42oVa6PXqgIRGAcEOGiVpkzmxLOr0qKb8lRys V7i/jNbTQrxHl0YWjrwF8iwdLE+TZz9fFgHLBv1Tuwm3J1hYUuFcE2fF3oGGJLM1Wxz4VPny2fWs s1nULfV4+XvZzHkitKkMxJYSoGRb8NydriPO41OqUENwLk22CshFlqpj0+4NP/fca78L8O1sJOa1 2HIXvZvVI9xt4fSn7Xoe38V8FSwrkTOLqtKE59L2Gv/uqYwo6B5/4gf7UHs9O0tQDv+8DdlO4qGS IwMMWyeomADTNXeKPuhqgy5rENi35Lp6SkQSM3crKrQg1Gu0C/9Ynf3+XaQvmLRjaEV7mwq88jP+ 8KdXl2QcNIn5wBzfdQLKwVzqfu2dJ843NTHqcNu8cWAi7c7xwg5ozORQHbGKy1ZGcnJdJr2O52b8 x+LEjRUhs6YA095hq5tvtCHq2p+yixkGBf3oB7utFyBGEAq7i2cMw40POd3RCMnDr9KLJUCYlBrj oMbNZFcu3oYZyLEQxbM3IjBENO/DV3csCKpWkdFs7eEaaylNUmPB68JarWaBpBZU8/yXItjcFnoH yVnlm4jv1adTHoIEmm3mJiVgLCnKngFulsqPAU9CXB9Se27siKLgus+twH9c4DzSRvpnhvZyIcPc ofwZbb73va3Zojn88tL/p1TqRwOivw+Jdh4k8pU0D253olRUIkek51Ym3vN07FCGPPNurdM1XlTS NCdFVVsVMEpzXf++46MetTt0pkhay4EfcqdOWQhpoJlJ/Ls7CtQsLTzvAmMeXCEZ7laX46Rw1/Yo ztEwq+HlIjHJuxc4V8nMDhHOW4q4DC1ZXNxm8O+zXLXljKl5JEn4Ral0KTU1UY1Qn5j39pkA6ycI lnVCtetv1AD2r60km8fX0izBxsysIjObMq4UlzBucIhRgpxiKbv7hfE0GPMP/rtnC5NOXVlahw1A 4pPRiCHzNZAzZNpnFTyD4Q12Er6HPRSJHDkajoZTOL71dzZhcYvaL9m0NtqZeBEjaXPDoDvA/IYE eeaqshApjSDd5bFaww0djtmTBfhQCkOCkulc9cJEbA4Jp6ZfkxtsoTDNCX3A/HOE8mZo1DxV4Bds iBY3y4IMZL8JgD4C+lfRslPxThvw3YS0jAtZadS9YVuczGCkPX+Ef8kx7R39DUDQ9DOGtWdNQ7Ds o9Unt5CzUufD/kmU3kPDC/yplVTsbZpVJ6VeuJFHnzLMeAabJa3w2aqnfxBOy2+I3coLFjjTmGQP R71whg6PF/EwFRmUTFqhbkD4Xg7I8T69CPQD89AxZ8c+sBq4PQl05yclgUWGwAl/03vceF3jHe/1 j2ptBPGq03V7xot8xWobQq0PMfvh4S2jezUJO5g/pQwFt58aTwHU5mvLoywr8/RtmB8lt3XerTXX FvmulLLIcutWLRNkUrjY3fqL0WzYfX2fgMZAsIZjgbKO1gebq1yV1siKT4Xn4R35UV1xdMS9VQHn bkrNbbR6m5nb2F+R/1dQY9hQfSJpOt0tbXZeuPiHmqvFoqOJwqsEEbCtofqjLwxpAKtWINyGFmEd gh821Qw/Di3UF9C/XGoCyAPskmlZmGIoBwwQN5nJaoLNKEWnzKwYKZnEojDecOaVYTrKY/q1UzdF qKnvXR3n9P66ml1YCa0Y0L/KLHNq5z8neg/L9Kh3SO2GU1aSNsXCnDXSjYbF5r0Ln5RBRBADxL/e nwQiKeQQ2WS6iY6jKEbYoxohfuhLvaot+McUwjcS3x+AUdgRqfVB99iETr6AWJN3RBfUf4V1wMfL dQLLAw6uQ9RKTnP2PLh+4I04EXXE2COVMGUMNEQwfP0wWWIYfnYGuNryo1V4wCDt8tAZvtW+ZRLj fKdh0dg9FGPvAipG9Vo6gVbI8XWYTQ/HEaCdKuIuT/uz7R1tDx8nt6VGWxCaxYKOccUMtDCm6c+4 isxjwjMbg22+6LQOE59A9V6B4heYk+OwwVRSSZHUg501Ow4klKGMYaEHGn8B+f/XI7Z5qaM+jlU0 myr/3q6HeVmoMoV3fW1ZXzExuAXUPQuMphH/lEe2H8VmNdKpSU9amIG/r4vY+EX1Ey1Q43+9rdAV eMN3a294sdNziHNBMQStwPvEbMUDhYJ9BEhp5FX1xd7t0sKU8WwVaZPo7gcTL6BePZug9cxQV8eR o41rVi8fMEftADAx49cyUKEy2pzJLLimkUJFxm4mULA3+tmgJ+W+67FjJP2CLvol9aje8Hb+qjhj OKqmbF2fatR83lTffuXUQF4YrksR+NIA7nBOmR+JfgdSDfQRDo/+IghELP6LQFGXroNLPWRpfL27 Wix0nHBoS33frfb/XSjPn0E0fD3ISbn3hOAgicd0C7wprNPhYhx4CjRQEGDoOuWblX9FqcYx3fi4 LPpyinIqegN2XtatMKpvkmJjDv74jBGc9pzzQMo+P1dS6SI5DX740xnK88MPxECtZaHskJoQbt4W uQErIWJEo63qAnedctpxMsb5hf6iqwsnpI69jpCctSg6t/Re6Qc7GIxL+294eIGG1mMcELTJDYjf X/5IxXejj/+1EiKwbfFtEKsFh7ImVj92+TzdWiDmc0KUZ+an5eWECoQIDJ/E9+kR7CQ9zKBug8iG 2POBZXFyhDttM12fqlc4KB8z0LPLdI5adJsUwyUskqvfYh7dWMNG1xhzsF5V2VQnJ4081flsJPSG jH1Ndu4rKeKZzGhbgLST8QHUnvDjwYRTEuHIJOmv4F8PxUOLdqqytPZjV5/sECpQ4uv2X15WneNW xI4MP5Tq3c8KQ7HGlQSq7D0v9TYeaslHqxlw4ihd+VVXaVhltPkN4bgjHDARcQYnPhm1zODyuymz PDesMFHpoXq1DBVE9NCqO39Mzgg8cdJvgs9K5bkRoiDAMoAzzaIzCzKBz/dlKOyfaI5Fz+fV8Xy3 p6VovxdTMrbhi+vQaC80c6fcp6Eb5kbb+YBpAKel6TAzgxUnS7caG3hk7FoEfppWU85VwmRCh3Dg Aoh8PJAdTB1PaWA12Soya4bT1rCgUGK6lp9KRtu5L8DgLb9ep0DUXuIksgpWSyYPktnzNsItX96V 7YMfx4xJMDw9StWeVspsUSxCIPn3xvUlFi34GxWrxMMIcyD35XHK+lbwEEarPXDiTUecMhJ9tyq0 TmizU5/erhDPk7JlV+jW71CfJzKAcvVLbtCU8Ix7s9Um7MPq7/y/oW1dCPFlvxJjqAhRmY3NmjrG ApUcIZaSJ/jYMBgHzwljcqJ36a/GSwI9u054GesHRRW/F+I0he6HXgClWssOzd8JhABVuYW9qFqy apjdYnQZv4/N99qdWduGOYDKvHchTS8uFn/0dokF31vobqkV7o1omSjm7Z7MC8bpda5VzHFZ10bA HZZ8XEfiiITslCZ/iZBi4M6S/5xBruYErOK/hbHkZLoJcKD/KgWwUkPs169Gq5QrYeP9lHquy6oa gULmaUpyctJUiKgiVueG+gLgjKGarYXGm1RzJ5lN9oz0651tY6f+XldorrHZPDn0uxsJaq27Ep+r 5Bn9uCam1mkYGNF8QMLX1JQS9u8qke55nii4LQpofPmOJ9VlubfEsV3/TfSNGUj483kzZhhHoibB nXJEf/dvOwIRq3cavCx14cfkt1doCHjAsiA9hZBIpHb9Eg2Q06Nbw9C+XfhfSJahBxpwD6Rh2Ewr F6W2509rtlCIm2Fu3XL8YrIqdv0vYeiN23QgEfembF6aQPIPiLXW3NFdX1/U1kANOZLEKFGeIiQ+ +nXFsH84gJ/417eaJIqoP3uK/5+uGgHu3kt+rzc38WdDaw6+2sZUpn1mnv/Pc/l3YEXy8iutxGLr ZfONY6EIpyRcEzKw3LVZzOBb6+pRbCGe/H31J5sEMlxO/akDbIKu8xdsXrOtbmfC5AZDzSG0IAZP 913QqwGqUbWtzr7p7DJDaRLmAze0876CUxKrr+2C4wutgKhmva9rIANu/7fitx8UyxBS56intZOH +B+Cp8z1SVGCVM3/yZ3lftSnQHU1PNFp0S61vJuLSDLPfaBHVDK5V4YExYWvNixI6rQ82XadmvqO phWuDuhnxnzCWwNtImZx8h7ic3/q8vuS3COgZNWRzrb9LPbVkF0XUNZ6fferjwalA9dvyHiplkkO XX2QxK+6GQuQW+oQnvIKqCNEQwvgAKL/Mc0LCen1ScbHa4AdWxTvXfpMiNrvyNzLIk6vK6Xy/jWb Qqs9WKF+QyFfznT0V6jxTHCSSkPCxOHGeDnESvUg6THiVOaq7TVkLq18DgJAWcsdcM8KY8qUQ29v Dbkjgq9ePcvse2QXLU9RJSMAa0L56yMdRlFyBYbDXOLv88wv8yTt4OUfkwpk4LM/l0sc73dyLfRy 1AEacli8kgQPHWba21jgOwRqiWyPOQfDpnYhK8rkAFLOqQW/+lH0Q72BXk2dIep12vFNUNvgPL1I tkaFqI9qvMH9NM41JSy95ItJDPNJEKFTA6bphEl8n+0AJCWKt939TQYR82UK1bwDXYk1liWpiMq+ c2q9YsOpFzcxuh8ny/Xn1su6T+/DI9TwrL6UwXy9vgAFBnwr9v/EU4wCsncTp52cta3AUgNJT5dV qLuZmqi9PD2wlYHp7yRQO8glz7BZb+A8YEH/l94u+673WgrlSAWQNzmiKPr4OtsMXWKqYefhFM9T aIWRlKzk9Klim1YKiJcMP4xiaKEY20OCZ7Dic79zTo7JAwM71SljCLfNb8Ut1pxqcsoIg+GJpk+M U65KQ0GoHiATSr5O0t1LXIcdGzAdWAT5vpmju1LMa28is35R0ZrmnUxL2rlSaiDKJdBzje+lTF8q WzVIhFS3ETIjseZo2INsLTh8oCLwT1YJSSfJ03/AJUPP8ycyQAiEByDq/GZS1hTk5l0nrf/cDhHT dXpZgZ4BqSaKs7MwqlTYIfMn1d7OtnF0OBdCp/CILBYDusPzGearDuoZquiGgJRUitsELkUaeKIu mfVvP+BlgpKzP0DG9AZuzGHBn8MGcQbpPhdZhSa0NQxpC59ocM7+P5DqJ0OA04IBU0QcwqlUfcuf nnznGDfnDd81m2m1ejHU1SgZLdS40KkE6KMQw3xW1Pt6d1Wigy8sfmH0yty4iwkqlBOZoRk/dsNz zWU2H+Hh70T0UiToBD4xfmE23Qrc3JUOuWsf7ZvCsGmvcDxUrqEUel0tvVtNV2lJYfv04dtt918G oFDJU8ApaxMPvGtqIev8Z+ynTyanEb/Hoc1eCFPyAinIHtTHJLY9Ygk5SVKhVL61jdF88IBUonWZ 4JKqPB535PMCBjpehfSpg5xie2fY7ynB8MZlWggyAJFyjqda4/xausZOf5pDrRBnJ2yEI8DECKBs wGXXYZO+vVCNaZCqaCy60ixEszI7k6OoZ//Fol7nuTr2qHbeK2/Jo+fWYD8qjVp5SmjHWzuIq6b6 ncujU3pftnYHjPCpd4JOLmpDwzfQMmX/A195i4zdeELRfQ+6ybWBp0AlJqwNTetJrb94NyUNb7xx HBwCBCRslX650ac4kMtRl+UOceV/SiFH6D3A/8hrbhn+K1SIc+KWk36/MDRLLNDODvPd+DAYfssv jD32ByDmyAcnmohl1xZAPv0sVCYEAVTKnLn9nO+4VjHItUcGL6VFky+WpaHDH8AE++Z4+fm+GR2r a/iw4tX7ZOTk+1w+D1vj65wdh85g9y7ZSSOgihyxi4L5JJVgoB2LDI0jG7kx2LTCK888MsnytftL d+Pw5tCKHUPaRiXOwmNkNHi+OkdNFVGFiPQJj2YmwklEdnNXMvmwt50Sdsc5iBbuebGRzrxbIJKY A1/WyIzYIGzrwy04vmW0MArYmskDgafvRa0h92elQ1uEzkV3smQOoT484zhM2WiYui9GPGEBSprD GAAArKukE085ydUuKXq0wprz3BGaOvVL+c4SerVBgmtQiclj3nfVhBW0h0rWkiubOAyKCMOQWUqW j4Lt6MHHMQr2gOq9DUGLJMHeDCMoEwjA8bB/jzOEgb1lbVHEav+JJ/Jg+m777AKqif6DYimBZSPD N6ixC9sUSVmEjTeSzEWymkP/LydL5jBMBGTBmGvSVc+FRok7pr2n4HR9VUWJDg5zy/qS8PbJJz1U +6m3hLRlbJaMI4xR4vH1RoI7P70Hszv3bFFDbITo7QWYR5g1yzIppJigrzh88/8j/2yps1BIzliX WIJt/nG3qVJdMTxCxr7xXT3s+edeKFCNJdmZMqsLfHFpuC6tKq6jcgsDRZ2KA1H4r2HNiACfUrUC p87eOyUzldZdNtHy3/JrRHxRiRAx2/FlZcaQG5ixeRce31eFgH9GEdlFcBjTU9pglahCU90hJt9m QvIpl+v5ftMQktUfnTLbuoICyVchcEQpMdj+BmLXNjfGHVwTpKm3S4X5h0AcjrvJI+XUZJDR/4Wd ISvKwgCP6T6e0NEJdnHXwbB8j4mTC1qrLWezjwY657+s6HnJlpzBcB4YchbaO5Dxt/AnsW4nZTl8 IaPZeoy7dS9a2oHizl2FlX3cun5wp3lyT8SHUjuvK8dMqjG/oQxN+LBO5y0WeP2y3iy/AEm2Rcu0 Stm7YhshY9uYvuTk2j1q1LTntlErGuRzFEyfFm36AcCJSS1BoUJHhE8vwZlbTO+TnVxd1FTOWWhY 9wiE3tR6zZhyxLMxdxwpzuEysb8KapVrUq0PsKkRl9zvqe+3hJ40PtniClJRCnnOYCDAXbXJj4nT EeEOfsOekXezkbvq8047GHc52r2IDo2TfRw3iJh/MggQSIVOsD9DHV1kgXhv1hxc/KvRlJG5ziIq k9MUS0CXM4sI+lh9V9JdGDQfQhM5F3y6XLp/BIqgj+9YM4ltaqVa7LiVxhdVPWuKUl1ExiFUNHV9 fvg4O8IWsY6id+2jsw2ZYb0XUGFp/AE8a8/GAgezIWhIs+hMPTDmA9O1bxHRP7whzl9Si6G1RfKe JL7xbLHeyBuc7E4iJ1tCi0Qc7XPgSJjMb2SgvMVw2BYLyJwOke/kiZr2OOFASHixV1FHNMx+J8zL LKOfSH4fmjf3jbQa3zag9sX8iWCFDaqyt8JVeFYakmcsTrTRdR9ABu/5cDqR/iTF4WO3ShYeJL3C ZMFbfXtKg+d69pxn7HpFtk5aOYY68L/nhx5vcBKV23hwxs8lilprtf5dBNvrVNW7gClGL/QuoQ9p gXUt7vCx5sKvpn7hEPBusYSFBkoQep8OS2nXqMPVAV8dnM/atH4XpFbu9IwNFsibEFcHBfDTPSiB BTOmG5UYvCgGQ4/AbmpsTa5AaeAZwzANFoAa1a5GAi58UA7mFCx225ocaRqCis74M9ubVTDKR/B6 EVG/FPTmJxzTwsRt3pnpbHVkIZrWD98QXY7ckXWnpk0zGKKF/nv6M3YKdbsCLwfkqEegjqITcoxR GO+fnLO0G69xA7RS/g3SY2mBwmhpkDF94sNSreUWWCOhDuDN1SkDCrbDUkdiVHR4ki5thOySPGSv imnrqVoFWuWWV6e0djo/5Jn/YKdQpeL5A9EG80cb+SF68Jv8a5+UitaHTyr9Sm/CWI54+V8/L01l ePrjlUJvljiQed50mxvK0vne3It0sdpDgrpDpKg77PmEdeI7kBaB23rTsl5QGmi4kH9szvDzbgHg zagrkcDcH14YA7Sy8lgp7Do84uMKLX/bhM7w/eOMfBMsBUbVwIHbgicnxS6lC8dzno9TRcO0mmPl HqbLtw34/WByw+50aYz38lvd5JN3PLNYoV5gatGjyz9C0wMpAs+z0X0iYF12ECh7zak5ZtkPWskC 5xnEufTnq0XakzSCbpfaRON6DJQuKs3PWeIxvw62jloiENI0Y3as7hC+Cz+AeVbFttz5IfxZR0CS vfddXCW7VIiPukeapVS+V0DpgrPYU5b1qubuDR5iQPbjmQUvCuQ1qb6jJb3Uvz5cZwXd31YitejP 5+tFazf/yov5L/L6ifq0ywMicUs1tVrBnGzC2wACZGvI+FhTFrQnRVFTk893AoNnmc6JabjJ/9Aa 0nflwE72XkIKHhVSojj/DD2s0p5JBEKQF0R+6sQAXYpDxeb+cW3aD4BXrHwdFNvqoKo2bYmsZOVs Z3z35ucNnmIvSh4baBYq6d6qfn4YqDTwWthMqwW+UNMaBKMUgckevq+CKP4KtWmxEi2IqWVlkp6/ xG6KbOzKOSaZvxTB9g/ZCVPDAknKDr5XimNhH/wugVcVHhdJ524ALk4IdJa5aaF1HljRRBc0//gn 8BQGOVlUsrlbDU8d3lJyN/okINgvWnVQwuNxuE7fCao3Rsp/K04srbGs3McW/j44K5Heiq8PhGdB S3trwP1qF6TUr2jssrHAYONRBuGtv3iRizwR+zon8Dg2QkVr7P05h0DVwkuoVLpodyG5Vvxf3Fgv 9gAtuSfVq4ipHLuY5JFPs+Ucl3BIM6FpyBh1w1sYuc4sHSrIzEGxdRF/Sdmdj4QgVbSFTnE49Dlx MrFRyyCINio+5maucTGQ1RrsGU6O1QqVPY/CtV2MQ8VZbWdIKOqwcuhwGmcsposWjiPx3nEBBKc+ VM+lw1boYuU0sqDq87qvkGubTcYqfm+51uRjs16i+4yYsxMJ1qAAVo9BCq6Fb7Qx31+XA5czyC7w 1iAQEJvjjCeOMLvlG9RIN0Tk+ZZogY1wIgQ8HsfKmfRydmdEk/PgF1qcWoEhjztwy9TpTjdkF6BB DS1TgVna5o3ysoWl4edRifjEgcAYh0Y7Bgys2AIVtq+OML23Jbsy42G6ndeSSVlzwcilSM7eIVsk 2RnCmXue/QIZCfvXfLFcbRZL7XDh7mHc1hkvB2OcF9lfVloMA+9KfqkvTzhPoEu08h6G/zL+eH7R NN7u9/pN+LbRiLyeu3MXbx7N8fM7sbfcJcT2fSOJmz7P+ppVyxjnG2jbE+YUKL/ES49gKAh75Ujd V635pjnVf8gN283EwLChRqff2MW15P/wqcYH2d9SksQ3jnkNfrtkYhUbZfcNA/DHym533RXkQ163 xicyf0UsAuvFfhVS/E/KiPmUgDe36B/RRrykc6gObu5xmZeUckqyS4MCV8InBLwQVgyGpZwY1pjE Szw9hz4kbDmzJvGhTwQwmnO3FJhQdYlaH26qZvrZluWbbK/5VSZbWLL/b6fkgUVEA0pCy2haJM0I G9YBr9zRoltyH8VEter6hvS2K17qmU3nj0lh7kNxsy81PbZjUAgvU/NnMR48StiohLCP9RqlHxVY 7Pf3MPqo32PoKEVL/gemPTUYnksqXFtWmwpefgw2EnM5R/tOQvpEsfTGnLv2WJ5cX5VWpziMER+z 4X4d6Tviib+4GKQdRqQD72TK5IM0IVi1bexMmhcW1ldpjM8mhc8SIPpmwOCPCuixBCOaA4ztxGuK HD7qwSYQ+WrwMrhNo578+ZjQ9ngrl6KJ8jtirEeWs2WswktMrW5f6zldEuGoS26B32MFnxViNt2Y cwa64uYmx7CJaSnJODezEh3A9gD9S/XmQ2sH+KZf8mbbINdsbQJ2uQDhuNm/WqOnYAhncTyO+fMk a1zywzJ699IMi30wovJf5LztkdGPKuY1ZLMgYU6fTWjJ+3vHou3zhKtUKAXlK4A5h974pIlLsn8L OqVGuOV9M7bcrdAS4wFrDdaRFHofZ/E33+J3Q0tcQx5MxMwAR+PyF4ywr760FQZlZRhRh2jIjel3 r46k7S1jXh4rJgA+1WZWC3YSug00zJoPWZRa+N1wIaiK2ER5d6yEclLGzGVbhCdXLixO9kUjTtUu oh4Z6lOH1mGgtU1GsUx0UiRFeIPYGn+D3JBXKBMlxHOYa6AHoyDYYMwbNlZ/IdSlFxd6U9Bm17AF mKHAQYDe0419j8IVPdFaHpuUmDtgGeo+DYl5F1vi7XCXQTUwh3tkeOJ8ApPdOY1vKKuFA34vjhgG LCMZZRAlb2Mb7gOxPCoDHblwYLBMp4B9TGM6oRHNY6gYEw1pP5idfwNIVUuzXKWX178GOK3DD0mZ 6aGbfr1xnoWK7y0pAEgYm3pZHAsr/8E7ucJ1DQmqmdSjnMEgg4gOjT/0P9GIjq3q1zd6tmCii9qH 22QPQosM5WlMxhczIy3ZcI16hcu8Yv3mj+UDJXc9iFeizOEI09QaM+BN1fEcnBhSGgKvx1lCCWg+ GkhCCem2hDafRCPG1ZzqgOqWeH27xVtje8gvIJA5dL/iHQod2ojBemQKcj597R0LxI5O9bneA+t6 yBlx5G0cc0NIjaJXwOkyGnw/C4uPEH4y81gO83/lCfFGU0xq6y6FVCE0w1zzY6vhFHHilgBRbWUd Qw9pZmdB8cGBhkmtGtLma01Q7Sv5yaHSknMvGidamPuG/sD+ZbKx3R7GGDVSJOePA9WUF+faN9X3 kiC8DsHYvbw/MFqDM5nxggPI3May9IPXpKFUFzorwEaGLbXzKXE22reu426/KNca//9CyLEcXoWE sHTWOCx2Xsb0uSDh5B/gZYanOvVr6czcMb7EUgjEv1scTGpy7s5QpyOqVDIdLVTsoWgx4qCFOnl5 Dm4rwd4VxkwMZkJzhEqyVGds7i2uQHbjo2wt0zRlkEuB9utXrj8auy+g+xCyGsO4NHYxUhGaY3Vc 7GB5wm1cau72JbxIRuDgO+SXKE/HaDIlylLXmPoasD2/32KLSo4GxmyMl0gMFTkGD30nr5n7UseB 1B/iNDCYw1vMGHUrUsd4lztlQJEJpiOfRjx2/ere19Wx52ZkLnxVnNDluc7b2Ck7CBBFItAeHS2q NoVBQOYOmBdQ0EBnOiZz2VTpIb9M9ZlzRQUnaZhRefIrhk61HwG5VAo6jVYU1p7dE4TMTCArqJAt LG3zQace0eWlCHF7drEzPmzL9NrCGdmfjs0htzt/BfZFCdsJPuSpRLwDaIenjWHPDz/3KbSgYRQF rIeMFZQGX5rTwiZ3Dkkq45XxeOPvBbXqD08SY8+1H7CuVsq61oMSj+pHAEoZ2kAhRwN3WvyB1+vR AmDbwtYX/LlsP4x3BFEgRZPlKtgHPYudUS7+CpgblBN6JBfo4JhGtPwGbw14VUCIqP4fOt54zDdH O8HAUNrb4gy4sF0YP5UPRi3DQ/TAyZYn5SZ3ptcpAuf6iAPDRwMRS4YUK7ffvhhYig3Ey2zZsufu APwRgb4n96PioeB93dbAZh14AK1Vf7r/EWq0vkBK7Db8j8fsNnk4rk38wqcrZtRpzOY28k+WLSw5 VdAaY4kUb90VfedutwxbM4Hhm5Tf++dvQw3Zlrp3E6R2t/SGaf1hpp1mDPCDvwzL9ULDQH+oR9HD 2tVrWB8TzMgq+52V6wqKIW+Wq62PqoVTuC23wI4/HY/jl0GtAuGc3mj6bqa4vUGhYB2g3Wxiq18L L633ZR8AkKU/mU0GO7XVRla9Phgi9sex1d35RZU05E9cOV246P3NGYwc4l4hVjxKKx7uQS9y5Dx6 PhHNV1Rw+VWU7Qj+OE33BkqxB1jxkYkwmcYnYzbOyfZN92MFgn28+2a0ketkdsA99rzhZHcg9TAQ YcO6/Z+B7AREy60b04aH2RQJL0ydaBJbJLGRwp1b9dfTnhwijfii6+GXIxVZDxULXrqiYvqd8wJX bjIBKA7UH8t70GFG2EGIW+Y1S7RsHFnZeeA1ey7fXpR86O4mpX6SikHj65o96xMvfdE70eobfPFy zQ4WIjmNBtabVfqdH/xCdS4T9HntXjp2reG+WdMbB3lG9eDCoi+6/Pg49YIBtDj7A/1EeNHkxh8N 9FyGPks0duU29sm5vMJ+wydO27U/tmdUfTywYtoHEpOktuHN0ZE/5RDMtyEHdn67sGPVjMjG3zOL dYOkqwUFP5Y0j+rHNPkD7GZHS4tcfmOuFlHvN4l2C6h0B0VeOuGycWtvYzNuDEm7lh7nroUhHO69 VAYADAPkeTadRjIc4lYhi1xbUF5Ocyfi6+qq8UCfjAG01PbRwnRtA7XKcCs0LHg6WCugqVH/32ju MoHDeT/rf7mWc1wGHoxBXlNR/okEtfHlvWR22/mdGiCgRQvPUklQV6ZIAUXBcjeaVdDUt8iuiAUT XJYlOWcVFRFdkEOnHviVr4cwaTBDOZIiQC+ov1qOe5N+qoUfvMdglLIgQCHNiaqpM1NGKC/keIAI 7UzJYk0TER9bTCDqEjU0ef7FJMGx5ODWWCC9Hxz0KMV0nGjT4XZxNkoiPbRQGctqiIrorYk4w6ob EoPm7Yyxj2J+EnbLxWSX66jZm6OK3wm8S878AyRe6aMwK/kRooyl2CLSZaUpZWWBgE3BrlV8FoLx 8aQS/a/DuncG4YreFZ+oc/Fv1LpYI7R68Ufewn8Xe6NaeK5sX5QNOWI6KIpH0MX8/kcZ0x2rbt7J BmUwXhEW/Oh+25UXqLACfZiXnpbO65xuiLlDZnlEjMu1bKVCvC7YpIZUiKCgoJlUnFojsk9Rrbyt 0H2fTmie7XKQ8LiTOIL/PZOZl5UwTYfRL0YuqdDPGfU6q7htwBPwM0kOd7mmKzt2idyQx/P4v0w4 /Ci3AqaCBvahqLQACc+EDcgPcfyZ77kw2OLuo62MyIwXfdxklb3STC5Hsxesp9H8caWbVZFT/+Yb OHH3QvlZmvXfcmigoax7o6PNLfM2+uZN6KdT532+DiUl6WQFXTfJGDAUjtZQcD/aXS+RWaSbFeWr w8FADEi4gipsYz0Kvcr9gqiaKBHDOmhsmtSB654SHDrXnbWF9Hkg485hbO7aoUeBah+JpptAhc6q AgXVRT1ll0VqClMXc+fPiHhbt5zhfvf1G0A+hHrNv+olD08JacLXmudifmWQ7GfKscGoYpHedRrc niNRI62pVyltLV3yZyiuKpwm06XY7Pj7yms8R5CVJXctPgR52uMgSEC9arlPtwDNNa0GmMUUSJcS BjONzNYpPHRAWX+egcQ5OHgkGnpjFsgQ/gbhtYdaM4pdLqfy/FhR0o6HiSMWx9Uq9XMzXX9FoMwL JNKBk99g7XajVb5P+8FpWzDn7Hk3X8p+IBQN2R9JNPP/GK2SU3WGROPWAjEMhqq0xj/NruMfBgus /22i319OZ6EUnGcHNehSwtafe9Fm4ezX/hZy72+0PnCFw8qMFrq8h8dWmojcgcm/LMqXxVjQ2y7M 1Up4yLVMGtbj1v8+GMPOMjISlqov6898FTVr9FCijnmm7FbxB7TQmJCIHfhfsHVfloVBAhhSoRWv 4SWyMJTSbt1jUqmKVLcIi1S+BZUr7BA524W/lyb1EknnjEHm6yV+99ByMiKYCoIxz5YUSVUi4gdj SryGAx5hav8Ka8PXzAJ9xPEv54+l+iROCgjlnwlzeS+zIpdkyMr1C2RyevxaeiLxkVTFtIYOIUHz pq5ypEIhce8lBh5hxTOVcuopCrTmh5GopsmohcIp0FNMlPQNNvnAdDmeFBhBtBWKOQyRdLxHW0W6 grjSKkyTcnTUygxNOZoVysa/3tHvF4nWxAyvV5jhOTLNlxIFpes9TxX/xymFNq4Jku2kYZTIhkxj OlQ0MN2R6bl7/pdvGol+NY52ee33udz2Rp8TY57hDVTtxNR8NZB5S+rq2QgWiiPzz5QykxRp337O +wlPzgT7i44qpYwtJLOemaey16Ql8oGWGS+dx5aJ76rlaMgd5S0a9GyHer408aupd975gUXVigLl U6pQafAcDB3leaghh8SYhysruMANyC98E0ilsVjXhmgl63P9vLgx0bcfuWPgwCcpGW3au4zSI7n6 VTiBDxDseITAAuSlUHuN1Hq0b4w33F/VkO6L+SyG0JAn5SVd70emU9X1N1wUbVSLqvxXBwB+zxGK aQT5ANAbAy+jORdkjOH6ewPu/pI2txBTcbiFUmcplzbNo+QWhzTRxe11wquxlmzVhdzJqFK/UZvh 5XBhtZMzB6VLnmN5fFVZ2fWmFTsrTIOQCTQbxRx2SfPChsuFLP4Cy/7M+q2TGOOLdaUQKwUTmup8 T/+BFL/lvuuNPAka7IeTCHCMaQUMoqp95+gEl5CFAKu+xZFG5YPBZketIBEj/Yg2IGRtCiqmmR3c dk2saJbFRJDuJmQcHJkEZCD65If2W+Wlu0G6TJecKybMW9OkGyImTCflSNNKs/S35prr9GfoFYct ZuUxPvM5no6tK9T2SqdBFGURe2VenVIRbCXL2YordtkCg9erFnZggBpW60y61jm6HUBRIzNcvoXB w4IbqAjN2B8oXaGbPHLpQaaPmJ+gCCo6j9qpkm1Tpu/qx6tA9/j+5YN9eBy+bfIY3txN/2uecjV0 vKHKyWpiFnMz7MSd3NRmG/StylThsBRYSZSj2Ucwg2O3Xsyk/mNXbPoDHaWSDiNgEKd/ewGIMyqD ZOQUIhgzXNnMxdtMnIdnW7qRbiY29u33+qZCcN853ddJGuj3iJyfOCr3S/Rr5aTlyVlqaXi/uea3 CcnjFrYSCdMbeGMxkOYv961ceJqDh0ZRXT+hpymsrq1eVbgjEtW0Egy1nNIEwNFtwljAMA/YkUzi 9cC/nJsMhCMhg0l84IVB81UXqRDhfed8Q1dDHft3GcW7i6pVP7y/o+KWGfbdz8Ok9qnxAucE0MIt x6D4xOB9aXChaRbWABKoWhqSP5Bgij35I6NWyrih7XrAvQBRQ/u928IDateeWni+Gjbq3FEub5nD 81kWoR2O4oi04yaWwS2gmcI6ZcmdnkiPkVtZfUubdyMV66SpxrK0GrehXGpbP7tXj9NZ7xoVbzL+ jlp6U4oWiGjlEBHvSiEfH7210maps6O2FC5Lqz+H0aRZRG5gMautEAfKg0tQ2yw5jwtESGltO0QC XrDOfyvmO+WFxbkbUQYGSLAmS9xKV9Js2f+JOkSJcvLFGcjsyXTBJn2FTHdh1RXPv3ID0ODlNDWQ vPBpWLABOrdeAXywACfZrHtMyuizqcQdTsk8u89QLFbyZqv6sWsXkwPwfQ9wd2Q0HNLZqDZ1O5yf lWnepFrlrOif4Obn4W38h229QG3cIJ8aWBWx03GcE/K6pzPUVElCcN0nlAHDYVC1NokgkvWK//zR tG5qmAPTgguPNyIY+Qyj7F78t2Z58ZA8ITxIL+Oar5SJFRozcz3+62d66O/TW2zcceJdnrnr6FH4 PbdVaZeYvtj5KFa50SQaa+Sh0jQ51emyR3imcXx4YsYYZYrRjYUltfPJtVa4716yroIgZdm6mP/N maR2c1Cza6dUATC+DTPHnEd33vCdve8SACFh21892jah1OwO7VjA851TQKtlXIxtqmcNUGgsw2RW GdQ5pIkK5IkgnBLrAiXeimH7I5THQH9sClVk8hoIMDlvuKxbyd8V9Yvpv6do7jRTghFK591K3D4a aEiA174zUW51LEoVkv+JUvnUAV2AQwmXDatoed3RheGopiSxR8XXr7gDbYvhbCBzHja+OZFgQ9dE ZrW9FWQmBzMlbpbpVMbc/NjT+43aOJpk3JextxfRQCfOZTQ3p4pGVa/fuxL9YH8gKt/EhO9hCVGy 9po4S5v6fmIGJOoFdZ2+pDLd6CD9VHrkPP5ZB93zQ2L1LoRIKxjBcdqX+rgVWn9PptMFjIOaiMEh bmI+FHsGBGWuzodurM+EkZkFGJJLAxQ/bhteAW8uN8hDR6llh2fDpU+BFoMTw/Sef5jfsIqsThnv sKtIq3GyjW1rMAWMW5pbJtBkWY7zB/K7/JTjtVbsJmefL7DGcbWw0t5jRWfG9KFPGMapsN2vZB6v gLPENVXOa/697wv5k48J6rmPofpP9HHTPDpSN3R289FBJ3N5jbQdcL7UikN3yQP7/vIVm3zDsKXg AdSKBDcqY+rqB/XgmfYxOhLHSloNr+ZOzk9oCdyFnS+70370wsN1stx5Y1b5Fp3SwUw6gU5keWv1 ZAsGSeSh7Zpfa9dEiBKF374vH/oqswz+f4g1iUtg4OZ++YRasHj1yovKweH9QKWudn5PLpIO69VK B/o+Q7vupTDPV4C1cMpbgm/NUidSeDaXQjgGRGlDO+S5R45T/heCrgW6+dSvTy+OGyGGn4odn9Hr 2Z2c4p8m/UgHOUImi/cbRApOaVe+HhtXo7IxZufFy3iu56Cz3Gy7Z62vX/uqlvAQFmgcmauAxbzr u5cvHlKRQzoc6fks7FYy/15354GqxhTmiiafZw1Zty58tObDajja1LUOb8wG/JudALJcGinUyS62 xhHrIgUI0+QzgNPCvBhkTA/15VxHIXH9bq13v+asbHypVmyHuApMz4n8bLoWZA05Hi4cyfC/jjck 0YANw1uCnD5lXnOcE2xrv+H58EmmA05Rpa+xROjwYNCGUHLQvou9EwdlbwE8xGKJc0hDdkJ6qtIO N1KT26+VgxjwjKibEz1Qkafn3/FYcdcGxdNxSkOk2W78D0CB2yqAFTe52fNpfUJb10FyaipVfOez 2Z4vOx4LCT0DS13sJTgKrXZS+WsKVX8QUfno1JTi3evfX1JaCeBZCL8aE4QC15/t8RZw6ZSGYDUp FLmHzOA21PL/l1heDApH2iC2krxMQYkGMDuvTve3CF5ZcgxHSh2MUGjcNenDN0NZSxl2bR92s6lh NZumPvqHD59Fsicqe49XaGjy5Ic3uCOp/cdIVrAdeyYZkELZqoAucbUMcTcGKXWTfsw9xrR+Rv7/ hMZ5it7zx96fHA13ro5sknZa/zeYyVGsGUPQzecMoPgc3wx+4kkWO1tV7P4teZKRIsOP8YwmbiKT 41vakopm8j56xs2yZOT0AIKJsiOH9EQwN9HiYtYsPX4RSTxePh1uMYbakmwF+DOz90Eg42V+e/0B IFjPT5/1gV+H2QgAKEV2SWltE7D+84f5fZpceDAI8bqmAioTfE4ahqNU5CDpiyLIEI57LjYgoxL4 OzqTo6ogPjJciBW2tuxMPvnCztJ+Ji5L7xPdIAz9LjU/APR0dl6QY8a5t0a3YrUJwOUw0w7Xr8tg cSHSRwBhMXiREnlK7HQD9fPTA8yTcP0XV/90pdQFSfirjuGzBi5sdN/tNoXQXdp51n6rkCHNQCH0 6rUWT+YaGiw1b4V5MPs59RmD3u5RFdUOCxRrH2634oHHdH2LDJlG8is27j0rVk4W/hBaYwkLJo4t O89/Q1+g6AA5ffaAc/bPf+80w/dmwDgG48UJGeyQZVC2Pxht5PkM/KgvBzjwmK6t63x2Blc22uKJ 54CleDF511rOKfP8fKFkkoWqk9vdOm+X2hBDwrOEltfAmMaZ74mQgvReEIEtbiNG8vUa6/Nnd7Zy kO6G4FiKd0AKSrLuAju2BzHGjo6/64I70HZaGiVoVgQfEBvjBRUy6WVq5YyHMWoj/UAOpwR1ptdM MKqehCnzLOZQKGcJiROjyG9S2BIkbKIktm5L4pMa/i7qAProbbDOWLloSU8menRFANKSvzn1vLD4 r4f/3dn2iSESMdorluLz08aaMIhr1DlGzDxtg4Q7Rrgme9qSs+F5G+/c39HMFc6PhiNI4Dnjaxb8 pefZHUh9QrS7ChpM+NzKwrXzr/HW2ElC08Eo17JHXcVevJG4xxGpl3gEEEkkx7v0FgR8pn97KQ0Y ckvXJPOeGcYK8IheyiEshNFE4aqsjiyJ2uye2ZQ++AmorJrzIYffus/2Ee9MxJ3IMNfOX7gm491Z Q8+vWrQKdrRq5qvyUeCNiMwOuRPpsI9H/xCsUqQah81SeuAxsWTgpevxsENs/SwuHr7fpiOKgOpP 621Kp5VOejKuUv3XQuBfAZLmxFnEExcI1QvhdQyxnOuAW6E7j4n3dD2HppaFZ0c7opGEmCaYMGn+ Rhy0bt6CaMgV071YONvPi/6qQQfQUOoIkUSOTzLFmmBpUs/GWD5U+jArFu4cBhvdn9rkffndYkuc d/e73wSS/EdxVeDMwkG6Sj7KKUJgonp8wTRr+29hKAW6QEjDyWuUiUNyO8lLIsKL3hiL37FHNQUJ VoJf/uCNDDM7ZVnXjKPjn3YBdnPRYfcmQJLj0sveccGbLKDva1/01jMDhRcEMzMJaRGBdi2WFclw xai+fDWJ/03sM0ggBc8vvLUtdi1gs2P/FootSLBOOiknO8HKWL9jd3QnMUZ4czwhq6RYXGANa6oH Y7M8afTCpQ1txhfkkm0eKY1GmZG6E5OiXWKyObL+bjkMuovdomRGopsq4nPd52+suEpRgEX356ph z1pnbkm+q+4ZxE30qxnS29nq9RVIMdFNiM4D3pZuYq76GM03pKur5G3XdTuO4jeWU6CSAT+d4wdb b+jgfqK+MRVPwMvWnt+E+B+7la4nkfOIZWdi/y2hTqXrRwJF6tKK6iFH8j4FEGlsmE6W8XetsxHl ReUzz7QPDrIdm02xRdPfAbb8H7YgB7yYrVQNKF5Un85/6gxZk4DeRHOa0oHb3GCgldKhXtsgSsdV mx4ieab7k/jV80uIUBp2G4LnTZhBeEMpwtDSFJqOLOKevOjNvsAPvgJ1hD5MQ45W1kHJKFRqZiK6 KddGqP1G/VLHWWpck2me3S9peu+X8xYK0DwCTmLTRfm6tBta2abi+/lWfmR5FgKhx1SGiVVSx7XI QsDRHfV4f6orUKG1fPYaWg2gJomz9LP8OloFR+ELhyg4aGCQAqVrcbfVAHlW739PnvY0nFxQnXfU N5opxMZdm26WjLdPcXga8DL2MpS15Vklszhh06FEuI9qZiyDkDD0EkeUPQJsnLQZB7QTaAWOc5Nz E5kXiRsb8ne7YX7GWeRHIB8sKrfEXoGHLNVmdWOraEc1IOR/rK09lV4rKOvVcq40r4bz6+vDGCHF w5j4WOFNavWyFTuINmKq3rwu4plnTsX59OmTSpngfA3EX2fW2rhP9D0JSA3ysVsunkoNXkik1O4d mutL+1Wr/2EYLJcql0LK0VcJrPnJB2qo/uLiY6mZHQ2OG6rTd3v1BsIXFd6BEFbhuIVUe/+AZ6iW F5XAJW+1EUJD1pflShEjvhZ30Lc/o/5a794qcnC/YpPQ0mW1YnRj3b6jMLD9iEuW2PAYBC6uV55N hqt49nSnRAxzXtxoWgrTjTGgGdb+Vt0cXBmxpzQnfzxWNFVJewtd+nEm98vOS96po8GhGes9qLq+ FFIf9qIKMSopitlEXFnO6ii3c7YflxPkoo3EFt9F3zgJGCdEgrnzyQ0fFS4wOiitGXeNuBbmRpVQ eva39QSH1nPO1m9By9bBtXD6LBs4JAhsFt3Iu3a+BIEaj/VI49GQ4kKCZi8OQ7ELb5UBkOWgyt0i a5/jY3xYDHqjw4vIi2HWgijIU4Xun6WYzFTX3ykzpXEANvSkbB3wFFlod5EeQgYYRmYD8TFmBp+/ NQkeeRipuC+YUQhxn/s5twqCWgEybaZpUfmM98/mSpwZILPO7HtwMq0gG3F/I6ad25/PaU6Ie9jw kiL4Y2MJNL+0J9waRBjVXq676ILZtButw2E4A118vr0cHxsjtAMVJIcRezwevacHcHzo4yWTPIxo zLSUway9OIJwR1QKJfrlVEhwujV/0A7mqaWfahL3PgbO6l1T4jAgpJtyL6uFD16go9TdNz8Ju4mV H5pr4ivZcpNF9FqQitXYHNrmIh+eklGwc1/JiRiJxZpKvSnSIs71dVPmnacWql/GvVcxIASbQaFs pzRTVjlSYlXU/5jqFYrJ9yl8YuRGNNi15d2XzZiPnBUxcmDt8144LCymweTiX/udl6B2R6Xj4FvD 6tdm8ROpGJUGVX6CaIcZm18et7pTjxco8Vwzhz2QcxJrMVbr90i/Y4aT9nQdhqLb7TrVHRuWT+Ym EemswjSH6fOQQDMBZNNdaInxNNmoDX7RZgH2vlralLHH1cniDO2l+UK/gsTxktMHsN+7kkOgtL/r jnc4kjzuZMJdh9MHg8oWV4Cnp3fezk8FtaIV/DTXK1A1sVdWCxOUt5lBQjCAlncNDNUU40nZbAbr ZbWybBa8E4fMInzP4opFwloytlYJ+xEhThWISkIQpH/zm+Jq6THyml5MdgWlhH/F+6lVd4BhL0OV sdgMbI30g7w7c5gS+0LgJcW7gtAzvhhkmg9nJVWBbxNbn1t8VVZi3s2fSWL+WGhxCmgVUnS4RnD7 /SSx1uAy8DiQa5U4wjRWjkxsQt5yeenbkiugmy2LQsr4vEeIeg71WdPEBFpiK1yIGHqhVUvWr9YJ uGXVXNQ4hB0qVubMP0f3/PgWO/Z5ToUdhPxbPFBcstS7kaT7DwE263LEYEPgMZIXIFABD8tF/g+q A8T5MKUtkvbDlmNFcY9bzJbKp71UifYuWiZqvLVpec+IevCe7CWA7IL5gc64ITxWP7SkTZj38Zhi zPCBZOdv0Yvsqj92Z9+h56kOiM6PQgaz6CX7HrQS88DuRsANAng8fp+Mzy3g1N60lK8PHv90jvCO s5A3dsEHo7ggloPxVKNqada5IJ6X9LBgafqdAVi9/diukkG6L0Wv+RrmNrF1iOkROFchT81yXXZB 46IKJ4Q7kHmkUDQEDBJaidEvi/GfhgQlWnkf9gz/4s2S+MSHC5pW2k/z1sCC1ENHAek380+knFLn 6qy7M2Px+209mrs/WVmPepg9UeY/wRa/6JkRIPIzr+t3G+PtViMp+pJVw324hZOeE/i6LnQemxKP eCntxyWmnTx+/sLVTmjQTzACTQN7mC2UhJkClzHf2n118iAemtwsviqO3wNRTdK5VaG8ZgdK8waA 0ZNv4xwK9nx0U9/6E4Y/TU3869YuGSgUGDO+56Xn3Pz+5O6sZICjHla2QYAHhBuVqt2GycMAkzNi pEl2KG+B1vpGEH14YSEUUZpcfkswrDCRMMOmuaoGwVxER+RTD49V7NpMk9AQ1K9Pzb4CaEghrQT5 FQfBoMOq99q/udj5fo4udPjXlKjLaD/lvM6RdJn5Dwxgfv+LyrLfkYo6QTxgHcWdaS8zDhO/li2t G82ANCRR2Sf3Ed1eV7auoz4MkfxjAUk+3NqXNQGguhmV5wJt1W15jbiHIKniwkd4MmvSQV2uBTYK ZaAxFrFKji8O6uKeybEGiD7TtW1Ztvd3LSodO5BEc4lVelIkLURT6FubH/iaAOfNSEJGCr/zae9Q JBErkQRKpLV484BHXtAufBtYkbmB5La0Q5iY8wsiq3aPdDUIhYY/PQEZ0oCsHHZii0DJoHvQ7akD pJwnOD7ZSj1Vpzac+/wCCjq3cSZ65/zOXf47vnFTC3vkKiIXFjUkW57EsriPWY7+eVVYNIF0hkfy 0ujvnL3/Q/4XFYF8NZFzFKEgoC/aFGLJM7qll7Tx9hu0XzqzRmGAj0vMZhvdxPU+PWcl8wGVJZb7 EOzpE0Q2qXX4vJDeUSMXzYE8FhSCRfjCRWfl32xP4+Hlr03rdHCy/0Dn7RwyLShdJAxmPorQELF/ aGJLtmEarhy2nHhsyS7kNPeZf1zuh6XQnqKbmEqCOxJyPvuq/AAPL0ElnVNQX0p3AV9r8cEKBlg3 wQ00wfkrOLizYEnj6IdTuC+dtE+oZKgphmD8GvE5E4bFRJ/EDIKr3fQqJbFGUKXdrdoQt9gM76ki TjzOaHS1TMD4ZSMSm5Jxd0UGMvOeYVDQX1NCcbICMSxi91v6Wr8BpwI0l4i25s6cVVVZgkJUchQf ST9Ak7/uNplFRRHupTy9JdAM+pPyFOoQf5SQmzRha9EQWmU6IokA7qEmIIbYtaQOXfIt4C05prMb 1X8mk01zfIV0lKGVYhZjQk4cVqf1hXO6ra1/8gDFvXgngVVBRlbJPBiZPGqXxxI57GM8atn8tdmt vpWMOVWCkiXSmluoaZXErb8uVcXRBXJ5M7M11iqGQJ+00SQMcwlX3Gm0DjxsU4Ghe3GOrNbMBcgl d8mE941sjOFzRJraGMPi+4CpqIpRNrP+8IwyKUqmo3Qb72vYTY8PF+75lpiB0xWAIQVzDnSbLxEM +WaGmnbbB/09FNYi2SdAyuYldqGJxXdZzEBD0MC9iUqDt/6E9cHWcPBHTP8XZvnnfvjG+x9JKIK4 Up9LsOgYNLecMEXTjIZamqKKA/2BUQGVso1DbXKSFGXsCvYtCP9NSUmDTIcUfWZh9GFVTvCarC8X eM5umTWsG57CA8ns8q4/YxlHPV3340KrldmmVH0o+iu/WcHOEHShVHowwE3jiFR5FYiUXMUmMBhQ ClvNvex31KWvCt58ptk06mxX3/rSTohEzE3WPGCjF8u2ypl1h/j/+0z965jKF/8qBk+1kaSdLnba GuFtqrwnFHH6eDMLKhN1/Yp0a2pGwJ7UaMYzm9/hnf0twdqXYSn9lu2eUOrOCHRe1kv7p0OrRLNI yI4x67Cgg9vHuaszi75eafqDIM5CcVHYcKRRKCqUCWDzuEzUQogL/RaHCq4oGyU+8B/nSktzUGnD 7azDaCuaBnk56EPwXXCFvpZ5/ZXAycEgt/chOn17/MUVwW1tRTQpXrQjdPNJsDrIqCM4N+4e0K3T cizLeGa9PZwJjlZXEPjKjfBIVXHqOwqSirDH7BBXYc/kh+SM3HG1Me3yfx1HMDXI5JsUvKTUk34n 6EoFvsPMYbdh3YwkdprO7TTkkGQIdEY56z+yxNNfg1l3Vusi6QQkHt+GjXfdrD5J4QvwSOlPBURB h7lg5BpEebpXunw44vTe4pbZobcoWNJHhQjNhDS1kWESaI8FO+B+m64+UdWAXKE6Ye8rG1XMtnV0 R85gZOVbFrl7VW0Vk5xBioWUwQCWDQJmJWjxMWY2oRsSYiMQJIFweMYGASwEcYJgMvGSrPWEpZpY Vdc/H9Yx9cXm7ToiyBrCF3Wl0ED6qmPryzh6suGqpQXinpcJPhCdJj+RfTazs59n94DCa1bbWbPn DBHSy/6qz/8lRXsn8VRWKcGJyvth4WD6GVAQBvdcQLAm4ZK3rHIszWuN00OtcL38OfMtJRPBhzVk 97xU2pZFUB1J9ItrDQlHdfJSuSjI5NiNJAjC+Dpy6ZgimjFQfJICjJstlfQuY2RTVRhPSAKqnp+H nehTjUXZoBd+uscf8jBCPgdyjzK9n+yn6aOhLOz2m8RHrJhheZ9K7sGqSZGJY2okPF8dOMUpg3rS lCKyk+bD8osKtMWk2nVu5HbCXJa70vUMwdgno2XIkcuyiWuOgdd0nqtOPpQOV8BHxTdNrsoPTJhy 2+FNvt2RI6fVi3ULm3HMGSwtpbiJWiTIEn0q4FMybSG4hkpSWMzgeg6tPCKpgvSgx/kBpQeU/2TI RSd7226lFqKawGVhlDWujXc6TuAq98tF0HD6a61/ewGA3wazG/P6m7mHPfML9+lszbGjvsJdACbB aYmVLXWOwQVHwpZDeLeomBBgeoBAbsXLa/Fiq/ZBRZLPFLtM8B586xojfL8yxHO4QRFCZeHtF+i4 +4xcDNZ0r5NXoEBEZyn6wjzfE0qEy4DE03LuvQsUlL3teJFk/d66thkgj6kpK90DIhkM9vv40Gih iL7T3+zqpL7am0BoLVNavwvxL/hsVUZ+c8K3i2rk3deX5pJUQTmyOBDAXIc8Gy+dM2kRPc6fVVjN plrlT0Isvlk5ZbFl3yAEUBqBw9aQdg1zFZ1Pxmuzrco4btO1O/xnYupl16wL0UtkermRyeiE+IOp Qw40HxA+tkjgkqJhZpNcsZBVtwjRs1ZPQGE1/AremfurEzSED9G7pJTP649dbXc3oJnSgWNZtqKd Sid55eb/VEuoZUXr+vkj0k8w2qfre/xq6A1MUjio+kOTmrF98ShE7nYegtoIbyiSIG7/uqT4T9nL vXyEpMsYHLRUadOCY7oIN3SS6eEPU/IhynxshSE7Hyti3+k6lkjKGRwSihlpMtMwaJAXEPyC0CoQ n13mWRPlonVSVDQVGMQKVXSL4r1CsjYWpCZN+3YLYTutrbnOEDmE6bOI+MOZSEMJ2cyeazSVGQSk vuzo6I1CAl/jnJaopHZZlfLZc5sPZTDeJNX0EbYoBWbfHEIYjv0DCrV2v8+f0TsaSqv9/gw5nWyu uDUC5Hla4xhrJkXrtBmwhqcSRjL0AIF8QmrQxrLlRlaTVlE67sDgADp2Dn4hQtVS4eH/kGWwbUG3 TsDLWKWW28qpubI570VZG/vZVN7FyiYJBs7+ahbpSh2i2NZw4onw6UXt52+nAYBxXaBwWk7m9Qxe SOFlmAW2dKAhZWe7JzVRV/JqUMAssLMbNTS3VXXGoZRGXDuoqipXZCvJSRG+RUHe42wOcec1QVDF eIEioRvqBmeBvbMglWWa2lgpX5nEWMnrxhioZAVe/Ey2QoW6snocI6ehqoMu70PsrjnG/Qyqr1tL AWijB2JHmFm+wBR7Jibf+6u4j6J1T4cnpEfyThTv1HiSmrmS6duQiYZI/cKwjSbhMOrRY61ozI9u eTkLB8gvi49WiPFTyDG0kZALCfu/ijJQTCBtfwqHsVBhRbyXGmEA/T9lFYg/QRmr1U8/UOAVRNBk QeH0qhcmIqLNPi5V2H7wZieAooUIU2MY9Wa4zetKVSNdjkxj23/OD1hDoy1q7xHbTbQjoWS/AMV4 JGmTMXgQU05GH1BvOzNgYi8JOvX+sBzyr4QX5PveBir2d2SghlRLKtRGAU/9xFJIdvTo3B4gTv97 ZMwwmzqFtrqlZ6a3F3YM7ObfzGrEPWUOkYB3P9TG4zzXJSNAhe3XxLPEt6BX/SZm+zhLeaqArMlk 1Ipabuk9o2ON0LVevNSVrNzOKWoMCZHM8XmoDS4vzcf1+hRbS+PhenNMFbWNNcygLX2ej0zyd0YF lIvlHpc0tlt0g5fGMFMlAMDZ/8g/GLy+baOk5kv/08766MQxWcAmmXV4eynP0+t9UqxOuim9vDHf np9ZVJY518C7DlW/gFxuz8eVFbW6SeSF8tDXv9AJvh9FgYnCHlZHELq2Ce5ZP40F21uHn+Acih0c 7ssRW8yHS1VzrOUJnLFB19N8Ea17CTrYqPEyXeeA7m5qE9Zf6T7xcaAv800uc5B+eVqAAcuFy0GB v/a4j/OiasHySGCvIc9xTuXuRgxIrwZPT7CJRbz+eumaef6PIAtEp+dhZfEOPlLCYsHkYufyZz0O 3lHEClOk6VxVp6mdF7menJAAcPgffoWzCbfU9giUAGQWjXJCylBgJaWAV+oeLXi4AcRlcVqd6y1g Qs1MGirAWg4yiA5xJr+ZW+TBE8P1+wGSL0O6EyZvJHznvmWGKDyFM85efaQS68ghXsgyB3cTOyiQ 5cHPjHSBQWMLx+OpjpWWOGjNi8c2HfLkSKXPtnMhvmzTGbANHs8FQGpWntKS2AIejiSEpdETEg5o PngHuU34QmcRXwT4c753rbLeAb1LB9kImQisXcXCskTpDfGPXsKHokExmRnLoYPSPZ5WqX0Kic33 foFWZSweU4Par0C75ydV241g8+ucNvIbiSJm/CYQZ/Ed3Qnpy2TsdJFkrkgk+kQzxM7UKNNvGco2 525/jiTgcc6pqbxXOet2yhM5Z/ZrqM4hGVmtipCqQxYLqPLyfBP0/CRr+GV66zkdt22CjvWnTLwW NVASiaNGVTQAEWAJmLVgyK87m86jm6KEJYf0tlxbaXDXKAkioEw/w83ng1ROYQrE2HISwh9xfiYi BMfQYfEaXYqlYkCXaSJgxycsttP/f55myaN61tcrw4bt1HCPwiqiNH8JCVSd5r7CfJNq54SrD0Ji M/Pa1wkAz6AT4j64zVkzVXGqDIWHb9h7NVl8/trmiD458SMRc55QfDgbTZbH9u3E0Ay/XwUWeSd9 aJkOzDqqcLhlS+AXhQJ6oKlP3qIzA17cvPXhxab3MfTdRHAXNe2Q7A9ROSQ9uyqU8YDRsSHavZYo tkeoGK3GICXx46eT/gUM2SXBmQ5aRLJ6sR6+10SJVZwD5EZrxRZzkdpkO1TDE5f7tRjLF3mkqM0X vU1PHfSgINerEf3LKmv4zFo3TabP+JRmyImpnX9vqDCoAn5Qsy+8XXw5UTk8QChLIaKCKX8+0DWe Jh8F8q3lyXZYn1FLgvIp4+LFbpxC7a3VuMQ8jgs+XdeOIZCD61xxvHDKpfqbdDzxy8tN3GavirkT k06H9p2fjamSPH+lajxWnYqoxLJ1Jfi53ZfI7UKl898BKFzoKGCLMM1yYLPR/9fF2uerDs1hrcV0 D47cdymnloLNh/pVyVnOi6RZYf/Oi4V2F27o4EYoPzbOe6txrtYOPZT2uG8qwBUHivPQB1WQFPHI W4HMKn2MU4JCcY7E/epYF0jeOhFOpemUh1iqv32MUSuKMz1t9XQQPdpPjGfI2hUohIVwgomtUTYh wp0IzZFdroyqKgx81lhHgLMQmorIqlvhB0tu8d3JThLnUI/orYjLv4P6Er/7/zsZ9ySntZiovdc2 EdBojph0GXZDM5yEgpzMADQp8Pov5hm1Ml7bL+P7lRPvi7P34CkQL69CGx1Q8V6NsCQ0883nNEz4 HLXNz66vz7RDFvapjn/Pp9n45vmbOrPxYGSgB0IxL6ZlWOAK0h2022+nxErMBGpWBh3smIYc5HKt N168Hr/01hUFLg8+G6LHGgFBctWcAAPexYISDSbGLoGzcSuiMYftiyjL/TRztpOeb+OUbzYo3DSL LZb8hHEwKVBPPl/E15XNgBsXDAdOpJBcployUdF/kJMe0OMUBVVMDqnF2v6Z2EmklnkMUtWT7xXP hzaXzIIWGm6kMPPFP6TMhHvSLYHpGULLiDRCskeEtkUBat5mymGUC4crb7/NIMMwzUcrWoc/6DLA LP6hJD3J9AGpVegz6QQABn0mDg86f7y5HWbomIUCmsTsHXwzWhdb9qHalg77lKnviLl1MEOJbZ/z yWHG2X9RZGPmILv1qV7bWB4jNo45XQGg5rqQx3PV5UtRP9lfuk/A9f2TTJv4IeuNs54smvImP5DT mxWGKoxbYxXjQrp7IRgZqY8VPff+nU/jSLxj9E+J93va7CFwBb0FuuChSUassuvdQ+FL3XNalmid DxignX4BnzobFzGo9fUrkNjjnFEHftKetKFpSXD99O+6W7g58ztDb9va7ECve92EC5vBwSLjxJaL AF3so8sxo3JmW94b4XSGMJSMgDNaf5+2JovawVsep6OeX6b2+c2J676q36Q1PV/uwR/vM/mQfxZ5 bOyPqEJ17unG42ceQDgxL4MmKj5je+PxV4nNv4B221Ngrpw3C2xXZHh9djj1sWTKyVh3C3Zy6+Xg 85ojS0/fhKVjh+/2bog0M+YoeiO7UHXxc1r3zmRQ1aZNVJWTllaCCV+geEKYOfHu0uobfOqnXcLE MTOkep33Qt1sVWyxVw/Mgt2LJq/hsOngAw2hHWHbEgAtcu98/oA5CM4rSZJ3F6WGGzcrBELo12Db eWmqcr5KVgsC1MKlhqWmWOFYsPo3byQ00NNm8Shp10W2RvMh+7qWrpJlLEws908INdFg+ul7Qqfu HDS9I1N7grO+EPByfSweY+k8Va39ibqHVxZY2/gsGnCDjslrkRmY0hwgbbRcrcNRDKYPIp/MrRpX EeUJLcMUwTIZdjjncTdEDRReKQnNnWyk0TOr5V/BL3PT27ha8ey/wi0FaCkz/Tm0ArNbiRG1BMLv JoYB6bj1+u/KhorfbkKcE5a69rBhzT4THhC81NspRxS/LYCYIJfnTJQI0PUrcG0mwzuG92mMQe9Z 3mmgM0JPT/d1jBFKNpZk2RIaPg3Aiy02aehNewJQi4EtxDe4b/eP4bC1vw+nBDl9cd1GMds0YccP AtP5srm5jeMa6IZYntgSLro/T5M+yRa9QpqGK8oWdM1Z1/w4EwNiRPGc/wL8xMy4j/wx+0MtvvAp /JU/dDPMAGTGnymVHLgzFKncR8+mYD3jlCE+Ckdp/2Zeu7pS1p/B+qGagE1DGxGy0LaZctb1U6s2 xJV0KFN6sSTT7CaDylZalt6yf20Xomgjq0G1cics5HZoGjqae/zz1Grmh/rswBJgURqCWqUecquo EDpR/t8HNoSvhMyiyAAT3MMHdMtiAUfDmiAnyQi9ai6ZDbbeGReD+ptIiKIWnvo1I9bHZB66XE1s 6oGcUC117/95MNU+ME9/Z+1lBM/jPVTn9nlyaKT3/ioG9slHVTAhJtrYzRhCuDSHO0m9o6JYQ8W+ q6WkRN/gSWNL6bhSzX+00vFKQYpYqFXqwITMe/4ypgR5o5aQ/2Eh3heZa9eCkwQ+USExNXtTMSd9 HSjStiAGMu7iMJFFwvbla52/WLuu1czRwQNXWmU5Xeot/DuOlVYSi6C+/wdPd+pwZSUS2uXTbI29 pBmWEJMbBXtsrZ3F6YGGI0M+gk3m2BjpTYWBv5LPCUQ179AC98J3rtqYDPTv3p6Rie6YhYeotxFJ g9rmj7YzZG8Qwk/RuVS4xJeqaPGMvu3+OLPwHA5HOgx08PMu58uDl9xdpplvkbXya1sdrAc0Ub8+ w9v3t28l1Z+wtomiJI2yJY0OIp/0HegxCoHTnax2o2qjNmrnC77EZZrpulIc5de7socHqcbLj7XI r0X/b4ou2zf9VMaT8dMAiGi/ceYoRaIIoOJXT9sYbOQDquv2HOQOHaZ04at5Z6AJezVIQQ9r3Ld/ 15GsmwqF3okrRx6L0f+H1DlvzmKH5lCuMm7Ni5q7FP0+n1dEGFIzSfzVCFBn+XAG++vq5fixF+jP OsGTU3YNz4lEC5iVepk1vP3l+OkxQIEnuujrN3eqJa0A01rZcdRYPIbUMlNYc/FDVCeBOgp3prOt 9UU4TGvsPKhc4UIhy0ISdLUvNpvCCB6uH4qW5D/TkMlrryt+MXyfLsa+RlqAeKu5qdKb+t44J07B Nm/JkNmPJup7IL5hPdFl8wPNavmsmOzvo6HeLRGCbu4LegOdiVHOyncTP2C+zvqxVanlUbTVlO0+ 0ltnOMrhWaQwP6h830MNqeZdZ9ngzS3579DOrSkEHPVVf4OLj+iB0lenCx4EeccXOCPkvEg6nO7u r2cOyBOQXMPeKqJX4sUyfHDMD9M7uBKDhjYt0b7V/hJTr9aqajNmJkng0N05m/dToQsGN2asNEf1 BdRWpSGAA6QiuYdxNowk15g1HFekAB7NUomVxngp309ds2//1dUpUTo4at2qgHFiz73v3Ud3NSJU V8DWEEb2VeSIZza6s45+26u9y6mvWbonahFbGUpGjMsatX0xEOorCqC0FSbLEQodkmq6DSDnSZxm WEwuZI18oERdpAsSrOfFMMsrOJgeXPvCwd/pIzKY//Mn0aQMI+lS8JuhYOMMQCHwDQlFm3f+oVkN emBCWoo4c2bVTfBLm8smt8p7wf+g5d+iOzqcciLM7awrWY+AFZ/+V9AaGomAkHGB1xtOePb1t3OT I34iivupfwBY+qZ61vVQeQVvaIsqr88PTNlnODwYup5ocXB3kRAS4Yk5sNpmygzeYHbJCuUIuqEI UoAlkcU3CRjZ9t7pAba0yEhqa2fBL9hd6N++sc3McrqSB6fKjn8AonBcdEfNM/uflrcVbdY/63TF xTpZXMIIVsq2M7AJGzJRvjsPuac++ZI4Kt+kJlngVwrobkcEGzo0rTT+wJPxhlWgzam7wVjOwkKt fFRyY2aDOvgoaSlEwsIEXCO5rBtr8UoeV2rir9twCK3M+i/Kf4AKwpQ2RTfDgFvjR2C0bMeiMjNe MQriJpv6wtUUNQ/j7hNzKoMC550xChM599b0dKojvywQiwrKIzA3TxW+zihg1DLwGmjXZTe3KLAb 4u94BFRV1QZkwbjJX9+U14dK2C51VpDDQVbiI8w9fkJ19o6/nykDQ7aw+1QgJhAmi+P9UlOyZTlp r4GSlHW/XJ31Qbys8BXA0YXdAUhhf+izmP0luMX8/HiX+NnMY38SBLtBiQDS2l1oUSnOw/ltU6wO poGyREY8IcNHKOWr47aoppWzf0tTsxQwdikHHhAZEtmmIa+Pzfki395AMMPCz147M5eTB/EUwdp6 Nu236jZ0QBrQaRKHc9ivlZyKdFpD2GjaMlV9Z2yUVhaQWy3Sab9QHU5JW89me0KQ0v7kO97zbjRA p4wwHctm6d8HhamQi8AW8frWY1HGBSbFaNEsrcOaMrrj4B9SqDDwLytl1Qt163W5io2h2oDu8AJB 7vMkZxOV7zwYjHag6iGrr2d7Nq/d1pYQV7deJ/UMsWP62DDHWR4pXkwnJDT2iUawmaOL5pf8XvGJ Eu7uTP1QJ0ac2alJFvc6TUuk/jijJi/8jlS+kh/j7dtBXbuEwsFdEPD3WOvCnFfh8/Fb2YDGLLTS ajRkwXL7CRLXWX2TtlpQePxWiWgpUapGgKUqUAjbpzXCX/FSL/2bWFX/PnSgwHC4WpYtbsH4EnbY 0QHaxx4gF0kNJ6VE2Br+8Kni7Enhl3h7oXFwYzl0eoc//jRkuYheRi0USeqZHbYeN6veNpvOFl8e xbehk+SLPWG/DY/dvffjFkbaLUkr3a/CQdCoN4bIN6rVeJ1fWbjn3A4Xn2Z1rkimVa3nCsdT1nkF vGyXfKUZntxMkWt73PiBoF+qpKsCbP0K0JhbKyK/gFzxrxtWAJzU+X+dXizV6lL51u/tc0/OfCyX BbbWmABxwKT2qMt3ikVY9tPd17Fj9m+EPaqsRsGiMMkR8IHphv1752JOCFux9x3+t0JRtpqVAkBn MofXR3RkDyRMImVMkmZM4PCocWPVLSxecRNI2FFa//zyH60MzBp/HZ47SVAJbdeBuqhunj3tFGSb pc33r0MlJi4m78Fr4CbXihZi1N6psYcpqOSA0R51jpDF1bPv1IQe+XdytFOk8NcbQ9HDndgMbOrX pn9mQCN+2WUNPTP2a44ut1UYhfBxPzjBkOTffUM0wE16LAblru1wPmnP63cOYr8IUrswSyh/ool7 ozqL/LmqANT9c8hcDwfuxF/+F/BEkbXn4wJ0YinSEOmOoHiTSJeR0pgz7E/Pg4gS6W+mX9E7lYTA SJrIEol+dMtl1jX6RqdF4fbzx1yOCOZHyMe6sakoIAYS/ucw00QymonJIdKAVN5ukxOjIuE0j24U bGEm1v2AK6ee1K+X4F6iiPVGjBv3eAJyig1w8T7IsMdzwJOpDznK3HGuMGz4YNMuYvHaOMwS4XjT 8oyev2vJIA4svARnuHWBmUJqI8fLbRTly7F5EF9QNgjhedkx/55gWSvDlg0qV/PrKCHwUpwdIdmj NOlxCz9TwH0Evpi6jGCw3bDA6g6DjMOdvZzAuZDIedxPwgZ6tEo5awkYLwf+UqP4KSW7r8+F2hlC IXWs43eFFU+pEBb2YP0eQKy2ZSyl6niHxFMMZz5FoYdY2PnPxgpwXbbx6Gl/SvQ6xJXCJ/5Iik/L 1385xdBRDEWBq9ItIGOWdCYKU532zyJRLQUwkwEUS/DkAeLkkZrr6JK+mo6dZvx2HKhf/zJqTmAP WrHDSr3B84PZjG36q3AH/kKISDrbrMaL1UMIyLA+KILr4v+7CubhsuCOiBOZB95epqd2iJMj/3vS 1SEfgraYS299SlfnHN61ISiSg7dR5ry8XmPurHXv94wL557x4PeSftiFdCCHh1r8VYQC8y+ihvx+ OtN4fF/Wt9qQ1Jcx0y2qeR016tFyqc95oZaTh05Z2inoaOntiC2GSXTB/FRK1PkV6D82k9V2wZM7 5HtbPZ7m0UVY+Sj/baikI8UG7EB7YHM8EGur7elu5iLMxUdNfT4oPbqw4IsYdmbZREBeYsGi6/OL lJ3QHF324PCEvrqR9Wa1Wdhb9ZoCF7xTemsDbAZZx7xsqo7A6avErr2ZHyVE+KaJAa+Z5zwx9dwh KAKg6ix1w989UEXr7PIOMmnPLJy2oL9L8kFF8j+/Kx8ZypFaP56/NDQdXHkG6kvqRxJuGcFjMwU8 CmcNAdvbKAEXybkBVpEZNhHdBCBtHKauYER7ZFW0sZJRHf0pQ1yov6fcWK2lXo0ib+bcYXctyh7O lCR5fa9yyhVGZ00Tb2cDIAANZ5jr+agMaZXGXxKnrMI6ba7TIRn7rubIBId5NcKEeVCjlxe2jovq 79dc6g8+GSNQkm3Txr6XJ0AtruDcjpwTEkjfaoAlLZBwVhB8CXVHQA9sjab+DN/vpkNSIwT9uG6V DLFeDlfQ7nryu7UvCcRWmiLznjrbxxH4nxVmTaTkIVwXyZmAWI2+opg9CxD6nehWVLBtSJOEtzMI mVX8GxoE/jGBw5Ryt9E09Mc2lFcD7uHKJk9XGmfBGpL+U2zRQXFKr0cabzeceNHmMWgho8T/sCPN EsB8HIjczomppMDMOCsqB/sj8VHb5KLQxZ+EVwTAhDHj+gu0XHY4NqAPZ57DRP65VCGzhiKNd4iW 0ljx3UZtBqZHffh4t1Jm6Ebbswmw9nHtYhC5g1qTwYvbNk5VR8aeXKVyHQU9sBuBDZ88UOvbAEhg AZmYL7znDGLwhSxRZmKU+Gsa2gcQ8iJeYwkpn9hcCuLL9cTNhN1Cs3f/zbhIzH0f5to/vQMMGrGV lhqzfTjTYAb98yjkdwF8C1kWpuS65Ln7BcWzDlxbWWlSodBuRirS3+DzuPwEdg1GRm6PMveMCnfs fD5h1bcRadXhlU0J2n8dlT/FxXWCIAGCm0UZWuH3sSAWeKyI69jXrNl00gstUtteVr8pxaLVV50X 0/Hjzd8M3gL4vvZaDkoH2SLTNXPMu2oFJVwaRxnFId5mhH1vTBq7KtkR5GniF5/xjOFDPbHk0F00 b872UUZy4SCEBEozcqq8vOU5nfkDgUzabVkQUpNHUVbVhUh+HfoXQtOhy537uV8lU687Ejqs5y6l olGzwnoJm46P5FNbxfZ5gh/w3j1WfljaiyN592FIEOG3eFLKvNRfWPeXtXFF2O/DbfxNkZuZJ16G yea/R5uv0Ik6lIcm7cap22tB9Uq43amYIwvT3ZnU58ilBpghwsHhUuquOCreItrtaWSvNkixAJMs QPEXnbtA7xx2qHNbfRl1M3JNxZu5zsuh9NmWLGXV4gHv6HTomTlmltkBsinbPI0FhOT/QjG3txR/ O55Mi4ThrZnODk9qdfYGcG7esfcWiQDnmqNx6+Lj6U0/9MG8SdiAa96ZWKWGED3vJo0TU3cE5zpY ELNSg1ngmcBXN/7cTBbMvltv9cRBjltNJcK9SxzB5T7UHHdf95oHmpwN2L5+1wPdWafihoUVTWMn QqcKnDpchf+78V9jUK7mkclWpjgoHiuxJOp8o0osKydrBaDL/6pxHoVXsOdm7FehuENJuDmEX9BK 4j7XlMhn4kqbfHzArCXfIfaLZkcQfq7iv0sjzdjcNX9RbJgb0sRJgfam9rjfmd7WYQdUzXWu9DpX jKja70aMhAE1ULr6Mf3aINtT2rLSTj06Ume/nldeyp94e47hS74clingjWC9NbftpDq7Bun4U8p+ 6D7XvsndcMEz9cE02RwE5P2tAy7AL9e++GH6UHR/2Z8nwmbCsW76NRvi/gD1sShfm1XCNErWPNAa JHsWBZvidEtCte65UHfJ0tZvIUsEDSGS+QYqMz9Gxp0ep1is6e0xN3JgIihq73e4Geha5Ik4f1VJ 84vnbwbZvZdaEAXrr3IEO7CEtGWU4ppC3n2p6p7vqkXCJiniGXEaAAyfJ8MupZK0lrwlBNRGHJRn zDAHyQkcK9sAFOzgqapxnJrfo68T3dWj37ERsL20A1Gce5dbp6SwdMcEkAHKvXfMex+rO3RNDw4T k8RGnHEztr+6Pa7ynpNK5RVDYxmWw5Nk6FgACmOcCIOk2CZUr9muSZ6960t/XApFKu902UzjV+O4 wgZzfMWiOhwcjmBqcNz9NWRVqU1kCf0C5B9B+yqH2Y2cTRFgQA4lsvcGmX6Mcfurj/+FK0xhKo46 1akBAhlj/wbeSwo39b7YNhjDHg5ryV8cdQ41BKzEykXmJ9dKOCmLnmkb9y7oxBe13mdSDfgCvDPP puTDbubzgl1JrU29QeuW/hPsstwvkaeRdSUto9jbkvOpIOod+GdOSld4S3giPBuGiKzNipzi/9YH Gut0ZdnDOtMF7bfPfy7x9y/8QboDJsjEWlmROlSEUppWKhiNuWj4AVPn32Air0HJ8w2PdxomZyEF u38RCN8/IWH1HlOTe7u3Hj4JpwPYnWBjmP+O73rTJyDBg1W64yBsPxP7wZLno3OVTkZgFuxI1hk2 UWsFs8wL9MOCCljhntjGhcXqJwjzRc9eQpkmv7rV7JBuQbRRUzwtu7Ze7+ZBkoeaFTDj/ECfuDtk CRUF3YQQN3Q+wi8T2MiATR5dxeJ1djSBsq4YIfeX3qI1ni2iXBQBp0CL+jv7Z0WKnZr0fAfFmv7i IQCpcH9Lu/hKBohTES884/mp1jcEHOdXDprd5lZtrRBjrCI5Ece4+7wklhWFBv9hSBkjJ3Xg8xfE pZnckYqqpup6gDB5ockwrl1dxt3majX7+YkRy2n3PCOjCJcFX/n3Rln7gBIF5XQdsZ48IKsZN9tz 8DqJFs7InphoW7ejkPy8+9XE4WPiMU9YOOiAI+8t/urHu4m1lPmABu6FwxvEhg+v70cEbuLe71qc OC74oicY0quSUhydpmaFJLVHnSFOyZ0NNKgfhlsF6VphTglmXmkDhmcdZ6EwWl5S7Ryv1jCwAtEM b1NS8xwqgz+/DrhcR1yTsdi4s79jm+a1lb/ne5DuYtoXckBFTC5UznOWEyVOzpP6AoMn1OcdQ21k UG2xB+D8YKOGdv7MaVL+pZuYkZ1mSqTh7miXguM1rwOJYfJL2Lm1x5zGerJ/j9YeWNjrYBcbbLqm JotAuffpS5CWqlsviFfPnA96KpBUz/U/K5/nUnW8z66iZQUp/g29ougCyS/UZwgHROYrhI1P72hF EXXtqVSSgPJ8Kk2KgI1IcGmAGXKReOpxB61/y8HZj76kzFsG7mbno/BPoQia9jDkGJxLHVDJ7Y9F UzTZG1QUOw7vpIX0GeWKsBqfNwtJ9uWz2veN0BQXMJBzSgmUpA9nus9a3filgGCWTxni+0klUU+k pPasEb4zM2aYNG2nPyCaNxqocdSq18SPFPqXJYMFgShSsZBzTCiPPMrVC2RTRoV9bhWdcZxsamg7 9twbGFggxuW3yeNMyxTLDHiwexhkdPWfgIpmrrDZ4QbpEvKcLwDFLXei2zA0XW6RNdPcptEf8t60 qxcEfOYHhA0pn4H10hvRt5yGviJ9vWFo4rlaLUNN1MzWh7pDK6JWzH8vZG9MngbnC6Ej9kMDMCRz hwY5r1pMZpHK2m0NnzsSkEDzCyma+kF1XpEUd51la+6HhTXaswfHEupfO+m3WVEXNSFU+s7VayHP uRwpw+oQOSEgBXTMwLPGVznwKrNnoysTdXJ9KEFSPGSzKBo1+8LS9v41iuutBseNhimtA+43Zyys vPHeHoqhMF3yElUwtpT0LrzJlJo4Ywh2PAD/paejTDaT1qugj7TtcrLhwQxB7UGHaJt8aTTOPH6n FOGS6e9e7Gi1FsGfRyq06+FG4FhzGuQVHn1eSbRN2oKRlp6wbJYm4x7MVJOitEy67Oj7tip75EcC z6FxjL31Z+VpU9I6YtGM2u5S5/tdkzBBcRKKgb3YdHxXG6T+USA5nXDkWtdPLSFuLdZ8MAESKiNR ITeMBXWeEldsLZCYXssov9788fZwCVMEPyIzaNJv3x0eS/HVcNiBFjEYBmuORo1eeQPfdxXD7zqA eUYKP9TBno3/v8Uz9ck1Ndunjp6qN4sKF/diDQtiaEpAi5r63JFWY2Za6MeXdaQNloKLz2kQzviD fhHVCc9SmUvt6YmyXjpBzrSztsw+L00hbOstZWP7eL+U6ceLP3yky8f3nW4nLt0f/2w8gChwGPqo rBeqx9y7HLf2esCom3sNl7us82kD2KBTNokwVjl8m6j+bYWnWYgjs31Ub11tq4vyDSEwAGNOiCXO Xx/zJIf4uy3nrJMjJ0GSjJU43n1zt7YQveTGwtp07F0OMFwGn5OH9rxyKoxYJpZR6upa7YKs5zGh aZq2rTTFLJNmDF+wTQOomByyY+yS/uiG/bMLad66rwJh2IwmEUmZ+MA/u3v+ehSUJsgsYnxd6Zef 3aEVMUR3YNmaUwfj+AJbXsZ+/eV3a0PIbojVNHJlJJCotuMMnVtHKFHZFeEz7BC7QLgPx9+7fI5V pD5XYIKnIzYWQcvq6Pidy8WRodVrsLk6mROZIl4MFErDyh6dqP9j+MpCk8TMdiOVyC1/ewHqwNXN iNqbErUj4uQRGVr6u68H7YZeKvvkSvXvn4HIUBYCBgUKAcLOUE/TjwmfYVltSh9/ZMwC7PL4cl7/ bC+8+EAvw/FMp4ChHRkhzOReFZQtcZo9W7js8BpRWG5Ah3nUBP+yMG2OAqqQL11bX+gh4fY8Tr81 TtKGZ2bjfgwtndvZxRngl5CEGp17h5hXTO+3yWx7Hv7JmP0E6cX9QqW4nS1gGVs+WDQNPQdMRluH 5NlPiq6VfHT3REslk6kvKtWy6W6gwh57ZzlWEwE91rDlZFzQ0c5JjsaYN3e5EjpTqyis7ptp3gYP XXlcbt530oueS8sWruAcCXCrJxvJ6dKcZUZp8e//yqlQVRtZNFpbgyzeHRPEgVsWb12ri0TlxIji B7DSf9PncZptpZ27YwtgOLxe+2mR+83ieI3eNOSdI04Yfqcjdzq+PMOTQ9HsgDVejwRj9AAxsvXi 8Q9e3Qq7ag8wFDP/3Ze/YiXo8+WG++GB3DRBvN4/ttPMyn2kc8cb54Vpe3m0RwWl168oxB/dfBgk qksVexF4o8sYp9zZMlEHEe/1TccmPeCQ68lBRG/62U1PonUvP59gP3fIWJG8uVm1CPk2PBjFHDqm mh7Y9HubtyyYKbRAlPODYGh/I2tuvULD2EOP0ZVb1eBGKhXndSPx8+dehlKiMtNUosWRmqkYpneI I7tHSP9DA76vBVZhSRkNlpEe+rP/+16yGti7B85M2CrIu9z/nreHh+8FVm0lAO3zNGusDapYToVc F5WppPFV6Nb30M/KOGK7GVc/e8q0oMrtS016m6JCYR+WU7Vr8VWlX/Jeq2BnCS1YTyVwf0L94Wy+ Wp1+ISFasKx4pasQpitq2fzOKzAZ1mchW/gDLYbZjuqOgtozBaV5nqFKl3m5/F2MerutB+cXQKFo IUSOYP1xi9f+nGmYsqQpEDv2+CuXoRyaWrUK9qhTwec7m4xuFk8NrKSHEvQe+q2kkbIZwyNynr66 zMoc7W7/sHjPmQA4TPByuRqoJiDcRxYuYv9qZ92UFXo4r1iXB4Jwb05MbgfS/1lzsGmyj3AC80c6 nWSNcEQli/0PU0FU+6OF3OrrbNOqvfwodUo6UqhmCb3sNNinX50Z9OaETR8eFVDPQ+qlJZTbmSpB J24HhnMDx3T/qeLLiGJAQVVXln8HrOvyAuK1IcjEneujd67BISGLin57H++/mu3KBghoo2KhMuUX Dzt/d8kgS134jUb2ZI7DtHHSKAB91z7tw687p41wJkfFHGtB3AnGH2eqcLfnFw+v2tqa6H2uTlnI YthPvWryODmaW3oKsJc5whHRW224zXmppuitfgw4uJ7VR99M5YtWQeeu8EA4TzPwFr+daVXKX+pf 9croXCKgksuoSm5JczdU0qUUURHTvzMDzaQhehu1eZBXjz2l6rO59SrywotgB0KIPULJccB9v0Pw Efcoe9uM+6jFHBbQkLzs4hoVSyylvvZDuio6hNonc5qIPETLBPlrfuZ5uvlr0WT4Om55zi0o1lRD nDsSlL2SWNvfXJOBp8bmkZyLv3wf8sSdFIwzQIGlYEppPqzyTdwSCVI7Rflv7gtGlzlHR++ZyHuM lmuT8bmRlv0FF34jNh+oEo96N/aCiHBntwgQgGzaQvE7JFqqh1vC+FmCTgs45mNOXOSQYFVP4+cK FtBtwMcrGkIMNqDrEidCsh11lFtR2Dbab45BpWXjDTcx9MyPaPRlGHwctEGEVELPiE838qYV+6RI PMByQAE8/GwgdxtWSoAjGyK7wmzjCwv1MXyIL1Z8KDMAwRsh87nj1nn8FPrO2llamEViBOyuQ1eU IOo0RQW0IdZq8KUDThwo7Y+TWQGEzZVE7xTyF3ICALOtPPY5nHc4tGgIXpzTNe0vqQJyqlpxRXWx 0Jh34fMtZKl2O+aJzi9Wjs2KojoWju8zI8jWU3rTZgJL/BdNsELuRls2b+pXS1ST5zMwrvlrqbzF NvKlZqnR2wnydLBLS6razBj+BbELWU1l9iUqmWhf91uk8w6Tg4M94MCM5j+e29nHxffrpNq5fwwe TLBF+Pfp0ZaeSomC1wBFFK7sLhwSZe+HcSOU2a+saHBw7KAxdUkZYzAxe5k3oZlNnAxmAmuDtFba EoKRRAXKBj3H3leSuk2Wqq8IKUtVBWGSlIt5z7PuVUrtD8SGaiA2ElIv2Z4E78JzhZHae2TJQUni 8w3Anukd3JWYH45dnLsqnwLy4uwTWjZjyuz6c4Z9b4BXesQnaNgfWFiv0E0K5A2TW314/Oz5NAeW MCZdN/8tmWdEvOqY0Gd24H7ZMpTD5JkdVz3pbV+tTSiEsgFVYbBluCB+sVZ+JLzUTwZ3CZN7lc4F G2XddOnba04YIGIM1NkZC35oS2Vvsx7ESMdPerTUXJrSle/O8y4xatV1foE2tm2iFVZZyk8MaUrn 5JMD8GsdUHWcdx/4LG8FgvUZrgxdIKibOyfgRqT/LPAjCygyhDZiyNqm5+73I1y6hSDQlYklkkOH EevxaBLY+OKxH7MGEPzDOILMjesiv5Sj8j+mGtDLwRanxeWfoTSXgN/2pCIxQn0JF38eN+0NuV/6 0ZkgAbMDAtvGsSztWUaj8ThozRJWfJqcjlQ4VXjJGsLglTap7OyD7QOocp4rV+yf4khZrcomZURq LvaRuTWusObnOrzVHB721P1j2rwyo93dhTN5WmHrgj74ybolFEFxk3x8pX9nUIuTGyMR9+FwgWar ie8+wPDNCmpzS/OOYwAoy16RglKf7y2Q1fS2LP4jF82p/i4YmBVTK5k3h0Y5Z0yBum0yygg20TKp iR1RLMIe2b/3HYS+8XKFIQvQvCIu4LePKoSxCml2UU95HCq68DhALRSuCrbhnqNXLO88VFqdjl/F y6E5Q82mMeOGJDerADXPjywENxucbbR/fUvGEYcBqaA+8pJVXMpB8GNJYT6nx8IjcJLem86yL9ms cZZ51R5saqeIQMYub1RVWG1SR3GTsxg8S6HcpEL8ZGMm4SPr4UPFTk01idzYg4bw/NOif2911FkU iwO30tDAUmNYhPg2kgwJf1/JIWvoyP/ziWKRYzA4tMkbIxjmfXUkl6sRZf9oA1t8Ws4a+xiT7k9A bVBTUDO4RmNN9ms+/oWLR/LITpZbfYK602NSKvqZN8OPS9TyQ13iCuV1FwvHGdJ5m5dA4IRUk18L Tkc+qRbGnP1Kh81WpZbbtgj/LJYTYMeN8Q40aA1B0SyvnfcNOTWMvIyZhOcfONNaY1Fd/fcv9IKs zHw0296LUDAhPNb0yfuex4JHpugStnQvQwgSloqRUDgOATthMLCjj+c1OqXbMJyh0+KpeO2X6Jyv m/1Rs7Hxc+bbJUxI+0pUWlvguLKr4Driqy3TbkdCpz7csLY5orejzq2j+xDT+cnLTVYjfRFH4FC6 BvKsfm52e5C5iNhcI8aDr9G5YCstcfPzq3ZHKGQfwwAUvfHqsU76B5jGG9Rdq+lAbuOEc8KgvIU3 CsqfpVUhxOQkSSY04RDNWWMN8qtkLQ1Kv5rofm6QZqlCLisKPzxwAaI/pX0BWimVLQi8yk7p/A5m wn1dUx5bYhqu081Kyg1dFT8ccdPhtHW1D6pLb/DhTKU4fjSkUBQJDNOPBGurRjM7XFuBEoLMos97 DxPFuMUH/yZWrGHwn13/BLEtUJYXkG9qpXp9lVUIVBUQhT9cG9yUA8Xb3rNboEjzisnAxlJkE3EJ e1AhlrYznZXkToiKxAon7A5jVxAWuvxysGHMDqzXH+BsUqqv1/g6fUNmNGFJZC6MpwQZZfohMprZ IAqelb1JWlHhyuc7o82nCmKr4Ng8V8ky3N22Hz3nwVYGa6MSCNJvALhbHp52XpSBB1shUrkH3/za 5pnIPuT0BAJypHkjg1n0tszZSmQgkJPnKxg9q4B9lF4o5SE7pdgYRhUnRTDsz1naaaV0+Nblr+Zy 3dxUpZXd6elrwd1/bFfxJJh8mEaUcVbWec1GdPuWkjS4Dw1mv9ecclyXyObx5oOFzObUoTXDSfcc IrC5lY/U6AVqNvTFHfJdCMPF7IXeZ/SoXzFooOSc97qJdPZwVTmvUiTARzMnea9BvOB0L9fKBkAR o5ICCzPvuz0Vgx9gD6PA9fzSCI9lt4Lel5AsgbbGLW3lAVUYOm4GoySoJR18EJq1QNuD1hYbNreS kcOfCmC0g0QThrr5GameV74SJSsO84gCzPoS0Vrd9p53GDnSLHIl/Bj+YIrwv+LHAS1UFRiu3xC7 4dGPY6UneKqtJHVL5oyASay+1AiAXltTEIvHSQOjVY9h5/n8E7OG/+186knnXDwVfxrtOTaVnO5N XrI99FOoYxUlnxIjVWsYgZzktV74Px+0KKD5oErY/4uvqeqfGfRu1353ubBtbIxke+r2hLcheOlS 1Yx/fh9rLUKqLvg0phAcDnco3aN6acwlYCOUY5ClmibUV0W6HUte275pLjxxZofO/hCmdToM7ZAe j/Beh06+O/VMcQuMw60lHKsgIVhQ2q0pST19wRv2Tq3iKGhvi8vX2jyZuKEJ0ibQy0RUNOPdEQMs JPe8rmMsJe5qi2dJaiwi6RF4FRlpzJTyWYS44qQZ3p6mkV9si7Jo7bLnpichr4TxtA/WADoHpqo6 FyoZSSkhtYGw+YcmSgQX7SZ0yy1sNqvezWFXwqhgO+hmnjaaDKtlwkOyH203PAZiN8NKdlnK7UPa 5h4M4jWkeqOYfa1e/vOIAkb9z5Xz/JIAek/ailO8uTD/SfvOTykCGZJ4t2Fl2lMCzAd5vVt+/kgk uFC118/nInwTMaRUMsKQrkkIlIOyWE/sCWIHJL2v7rGT1bApC8KKWog3W2cf0mQfHkO86sYH3E5K n0MoBzhD1PJ+6mCuWwQBj2G9cCYOzdJ8qpWjAWScIpJtkGYHIjM84EVQJYe4zJCS5qtHX1MUkZqa yHjSrxGJKlVAl/YYVzcQTfs/Vqzkj1/7fcsN3LTr363Zdvdan/2Ym5RXqrCU7fQbYD+f1gFZjn3O 16RaA5Yf3oHm6nF4Oj8l+3oRLiJdvj+CuPrfABPRU8Sm6tE/7T9BsOYO3jk04acXI3bBXF4sksLs 7VDvsnaTqUtork1pAZdXdpvYkNQavR+11zLhc0u/oNzIxV6pBb9P5PBLWR/R6m9uI/wC3riP/e8h ves7f0BDlSOT5V3gNvn1HlqqoLAf3EQMKR/Db4R2oeqQTVnxIZUE+jnA8lY47mZ2BDl1lgog/PzR pExQHuM7OqBtCU4STQjajtOCRxyshJvnKJ4y3SbqqU3h3bHrEjpoT/NrLf+5i9wcZMwMj64x2eOP LM5Cm7uxeY4hVySRpvCqfGJ54GiXPt2oWVSe+uDYoWwGlrIkqQkX+w6BdEaA9T7ImYugBCel6Wv7 lvhfXryRmy6opuml1gGqeDAyey5bztnRv9tKwcz2z5qwcIMc1LmioXas3XhYkd8D9OTuW3YFwCsx rTnBp3vCUT5PZTUyA6J5UChR8QYkadIM0odKlTbaytlz4Yg+BQvgO4140xb05MHf0DC0mnDcJXGt Ne1sPO+zwwbSP0bWP/zgblAN4lf3LeexwOUL5btcpiVrQc0raXayFJg0WF6K8IYE3weYKQkE4WQK MC4q5oF5zFM9duuNAt6TnICt3CwlcBMk6tTtNfT6JJKJLt+pvlqIKxRKdfPkm83ucrQFKHSaaEQ5 2FqbWCef7lcGF06Nx1p6NqIYq7qYZaN/t/ggV2854psN0yfEW3TmuUjy6g1jIjQOoXlPK36aOZ5Z cxEhJ5z/+ZDmIfit/bMUGnRA9r+6ULN9bUceA9ar/FvJ+c+qOwYTzkavXAzmrPGZT6iWK4G8b5pu Dr/O1dlF3VZLpCrlWt2ltFrtrENZKopwYA+0s/8/vaicYBAelCNQ3Lwf2an7di17UiBjIWWl/4YA 2pEazIEsgcXVrZoj9BswevyyX2c6CscfY1ECGLTCu4x59rv3w8H2O68a7MhQ11uGnh01utYYJ5lO tAeFv2rWgvtD20pXGcis1QQ93wVka/D+sxLwEu2fss3hBhPzusKvwpQovk7h/2RuLc4EKVBEdnMU 4zRdavgp/Kzqq6YtDV10Y+qEQHefvhd46fEUgp3RdE+X49Nv5Xl8WNm2+QUuZ8xKU1ggeAwHSZcc HUf9sHJ8FgbO5DCiBl1nszBinTTf6vfPqfhZN4Zo59YJJLTOTgkUSltO39Tlg3n5DbVD5bZ8VGPZ JEEe2IRH4F73KiSk5xwd9F0g3EQgHeevQ9Uaa6Z+3V87hZ5qlaOvNgqVHWURoiq5wxj8zmcLWqIi cbqxjhW8KL7avvw9mlFiCAMgQF2xVeG2MnJ/NCsIdatWQ+6KOqkcD47ADvsb52nm1agmqYnAopKf uM1VPVpkUK0vCbomY1CwdSlwHVxR5FHp4LGnq/SBIQxuCT4UXN4PFqcZD3jPe2g4PrjpBToEIFQp XVI7OjIgzhrKYPT2bRUhh3qgSh5P/9lvLZXDmkfm4zlU+7DNlNMc3QRyp4xbFRKEYKu3YwDx3apa RvEs/YUj3PDC9q6LL/k1rZdYDBLEb9arTWWSiq7iszlqUImI5UfqBfv2EqDs96G07QOlx/CWQtkR JssyVfLcEl447IBEOyyW0pxg8VzGjb8hUR1c04tYIbG5pWd7y9NOAG+nCgzX1IjqyO2yaJSLXl1t agCoE38V+Hidzs5A4JVjS59kO7rdep5Q8Ni3CBNa/KT/6iKyFzBdwfUxuAL9JoBHWpH4mgxJInty lUPkIQcEFxBGU4o3oRVX5V+GO1+XaGpRxgdLFZgLGSbXQhnftmbX5S26ke0FFT7cZ5QAztoHOHxq 6O84qPercc17bEJhUeaD97v3KO6n+JLq+29xI3Q5eXl1AxsPijSsVX9Q/pvJr2Wv1T2DBPmb6xq3 XGMaHC+pf8sG4WotBhnrmBiBd3ADAlPcY2OzWBoUT1Kgg5FTKK0OCwegMocpuLyuok4Ty5sRJlYG cO+GeeRty7ScveiOX/DNRjlUBJ7jgwFnaBBGXtDqxHPm8LTtXsC2RNXfw3BV6b0Z8X6mcV9RbIZF VuuI85As8OXD845QVu6mHcN8MxUayp/KfkiOLa+ceWcjMKBwNpve6Nbc9zhhTvWy8lLAMXRKzR+D ZV+Wmz2LXvccrwmDwhVsnVQEepZJ03l35X+QeTU4UvghcYMMfqMKOg6vh51D2hDOXN89+YC0ZoSO IHLRJg7GIntIe7R9ayA+tNUZP9e56FoST0H5VQdxhIBLiG1TKBkgXCaMB75cyG91L06B6XkO8znN 282CQUIUiqOjZ7lDqCbKdevN2+Q7JZuH+XgZ4B88FxE94F6w+dtLQOTG3FsQUea24xTYS2ArVIUV 33Awznji7LGXMVYSYv6NxDlM71pKmpmP6vKR8hhdWaU0Mj5B6cmKWk370FoUt0HuCmJ/UOM4Ru9Q KczsXk18g0l77K9DwTOWeQFMSwuwnqHDlFPl9BmPxX3BGONz0FS2hY01D8NtJFzOe3phdrEPYpz1 1ZAkEC97B3CLZgeft1+Z8mOgGRYvluonHXrJr9gVfIUHdWxsW5gMENfRjLhk++BjQzP33KrhhDIE 2D1CBUQQuM8Xguje/woNl70al6Ku6dfkBdReIfnCSXJZaallxQPSBtthc+4EwDs+guVOfOYI6aYZ t4Nya/eEJV3Ws1jzTp1DuslmXLTMKJs1oDgGubrLw0Fp1tGrt8T5WeoODTqwLytTQ4VaAZ0MMzpj Gy3MZAXRjVoSRD5NDFMWFy/dNl8IPMP9hnlNikNF5gwANzyR3o2X8NvR1OxSiw4It73QKxmzqtac +RBep8a9GgeTgwTRyqsSLiUMocQ3RMl0ZRzip6Y38MhYqvkaxpM4pTwKD7ZpS8xnCbV+InT/7xop +olZOcfsK3hwaLcPq2jpUA/Bgv4JMWffoW4z9YUFLC0pJN7v0fMVytq30m0Egw2t5uxwZITg0HPI APia0HKOr0UVDjtFlf1a5kIz2/SFy7zsOQm7cVLONar4F6xednJG+H2a9T+Q/BOk05ZbTzDO01jU a16wKv6rWwpcqDQcN2rwP2iBlY3ZSYNBMsJG+HzbMy9GKJUX0mHr3/JOTkeTI/bfXImV4g1lZspM lZUOeL8FvRMOqXz2iRCt6u6I5OfjP2wgLl3bAQ2c/APjiqc+7u+zXTVAyzXW8yXEEskN5TZFHWVM VblRNG14xfFTycCuqhNUS+D8BEJFTXxdTwmfTPhkjk6yrCzAQcciGNOgynGx7n+h2mMBEeq2PpqI jZMXj8bvefwmq308pSeR6iticQQtfGM3OafTudA4aUe/xJs6y4V17X0yavreK45r0arV+3zpAT0t gGQ2FNaOve7jtUu13h99s0ySx51+H/CH/xcA7rgeRhvhvqvGy0xeSe1+ZRRhXqJab+zO/gk2JEuo X2uT2INwiYGCNaFCdfg2zN7XslKdC9xR48xihV7MK/RXlePczQWHoMf7OLWv0ZyL1mRovKSAkjQd uRChGYu22iut1M2zj6rr4GJ8hXB2F7IyJ2KY4kFXj76VJFOJ8mHsWP8nvtQr40gCY/0hKP7TrJJb 3qz5L44sKgGSJFF5WsnNmcMeKNNdXRsfqZX7nPD2gEc8/U3wcXF24kiR0SWREIkgoHxpLG/xjlrD Z+pUPfa5rab63Bk6EaZupK4mUPolfIXdyqjNDP5FIFcyQdx6yihcbkYz6XKRcKvWzmgZsDlyvyWu 9/jMvZnhgUO15/qT2yLwCZnKTHfbWn/Y4fCfe7tUmIFbTzc//eK65pso6i1vR2S/n0P/k44YHLPQ K/Dv5YkXoTMaar9AnUqwr+TByz8oTaqnwYDV896+uCrNa5TTHUCJ36aJuIPYg+Je7OGyepD/+9E5 sCN/2sqRgiNcM+spHyYdsURQdAPnmuB4OahFHOSyOqmnR0RWMzg2GEfMHoZtvHDlA+y03uTaxigi F1ETjb4bQfINLgBBx02WI0WAFaji9VEOwO6raVYfbcFJ+8cbeQAjMYLX/AqoYuNgawrXU/shFSuW 9mXhw7LUnCtHR2QY/LhyX5khSuaaqe/ZuVKqJuWn/+QsnLL9iOhLPrerT6pE0EfSgMJ4L2UweGRw F1htzg0y/6eTPBQlSstjiHkPO2ZFkhI4qYMPv01SwhfzUFomZIR3E/u9eM6eNlkdf4LI6fOQ77NB qYQOjBJS/KJUUtzClWPfxtI7flOHizLFVQHMZ5zKMjXXcu2XvacuXib4plfsLMsVJCyYrsrH/LpU cvmphl115q30kAlQ0lEY33y9y4zHOUDnZeHFAc4PpAzFMXLc/+N4l66FSeN2vohAdKL2suMVDfiK O/Ok2PdO+IsRcEzpNClK8gM/UVbt65qLtxBdziHTuj5+yoa8qiQRlg1LeeILE7twNShr18JHUWoi 9r3+mlUgjZjiz+yePeUZT2VXdvZcAfkas3wSWIKkyOaxufVKiREhfkPaP0rJrjoylI9QyicGTlqb GarkBEbo/mG233JXjOKAt5jkiNVzZKLmIuJykGaoOyzjW/3ivG8VgG8FYe52SIF+LAk2G5aczNpp UeFtjIDN8NQRJ3vF5LUnQJRvRLV+qOWWk9JeRcvfH1EGFjtaVW5AuwsXDRde5RH1izuSLSR/SjU6 CxcBXSxgfDKRXPHw1AreBHIXBTeiPgxrnFZ38wEq58tRDkIbs43aV7QgQskdLUvZ4fcJdXw8rT4Q y25Vq8XKXzNmAeHiB5/UPrIcPyxuRVoXm/DJlIWhtIQDGfuPdAWvu/9tY8FnQHH9fJemaP7hWzGt JBGcQzDMi8j3KfU6nowX5fEK/nblVpbQH4MCV6m/fExtUsCAPurr6lQh30ZMgm6nlNVPRkPoce5G RfdP0B1kp8E8M9Vk/VTyoX+7iWBO6nea8EXun0yk/UHLRTOnSWYtLSPKoY/d4WwqcpM867AEJOk2 ByY85kqhr2tI2KQ1Z2QrUt9BLcIy9xaGhKCrhd43+0C/cWI5azdWGSF51I5eTHEv8FTrd1rfLmJn nlPWICzFZcfnEzYtRAZH/uPIKzxeZdgvwZ1g763mgZcLy2fs+RkYE23Y+Lgo1DxDqc7gSFwKpt21 Wmv5fA3jetZMqAy33AQ9KKiDaelkjnGq9oXj6bmR2FcrZduJCvDioQHpO3esktzpHyP/iZQr/mzW 2L5N/wCmZpBmULV0h8ijNtMMuVf5+WXCtzaME4qoC+U1MwAJXQJQbFLgjvkFRAtyMIZfusAanh44 QaYUhStZKVMb6TDr5p+SQ8I8TgkC5TVIyto33Ae4h35ReWlLXgXzNTOehgcW59th0akaOXzmlnd5 ruCGVO5orm1y3PUP6unQ1NnQyBxetfNDouUe/itpLrmZkLs1I8ghX+/Fv9kURzPvfFG5HbAqGR6K anOwNp7ek02Prbt+W+JIAJnxfgDEOTbyEhIvId3A5jI+K1epQFIHtr0vW4LmcKvLUCKDtc4kj8AL 68jmc7IrT1/PcuXqDWBJ/55DegWAHILApQgKnw/mxTEU+O1Pot47K2L+LxRk4pmm/GUdcLNo1GT5 qioWV55Dp3RCtDl6ZLiV+jsvm/6y/quxDagqFSHgD9yEQp8RrZaasdZIsydcIpvpihU+hoihisZ3 nJDrigkT8RVFFD+y6aA70DbprGGJFigTV8bwCqvfINj8aamCfFb87xYdyJvr78CRmZVDUKFdiRAZ ozfdyaBS7BVT/c9MbnGw5/DVi5E5qrOHouc76m2isD/Qg0hD1M0kRhx75hvzcfBoNCbIiWH3RMWb ZizOupYi208SrfYIoclaWb5zT8V5iOY84WF333DIiaOTVxrnWSquZJscLSNHeav9+bDlj7qeTzHj bn+36gUwc+TMez1NrEWp9N2hdttUlYWxl7RJpqbY+ElbH8j3UZWl03MlCWS+9TeI44MYOXHh9b4I eIACauKwn7MO87KqHb844Z0SNpe5O8qj9hZ2UJgmMHktp6gLQEQ6GZUvcZYZTpr4hbz9q8A4X5F1 62liSo2cDUypZ1nBCHTXRp2DpZoinsPWBtJZEC/KdQoRn9WrrAFcYJD6xb3UAoBRcjBb1GRl8dtV MzazkLueX3D64oLmqCY5vv7tfHAXFuO4y7shktssHzazAkCOcNNkkCiWl2vQjP7xq65gC4BiGuEU NS9vSA8iEgdCwwKnttQ/G30Cz/wqVjMe4LrdGKIZDc9jygop+ilVhR1t7HBnLFpEWdDyGwvNbiJd BRV+aUDvCqS4vaAyK0LXG4mv19CQ72CrveiK3RhYVd/o0qqhsQ3yh7xiMH+YsGyqZh+zccfLn05f fQ3WZ6icNNQnX/7clZ2e0OQkZuN5fgWJVzZBqKZH2X2aQlstwyTAnWv5bRCKqqpuFtjrE/Tckriy OSznfhZBCJ3AyY62OfyhSj43sX3wNSbnR2ihUnDdTV6eFW2bLvED5FXong2kCt3GuHDi7gSfG+A1 EJPvCegU6rwto5vgfktSNqJ/JFwZSMRcZNmLdGn7OF1TSQsFJIRygHd+c16DD3C9tan14wpobMqh J2Q2IyRUBVCBy/I04kN1PPGT/jFhbPnqkcXMbyLeEQ7Z+sHo6NTAVpKXdc1+3IDmK9YCiNFOs344 a0ddc1PzPQo+VjMvUMeBdJPxT6AFByiAOPt1rdYOKeRKY+QDH955y0Z3PouJFFTM/X/GXjySIJUQ 29HUyCrvQ4tx3Yw9MCyC71Uz5wF4pHUojpszq8CUovSYk8O9zvCh9GlTJze6NySX5mstHfxwdqJS VSzwrhdbh1tK8SeAglVZsBVfR8wwH4z4IsxTNZUU1W8WVkUIEfAhvnAjrqXCBcViFh4o8U8lU659 h7cGnTSB9kKoDe3oNqx9IPu2so+RzXscHekiziHvJtuXVQ0KKFseDnvOvfxlEgqcC++LgqdmIkAF DAELCjwX8ApV1bEkRDhhc4WQnnbDbKLCCEp9amjrGjtcDMiUhvyvawWQ6qkhENFKuuOATinq27oa XwgHMRt5GBgxvqKg67VdxM1t+59n6ZUQh0EVb1tjItCLXgdSA5mTdaBU7gdPIbj5mRvTMev0WZXC +vKXroDJxAbT/J4KKzYyTtFogN1kyetdg2Rrbbu9bv6r8uvQI2eq3s0SgbqQEWzOV3UVxwp4mKFh XQaYYRtNfoPVe58PURTab1Qjh4a6daeMK0y+irtX6zGIowcthNMT2PUoiw7FsN7C+eWerjn283V7 JwyoIe4YHH9H2IwYRqhM9qyTuaxKqWhfGiHPKMIgMh2YTUfVIxE/4FlJWGBnJQs3IttI4qJJGBSk +h5BGnLcF94wfqLePYlaei1/VQuh2IHopSK2Md1nwxsD9axrbWGYZi8oNcmN75ve8RxhVz98aYiu Ki5KPy27p+U3Pm2yUf+16iFiL/kt/+pIeQKMVo3PpNUNITvOjKjJMTxE/pFY5tqBpX21riXECvH8 1nPCnGfwLwR1KNJ27wst2QqV6T8h+vK2SlIKUWWl6/culKv9c4zh+VLxGSWtCLb1XUo6FMw6U4V4 /x7ibIogVwgcZGGztFBRTYxo2JY7cLiaw/GNqxIeLNDtcrRT0UVHAv34qFGeZlx9x8ZKdxQMQc1+ etM+b1xuoS9qHRXFfUOOFIoGr9m5jNuQu43dT9CRXpNtNNLuRtusOp1QmHQEWQ6RhGTHGxQRCQa8 ETJTjQ7ihibg2JLe1QDiRXH4Qn7Jag42MYDdlFGln7dmIEMiwXmHY0i1LfDu24XbBU34dD+3eEqN /CVYJGyN+dGCCHHxMe/nuSSPtkg84NInTm5mZlTZNt8+JBLswtuB0WnJRCysWEF8VBcMdYo/skzk YAIXJ2W6Y7oFS8jTCFTQrMk1lgeW+P9fXt+tYakWMPnEQkjdGiaFkq+Q3tp7M8PgBtiHjUWNSv3k nJnhVNxXjQLVYVW0PAkc2Ej4CkoFFxKqGrz2P8NusvcMh/XYV7/SDrVp2BzetCkmzgnCh6f7hPw6 rHAU0o0fGkKOwQA+bq6p+zHrBTlCLoYJQCE8kSFKqkCgyWJgPVCLjECdXsndF2HgFo27XJc72pzX /GxJHjHtiZ70xj4z+R54FjK4QtBwMuM5c5kIQX1vW02yIx+WWUaHuBYElcnhSK5h7Huw9aXFhPA9 tR4z5nr7+OYboAISdU+iHZI6htioSbSKbnfQ6LlS4DMpOTAc+JK6DXkspH8v0/UwDHmkbt3+JH9T RCQuCXRYAhoDPqCHsto69puuCfVP/sV9G25fJ1te3SCbNlGxOME88vR9H8ROLCo+9EE+BCwkSsGD 3VhkDSdWsdCnThf6jSUSC7PaYh9bbFswTBWooowU+GFQ6PtziHa6X4UA2s3nxHXkUnDYJd6woNS3 20ezPx6ew1o458G81cjLWlKdoUfQCQvOxrLMcrGAA1MmovMF93tmeWA2EzKbyfgZ3WWcwPGKZ5Ho oQTMPNkqlrIWabYRZj/iA717LqIgE20wFEDigKf8NGvk4u/HT2Sk3iE05q71lTGugaJmi073I7e/ /flm7s2wd/RUTCmhE0jALtPXinMJb+co+CjPSgu45ssSWBhrlOW3egOlYwcRzrALxupSeSA1RxoI 3QO+g+QjF0KBNF7lFP66w+ZCwdxknUuwwc2rP2wFfaWlxSjPG+JYGudsGSv/AJ60k7Dxzqb9hCo2 Lk1QV1bB6n21mHNzkTPU/bIEo7J6HmQPtPTidUgabOmZaSq1n/SLS7ldcl5upkT/8UQ4umKv8eu4 mnxdHbMwKI5Z+fA/vSzV2ZjdRjyvDsU20BxY4rZE7Zk2fd8CrWWGM3PhRgwNmLVaKELE8AyxoSra /wwx7DvLCXVANdP6PqnzSidJnkqSov7tWv/aebi6Mjs5Y+vj87RwPNEqqK6IEAFCL9WUay/I3we7 c1RQYAzcxAE6xazbFaR6juAU5fIrwYl6IsYgnMFkq32BQvikChenTiNRLHzqe/XSyExG5Q/Au7DK KZMhgmMYWyD4LMQqK8r+F3+19aNfbGg33csPV86+vlZuq+6jK5Ha0O+jyUIP9x/BtMUBF8mMQkuo DqfpLHnTTkcAmqoLit7M+XSv2HuzivXsJ5fh43BGWCihcRZ/SsF+5cEnieNzh70i05yCr8XHwnoL avX1IoBjfiJsRnX+jKsh2tVqxzgRjZ7z2SZu5c+MNRF9SiXJazGSuWILbljhXbvecK0iTc71zz7H DOQdEqHAqDuOC37Z/832TkhxIjDXtXB+5vcMyfrY5zfDjzt81NRPuKJ5U32ojZsKG8Nmy1Lvkcgo 0ifZjE6tXN7fMZG9wzDgKFvCpokTKxfGoL/v7AfxMRzVY78hze/AlDZuowQmRiO5qPTKrJSqHZnX nBNH4zScXB33RhB8DOqR8Yt1c5y8+K5eyUYKJxTvAGQVfiyLbQe+2G830G1dzSFWsWmykfYEZfWc TF4uzgFYi+vmMyzAOXNOIESyF/UGdyr/4MxxJk39Qvu52u60gZE/1TdnlnbHX+ElJUadClimaGYD LpKCSZbenACGmXrdTko8b6xPMs41aVsXAwwgyZSi2YHnm5FxGVJOzk6wx2WTPqrLfvKbvV1UDPg2 2/a7aHIgdTvc5/m7l0zvTPKzvTVQfjAs/z07+TAp5W25LwBzzVHKkDSR/3s2SztlPBlhP4gNNVdj pyLp18eT8tYMZ+ULcHdZxapipfpctIMTSj+7BvFhKF67D7sfiQidXWDAWpIjWFOHttaLANIbYR0R zh0arzHzucUOixpSh+URW3fHxRGEm4EldiQyvzkN/T1H85Fh4HDcsSzONnvW6FZ+wndFP3S6CPcD C2MJQkVKF00w9J5/Vlft5Hjj0TBNCC5FBnKMrau/96Xj0YJEsXQY7soDujHP+b0PpmoLO3HPISSt YXeK3hU9VUZSIUb0un290SuAqVrJarOSgUhgA+NYvFXzS3yGUYKwycrwYuALE1j9nTLZ4GoUzmzM fDJC0oGpqMf2QmI2GFHeu6KlavXuD7xWnEYksg0mbg9WdfVeRjUwFoDzCRnPsZM7xcCRunDaB9Jt QiAArKiAs/HMdoNN1TGHMEp0jBe014fW0b7Sq94A20Y20je9K+oloSoMsdRFvP+36jW5W/pDw2E1 KTiGz2O9vFFpifVtbRWJCge0MU2ZWhlTwIgRc/FrbJD2W8ma3YB1qFcLmDVfoCX2E7Xy5rHiA5VO kbsE+MWoEQa6AoBxRmx1SKehp2HTCmSu3B5xT9xevPG8E7Wt0lMPkZGEq0ld32PgTMe1AirJAc9s OM7RCcTd5vKVGbLSAyad5f7qk7fFtqcWeSegiuANWDBFDrvN43wEsCF+FDgiZ8GoaoXNIA5vf6cN RfQc8EjRXDm0bMMMoXU4yf7uMXk+85Hdp6hrnkI23pUoN1CpGMzdt7pD3la3erBKpB0HUsYzD1wg RZfRdrt8x/bmwIWKpg5C/VUpS1eXZpMj1jP7Q5qawplyoCBiahVAJlZOL2r710mt9xhWRPu8JyoK Cfcpbm4QOHeVEoiVV3TTbIb+St/7nwLlEMcuUXc29UypHM9V19LlHGrEkfFDSTq/gs81sWAl9LYG AQ5I2oAX7IeEOVfPhHSlH6HYy+iwsq8QyAdIGRZPYzBpePfrU0KK7xajCDCfko4Gs0N5Ihh8tQvP YSwuVTHD4mCETYOCK39umpTB+bDnZDX7W4SMUQlfl8Vsp82JPKOTemyzWkC1SXYEZ4/43F5H7qO7 9uINa8pyir7xo7ZQczI5P5SLfVauWTrQVGTMS+dxglJ1mzkKrimcMiWktUUTyv0ySvXqVo+HyuUn MiKasCAXeSBiTr9tZWHhYWL64k6uumV5MnsrcJDFiVYFuN78sb7haz8cr+OTheqwDRF2lsKNz/gA X8TbyxCx7RAvEnabXqamHeZX4gC1ZalzVWByuK/aILQCVhBFqXuD3YIcRxKJuT0Ry6VDITSjOm7i OqnT/u7bfqSX1RZIOHAHBUPekMD7vfpNf8R/7LQDZdaTROybSbvaDkjzxQnVWkko4MDnO00qyA1h II35JCoZBLh3Gt7Zwvhl8/e3KGA0I4L9rFZDbO3km9rrqd7eIzeKxxZFKlNM3L6ANzE1F9g0B1PO FusAke9557ul0ZTcbQ1GfPn8oSYZNA2a+4nfan3pGj4u+K5pw6s6Kg2vsfuN9HDMioP++iVjBztH 7erKgG5fChEu86SEemWqrgbVbrer1zbx+C7bGzpEiADg1BkjAKp5qvw/bwCsRUnTeeRDodJO7bOd QcnDUvUnzijaRf8Cu+CcvRc7sRC0XDsZCewlz0Gvp+lNTCvZW4FgJ3sOVj5P0pBzG4TeLoLeLy1k jAjlyMGRvAkKS+s7nWzCwPpqhijprd0Su95+WFVsLqeg2ONGW7xoaFB4NXLwlrguJk/9yCKFkLws kD2VM3run9TaIVN8Ln1ndViZm7ViPdPdYo0/87tYbnjJ+pYYfWKFQJrfFk90hBE4iOz+D1ryHNk9 HrRFEm29ihjTKKNHn2X6XwL2Qv+i9zZvooEaPvqiSKRNvReCvnrO95ANeHm6FAj9s/HD41FsfJeF SqRa9dUGZGMDPnDJ9ceyQeQAzksZ0jB7GQgu1AENLZAGOnESdDMj79ll6BBcQF9nJKK0s+LTRTnx mT8Omx75H1tvkw4/lkKZ29DXV0TzH8MknflF64kxhFLEA2XxjjNYmqtQEOFq0PnGAwhWWTf9cMjW GhlUvwCYajUATd0d8iRZs9juN6ypEB4DPyPqPkhy1MFHI2j3hAglMo6sUouDcbi6vdkdzupbtlit ApCP5sNAzeqU2Sa+yAZPnd3+wQba5N2SmLeIzMd9rKZX9o1hgVwzAXCtWSNWxwLBE989J+Ka22JW qI135211/hAXVsSha+l8jLOMN3f31aEXOx/npfPtHuVIaIeS1oYgp4zBUvO5fPhvPkGS/26uvC8N dZBChSgJvb5XEVoKiWu1xLlGIXYjIHOs2RpCM/wnz6uBWoRgKN9ZoA9mSkMWz0876/wk7Y9TY6jj iFc17tr1aKAWqJb+NzQ8nJS/5zahDXR9FSDIniFnk2E/IATbVv9EI+YgB27f3hQog91k3WAWvTiW Iizvv3tHABuAjF6zPIzBLkIS6Yjda0F++Xun9/P6gAhpaqZ9+KVG9G123vApiFbUWYti75WovCSA tyhymmyGl2xl89+Cw4QZePHF+DmH0eCGS7YHiTJ906+0DduKgYXHzQF1Otbz1Yk054RPTQEGaIdL 15i7/RiRiRo1iOSZyMmR1PNvIiOkxks49wVV6Hx2YPnFWK+0BUviiPXRUVoWTCuZvFeBGSO4xuxo fJP4j2U0QhrVE06417CI+SEdunWUjMWEVEnfcz7U11aTDweZb7uYhdY8hKR58aTg/gyLNVMP7Gn/ ACv3tGNpRxRPGQTmmTbLn8BJSNx/OluVgbGJlT74duI4LTZGz6MzdDlDP6tV3vytDHOLNaMWo/S0 6Rah/NltnX1L2c2wqfuWvTBcCGoxOrgucNU9fqSv7sTS2RUh/CzbWS+1uIquqspa1Fkw60rfH3C5 ZMKmWRXXbN4osHZu/0lfc+PENrQBObPXlIOujwb66AJbtMe6IN2oGEUM/HqrbqzcEHZwUvezjMd5 N0XpjUP+nO4LTw87Aid4eimBZx0zp/fFylC/EuAoAF8epIXWZ2gDo+JJ+Nfr7O/S50T+lQRnke5f AFpKMtyug0mJDCJU78H6567GINCJF9zZu8eHns8UWbvpsBlAexZAhjPyfSxPUIB3GBOnItxIx0qH XD1pYkqgnPg6JCQ2U4QLh8XV1ur9dM/uDQSIZOXzJuVOPb636xverHmVct3bD6OWjy5hXcGzcqyS PkIcBmzYK0YTVDcmDJlK1ihJP60cy55Lbojvn1xRI/6H+ombMeJcR4zUx6O876cAgjKv1xRBrw3x n0SKiKkO2FcnqScVALecnVqXW6dtkRSyAOJyRTR0qXNSP3ysOosuU8ulZFClzhG6D6MIlKO66HLl 7UdqL1omtx8R0+lc0XfTudmqX4qXg2tjfbXwXToXzwTaB3QX3QTEaP3gHQ3TXGNYP4QcyYzEzD1J nL18ymLMRWxcpoUDi57K7z0v3LrOeSg0x49GPADzswaRLYVdoy6NC0muXWnFiolQiKnjYbZdWbn6 lRSc4LX5ErEKtxZko0vp3yxRPjcQ6Wqiy/0iVH5P/qOjjGC2SC87CxYWJoWRHZUcdudSQLUc+Cs/ RRBXJ9GgF0RMu2a94k8jJw3n+XwGlSvLqrt4KIGM1gjucLtYZrjnomCjuAE/I9YHleL02TRoengO li9KGEaprAx/YLk1cBXzUMYLNEKL4Ke3joSPDD/rnEGv8057mtfFMNX8x1L6crZemgHBt6vF1kcW c+FAwNI3ExYsqzpxqYvbS1bdSMk9qj24mUj+GFsnVfyo0AFtZMwWPaPpmp9vRZL7R6vzOj8Ktg3I aawUepOzAZWP0lbut8VG6ljkcNxwWk2OGGi6SeBLt9CKHkjXEK3k4GF+HU4MkNtEsx69OZnPS6aE ZRTrzo1875+JpkAexBs2qkceZeAUpOc3s08rShw4OWGxq0+IGJ4YkVdILhIuf+9wL4Cp1xdZUvdn l7o3KhM8WjfvaY/Sh8uFgpGy3TbkXS+eRONmEUWZ9LN2AivBE6Lzswp2CWcmZ114m0oIVBvV6ldo wZRYAqRL15qmxk/eBT1zQgLbqHTqEfDRdCS/dBjw4nl0TD179z2KQ2VI8DRVgLKZ3B3QvsIP4fK6 hZ7bDXMEMRpAYNio84G3M5ayNRap1hVX65X0Wx7BqgDdp/szXftXBw0D3VY9mY7rzuBWrg2l1BvK zSk4VMvWz1LrKGIzhD578faU7P7Xixtc8fJRRvaN5PlHnTJW6+Vkipqr+CHNUWpenjUTcTKoZSGC zwD9GS+0E2awHtSR5CBZ+KvbedKVKAax2YXpj2hca3kSpF2d1QZ1SDq9VHSZmXKES3qbn37pqaVd Z5BmSPKjtvGXB9KGO3mj8stG3b9VvIMTnUFCiA35vobQRlfRJffj7+zJDp2HIvKqg5gI8fo4bQVk QrUptZMOr6RxGuBa4toM7RAMxjFelr4vSvmLD9Scertjef3W/QHcAJEXTzgHGXEMN3sNtkXq5CXJ 7HOm3Y0VCSj5IGCTy2E1JnzoZo+WEAk4+BKbAur99O0mjCrXoWmX3lt92TpJQ01Kiu6uXR0sAsUM wGWRPT66mcOTuyYJG+h8tS47SwUMqur8n0NHgam94OF7gT+ZbU6woiT/zMJCfhwcp2zhaEw/sQXX aHVW/0ZGUieBeUZam2HndYMEVvT6zY0/2yKQdGnBMEXAmanjXVflr+5R3ianzQWfv4neg8Hdmkyj L16vH4l6GSA+KdBn3YDoR/hJlFWhibaVQ27QrScIrxXcH0cln6anfdqSDu4i3O12CMSc8oEzhlU3 77OMum4nUrP0c0gYpHmPe8isQmfN0vjpWbR0jEEGj6t2craq48t5P392LtjiTVG6PFC8N78LZoGx fxfBehqXdYqzK2ku4RbRIlLj4HgOTBJtUSzNzAf15TPltNm1Tc7bD6YAzBZD5Ux/ShjD3G96jrUj r1S0j9AbN5GT23ygN2cQq6D3WRYha4LqUk/tiMyOKddBZZSYuZ0VYeFeimCBX2lwqxRqbf+3/d+s uARz/F3uD0Y4ZiyBp6uqfQhTxmMD2nHMuYUkNF67CwS2LwsizgE0yV9TOUE4FBjEG4hpRvIxQa5H tWCIWHSZCEdnts43fm9N5CBfqbiLfsMQOYWdbVsWaorqCISdvj1OjluJ4lTlNxZkpuRDVDE5bw1Z 6TCFU9M1r5Nu7um8j5eAPkxU5+fT0lZfk3XH5oRGm+5eP75VtpZMe185i4nekich5BGM4leo/nDU xFt9uwC8qoDQCOUakwzTOGxfl4mTZlNSYF3azxrYpu+lflWa3b3EgzYAYwStjMwCau9wLXVYeq9c ZYpmRUeC4Bizx7G80hUfNrFc1G0Nhv9sOyKxHoaKxJjzPo3y7NsCNTNWHMadPk/Gi8lcjsmPWR0k 0jCZEgOThuaNBwLkDPBjjDLdyBQ5JuDPFJ8yx54k9uxfvQgbYfd4gf1DJ4BeRjZ5k4Ty3g2t93y1 I3MKI9FGrsFgDPQvSYB/5mSMoflxKhDSR0pnxKtZt2gkmFIKnmXrTkBKHb1nfbdsvScpr4IdlrE4 vEps9d39JH0uj32xzW5s9gUnVGVsk6oXr2HiUM0CdGHRTHvP/chZ0itOufLM8wNsWDwkCDDe61bo gurzLxQcH4UF/YVFA9RjQn1LLEirJG6EGfjegyVtNFyd7k0Fuzd9FjT9kVETr+YaNt+4FUOLdwq8 J7kaavSc9F2u7vz0JXhuNvlJRR9OwDiKxxfBLUqkOv+kM0r86GGR65b9CeQ/9l2k+YP6MqfxWgEg 8HRu6CX6I1fee3F24iBbT4i2An3rqLOTkpgn8hVBCwqYC5/i7y05Jn7uxXJKW2OQN8wX+jabhtA/ yp0NPUnDz4nKKq2OIRx7txDxttcrCLgZ5Pdl4b3pFYybJIslV2q3i9QATcFtE20Cz4dsYuKS2oUk neWTfX7MHiFmzeL7GPa9/JJdGkFLiGTvsAzi57zWr96jTeyYm/gMsJLVhb9kKmSInXXW4b0YSI7a /GSzgbNY9YYL/93xeA+bOgm/k0sPnwqu8HmswR8BVJJCzBN32IlAVp83nEIl2rinsLCI7msOwQ5k cSFlRwy1ZVr53VsbANrfJ4JU6FCnpmUMMVoJHcA06+TXshllg7X67/lsvJ/QNWVtCmtsvI0v8rFt yTQGczeL3bYX5+HpfATIjX7DzJKp/sVM9rW0O7S06ag29doD+/B9AS09MI0GFRK1PYwA1mGma/g0 /hc/+kqMJ/UdmTF6f94CNgeM+n974Dw3a8+8rw5/v+VKak6N5oxZHLaToKPdMlxbEUuhhX5fdPPa 5NeLK2FxoW4nBjYxb1wANoYKUrhV8Rzer+6S9L/vAzFi59+6TDqHUhJa+bxRW95wwVUwwOwtJsMD YFPRGBN95BxVg6Pxek+i6ZMcmMM2adG3MBbFgC5QdPAhTVY9yIFOhOMP18CwdW43DEZGdnAW2rel Z2a10l1dwzE4dOeXKxy9LowCJONR33zL4diHEyjvJwWW/btVIV51g4rShNJRXTAQMumKK+7Q5KM2 SzLFuxo0zdjZH1q53zPkzhHlKzVlDMs4U+ODrqZiq8xEQVy/9EXBaUgrvpHU/4TSlsZ1R13Dr1Qk 7N3sqPKm4qqsqeXBfI8mbmj+09mh2pzvMMfxnQ4hMDxgLwIliKJ3OSNEKK+iMGHycI6n1p8kiRIu 1gzQcclcE+5K7g+QJY4jUsIvLsXJWXceSd/wDjKny3A1mvWZ+/Sdem5aI7XcuUQD8vfe+PPhY0H8 vGBm12Phtdo4JzbSScgE2v9DaQWL01rEJL3rWJ3Aivml8S2t2MDnyzWkGlsRjCmpAXrsVOkqbbGN 5rcOiOyHUsxfRwzKHxJSuJrLmN+HpKq+ysrmOLvS6imYX/vBF/BPimQzDFcQiGdXp3lVPQ5FZbN/ tFX13WTmrhs2jZpCOJ4UHRjAly+p8sRoIVnaQJrhBhqpMRQ1GelGca3SlSA28mWo5yPW+yqrYBei I+LB5gO7eR0Okp4gm/2vBHeixBecHwGR6F5llxaQ3SvI3tyugtRoBauEVNMoPJDcAdqOJjE9ZjQe uYf3k6ieE/sDgD8UJhXKETkReyR9G1wwz5Me2pjL6FZOAZ5qBLpyrq1HV0Aa5bNfi16GRT8GPJKS wqFJycywuElLUTnHGyyU6PLBFE881EIPw7aapGRMyZVcsHUDoEcFYpK3BZqSgYB8iGBHT/jcUtMc N/zwuQ4yUNkmhxWQ+jPStEDJxdbVMb3vutIo76EMjJH5tIzQ7Hp4Pr87NHGAapgNFX+SfJGLK5zL ra5Rm3UMIMV98HFw8gfdWXoab3iz6O1as/pSleYH0i7XO1ruPw+ouegTUKHV9byC9zSTEvl2xAXz f2yb9J3Di+jQJVFyWwDoRxZQuEEF0sbdGtDGDLx9/ax4I1I6KrSBEKJNjZyVQ50j0qby3fW8JIbI Sew1lD0JxCPVY+W0oqa468srdFVeSF+nFhUEBxlisaCYniSsMwqCZQ6acxksfFghdJv63Sf4N7B7 ExrM34hZAtMaeAR50uIzWIt4NgWxKu3fjlvQ+coPmfc9inUKxXHaANDbSVn53PAejEYgltRFRZ+J POUHNZWRClqsApd+EMY1OiMWq/3YEaECjke8Ok/+VWj89Q8xQyEfsCyUEbeYN8LsOjVhNlgYJInj KyqRh/ZGGviIjBXZGyYrQOQk0WF1XL+QZUUFmPhn3+VMs3W6n61XoDeMHpgObjFP+1UUcP+QGvaC iWO2OgZpYontvewTy6O4uIraY6i5XOX68qkSNZnL//WRU21p1cziLtyts/GpzR0NirSHOW6CLWgF EN8MI6WQI7y2JLPaWRSmKp8h+69Ktux2xWelkg2SJ9c+r6jvw4GAmTIqW0s3aIyJ1yPAOhOFZcP6 W4OrQce8EE4nU3t3qq7Cfy1q3BlmV0bnxE4mQDquCv7L08IHE2BqdSoC5s4G1Ot/NcUrsseQHiou bWWikIfIc4uREq9unyyaVquoymfLHXE2nIWKAtLjQHlEFTtoS8tzPC0TKg3E/k/npjQlvi1FtV4z bWfwbSj6pcRIBf0xclxfBF3OyctECOs5nQ6TF9f5lxP8SVWJufNXeJt2reGCpAvSmOqTZv4sD17j HjRycs+MY1iH0h7YAYfL+zfvz+i/Ll2/kXTI+cVbHLYOyuk5dhCqo+UWohV1GVLX86HJ1N0hrJuc 6RxbRJLH6mDX8qCgeihVyHnnF4YnvNg95regR77mTklKnTdMiBz0ntc1GkvWgy57nzzMAFlVzeuv pLGhYKjh8yWXDaUvPgZ/7+aTJ1LVXKbkS+51ZO/K9YkukU505OL+ZAcU8AFQI0KklHbrk/Upum2Y h01G5MiKDcVzkeH0qKWeFCK2hjbQof/lVYrg8aEltx4Z/tJ3XhMyMHd7rAohaq215ylrQys4EWrV ldfn2UuIXBz9dh06wDC3zZCWqxjY6CLUVbyuIelc5G+dyYSO/T+uh/sdym3eiq4xG4YxUz4xgY9z JDUMm0sgofsQTAJzTX2ylv4ngUSvb7u5pLb4oZXksydmLb9/T0PwsewiEz9ubZDjf+EySMinpGvI voyvCSzDGK6yW1T6DOnsc7jGa8hxGS6mbghixQ51ztX7DNUOx9dRWOXzXOIpEAieoougrNKL3eeR g9X5rIInVYsNgorXDBjIOWMhezCYlVoYPy/BJsYicN7fd64sBgJ6jR/hYBSqvDn6UDEO/IoLNjBz AvQY8UTq4ZvzH+/1k+2eyTKBOFHjJtpC20fOOeZZXLhTUcQlMU2TL0BcWlMoMTaBR1ggsVfa1HvV c61OVxkyUJ5xI1cycn5v1aSzEST58RLC6oEiroH1b9feIMiIAZjNz1rs47NEKsvw8rfDHv4M0P2F I9YdePN2QRMnL+H1tfZKVmatvfomJqF2VoTcNuWqn52ncqv5gsieqkMRu44bfDAtXpw+rcANe/wy LTdxM7Y4teS+sP0zTKWYKn0gk/TRAihcyzeOJCzxnbeda2nhgkBD7Xx3yaQNaYPWR7bZ4oxP4keH Mo1Sgpvjw3TmPfgWk8FdZuBFZwyXb+6OITgZcft8gdEXwBvuE7H9jbJO+l6+z7ZGNDPi6f1k59aA kz2Tpmg51tl9N9Lln3bIb6ksS2XS5kVG+uZvWgBLN4S0CAQlkQNpDrKAs88vtn5zQ2XeBb7/xitO Vo3cEMfNo4RjLCG8f9j0qNHq9kwPQIVooAhHjw5iCwXIZyUXlhg8E3HYqbxUglrzR33AOI95XVs6 L7kEcMJKb/nXmpOH7UnNYgP3q3qPaIfc/5Urk8I2O7qyLfNqm8gG2JII97A/0O2DToqw6G3TZ6Pj ugqi1IvSCAfH5h8c/sD2vZCi1CH2218i4UbKQl1+BAdv0g2Ri312A+YSr5nYQaGYqkAcF8+KKny5 3lOKNlaEo6gMTSddxZWpvs36jZpwAVi3Bb273zweHjKphy4NZ+YnQdCc7glTl7YYHHfioZ8SJSwF X7dARAgxYssNl0XN9NXYNLvrXTOgPQ5+u1048tMJSMjwfELWE1fGqid0d8lBKX9NO+pD3xTjy+gY qd+MoqeUwtYPQw4FX1UEiT9DbApBlE+awfrLgxRlT31U8suawWEln29PH3NZe7thnmJQenqk835J fqQMm8OT9s78ulUcuZABCcATgzjrjXlwkLcQ6vccpGrgXXYjndEHEcjI4mZXbgQKWJX8b2Bt7v2s I2++S3BaG7KZNdK+U4yhQ5E4694OP+uWkmetuoAZ8imYxoa//4KFfhc/Egp0lY/a7tFRwz4ia9ck CvGA/yy1sQ0O+LmO6jVx/UUCV73xtBIwS5X6NNSkiUTiqFmh3bGIUXYANPgsXnpD7cYKDhSfE9qm 3PxLC4gpU4wDwtTKhFBkydlP7xNG7GR3ljs+8Iq5NggdSXR/curw9qtlaRjUrRbGH0p48zJ0G/dL Bie75ZrOZd4RenfjJAzIwhBE3q/nmgVX1rKUHpO9G6BgNmm/8BNIPrGfGE68BXnox1lTAPS+dN0H 4fE4fXXSMJIzujXB2mcuBMF6TlkBhKajyVhq74JMnM+jLtQkRiLu7W/vyTOST6+SOZ9UlmP3tm/3 Ln3e4U+uQvtnHZn1u1WM4uUWzYgTUT4pBe/1UDB/1+M5E2JhgS6HgjhnDzNX5hdW1cvOSBoutoMn DfdJNpvXX9yMEzwQXiqxjuoIj+QyMzYk4ienxW8OC1SLUVu5HE62BjRIA5TyCj2GQTvfCIIHhmFx 3bRYsuLVRhyVS5ve83rco3/8F9r70XcqFfea2XBxpshoTQazeDQNzxwgioaFMOOa/EjOzs4srTBt 0OxoVgOCgdhU1KDjH8RgrfyYW5bB+ZrEZd05Pc4ChoemyzG2a60UqnqmMl3m1bf343QJofOt3R4c xl3iyJnDMN45PEIRrHF/WG7MiO4He68qlOYlA0bJcVDp63ahwf+2oQk+DU2B+K92RnUqKh6Y3ZQr GyMS9ue+OZJmdYAX2vEe28YTmMrvFVGAvgbt2gvYUgQZF76d1V9YmM8L0JkaOqKaO4m0viAoCjk1 woKpLrYI3NIt43hukUNov6S3v5zguAa8SmUtLtz1PU4dryX3XQsYu6yjZmpWjeGNtDTtqziNAgZI MuUjsJlIpShpgXBDxafqWhh9jylVkVb+xF1jksteA5BGbdFMFutsQyJ/+Zj3iMTUGptCRLsip3qd TGXUYTcTpvadYfbPqI8qzOpKlgHKeqUXQ+u7Ky7Akd8XFGfAeFI7uszuNteMwchjOcTmmeygIRJ+ UN4nEMer4k36u200O5P9ItzSiIaDGsRgV9nXM5wi60kH4fsJfK6W/cokicRCEudVGApIDR1oUOfQ JXdBWVA37GguXAQy/IoVt0LqvQeDhVLRMIc/TwfIRfWFyrpTaJHo4+5iPbK00zINb6aovMVu72E+ /okgc8Z7I22G5nenkahYmD4Ul6K/b5Mi2NqFshjyfbLpq4LAa4EpN1ZS+AjPrWcDE6WRqqn+s1rG S2694ees/er5f04FL8VqnrlzKLDQsQc0yULsaKP3jLSorOXYOj1R5XRAuGbPGuLmyFnc+g5FvBoi Wbt038T+LYDMC4xrr+SyhTm7S6iLwFUjLzvA0rYw5UotPeJrFZzOA+C3qWUTSSisjik2chiuGjjc 6mYauybse8PnhtfoHTJvH5m6k3ergetKh8mqqLr6TUDifCZx3CLphzym12cMIDH3qYCj3rbfhPNW m12aqcu82BD1GMOYToqlbsyr5WyCY9buZuR49CW71gZVUq7jheLv7l4tdvFHdEA/9ZqElpYaMzg2 Qh8XBBN8Tl9ypydAVOiPQ5KJvH4mW0upANsoXNU2zPJQdDNIjg6uerz7M2g0m1tDwCH8iIvjAdnm W7TeWxsaqMALQOehcb9wUAqFrmjW7Arl2LqqZxjS/vVI6BNO94IkNRREyfKuYhelxnDABTd3RaOo +dvWajCqwBDnyyPkljzdQEUi2x21AuhuZjBnLSUVD+nL2BZgWgBW5YuEnKaiLsXK0nyNNiD0M4yj LpNvLAS8c1fPifrTNN7AIokEn/Lbw0TgMsA9eFnePoDtk6hZA8QSDKUAS/jrmmFTqQI6gzFUYeDE BqfKQxTzs2/At5jmEbP2TySlPioiEQmWZggUzWu/j7bfCEx6kCTtsWkx5Wvr12m4UF7eVFKaveC8 gkJqM2JVR/FoX+6X7im/UT0V75rXFtebUpmJbvKJlzV+7fgc21c8JbHOhtquHctjVMXEiudtW8aC 7450KPbRh7CBb7xp3eeemjzqGlQ5VNEHiKnpiHhD7CHOzL+7D7aUIdqI+N1gCvmRUiWZQsUPIqCa sr4nuN/pQYucAXIJtMQ+o7kPTOWxoZnEchhB5Cp8NNeysG56ynC3Cashozeq7AKpsXTgofQ4eKgZ TTO1V4z9TMy6HqYERImnyWrixSP5HZUazgSLF8bEcqPx0SKMae0uI0lcnLYhg/No65HMewQ5HqA2 mPmWkotQvaUo/siqVhHqW0myympU5suguCzOdThRSBtKaSDddfbozT1O2mq2pxzN4W9uSY8X+6e/ s/QA7v/wSNXEA10Q7G8XVpzB8E6ke9HuXl7FUax78wk+FErR7ePdsiHSslH4VOE1uzOKauZpqhRw omO+nps8he/bjEgeg6EFHErrv+Cj4OPeTYcr6zfFUtcdN6R71ysENeI8NcQzMrqBtX+hugUgUGn9 7xuX0oXBvkxh8q2rNfAVQ4Xt/9YoONa9h4cFTVA9ocDf1L/Vei2/XgVH7cuT93zs0y8E6OYnv0C8 KOtnKS1ifuxIqFPDLxMtiJFLnQrSGQ+8Qj4PYygcA5x2CI22hXl1vKcyvxKCB7OCrsRdi3aQaDwF bM8V7pPjOYXT1PaCYpKLVrtsdzVPWla0N3h5DcpmDxP+XOQ97jUDEBEf+xm2W7n56ccOOgonGYud 5AkvbR0PjaQedyFETxF2zpYdfC/Iq5D0lcsry4Mfe2qs4wYXpLIjEF/8Q1Sp5KKcxZ52ps1pZHS9 f+kxeLNCmkSNVct9ZerVQR8LVLw8fLxoZco2CYLkfYqJQvvXJl0MdtuFxvFxdb0Z1PNEcxrrPQnk fnqd5ffsVMIUHHYTXyOJUU1jSe8/R5G3yLVMa+FoMjsvrralbBqJy08FEexs3SJVpDov3fBSS4Cw v2Pq0oZpLa29v/1giBaJ/vnZOwXcJsh0Dz7jKxrQkcNvln9pQYfO/7a/8Cwd2olskI3B38g0XWIZ kDL53iOWjIZEpWvlRA1nuq4nU3NQ7U5jhBYka90daYyCf5cc/vFstX7F+SpPAk+6/1W7bP9+ksmt g38mO/hTAxaXqCG5p5ydu0MeSTixFyczqzSMc9xfpgwvcfDhZ/nAT8R19Q9QDM8fc0JbZF4UcZRZ FpwJC3l4pNOr4QTWUdvRPxdvLZVzSVTwMhrmcSGJzoQYXPeymW5NWvCwWreeU3lWwMFJ2loQTNNJ N4C/ZuwATsmg3adAPzkvxGQJ1xFvivACivyrZSrpSwynAwye0IcM8bTWQyityjxvfq1N0ieyb29A FKrjxauLCG7dHRH8GFiOSY3ghxX9c8FDxz1mTyisfOXpE1bS2rupcDsZyqX7Y1vBxVyPqufnqUG4 UvpqOC/ZnI/RqI/kMEdsuAxfVVwD1WI48qrSP+k2VpRfHfNftnetF+7hs4Xw/T3GSmc/lNMP/RgX gFciUaO46Ubb/7jLWAuSSFl1Sbo1l7KL6ryBnb9j12py88ckIxaD+r6wRivpL30+IyNpdWZHXDgY rbAX54iPJ7CL6zF5NQv6mYlMtb2194aWNWW3Cw/mRH2bbtY+ueHJvUk6QJWEZQRPt/8ricF7pcYS uOyOrA/GMi3XqrFWtvWrT0sNuh6fQOYfSwfAEB5Fl4kHS29iSxbcXte5Zhk78JVJctNQLFfBE6aR o2rGXiHd1NcSFHil48UVCq/l8PfQlxNxYEvk17hPJT83yLXulwwoxTTXi7+kIUOmu66zqxCK7l+M zSnMWqo1Fq7iI8e7ZM4iT5FwBabAn+3+38ASiMkDpIp+NDj9voVUCk3unCn7zQJlMv/zLp3tizDC POk6Pg4o2iFGgWkpVx8YiiR/qugZEWaewWdzUX9CacNJ6fj6lnDuRt9fXXyAh3pFl5a2GgOubk3k F8RtZ1YdKYnVbf9oXAMt6B4Of/fyBVR6QOsyJ35k9YpKvU8wkmV9ciN1N/cq7iG7h3hmLXNv3wiZ ya8dugodHiBHK3u6dyzSU5/Umz+4wF/bqwdvX+U9RJzWiq/pk2XcjN//Z35XNj7RBYYqCUhmz20e Yw5ecctWceQey3LVrN9ydefWvMJfOXRIUS4lCK0ZI67tV0DhYOmD2wMV+xv3DFAUBZlq0dA4thAQ 4ufwqiUt8zt/1M1aMclJ7812NQ6J0aiQyVXrsOgBS3PSxNQn8QNZEO5KYV5LOl8hlTCA5xxq5fqG bSa4UTfJTGZVVFJLt67CSLKknwtztlu6bvt0IQSGAhiWlSJZMUnTEiWE0+SGyz1BIPBTc7IEIPWM meuX+U8244z0oLPX5/QgepanWEGghGEWBEBDzh93H8GDlEaeJpStaprWlyMCy2IutxImFywOKJ/o RW9MZ6BuPoI9QQTQDV4EvXB9UUTAgT2t3HGgV5UREZq8YrW/xo0YRuyEPALRTpQ6JPtyBbVS4fz8 LGuawQOqKmmahGFkwHemvwjK9s/+mcnpBkjy4P/mbYN2tnWA/PsiOg5t16c27HZQJ/lC3nJ79l2+ 7Nj7o0NzMgkmb4eed0cXcSj8ACwWpeWiu7HvE5PeTkZe4BQk2yibRCIsJB50pPGx7AmTMvmlEtus WHIE3yR/XgnFsIbCv015fH8lRc8hwRt8hYTENiIqoUEfS14NCHtM23uB8VYawg+k0DWnagYr6ExU J8jjFGrLvSpANcBUUE5ZWiXTGnUGS5XkSaB7tDPwOkkG4vzJkDAWmI9xOZ/ycsSwNNo93JqEgDAY qaa2doeYaEugTwn5ctTlUGoIAIYID4kRPhoyGu2r9/s5hDhlbWTjCm7+nheArCDM9B4L6yFZ+YVJ IlL52e8hn5Lp2lQbaSz/8cfm/9sBE7jHqcdke4b74DOvxGjU7zXd8U9/rQo/vyfXqeXJ67gsNqvO ZStqarw+ryw2b2IxY9mWu0G3BIii6Gvr3FG/OMOoM+pYAHVwdZGUHMQfDHg6iNbYPQOIza/CpmRH qmgvJwhY1D5PBkoubJZgNIr2UDtnEEw5tbimqPTuzTFAyij+lR3R1rfjzEMBKUSAtApaca2r7dJ4 s3I8++SlizK0sbQvy8eR66qK6pq6OI4JljjBCSRgLHeRtVj++fU6aDCY8QQt+9j6vUg5LiI8Mlmq KEDD0SmS+CSD+1+0SsQyY2rVpgi0aA/5TZvxO+2H6z++DDNTOoLi3/uXn1qsbV893YtB50BbSS+I pm/toptNa5x46YeUAJt7m4IYYklxV7x2Qz64V1q7bsFae1UaBZFr5QO25oFROqx0FBD2hxbZcE0P J7S+Y26jeuJHcqyTgIke9BC48w6lzAODKN0sUvCn4FT+z5uFMSe63ko4/8aum9gSWErPYcMyfXnF mINTKGOJJju5Qp6G6WGwd+YyJIIay4c2bZhhmXVcrwZFW3YseT5KTo0pqH7zjbzyhbFC6eyUv0Kd 7+1DpiciED42Jbty6tuuiGPkJj3dFyJyGgZuNwywjR+dl/XiHqQov+aLs/gfaYO7g75WYh6HSF31 aKxQS7VaPZgqjEPloIwTACi+CUjwTIadOXBgcMbuMQG6BbQlhV6G3NlhWjqc+D8pK3pxLd2VoCyt CCNaSOan6PPOC42ficJIKULZ4LPX+G1EOxgKU+R5YX1ybYO27wNkr5Gh6q4OQJ2a9+nEV0Xly7He qyy/P7/vEIIaHLerYeWeGTHHr9V/iAKAY9Uqixwf3bBYrZWMwcRz4DdwvN6Jtny9Vy8rVbbShILB 48ZIEWYvN8jXNymFmPY9dwQeJq3hGoJthYmr8yRmyMlf43lK9YQQ0mopIpexAeILr0suzwbkXspo tuUI/R94sYyAfBgRwsoQvWTpRF9FPQlHTH2Nd4ug8WM95HmQsdxVA0Jy/7hNSxEwc/cDOfxWHOdj flYD1EXohd2DixgS7Ws/aG3YX3heJ5KbVExlUnW377PvBEahBCig2J4OAl9Fu8Z+M7wHdviG/ZHy Lq5roEhGNYQYa1j5zul53tOGd0sismp4TrrUxnpg/8OMmccEufzBR5HtFKH6ga1njNKF9IMlX7pA lyAPklkvpMDJ+6i2Sx7/UXI6MTMJ9z3jRI1J24Cf9uNvO33OIipGBTzy7Xe1Defo1C8kJHNvyNWQ SxU/AjQYsEggUyn+B7QU+BDpbDG1N18kk+KfWfFvLtNixmv1hE0434TcdTdxP3yDEGAdiZaurZrZ nXgugpdy9qPTixDjnRIhtgZIjtLAVIQq8f+VLHY4bktdNG0Bu8HIRP1qedKHEtZRuxE3uE99ikHu Ap3IqKSqYgJf74VAWT7FcgK907NQb3sEnbz1zYUWSbnOE067iCzfV23OeHylIHP+JuVhUW5pBPVR 8AnE5hU4TTn6CxdzfxBNaxxgwuO8+ZpwBW2aXSCjtaKqQUpsJCUSgTpRC5Dz1ld/Mi99B3cLQeeP moqRyQV8kx7tvCuMYFsHux0msinjsxnLwaWLAZHhvQ+OafbjWAk19lDjWkn2r47+1IRUrfo9PtlU qDowQZBEZjLCMyQ5uapMygbHGz+s15okmpxlCjjofop6RHrAjrBHcC8mw+q1ivwJ0dYiyhqs53Jq dqqFP9LPl5NqQlSaQB/HsJ9WGjEmGsM21UVNl+zqs8UVPahzDWEUWRmwVJb8cFgHDDTcqjDCh4gM NQKBqFbry+ITiu+hlsyOjXfI/OdHTs3TJjutfu2XmSP/TJMeFX/uc+8jbvXM/k6WH6WY+OKD+de8 RrzrjG2J1tt8SJJEb5o7/pGvuyJBD+XvmMomgM0Rm+bwSupqvrpF83yWdmrabCBY53N/3YFGNloV LeHmKOYqJEpJRC+lzK6kY6W6ApdDTxCBYsIclX6NIuBnjUVq7Z6FVwAUzlvPM05bF9DBN8f8lsi/ TCuqTNgYRrFQp+SrFR9QvUffaYAdH1ikly63md+g+kohxOGbJn0iKBETaGOKtGcOpWY3ZzpK+pWZ livFfg9AAWUq8Bs8Y6Tlv71J6Q4b76cSqrI/TCvKJLJKt2yMZOwtOAzl46QKwSLbNGS0ZVMSIIwl /K6wfqTaesP1nAukh4vyrtnDtcv7iMyQdbtgCZziJyzxJrMf4xkEPd2scwYHhzLACuXJcRNoZxlP gm/MVDwytG6vBYDiW18fgarzn3bRjY3J6rWvxxLYJiMT7armkiU6ZTWMHSLkmOnkx5PoHH6IEniI 1571oxpRYqPaJhWkQ0jq1sW9thbdj5/KhPe3YyzRaT40H1/Pt0K+tscEls71cN1FmarV9XlcqwXc cU4xtwCQBo79Ay9vr15xIfo26F5z/QBrHZzcVxoJt2xn/sQDOwb5g5kJDcDLVI34HPcl2SE09iLm W18gE+Qu2Oc05+d8cWJagwTwGOhrMMMzR1vu/z+RQfq00Ki1jmMcjf7uGqNimPfO2Woq76l6/OJC e7bSLD+37e6ND/0kMilM8cR1RwKegV80rZ/o4qw35IA47IspmIqmmlffI3Rl9G3vcNwhmnJsjsFa qdQujF0ubvCu5olF5k/ADqYIT4pVM75xoxYq6tLHqm12v7uNKtBhlq0kYScEULqlYAk79re2s7ZU R36CFHX1IBC3+19FAa/xc+twoxd56/fsCNvxQUB76wmqgG1UOzZhkhv6ZzdtAyudRp4e27W5kJyy WfojquWxroQ68wxO1govJGZRoPluqJb04Ul5959cuT6Mr1E/HCH6EKAgnHKpL+STYp5/wjLF/Jnh 2HJ595gD68uI0wnmxqA/D/NFAQTlcDWdqG7ZAaF1mY4hm7hnxyC9lH77/+6/NEaanXKze55DmoQQ Is+iDwCnzF31+uBzKTl4eC77Bar3ALSAFWpuFXZbxBaPb+W8DTwhHAbZ8YuX6dTUjd2XWQAuJsDs AUDuFyDM1aLCO5rwbnKFNIQbfUwZpq0EIR6ASc6QLD41G0KSP8b3K8e+p/+W8uwye9F1z/WiWZDi QO+P8QE4NqB/JPSuLc3qfxGCfImQsdhgSZ1hvu1DpzvuFefyzMPdGU+/cp5BotcatBdePkD2mreG Emj/J+RvMPH/wJ7DhqkYjal4hHU5YtXGxkV189W2YF0N5LjGllinrGhyB2sC3qUFAjSEpX21xKlw 4iAAs1tJGi91z4qryIpLK3LVFk8AFaS1nt/CmHUjjBnrlUiC3kTupppR0Kq5pPy3NNZW+pYMiw+k 1EzbIEvcTms9KsvR6Kx8HOHnXXdnrEXOFr4HffqY84aw2/qTD7Zff+JpHfoOSOz+h9zQ0zwDX01D EyNVDwRLvEhh7HSQ0qG/JrirN0tw/Q8TYeRmbnPUq5+2pnWcxRJ7JTndIx/nyyjj1xj/MRBwP9h6 UJEv0ekcJNvEJL714mIunNVphqF6UAl/JAofjHiPpHdxnM8fZM6YRx0jjiPut/HYghDEoczwfqr6 1aPJ+uNl3EZAXlugud+LUaP+3sNfl9Dw9qoUUAf7NS9NXl7VLYo2FeEX5hfCfsFrqxHj1GmhIdiO QkJgdhoPR4jI4rT4hG00ZpfVHRIKkdMms/nVchjURX7OsyWYblDOazAeMHBuf9AvVw34DqypGCvz alViGVA7381qEHIcgNlShcD130FJiUpttPII7f5Sc7VUl3exetw3uyQ1Ek9kraA5lMbLacQ2OUTP YUUXsRALiU6XDMlBSFCTT5/WDSVx2+gTobqz6Bcou0BqqkKC0v+JbI/9tcRcBhoexmHqoR8F2dRN LU+2T13odyV8DEqqPSZYaYVQgWabcR/Wc8Y7d9fTaaih1fZo0uzy/QE5wM7kwKENtYXLR3hRV5Jg bxcqAAlJN0pZ90+O2mgXDs2Oy7Vj9iCg0D94jyHNr5fuqoAGFKp/MBtUyJWMa0IX8TtBXjdbbZ3F AdniS8DUsmvLwKKZuGxld6fv4AmT0wSdv2QY1+d7sTLBd6depO6nGxBVv4FblfhJdUs0UJ/IT4sG 14E2ybdGwtwaGQnzYe7MUVdOuH10JANdzT4xmxVQnXJT20a2Y0QVnW6x2Id31YNK4IDhPSrfHHYI 8esoNkm/vrQEOG9cEHZL5h8u4lGVY37rhVze5mgoI/3DNs5mzt7NNWu8eWaIvLOxtDkZyh1LoNLI g7s1ug2BJoEes5JkYv/jZNMQnWi7qtDIARF1j5N4eAGa14Ai4vh5XqwbjHo/R6y2utAYFChpZaRe 0bsGVnR+9tpoiVIYl+QmR1uJTuSsyaqX0qOuAtHC420mzG0rYUy94V1fvkrUqlOpMnXA53lySxQe mZeEdKtHrbjIYoUhultdcSmUpULzzwpmOFhTEn2VeOkOIDcc57Cva0oKX7ngkxrcr9Ev7YVrZa3c CTvBd60aYv9TzsERtdAlyUvLS133a09CjMad/PrBW3qC5SCldTRtbFxJyiz0BneWjtvS2aifDlG8 h9f5RIkY9W+NjWU4LNw2CH7oImq8p3AFFCVaYuvkw3Yb0xQqDxZBdVpUuzeK0Se4YrgplFTeZhd9 0WKNLXz623FCrUCNIVyYcU1qvg6Vxl8jTJfT1XYfvTzmZJwIxtwt0O7dbRA5850j6zgX0JCYIxNU iJeYVRPR/kgbHXPemFveukAUJR32ZDjWkUIdUV+PFLgsHpg77yod2Q2qF13bjSmELW097hNkmXJF ErFEizD+scu6B3F/6Y7/zas4cAeXEzVHmAdyzYsVKdPFRg80UUmFlnaek1jQtgLJ7FMGmMwc1Nhp wxs/obS9lgsch1tCbr9aRVCow8v81oJ54PlmGgv4/RT3TQZvO0l0NurCgUEIcozCXUSN54z/bDYx 9WEzNCc0Aw6Mm4WpCOLUuR/lWNmLMHXDpS/TUzs7SQ9RDjHhMw3LEciXHpHiGoNcPlnOdnCnbxDX HofaZjkftkJB4RkCSybeIrMN6iJH2yd6hoxH0/mI/ZdS+JEVj5fsci8ihhW9AZdqgF2SBv6qAC7H 7/AvueBbyM59VRwsItKREbFQjXhvQSIVZppTuBwcwp2/v47bhZgtqIlYcLrCsA//GqENF6i/ScyQ QH+XmesGj5ldBkMR99K08VfHf0ZzwIi8h81RD39l1j6SrmRcBNzrAmboddjIPNZb9r2trcaSL8sy lT0B6zAo88WwBOR/sIXMWAyItTwr3qqe6gApCl6CXVeeXmWYCzuFwhAPNCRxIKM0PDf99rLnXSfD fw42m5gWEVQi8arPq5xvIcNNWFau/IoityKBMTRO+4b2iIdkCbjUs4tFmq2Kq+/fQjhK4aXvL7mT /p5Vp+Esltmypg+glXMWyQFzjyq9OUZOMDtu2c8PD9sDbgvgCSkGCri6EDTye9H4mZ/khmSw3n/9 F1ow32XlG8HhfxjxtyykZvgVwF68gMFPTdGFgBgkQ5678x9CrAyxaTidnqxek9fRfe1TUPdqxzxD U+TdkJl1etW8FUjX2VsOXcovPoWbd7e61iTW2e8Qu46CU3OMPwbnuQSN7aFrn5sE0c0XOUwHYyS9 Xrh9MO0WT11K2yzybV2HfJBqeH2w8ss0/6LCWcfsk16ge0iLbkyjmkJEywCeNhN999nb8V1LnKY2 AlLKWS28dsJtXpYWRJ8SR1iQUtlL3Y6xrP95RduoHsXgEMLENtYWtdzq4Ie5ZZKFkXiP41lCUD/j WtQlnFvuSwKHhbcSrp2YB6TP3zgbbdCZxSUW+ZkF8alhYWSmD9u6Co/kOjYu5GoIP7XRVnBm4f4t hardNSRYVGyZbc5Rp2a7K5CBpm3FurbvNquuZIpytDN76faKpA2a0zGWeTAiNhadwnpcnkBOgyAL iRWR4yO66pXRZgz01Sq3+eW6z1/yShp4FQKp75NqphChCWDjVb2A5I4LkAJhXw0rQkdW6Vhpr/G9 SnInO5/d66EyPJSE09ll3+yoKXm+Rk+b3gnFFOpH+6I1QOLt5qrtwcyGnCiJrsizOFBHHTSpxwf8 vYjVCdGh5MO9/bnPSiCVdfSYSBltn6WNFw3lzt0F0Ey6HS4WBKpKd6Ksk5M7pUxdA3XeUBZHyA9w aZAmXYx5KVnHXbw2l7T5WjPaK9yo+gcZx2bu/1g0MjQ52k5vdOJypCA9jRUimCs9NV2c9NVmueYW K7/WZ/cKYhrt20kZMRJpI6nh9MCIVcWdkmV7XOysuFTTromlUYiOxr09eMMHxMP3CWveRIjySMli 3pmJP83sall8uFwLfLC6yjRGZBBNkjP8tXnltZXtrp1yS0ltHBHy6VoaQzSO3lVfUlPiY5K3oRRP ESg6MtXLhUvFuWra9c1wXZsmLNzeIjkAogh/iJjsQ06syePr+p//Ju+bvj3MMQhgTWLDPOs8JwVg KlrCOkO4TXhRoLOh/p73euPYlu766Ien5a5p06SLjxxhEDIzoDhISWrZrOkxetSeSEnwCJodTbGC i2vFXBLJy8XgnPxBq2p9SWHCRp+DOkhY2mTGyqI+M9Z5VlkZu4PuuNJLs2tJ+ZlhOo9RFfQDparE F7tbd/vrZ4THaLlXv/ikEF7HOaL7i9058U+6YUx2mG0fsx9RpqldqRsSQ8B4wERFb9n3MBwLU3ON LlEAKlOhJHXSdA6YmD3pm4EooeYcQhyoKlD6btd8dZn0ZSoEzIv47bjVWEF0Qi2YPmijsFYXyYuh 2xIGWDz84LdBU6gUlksg9+IupbTnKq2RJtb6LIJlSCzShgt+JEA8BH0fW5n3sFqMy9ctmoiMF3of l+cOIDzKczCSlOgyi6eKqXoAsvECRmsVw+qscb/K6N+tQ8Cdbwmn/jhc77FRDIjD/4sf0hBTuE2i 0WcTqX0tOjo5w+RWtPV8VOFQTRoul5FxwI8S3gG44DWYjc9eqhPIreSFnx6YRbX0H32s1F957ljz 13TgRBvQVu7uwCvWKLh/ruWPRMy89NxUXIi7Xoi8LqNl5DeD5fSRNF98Co7cbqq43pcdEsn1VbyY KyLVxvI1Kmv8fKXWkseahBDPWVZMtFJT/KL7fgtFrb07WAQU2yWXG5xChT76b1shwSARTIMLhUcl tgiBWUIzNQENXFHe6f0fhPUHigrVMr9c8NqbwRVi7rLy8UBDLx8WQsBF46A+UmioduHaHvmgBKv0 ja6JWt3/pW+GTZhRAm8n1J26TFQplupGf5WNApSlCZWZkMwnaDmZ5itel4503nwvDDDPj+uj9qfH vIHx4xySACyH6TTAvL2zkJ599Ibx/HGackUM50YFultdUnJG8DAi7TFhywN7xNM6eUM6a1euHLqp VGyvzZ74a7ZNVqv9v7OLC1gmG01BvaihneRGKvmETIEYvJaew6Lfjvf+NMBuDUG7IojePf02WgN7 vj9GYFOAWPrIL9ffsehQnsL+vd8OI0NzLy2r8Yu8Fpgju9XgKalA/y3v94xnj9aBdR+5eH9oPdcz hTFl90H6Ukd++9ooRc/1gh9olngdjxewPOTN/Okq2bRXhkhAmYrdyQvonEfHRJQ++42KA6o8Epk6 KnCkngeUbzet7v3Rmy/tE7KF//ODhEFu4vaHtvSrxCQ1X2s4/bjepBWZYi8y8BHbAZoe+EkPOYL8 eVUzESngOuRBhfDryUMb2Ere0s2cY3Xg1dFT863yaujyutWdOMjN1MTJXfOBs68GmnHyEQFFk5Ei q58IcjzNLM6XWw7ByGHqmr62Q114W0vwHyYAyHgmJWhfcEooSvgSRzeCIK7nZW9TEkyt0hWqzmUi qLfoq9YxDMKgoVBnfZTBuQ7aDF/+vxU9cgvJLbRrkuv/UOwrzkTKMVYoOKLpjBCsrDTDs9dcHDft pi5Hjwk1hFVmliWcpd+5wH/5LO3DDWBrLJjzhSOah12pc17spWfcWM8u2jqS1w5LrHEkBomNzH1h RLM5yly4K4Hog0Wi9JKEEGunN6XtZmzocQVvpIgNrp9cFwlFpC/VZcUDQ+0np+E2YJcaQRoh1flQ xHplNWkZtI30I47XSJuhA7hQ1zkUq90tNqay+RZEtjRsc1jcZdVhwjf11mMaIztnHYdNYPigiEEf q8M6uYznrqkEUs53xfyyQsOIF2pkcBbWJoPQrDnWRhinBWPrwIhJbjuEF6f3DyAkh9MqIm1lNFdQ ZS1gIoAuFstfdObTRIF/kspjkBks+ecl6ynwo63biD+8w0tgAR0Vcy4RhYhJC7SopHqEQ4yt9qS0 /MIAX/FzFVPJlXfQxJtYnFJqxmeTbFdgLkREHie1Q6T+TNhhxCpllLuVZL1/11VaIDFqlgfptBer qJAy2XhCUhQDdLTpCwdH8fuSfYD7xqolK48Gvcg5nnMc1k0DiycMLWH9c64dd24+YaSacyYQWBRO REuZAo1WF4XVFjTtoCXXFg4l8ejmGHvZuZFcVvUjsw8LBCQvF2k6ABWm8mz9efeDuwQ1Ei4gLC9o azCXelCiNxBzuEHuREbsXU4lWthXjYs6XOk2MVAUK4wrqcHy4g+AZHObjLqcb65h+ZUCCjC+W1OX wai1dBu1/NHvyRt+VnwJnCciUhQvLJJIH2k5CNn8SX0ZUikPEzUZJMkCudfja1Q9tvuguokhhXPD V/b4fK8HdQ9iW6KQgEoijSqdBUW+iky1kDvHpKgdFu7rYMLzA8GXPqMPPkdgkI1GvEtDoFRlc+TA 4OVWau9r4mDM9dfPUlEnnPtndFK0csu0ebAOcc4vW7aVabDKRsn8nX5ziKX7S27qJTtAjO94OP8b pSHzDxLHGSf73GgRceVbcBZpSruIYyPqcw8EjxQ7v2xI81+KV9n91Oaifo4lPrMmg0IKi9OzeFCX LVnvj+TaNEMM4bjMTG/zR5RQDfV1U8Tkys2tGthZ+BJKIFNi1O2/IpuTX2OypdIbKfQ7zdhytyva PosV1bVklw7dvEWVKxt4bNoa2fBtS+qqmGan/3UagK0UJ9OxXkeleOS4Y0IC8Y0BJtExNdCBljmo aRd2rrrqZaspWxqErgJGA1/JwwslBbo2HtXJaVfSC5hfOazxUXVdOVLBhnz9aXTD3x2x54OEtpxl uM+Ts/lgnqbHCWn+rfa9/53JJwmGiboPGC6mjIrGqlq5KepCLNkh3MF0pPF9qbGBnXH0E/MagImT xgMnVeQnVmZYIA4RWah890vj9Ntns3YqmjLh8DxiPtRaAgs0XUw6bN1Mp+ryxJp2kFtYwmLvvAdO /GCrgFYS4Dy2Vfck1Vz0FMTVhjs2/msuWPEbXGLDcq3tnxsJYsKsUGG8otrp1euiR72WfZF3pMXx TAFPj6YmcsEz1xf7oPVGgySlcIbzbK2SmV0p2KoLTHE6pumN/+20h6v8g45PayjqIPl55QOnrrLo wqaYxLkeYEVROj/rB7qC/+BYKRzBKXApzRK57MKQqqmD7Wq1/YvHO83BnWIo01xqSXZVGc5Lbj63 A0xms5A+8yKSAbSsiKcSqd6xwLY3NfzT2yylDzXBHET7MKOgK1Wni8VVHWBqTLA3YrdHFNAnUCab d/VElmrPICde1MIe3+GiiNo4ml/Zp3dwNZHQ6CJBOR9lfezr3/598jFDN2nlYAZuMFlgB9sLeDKh u55F3xpsPDVN/DbtQ9oPyfyvKd+wWN+Mh6TOT81sskDMRqT7Ab0CRjvsI39m9C737EkPiz7mFwRF Yq3tIBABYU+r1cDzXlIpm2/S88fOk3R+6Qia8ib0slBTHU6eNn8jEQCVz+ADTRhskU01GvMqhJgq g3QNFuLkvIvhCvnl+5dsZTIxpJhtnCHLKjxBfrQ3Sfpma//UGAl7nPC9vSSIZeGSFKGIqqdS+BpS mM0kuZvNj3e7XqWk7WvG6XH8ALZX9WShk7niXUt+ac8VQMGlESQENGZL+/WSUVAf4jd5+0ci5q3P Qh5fldm8AI8bQdQ9cV8EH/GxxjuE5xDMG6RUnYZYuHJ7112mB8ciqT0S4vTTQ7h50rVJPG1vAz1m ftrTBvIYjBv0XtrOL76rfDnbZ+Cy1VnuVVzBzrW0OqQhATPZBt1jF6lr+8CVhbtLdmFXiOYlIJkm I3YZ3DFC9S7aE/hU5WLuJnl71QMb+qxJwEdK3MHSy9zU54r0VP/qzAYm/yELHU5Qm2vjHW8vSFE/ 4IHch3JLsHq3M9+yv0chIDN7kY8eyGdzFtF9eEgYTufZ3mAJPZxD8esSRM00vHw6nOPIgh+9N3MH kTaR4oyV9J7G0NSOBdDYHENITb9StyZC86vVzOTu/jSrI2vNdwI+r3wOhp5T1F/WiIKtgW6i/C4P TfZihFjIvncLgn5E21keI6rxvY+bUs8HHfICUPdxciynQ8dhStmvdGhyFc0MMa/c4Xldq5gcULth qFrQTr+gQ1ZXC1GV0Agu3jnMa0YNmqEY/QDcGDV19sAb38YzUrf32ao5w/rzQjLmS54j1XgYXxhn a9jadlQKi2+g1gpgkUj8lilYHxDSQAjhFSVeogKqCVXVV3tnJDewBXVh7m3ui3TZBlsLx4bQC6nW WRUxm7e/vdYBwrqJcSb3ue+Z64ACjR8BV4ZoFGGWZ84WDDdJY0KJy6ELF+ofFeN7qlnO5M+sGl+8 0bTXE9CMNgNjC6pnzHxUvN4XIkS7UrIwr2inup1EXxFcUVzW+3uN/QvqrVoHbKWGztMttAbEP4cN Z3n2ty2V/IZdh65i2wIgixs29GkRlbZabu+DYRQ5D0sc+aFml+GAT0fu8szL1ctz5JswJbiUvP1L 1uF9ZZIgumI1FkkyfDbcP4VhupP48JOvXefw96nvX3vY9I2dCdxv1EYKfdsgFP9EhP+ZAbEOMA7w 28W16kxgNZ847pgT/p5xvD3NBIqThGhosW9Im5fQcH5EDdb2go/zuUBI3Ib4F5U2TVdq2ZUb4SGC Jzi/s83rMdRTwBVtE1d6y67MMyl8Ke9vCRlFw3CA41Z0tZfCuZClWam4Q8grxAfssg452ZO2t40t g9E/mxcUaud8xPlrVL+1MOkEUpoQ7scaBxbMvAqJITk0fFik80XHr9VH8IkQxvIn39fBHveeAGm8 BEJpFNY2B/0Rys/RD3Ywc1UI7DW+aTQ2CIENl8ejD6A1jC0NkurzflRM46vNbCFBW7KR1YlzyyHh rdhBuMjNjzf6RI92ehxzrOLmnnxZ421T9bnWTBj0W0Q3Se3vYSM3i2Ou2ORxpZ3Jo1GW6hlZMPMa fk2tZxS2KKcTXMgTaUJ1zSzNzd+OfcVfJVvzq4R/jz3kte2Vk/ElCgFX06qrVyOEBWBhetktjSEg fykCdActvEEufM6QHyU8SgCvT1S8IxX0fHQqBemjUQVlM78GtKQRRLOWh5MO1eKMQZ/JN72M6yhN KgNXYM2rmTlQeytopERGVd0eyFAnqUDiXpDfdnebCX2rdRs2+PfxVLA2qcegV1o/3SSJ2hCkNxFG N7AO9se+yXClXIk9ZQEXxY37JKtPZilaWySecMEx7S5ig9p3wIlvz6/8Ug4BZnjI+9G0Xc01uobQ 6Fmc2sSXtKAZq0oNQ+FfQBOyKXlSHWaAQnpr6V3R8YW340POyJjyC7YCvbi0hrucCm+D/k9lGPTN LGE2m+gZBVTUCQ9eQkSddN3kRasOQuPgaTZWwiNoPKMJbp7DPGh2vcQaW0W/A1WacvaonE4mWr+H ItPgxSXNFtAKZ5YR0YaBI0a4bHfrSz4LCQfPcBL6v0bkMGw97Tr/zWYxbgoWjgaHY+4MT7p2jRYK nrwg9IwAB4CoDVaDeKMCZXHwVy4vRZcjdPNHCS1tVwIqYon7iT32nBzyaBeHOqKsUpzGlQ73BFwq F4UkInK8xuTzGHu5SlIVgPLzOO1Emt0N+RNVfFSaNVZs5KCMaQFJYcLaZKyOfRrv7UUeXl8nNtO9 7SOhcO8BPsCaXL4zjYassOMVrJ9J2voLz49ghfhjTbmuQ8o8LoWrCHRSs0CBtOfAGY0SR5JpHUZo Xi0IDlrczjcce7l0dpnmAl3/OhoLbNxcHEkkFwUYbfqFKbXNHB3qJlsPkp0hYJb+B+L7mmBbkIbr 4BWocazHf6JMk05ejInsxPxL4I0kLpQy21S6RL5SxKK0V2uSL+u/mUUJu3g1A/G5/5lBvyafmipl cgbgz6cjZGxBQ+6Z4qahck9KM0rKgR14yqKFPt0N87W6bQfIKDDQqvKIFjsoU6uZM8LXnlkr4jSr LCVXvdck8Bi/GVPFZNYvp/qJEj+tIo9fNCDkXmYAFcVjqNQyI6WHczfjqlpWuerztC0/yzTyNW7r ugy//2ocoKGDHJXJMr1Bgsu4sdxWl5edzixL0ORq0hDUFNsuIdE5Zh6WirIUXxcqH4OKhGs6uvFk /XHABpxpWf6obP82dFGlEMo7D7g+qoDmAOPraTQk+lYXMUCTk4qCQj23Aqkg3EZQenoxaO1G8Hnj KMLuYnJxg5fyjPwVvP9Vj9kgwFxWAprnF+krd63fzYSYD3MYrdJjbtLPUq8WZ0imvnaLHDrGapQr dlnTi1cc2XrYeIkfO86VVIeAE79hEVQlL4XSkfNpN5CW3Rv4Giep5vcKluJjKCflxoXRRSEQ6Pl6 mzccN1F9zHz0MiTjQUlkw+uugMsaIs64015PvkTocj82pMn+66lxOVz36iIit5VHh58dVtWtJc2t 8rZtLXvCx+MUvueVDyj0LbnRUjRvoD04haCGkM1xWRwS3rrHuscvNF4iDfxyYZN3mm0iB9Ub+Crs U9qg1vwBMw9FVSuUEUrJWCQU1mPtIEoQUHTb04ZTvV/i5ZYRIZgMMXcg0m0DCe0RY7m9qUpsyT2x 7W+YpruRDfh2mmiZrsSee+tsPLFKCzgO9cr+FwG3wkarNax4Fu5tZ6nFMU0/rakbNc0PrKwE0Lx8 UPtGoaVKIkdWGjdpCpouqL5SwOuuQhMNO/IDQIMTfRvj6R9KTRGLJ1TmcaalbgCI02njtA+2yYk/ wc8K979d5ggGaHo/NTzx2sE4g2ryw4BTBcNC9aRXBrOfytjDT5S4yASif/ZZURg5ZrkYs/+zoTCG 5HzjhoKfAJcDa5EqyEfDBl2sbstccUvSe52JTfv2nv7XHWl7QMMD+C+V407I5Zdjv7LqEMwNf0dU rMAk3bXUV/gv0P9GpE6UkPlLmTmtppeKqC1dUvkPNGfoEnrwuo+hFXQ4TgikeTUuDqzWy6q+ShH6 qv75CaeShYViY0ARvsC4xV1Vhu0aK6uyjcWoZoii4m6wttYplGus/GWyYHOoz1+AQGf6tPphuylw MprcJCr5QXllm1wQEl0W8mC3uFWoW4Tr9vM2Ppe2dX5agbD/6LPPxaZcPyfOjteP+UAfHmbzwlbf p0zXGJ9mARO4CVu7MDW5LWqf7G/sdQgpjQxyVsvzzWXA1bXj6kE+OgFcnsqDOXOtuGUdZDEZF56Y QFGqKa4Lidjm2Rkztls3YvluVfg5ACc/mAPDKN14VX19RDPv89PaREpdYbYF3sjijm2ZuYSQ4lOh cF0TK0tWeV9gr1B51Kd7dcrJHnNtkKUyM70CSVwNzRX4IIJ3f0vMSVQ7u2zABnc64wV32d9b+dmm MwU6CxzC+aRuWQII71JHq+22ghPK26N+u/Uz941Kd9rVgQTpdbWrbXeNxVdWehoLwC2wFhUS6DIz A1T9uALOAJ7EYgrUNnEXlRGC19eA/bgjVUnot/2hXTKpVaXRGoS8NVpH6XELCvERc9GQYNqPYsfc y02P2hOyOXPEWed//4k06tCK/BPVMDG99ieJt+1+EBzsxISina8tJ6ERU8afFhcfCPCg2ZXWpwUS OL+IEpljNPJEZX8eZUW1KjhReJb/vTUZIBonlZlQ839hi+BCVBu+Npn6pIf22VdwxuFQWrpcQIrE EZUfCWKklCxwiFtKaI/J8H+MyyUwTIS+t5aBo/VsyW3JeUvNenCONDi3CLZJU4LXyB8E2wmzeEve /F436RC63eBysDH/9ntXCNIREkUDVRVPeZP/2cDgGw+RFoasUzY3c6o7AZchjL2ZAi3pi8R2zJ1p PdPrs0wq6SW+Qhnf0Y98QSFJnX26QfRS13x7TgRg3zhtvNEx5qyVcIiTz9cUEP4ye9p7QHi8TX6C SIy8O3DLuQjyR7PyZM1djXQtGw9uI27a5SvJqlF3sTg7sT1jARQg4LX0Gk/QMSXfYo3ROV3D1tJl /lj5jUuSFSaoaly1GK+3omedadcbEB9fp4bAtlc+RShjmIids4hSc0HjJWxdtHcPHX0A+a9K3Lrp 8pjkKN/Ai3uym9LynjExt9ThBgo3TyDtamhPQ3KCkFhK2PC/iuS3KbjfRRq6pvSuAJx2BNUT9cQV x6ZUTl3w89AEjyLD0LFxf1Jee6X8FDz+SfV/uIhVwjZZpd/rX5FSq6sTSOCBVdKv87JXNRPEdo43 oZcnghPLrEL2BidJoT2Zt+F0hnQiX5Rr3tiZncIUhJwmyeuAJP9u8gnP96ew5QrgW6rER4UQ8IHv qwmuUJCX5kVvDcbRBtRk0iP2uyuvw8da6+0fO33O+4NDZcYhHtA6N1a9nYK2rX47vtH0gfMNNBAp U9Ww2W7IUY0oEQBzGDZF0aeIC41RybWL7i13eRdcJi7EJ71xnxdoftf7Tp61KQezZAnxswdwFzkW Wwf4Zcu1nMpsJhg9DG7JfQuunv1ZSVw9Qz502WVin3F/4ewP4l1x1zQioJ+Og86wSU544Tqi6TR8 fcD0xuEJIBVvWaX0kdcJnSMMLBMc3OtOVf3R071khlwhsCMCoIF0Uqxi2hn/kyOcV22O/jlGYf// axainzWGlE2bSrKQtrO87bPzQvbczal7rwWUKXo98o2Nzu6NY5pK60V+XAv7xcMm7oKeLpHwfbL2 Yr7P328wHR1W4y1hlsz1HnSjlpW3U/tPXHh7Je5oQQxkJAPVO83MuziNEWY0Dv9T7aq9I2pSVLQU pL5vRJ7MKa0Ydnsqy5ENddYOhyt139P96lKQAWfZ2ohb5g8WyZUWbnDABcTEa8a9vxhMuOSPLVAF tcVHGfNJjwAHtdkARBRlLxKkqfatp8pPwgfb8nFarp02A4RJ68q8IyrUPWqsZJ/0FbCW+O/JroHg 13eIo1rhrDUAlTzTEe4I6yc5ZPkT04StYg8NdNbQqC/6TSCtlW5uI8dOtBlaOmaNy9NG/oJ7B4BN cboe2HaIcEmG1MjzB+FtzwOWHgrl6K0/fKlxGEAryy1DcVhGpqH2EY9LutMqA4MxcclY0HXt6DXE s0qNY+4E040cZ9ocaLxw/PogKpHv2yK+3EsywDa77UB3BNb/Hxjg/IFs1lkqLy47QWgnEYaTvKiY rrcqXKugcPd36f9atHs+9kr4sYTDdzkTa2+XLVIIQrMuL7oeWGeIl6NdOiOgd+ZkGMEmnU/vvwPk tj6aSnYleTB73x0OT5CrpRQ6VNmwVqAYOqYMbQ/2I+a5EZXsXosOa4IhmiIsfJPzhAHpBLMzi6h2 pGun8vyWDVOxSkdie/rJ3LRZiiNi7qwhRCCad2cfIuZewJpJ+tmoGZqmIHYdV1MocHGeyw59pZp3 dvMdnAMIIyqf/NAAeEXAul/oKgqw8LfVVoBfY5pSdwaAX1ZVcklo2YhCzqjeRkTEncldl6y83l/C Ssl9RDoa2HUdVWYrpUisYhWmL/keS4mwOwt09/EScSjUCvBDctGI0diakrNpuQI/EVRhU/NVwSKI g0qMTPxXh/XrGpLw+ldjusY2s3YUjRhJ5EVH4Ls6eLRs7nD6K1ercVlaUWFhqfQ3ftQgQ2zRxjfS QqV3EEohvlu2VzIM1QLtFPSDL4qq0X2lbSaMyOC/KU2VtNqQxqHJxdHkLcDtBtORSktXK+BTMtrN wXvLgU017zvy5W03Cmi8RSS/eTxZtSAxOVMP4ANjG9a2249mQ/xwQBOGLCBEaoC1Os2DqDsiQ9hX EhCsgp+zkOVoFrqPRhS+4pY6OwAU+eWBNUtppCse6vkl5OAZzOFV2uBckOFzLQaV5X5Uqbc6VQFN cPa3MDp+WeWuwkuRYgK9F2j2CPge4OdpBzLJ9AUI7K5HjwGItYNrbuqK0Xuc1nJLYPBb5xSVxA9y 2IfA6/SkatbWBGRokTKzPRFokSqB5FOHO1LQIyHr2Rf6ar+i/4Sm+HpoNJ0gQW68Ghka+O4fd61C hAzA+m/ZqGlUb8wdlsGjfJbaI9ck8O66jzhI6+z7WkObJMkUvjxwbXTeMyJes7tA9fPCcf7fTbRb AZb3Umwirgk1jtBaNKy9SCNmRbNiQW1EN+FNrTo4Hqc5ihoTjE+lIeu35ALB37iUYz3ThsE/1u+x FjPeTzVsqoydT+Ou8JAzSJSnJt7cX9U+50mkLW4pWh2oGFN8pQpoU1AfC8Gd0VbYQH3/+yzuaNS9 jWDFvfM46lIvKh6JJD8QDXo7gpS7/a2ga/q56dFtkMu29jZlSitR1Dpz1KgbdaZE6eY97bnQAkUR KxuPEmfhJ/cv9s8baIfCazu/2jDkgfF7c8yjXYftYBzyaNGYFAG4akBrFYYOeNYKZ3jmR2JhbjJ+ 08u+X8jhJLIrFWNl6QQ8C8q8n+wclUZTXbg3hPXWY+OKLjdiMXRdV/7H/3CBqZsCwKabvV1nSX94 uvwkXfGgAg+8frMWWkksQTjiU3pkj8Yd1S0YUxM4jYBxZu739zJeDnWq1SMU13gcu/DUQo3FAGHV N/dqpXYmVpvUgxrtPGDOiPCFJQJnRdpzAKGWiQbicACjRr2+MlAomQsCiwyaub3OuZ41F/TDsMuK 8/RxhOcJb4yeOxFigbe2th8zlrZewPNuWmrnlO8PMhQWQXFBk3Ljvp5cE1csRpJyL90j6jiTyb8p q81+G2CAQQCixVuknmWxj2Qv87OXChLQZ8M7at3oQGs/C33+Pd9BSXWFdaHKcZjPKMFo6lOpbL2y 8v2kxBPjjFQwR5A8p0IymqNekano3ha+ZlxOpy6Gklj6ldu5debn4lr9l6uGKXKlE3jx0csCSZs+ naStpBqXlQWsY2k623gKQy8aQURN6LfTg5PbHo4PbYnj2BXDrMINlNRg8l/jV3LLwFha5DNc8z4T D31IWcOWFEugVtBMYZjoN1x+8bO5nlGMAn8zNV7fsni6mdQKrwQvhyfg8t1TZPOdaYkGHSSyDJLR LXY2serMMAENWRjPu/hZ0doKvr6Cm96/ZXN6le9BMxN4ln3IMbb7+9tiuABSeapL7wVgvjjbb+KR lwJzr5STB3fSP9nrKV4gkJnYP0wqaRJ2rISLUr57Ozk4W5QVu4mb2/WZQsqVHfIHmzXDP4WGie6L J9pORLb2ioM0gv4/3taCjUlnh2kpBJpYSybxb+Sy6NmRKlZeGFCf6ezLQrIbQs81dlliNWuR/33n hGvJ7HBpyhGkX+w0FnyEM65w4QfyxcisV1kvDH+jrpdeK2kSdkKUHkdI5iTP5aM2vNtuUYJIni45 APszY1sutrsuehUvgC4iCDPURmJ2zx4Qs5AZ/1aww8QdtOi81Wn6UtD8EFC8DBMEqM2ao1ytHd4B 4EyKZRx0MlBkxAW36B6sHQu1VQ/A77FOI8WKyoscpGJ1La0LDcd66HBDnik8xY1CKJ+wYyDzmVrF rQJOTUkcnu4tub1/Ck/fnahVt/YtGxcyXSUogUcwgPV+dYdUFOrGfLEKEwD0nokyo1zzWv5l12Hh 8T5fTFxWh6maS5Sw54P7MP9bv8pECrO5NNL/1REqUA0ss4XDMKPaP85P1XU+8TaX90oM9/CHTEKG cO0XohKhPxJLD/X+SpJRR2neJme6Rzu+TBhSCiHg26bb73QHD5Kl3KpqNyTclDr9q4Od1cTylx/l TQNh6BSdhDMyAH7zmxIeTdpw4kWSqlahoY4ZhLsFr1txWHGRB584VUXFG7Ebopx/zVlh+AEwUWsw BgZIV3XsD38KCC3MonO/O5GUfhvcHtdMnURC2SyY3o4RerwtHey3GCGw9xNTbgB03hAvaAdWGd2Q yNBDB+LMVn+WUFTdX3Cg6QsvcPflDXSNuqPhV1zYwoAIMKnkMW7iwZ75PeHlAurhezwklxL2aBGq rGJ/d+7WI9cozrbCVc1XTC6pQDXFkB4m7iZ2jToF8XxyO8HzFno1R9s5lSLajB8IxrdXRrJz4KFP qNpPQGixslfucM9IkRWt/9dx5TB79uluHURfKigOQJWNtlH/FzwJw84vJh0VqPS7iBEo0GmeXP4E wdqhBN2IsbKfdyadIn+HP7ocsEN4RvrC4lAjVZ3JwyGoq44j6EGUmFQVNIenpLsk3ain6D4Kl+wM AtTFe0SumzBpYX+D9OPnzdougBlqz31fMp3+vg0WepiBzQJiPyMOhgaU4OuIIA19LCraCZcx0aEv eeRGe7qWeNWci5wqL8jIaYnfYERwYM6PBCdYmJKeDbxcFOBc+TQU74vaVoC+teyL9X85H3+/5PIq tJMx8A7gmXJ8Btfi/F+DirPb3ZC87XBXdcLPYTuhK6HwLU3QwKyeilTkeEOCHEQTMPD7iniU7RiB bly+4263LmH6ygdG5TNgUqeJp1aZYdESGjelO1ZcuBMa5zC0kCJqHI9ZRpbts9MKaY5JyOSaBIVZ HI491M38yaEysm3ZFD62bTzGIWZ7N36HWEMe4I1A9ZTqxVog/4CjKg1L6B95H+41NAtxI+NZerIU 4NWdE2VJTsYkSKdCVFFDn678Ofdindo88OunLbmXhUK/jkoWGZcJRXUO+wyt+6F/9WwGr202QTfg 6MAD7Bb4Jn6v9yDH715J/znVMMt1i1r/L2Yon36GlG0RSk9MFuiWqoyAL0v941d+YHObjj+1vChl DtH1LEstdLMug/Gm4tNsKUKwuUcXXWxm7NTQ6Ufr1yHOmwha1nj4u/q5IA7BjIdiyQGphvgfhvGO N61SrBJ7J/10L+53cM5gBB7unAePu4hHFu1RqZrAl84GxHtu61y/X0PZwsZQCgZJNKPeF4mGzOSN 7oFeiFapiZDMweQSUqC6fP6RNJ21iIlag5AIqhwflb/E2yuItqKP4YulpZDnMEzf5LA6aBUOEGrr rxoxKTyv7FDS3fQJ0uti3/tn0f3T3eQjbg/pdT3moUKAcazw1gH3Jnozn5IxMb/7NbfPyQnBiKBj kjYScn3rrmEc74sPyUomAzB7r5sx2v7r8Ojryrxa3yBx1V1p0k6yS0VcD1DtZWwXvAduGajGm0cq N5w6JqH3wtBLwSscLPGDjCjl8OzTQFX7DJpd5nkHmpIFK70vA77vqTBBv+JfFBCDT3axD22aqzu1 1aIhYFevwZAfzteBApMIO7QYCe0UNs10wlMsuOwc7IcFVJuuuk1oH1tBvfuF+KtODvgUJsX9gQaz ziI/qG5RIAGitEnHVV7d2e/3KVZDuEZp0bLFVn46qA3GeKbFhxH0TALGeTqmx8ziORYi8CZftA1w IBHna0YGIlxK+orcOeXS99S//GpXrT3mnw1l0k80wyoB/uT8kz8YTatk/ZljZnZEixCxeKa6l4QN 3RMQ6rZwp+ESTfnjR18ZCIW0IspsrPMQ33nVx1000JZYFgco2QVzWLqhlTE3e2h2fnHHALZMMOfd 4klLJDo2DHMhL5IOojc7Pec2zvFKhb8jiUhu1W9bryZs9S9XnRR+P/yyEQz9j6hf8dus8wftBFzQ tM9sW/4yDuk2+L6pcWSuPSfuHyt5n2evDVnEOH1L+dzY7ZHhPkOUe9L4oeB+exSP60L/WXG1yAFD Y30kbHEhell03ahQhvFLvWSYJgBgk/tQoIZQv5f5EE+H1xlDCuDgT45g+GmsK/ui4nZo4rdZjasA cwWle1Xz+qK3HnUAudDLjO9EBrsZ+WZ0qpZ3qmRHbgnAUuf/YunFZrupWPbn9sFADj5YhEuF9HsC dPZ4W2LeND5wtgB6HdrkqOGSudm0ZuAGQfY4megMqqoJQO4CfzZjUlAmpIW2QUph7+1GbikJfHim 3+Qwcl/r6L2WCGxXiz0OJqhe6QJkpNIFIkuXfgT+9D83SrJylUy7ZgJ0IqKZu9Lwbxof/IytZFpc eg9DV8kqIHzzUMNYX/9aD3IJOi83oaCA7zBImliVd1f9WZPVNPfI1HIm40YSiKYNtzqQPjPBYCUk /9EIaNFWfQeE+VHqzMoQUfRPXNcRAGvufBP4yDJgLoqwtOQL5g32W59BsLQ8MwiyICdGdpHFM2x5 wQi3HMguXRX9vXh7N8CVaOIOPRBzJbSj9VngD4F+hNmEXZ8Ppg62V2xAnnQig7HU4MBPEYmZH6w0 /Pxf3neUi0CBbsq5JDrYkbETIV4JnVLXAT65z+GxEqnMBWQhKeivFjBRjgZBkJKJdisVFoq6WKo+ 6Jdqn9eeX4oPpHJqu5h5YrOQANPTI+Ux79sto8mlH/3umwL49XqlPnwB52f+xDIJ/ZJgjt+Ak551 tHeYFjAmm43Yn8Jm/iC9ryEpi9pts502RyxtUDpwQ8R2mI5etrGwNxMMk8eEHEVad9PYtTBlVG/K O3Os3X76O9p3cBoMoL+ggzN2PdCAkYLKoq7YSciQiBMm0SBMEUsKzjkidF3vEtH+DneQljcxFAh7 tiT+t1Grmin5mEaQ9Wud0Ey5YbGaJQJ0zl+RYFwPU0Di0icnRSOP9klAAXtpEmt8W5XiC3jcltS5 zS1ww/8kHG/qgPBXSzWDWZDxJD/zC5qWdbNibuFhDGU3rNdPN+6Vd0jAvzZMAxuqoEiEbZiEtENV jo1l+U4kbbIdLZOqGIEMavel6wgTx4t3ZPYWlA3VmpuJMtfrE+Dqrx86+xIOc9sLdGWkwgAEuUHU VA1NK917zkrQ2vqrTIvxWPcywzvKZ56ERyDOhdB2XyTnuqbfDxfHkZeGZMJrMomobAR0u6Ha8ZTW U7JF8aQ946ECSG5OSVpzf/CmVullQwrNheSUxY1DaU0giCNIfN19/nLsRZg5B8wo5MKAzYhVvDKI bJ6HPoZ3PZQg1rZpboKz0XTO/2G2XMjyUC735+vVtn0ehmYQ0ixJod0LUNdpzVa0hMAZgwTV2iFp LSjVsrxg5adp4UxTicTvHHemDipljeDgQ2q/qLjDu7uB83v9sd3tu0xBYDRYb3Y9uaGY9adqoBmp hPhVI+DGaYBQC6Jf8BXSNkvg3ASyChBnsAy93gEKI5zZz+fE5ReFpK7h93IRQiBaS+9tl2Tqo6X4 iKY6R32gITPtNwItJVwZqlw53JznPaVBFRhFxI2THe+FFF3xTn+thaytN+Qp3Lkkg/lKymJN/sTT 80lV+7bmgiDvS0WsNZO2P4OjEl3tqjwctPCkCfE5zR01XFY+rTuiX54nhtGK4LnGvR0RbDk/ecA8 mLreX/7p5K10eZBXrk3A4bzYSvrguLWJNmM0mjWD7tnib1RMjP2WiVXz40GoYYElrmU9EnMjCV4O 0mA2pk+jne+Soc18uhuSPoB9fJ/BOYPbEZ+l/Sm+9KqX337Q7Imq3CvefZO87a3Cd9IXjtj0Kc9g M1qyNIgaK3tGpXZfRQTkfYduYK6zB60fkIz/sSVSS6Jtic2PwQZ8epOexFJVtXRskG5mBqbJA4mf 33ZoQNNXqx7x8I/BVO4N5mgiO1r5E8NjcngYHvb3CFQHokp7QEso7N8S4z+JjzTV5cOloXeDfAcV EdOEfbzab4sbFl1iKeUXsQ5ssxaawU4nRYDPALW5iRMWk9pNZiL47NS8sacW7vr9O9NfdNe8roJ9 9hpWKrP8vqGFSrScCTn/fNFQcyfyROd2k9myBXCf2d53GksQjW1c8RfdIZQBEUAceLWPk0VTZLgH 28fNrXzUc6bcMOkzeLU3ZtkjbbUOZ5ApXGR+seUIWknexq6JMXK69F586kyr+pFZrujht70nzL54 jC8W/vi3XO5nkrHZGqJCF+uWIC/Mu9bYlkwuogxFDoxnyavqB5csaLDWX81oitMptTRLd26pJwIn HrkNkpxr1I0ovDn5eeL4u3qTQ3mwAjO8oZLOU9M9TUP5r3pZx5l4cvuSrxC35DBqHBCCkMxKVXHp r937J18QpSc4G43ydqdFZ7eebg4ncUSeJ4UeUa+L0LsPvaww6PG84SzfDCYMk/xgoWmtYdM8qMsH tfwiSpTG3Dz2M/kfLHnFrgt6uIT4SvsNs/WBsNUizymZVOFB0itWemfyCDRcgHtVomScZBIvMnQe +olcTk0C5zQ4XtBi88UCtty2E76qDM4SkKF6JQ6EtnyQX6N/vp4IlBtSw0X51L6CjdJ+tL06sqO8 Kv6WgTNddth5/4s0x2+tjWnwra3h5s+vfolLXW+3vafTDqnxjKulzsRhHgqC+yKWlws0kkwzomE6 7PumgIElvjxCC3lkd2VyPm2J+gNdWJgeY58f7PCHCb+YvE3nf2urItBAU1N3wGapDE1L/c3WSz2M wim9xzoFPDNKTzZt/x/9pHDG1H4mFZLRyxuGa+A2COcZGexvec7wGjy8cn31ZsImDHivd05tguYl RUnuCzDLdyIuyL3AJFsUKW0GVMv4yV0VWhw41OKSv+EfvfZw8H4pK3cknPcPhQmtROw4kUgUSZ8B LSQoumgfS8Pu3yGtzNaptJMVGbdkAShB2ucQmwEcswxPZOYUxuQD91aVCKCzBm6QVMynn3HMeKEA Hg76IlbZ2ruj8uC1rlMIl18PacBJ0tTkVO03f16ofzseoCJjE6JJizD6eo8D7AQDY9jcXRNZI5xx cjddVWXUW8OZoXOxU/wKY2uH3bYYmVyymeWteguqCFK7/6xyk+nn1QIqdXTY7xgCcwF8j0BkNRxC qflUsuuR9cXuLAeUliPpSFMtDFCqfvr9gC0lsPlU8lJ7g/a2VVOaF25TM/U9F6QkNj1d+noAdAA5 DTWcM0Lf5DckKALzN6x+1qj72E4wonDMdbe2hN/+5jJXyKSOaC1j1uVRj7me7RWB9rtr+P/gxjxX 3JWVY896imX3mpCXnQyWm0xo29VdOPrPQ/StAA+/pCBOOav4ycJzQ54e2ZrRzmQtcdbtQ15IzRR+ urbNl3CFtk99XtKOvIOZmepGD9GN4TXPKL+c98OwG7OPk0WyaLMbAVDqfrg/pb9QWdsNN5vqpwNB f8gCVsfgQsmOu+dd72VdcPrGbpPj4ScVqtd2y26R2Ws8Lq2pwJ+XT3QQMh5fXtR+Yf0Krp4R+BQT 8NKK1uUOPMg3Z+IN+MgNhMMKbr3752tuh2WFnPEyDNv5R/+u86mBGpieAwEsityA7QcjgmCtN5sI GnQKv0LfgM3jl47pmloaVSHkCIlabuwCuMk5QoE80CuB/MJ+QSSKsQjNd7dXqszPIRmYhUabfMKG 8Tz7UKUD4GyuGoSDOxCAUmhJFnXxWDUAkvEEBTXjYjr2fU36IHWsF1Bpj1xXn76/LUf195YOsDCj OSpA/P/KPCJ8oKOPeE6SqjZnr4ozm1AHe3H9ZD3FREym+oar1TGv8i5QtCHl1HulemQXy7BH3J9Q tzWjIBNp0TNNN2Rd+vsiztRpjl6nPzrJ/23nX9TzJgCoOOEFMxZ2Y/UYbj+LrQsC/79N6b6K+zgW I2qlMwwM9bOfQE1pshzjIDHTmaQZcyZRZkTnVRpJNmhfZP0P9X33u8VPbGHAkYF9SbqZs8cmxQvf Qzq0H4IVR2OmRWURZVijCj7LiUN8gAz3L3wRn+X9gxb8K8BQ2qdj2RXtVJbLVB5A43WyUNSVGc2x sRnCjelaJWhGhcZnrdIXsLpV45zO/A4f0JzoevztQE71kagjTrQiSYpDFsQe+aiz1FraF2BIzMFh lqODjWY0FPazqYQemDvPRynEZMJfqta+LDsItjvJG4grKfkffN9TrWHlLBiKwqghA8MTGtYNmM/d xtdW/Kybthp04OkWR4tvxp5K3IsAA6dzb75T+6c1oGbXfey2HPX5jfP5SATkjn/gdtFiQeMbHatb ze/lMDpeyfHo534lJEuCiRsZ6CPhEVmJf1GQrlQnkc+PeXLzupFEystBrv7QK4Z+mN801iUlVlcS k0msF3rsvYeAK9R9FH0qDwlbr1PcwTVwO7hwnjUDogIUIfFUESvdQnxeKzFS5wLRPTsc4vnrQM21 ptLfsBOl0JA4wLt3XZvb1hVtJ7so/mmXsFnz8nQ8a3IWewDOmgS0GxWLOGbx7douCALOniwhCGey R55fxySXRJecgHex/M69mQ8+Dxh48iTVgp8sMqN1ujzIS3whVWyCeVXGslqYYQPYnzfmb3WygRN1 4yeNRH9UENvqsdV9gwRSnhnmBF3ikyIEVbi8zvVHGMW277TaX3uSnF7fJo8lI+Kc/mcGcwzwUebF ZOKABrF2S4XVdfe9iGrEWdfFGkZgS475e5mXEz7DaAVxTinF2xsJIaqFdwwOTt7ZfBIU276wmelG vk1x2Ux4+URn+GHVLgwgJbTOUiWwFMS3/rQpL/AHC3C2sXKIjmSYwGVaGLXB0Ln/59CkWN7EBWx3 xzLkyO/3hlOr9N0oGAj0nC1aB1UgbpjB2/FW6lWl4oGzI4L1mevefzRNc4iQAPdgp3oKadRhrmzi K1ZE92yp/jSb7+G8p+xAX7Gb3ffrbJUG3AU5nh6QEEUp7U/3x8Foo0bwlW4TKcsxLMq8OqmB5xJ3 4POF/vUM/DSM6Kv7AujIqfM3slfpdyGoeZmP0VYQrv0B/lSNcFwt7Cdb7hbC7gqvOr4XllQ968R+ m/M0HZuKni32hNI566PG2APtx+DCmTwV1UFMeJSR0zLWzbjUu4mMk7p+OO7dCgqmgugfJ6FN46N0 1aJcv5qYHxRtDBd98Qz8K9JoA+adgfvRH08OV5md344ghe85aGhk3MbWRurFY/KRIc4MUV0b5hBh xRtyZuqN6D/Uyo9Fa4dLcEXKa3lYIa5Vx0IeMk/TPMq8ZagbX7KbhwQi2oLbjrAUHTpVg02M2bzu lJhsoJ/6yghLvVoGtBF6KsMYajpIQV63JrAfXoUmMFLKK5zBzeMWODX0Vl2r3OukKu1Icvoa/BxN l01mg07FC6ODpLHSx26wwg6xs8I+aIUiOEWlF7NjB4+PWdMLGlmLT3ppNTekQ1E0zGLVEYtQA+tM RDiZGJ8cNLoqEJPlBVF0AVoQrMNRM0ezJw/zVQ24XoZ8peqLuZnztX7O9AHwJk5ndQZBAV6F/I7e VzANpvYEmIRtHt9fb0eV3f0Yr0lFCrSJQcoWOtrOf7vud0ibKVq3/L50E2pDEeu777sj8a4CBau/ QEF8qj1aCUz3nqgmwixoqGB+9xWi9eTAenUNMicYnFCsG++QDa4FjH7z07aGhneQJZkGM8lBs/2C GbbJWMMGLnWX+Gr0o5CRUBZfsKQUtZ176Gz+GqOfE9R5kRFJGVdUaA1RWFuHvF9hhk9cDB6s9X+q TR6NR0fpOqZuaAyLLvsQxYSyjpZu4njTa+qQmA9/JVcRCcXVAjghbBgJJViB8a67Hv/yJrYzjoBV DFFdFnCenLFJ18SL4VuV+dBWB7aMnM1NLe6FiRITRbpHkU479WxMNCsOq2HWC1s3wDvRQW9QWQAm rC1swYQ6P9g4AmeGYPE+T7R8X8b92s60J5/3xIdJgzor889EcUYcyQHTOo8nPNR6sa8BfAMldh5n AyvaEXul5umRESnOf5I8Ufj2VUqDNCs2K/2AsynBtOpDGkkHOtrEBvVcXTuxm3ntn0hec4PkqWAm 8EHlgnPRYgKGHf9U0DDZu2fBa0YM2PSD+ukVREUsRp49sVnufhkg7sI/l0VltPKRnvauMKYwTcsH zoiWdGxtFHEnxDUCCoEZCCBo81ROg1W2ea2erTZdogSOwY2YkXllqakZmT+gS2cfKTZk2PjTXbls pXOx8lJfIJXz8et4J/zdl5PkMAgOhGoExUipFYlLxwvWVgcGnaSiUsXYr9Rfu2sHXNqG2pgdpUhj LCczpChtLnYZ5u918QGn7Nt2wOvKwOUA1NHf68lerP3Nx64YRq2PSy32X/g3RpaNcH5F5OirKuuG VCNR4ZkUoSKp+/d5f467VKDqXNin6evPuR5PmCecHCNJ2QQ3y6Z8Ae3H7KX9TtGS9LAA8b+rUspm Xm9z0X8x9OpkI0SCuOlzeVl48h0cq7Ejy3yHots1hFrW/A8v4PgVgs1Cw4E5EB4SRvoEu25cx3Vo RLgdwW/dH6Xlu/nYCZdKIidFZxfU7CTsVV1bJigiukKx2alnrZam7bMEIrawGJOrRyG75lO6mHAa rUBMOGUlvqqNM8wgtn91WOWi68wxfbfwhe0L4FgjuD0YOYJfvNAYnbx1DA2duPHQ2SIRhq0o+BrP b7gNlA8jLfVzFQOsvMxazN2RwmkrpCxPvhgeQ1Roqqp7i1K8sWzZF7ffGON5RwW6uUgrFOuCa8kY BC3EvIv9Mgw1UrlDPS1PiqkCg+PSMD9HPUkmJWaz33Ie3kththTUTDwniBMki6jn0kcOCMHkC/eo gMTk5bUahBt7QWN/c+FvvPxbBxykEC5ENPRZ5AIlzRs5wCfN/uvdtpMORWbOKP61EkGtrCdBEaQS 8McTtraE5wOvml0b65PlJyqJJpyg7pL82uEVwg9gxxmYkgt4TTKO77QcsWMgMseRG2STya73g5ph rVXKyxGdQQ1tz9zo4Kvlfq3NTKJ4xxELb6J9FpKCm08ohUwsSKeEq8baX41b125D+Zk5KjceMRnE T1jwHQUYB66HZrc3AlMrBKPIR3bcH4p5EiEYdYTUyqFH98sKmwf18jQLz42+1oOx05xgXk1livpB Ikh+y+DprC8FT7VcaBsqbjv4s+4dKAZl2T5mGfh4PHEK4ksxlPE1+SUTJd0YIbA9fqGlY6o6KunJ s1yAyuIa1tX3H2FOeXSYh3wGFNZSk5ojkmCj8AwjZmYwkz4SSlV2wtIh4svx97ZcNSyRvKz+yWA5 k/b60u7r5XQB3WftDHMgghfSO05zv5gXgPLCFMAaXmY52mv1Ua3mgnHGMuJL78qIhXTRcq8kF6NZ wk5McA87LX6GCAQ3PwNWyiIQ5J4EW4He0vkfdC5x0BcBeJ1s525fY53XM7UQREl5UkRy7Qz5nEb0 ir4jvx3dm0L7tvPrkYWYMlnKmW0LEt9LoajfD3nF45ocHpK1X59bD5wHnqyHUsG9uu2LFkH74i3x VApyNk16Zw36X1KstSRomdqDrp/rGl7pssyi8fmPNJjIHN78RDN5yoHpHrCL7quZ0mHTgl8RXF19 jiltUAGZg8DtL/L3tSL1iqM+nyvQWLEdSLYOrMzw9gL6mtxNQbSy8e2CkhTW8My13HbcADyfWyJB iKP4k4ZuYU4ioQUpoxWxvR6wZ+g9w5v6MuoNPlh6ruvTTFHTfGowkO38IXfyxZMIyRcZf5W12W2w fgLHnO+SdlLhKHopN9jxTNhIKbVODmPkHm03PLzXcNyBQ5PI0CV02InZGNqgg7ajyqMYUK9uSjDG iMgiXhSRcI4eQGVe9AMHcad8gH0mE0uKuKu71Ur4eQXet6zcr0UjK7S+edGuF/6zH8JGJnXn6ofB PfFz5ES4QhIm/ARgmS0DDlbGtf6HJ9MMaDUTZVFsbtS0EEtH38ZP8PJ3s97CPzjw9uA2a4K1Z9SB BK3/3Wc2w0PJsE6puj4c5OrOtm2x7fAJCHAe10E0uTHNFwRNhx/veKNzoT3szchinqWfUUpD+Rhh 8iBbmCFjI8J5yY0y50G3epoq035lMg08ZoBavcYBjYKWXt0yxVLsWGaMFmw2D0wANdQrehcTP4q5 Ark+FYsaRfMhbMG6UG1aazA8+AGeajZ8PSlkgFoStu8R91HGRm8ic8wTI3UH9TOHEN9Fhz8zmmzw e9sDdiZUjalj4tv1xsW7GWmD3jwAUKIRhdTTtIWeAa2PXIw4BR/BfPWXe2tPjLsAHZsUoiv/EWIg PYz79o294rZGrfB9hTDZWWkjQUGZhn5kjMyOsEfmHIPn6ZIGJMvNw3jy+W97GKdEI3MLUFU/rbGj Hx6RK7eoGA8jsjdwPwA/CnTMJgWk+o4IVfGfCeBDG9QVxU4sJyks97DRPfIRRqukIBeEk/v+AE6+ j0tFimxMdrUKe13ruwZBw1pkYU0hMfOYD1NnuZQngm2OfImP81b7eKB3cKyDmMs5BTd1fbouLh/i IKxiu42s/36gfiu+H/lHfSco0PSit5gyC/dW1ieuF16KPHmWIQIsehVSbAaHsWkunwoLnluGd/P0 hF61EK7gg1sLfcnb4Cy0GJa3BSOA5WEqCx00Xd1+4M1JpEAnwle0IlCpxQ/EdcWulEyKfeRFZZ4Z 21hIUl4AyJQK2/Ql/IiBwebgwgE+KF5rP7BMRY4/LLFrqCSXdhM40JEzp3aZ1FmRZe4d3DNOQw3q nLTqbu4WbRf+14evaV4c3djvdrpLt/803sDbQBEzfsUu+LFbM32NcIww/X6gM/vVRBM9xU+9h56k oIHVt2iXJJwFtMCyO8wrl9kmB9PgUUuXBAwYqwZXhZUFXnhdYOPCavkegbokiXxUXj25/noMbHTI GyaLm3U0lCitk4+CEOrWAgmjN5PdrvKv6kOFC4+z2NejE9BKNPdOI0uzd9zu4PQWTbcA6QgG6o2H PpQ1a2gphCG6EKwwpSevu8pD5TA4SesO19pHlRhunUkpFxps6npt6qDI2V8IPkTCDD/kOYBzoJ5p Vve9aLBY3F0mzwoqKIawkNXhbpNGfxnFmWH/rgxU97xggqAVG5fGdw42UTmoA1LX7AvW4lMHUujz OJUhGEAO4/Nro2tIKY0kGAUcF/Xiho7vpcH4WTbGpLIE2vqrk+3D7NLy9SUZmc8glgBdwiNns0t5 H6skMu1UJatFWRW8+UWWrD2aMRrIw6TqT/xG4y9FASPgjxv3Sv1neeJrVbGf6X3XLvF7dQDwUxMy kTdkNRtAN3F2D/MjHLlaO9SwqwWm+FBlKnKeCjj/Sm69onlNHpklYjCbmInAsOXr6bj7VwpJDhoz +ZsRwUnEx2dAfIKfMR3mumb9SDfxxzB6b4Ve10YbxsnH4A7HmFrXYFHEc3KqpHeghJ0hTs9+xnpo Lmvje3jBt49Z9psIX2GvtWwgxw8/d5gvdWYwgQzsC0FzONBSZNxaO1Pax/AP4bm09uzWCGKsr4G1 s8aBiqwZ6WKkV6n51Dul+VYHy337nctMc68jzMHpSpSWwmSQPYT/SAGpXbNsGLvDCiS+EHkeQetk rGu1mlP0kXCdWou4cS5n10nAt31Y+N2dc6YXR7bMVulRQgNWziospisZapmsTer5gqvnyIo6cTti FrS11ZeczxsnKjnwNnKSYLY8r2horunx3n6mIogYA36vHLUBPp9bYZt+vaz8AGZNNQXI9PF3JqVv u+0WYW7Jd7L3sU5T0cryJltOX95I5MmdfJcB09f4ozS6Twd7UyOmH6DukPWKtQ5rNtXCC6osA0Kf VlqsIJBg2CCcHWOyLUm98Kmu8erUepCwvKgdeAbsTTnFn4MQI1gfEyX/Sd1JIMDRu3NE9F5Hpzcv YEaZF8HDQWdNVlV4p5+f0B6xNzwuoUC1XUhwst0+TZQ0OTVDwLnupJ3g82dCcncr5wbCiDebu+Fd t+fGHon5cd4xLOHX31M28cxXgch8wsAndkqLfSaqUyhRDgxuXzWCIYgo4pJHx1HjqCFp42akKuby KSjra+F16H6q8Silsm8ehZf0001al+LFgzT1vvqIK8AJ4ctHSRL4EN2KjoZoxmlYowSUhfNXZiAF i2FHyDv6Pop5E+bjxPOKiip+oeGRUfhXxnk9a0W+PG8kp08J51H3b9e9xSmM+Idv1lfvMNRvGdEz K47x/Zd6TerFBStQ5MtUlB6TVFPSPSwKun9PaDOnKbi071toaZLRCewrDCzZ0WMPkD9UPOgGgkTO 8VzA1U1NaIUhob3A7nlpDOYJdnDvV0J2Im5kiudFNHyIqh3HzlNjGyqreDFA3t9PsWo+zPZ4/gkr pVvZ8I+bw0QuI9U1EtTMdx0NFgrVOHtBy4xSyvriwUfQ+k3rOLJCpjyBYEm9afLMF72Z9fO/2sil UgMGxTeSK7OH7VsmJS6Zp+h0lCrwg6owjMxfrtJh53+/nsx85VcZ1SQt8/k7YgAoPQuJ4oltKCd+ bENg9/qCdH0watYGu3qFFMKkeBKsB5LiWcPNNWOx9Gu7c/NHNzyOkJtVvH02poZTcnooYQEUgoCn d9GpPJWsQXezAHexQ1E5b+aIk3V9luBTd7vj8WD6+tVC/z61Pckn1g6+urFqMMnjbu82KGm9fWod Lf8YLf2W0DbsJ/EYkaEnpp73qJERJjOHewvAgsVHQSmIFy+de3ReoVdoZvgnAemwtrkvcYwZ+x2w iFxC+HJhjR1gtsXj72coKq3ESzfDCwYYqqkzZDc6OrSzdFFhA6xebrQ1SLeXUqBYYKT1uVtR1W/Z d9r2SZOUJUeI1GGxoZjM9ig8CvH7weNI5jfAIqAgJp22igiEshke+5AbJltmp3ZxFhXbyNzmEmWw pD1uaM2xQf1oQsOOa0HMz9QBskxXjCv6dzsQT9AFRKn/2pnSzqSwuhfIRbi6cPXFOEE3jn7veiJr nzCTjLLdwjR/aZuLeyXpDt4LgxOGzACslaNQffUKyxMw6pEqblCJTRnFsMcx1uy60FegEv2qvonW 1kh3P2NacGuuIakM1oCsTUnAfpxjyz1aTyKhfBkM0NC+hs9a3MuZpMqKHvunud7o3A7MzJ8Pp7Lo JGHK/whFRrDS4ICrpx10nhVqv2CeGaunCKPswYj/N4/tjIoeRE0kmsq2i+ERBKTADxFWqE0SDBxM q6wVfJR7itsRHxCU4YYoZ/3hzrZ9zBA69KdkKln9BriNRv+JTCdIsJ7mrmvBNu1zVHwFi3q/yMCw Gv4PRModJcscXfAyI+AROwQ5hxpTolxPG0VouEuBWbNpLFgE4Im0O3W5RN8S2SL5D+pKBYoy4+CO W5C2yei2SWT9rEa8O5P6ry25tZiT4yuxXjvis4wQUT2vzCNyFvCbaUMnczBgJhNBv3fLMjTqYUFT ZFDkn5eXiZWQnLmFVyvtw+8pznp78k3FCxNHQ4ajULHhf2BSESo727P4a7e1ubmJbl2CaRwJ1/9T aPEcOe5AyPcmut0CiVAkFj1XLQ74OEU4hbSBJZpn30ASIBu616e1TNILbq7ATe+VsBZWZbC0HaAq UNbhFwPjm/8HC98k1he1NgmwjWbTGsd5UP3/yZ6gBjEr9ximNAzgLr+5IMPqLcoi0AwfOXyQrmtk 5DZBDnVl0c2YH8qiwuuRE0Z/dbDGumd/RfUTijI/nac080DT5qE1+ypdsCsKaPZvi5xeOWhLyygm h+46J8/XZvUKWVW2xUn760WsUSq4UIM2mmaC0DKDizjb8Q0YulUmq3UFZad2DdRZtSZVuzmA18Ga i/RkvgUIXynUP+oupgxKr0ubc3Ux1PEOipvCg8k8b1WcX/CAfAJgeLUR1InVi6ScELoTxp8q7Lfr YKAmV+IC/dH3pm6lGyPS6Lxqm+zNfWVHdJ5sDymo9FsuJlHRlD4o6o3eBmFpWyisIDe/7uMwFj1t IqUAFFCKdGvQx7HNAw+Um9HTYMa9J0pmNm/t9gywo/3ksJijhfw6gWEz4AXSJqpBDaCd4Gb7ZQ6t 47Y6bLcY7FdnJ+pzMSd5FdO5K80zGQW6to96td/1ONOHo/deTKpbwfjXM2PSzvUB7WUmmyWkqoWu WBRtmYkHuwUPGsKO9NzS089hYn85J9WgXCPkzl/2g+kL2nuD4Qj2tU33dEjMc9De8IE0BhltoUnp fx+di09zGixw8yYnkV9wO+Xv5ovjf4yEoSLfom+AxLRoLzPnFwU3VOzQDID8xd8hHhFxGmKiPbir TxGZL1OShlz5pZt4t64YdSG66D544eEOtmUdOoXS9vbmPs5sUnJSeR0W685oHB5/bqIp5ezzfltv cdvxV2L4zpJWwHknXQlsGD9Sp/94cheo4vOKACMvNolyonLNoRQmCAJDy9GRIji7GWdLhZmfuzkH LfkDw+ONxWWbQjsKeLkYcCrXTH/d7iUZLzOKFGKghI1awmB9H7l7tIrNDbu3DAMlbZINI2TZ3Yf3 i6sK9dbgs+ga6TEaAIMmYTZNr+RaDAPbO3OGxMBWgln/VtMAe02yjHLVK11/BQupOz5Cr1KjZ/PX uwWHlKxCu+Qo8nT5s5TdJ2FkOUdco7CXhenPw9a7HwMC9EvweGrcjGF857JEwgdRqf5GhyGUOEL2 5loj6VnkvRE663tcgoxsou3WcikDozxG4Bk1iWRfXDopygOGkWeNNCEjyaPoYda0vxCIdtD3+xV6 7ju5tdVhI9nbPJMCLJ0Q7uiZMm9srq9DlIk4HL284nqN+FE/o+CyadrfPbEMFr4gQ7ufhk19U1W0 XQ8zEyLCQCjUbYXLsw2TAZdib7f8Rr/ITo6BxDiXV8Y3MRVk3CK15IE34PFhsd+M1xw6Ie22Af+C dsrmr4XWeIF4YlhSgBSXshlh1a4RKblTeAo1txoQggYy714cslxY0JwTDxv/tJYGz+LLBGRv1/RC V27RMkm5Kkte6B3F6sWiPkvNZVb9GFMKdvdxaiVgyvY8BHae9zzdeP2KM7FG314UooGS1h09M9O/ 6sZuxUzxS+RxwbcnGQgKkBik8/2ZDFuhZfMjzlxd2IGxkGVQXG77HzEwneOvXPTpSGgq1TRCfIu6 4NSCAigO3mO7tudPwzDcgBnbJY09xb2CsFxfgRjERMudHin5YlSpvgpfyu++DfXRblepeKYe3EFT KpKHpg8Q/GBhAV9VR+8B2hDSXlPiiL8yOSVlSMMm9krx0de9QfOqc6Xc+CcXoJx7AJ956ZWhL+7V G4iMca63yL5bsA/7UIBrudT27YS122oHRF3WGOBce0s/7Se3Ok3A/OeiPN/Cmu+jBs34Fu6snbaq H3zjmd5rFnn04+KN7VIL9qRpmevFFiSJZCYKTQAbkkjfFsmJ+VClQ+jl4bMmO9RkP2Ib7E/07FiT DEvBfOFjOjCWJ7aTw4cj8qPNBe9sjW6kEcrC1Jzonwqos6lbwIN2OW08ec0dGuWYSfqWTK3qqp1g BS2zS0wyW3vgB+jHIuGINuNQZnWnHvcFggs51fX2XOel3wBYV0P6RKwO6IQxBuIkHgzzNKfdpW7v 5Mo16ryA1uaIYb+QdfxT6UaGJQvgUVSyAg/ZweZHzwBaxSznFklF5e2veidRD8Rq9ZoIQERA8b8j oU0BwfASeMfc6uQX/1AEYwEBRYragxuGLpmjORzmaWzJ51tuXqp3osmBkpz4NQwgcTxK36zPCO+f 9G0psMzOOhvHmtKmDDu8TvS3BGikVjdReMxe/TATMuPJBl8qfuDbnqNMwvf0o8eifoZ04GT9dtUJ the6RXSUAwBsMzfHca501wqIMSbEGU0yPa/6Hc2ue40ayBSGgklvLpza0Vw6+QtGXziKf3hxhyKH X/D3OG/TwiWzFovhB5mmLKHTVRllHY5pBW/QuJXbwEcwQcZpYpVBXD9hynhxFC5YdyyoB2iXFToy tl4MYSKkcG7V7ujStdCMMQSXJwrpMqkRr4led05tc/z7t+C/I6pHTrrql2rLHIdvd/CjhdC9BaE+ 8pIgrTwaqevKVTzX/afLTadhyuBtncafj3z6dd85x/PsX57JF1TFcdElJzCaT8p54K+/211JjXa1 b5Xa5+cvvUcOZjqRFx2+ZbeslYR2uzsOA6K1NjAXaa3no2QfrBmkFnqUQ8Nnc5ErCMBzYqLgdXrp 3ZNNriTUT7aSOwCREflO7EFM0or2WQ9aSn8b32E0Utm6PIi9LYhKw1dEnGbco/JtYv5NMRb6+NMz LZj8peh2TK9lCrqbDPksJxSc5DyNIeiDB9d7EDwdmQzXuXt9niQcEDXXgY3e+zDv6KIHbCqt5PYW zKkdIXuGCJQS/W7nRF4ZbXONm6hVSsxutq1pvgUcpk0krnAGaZqOzZKH7qLlLskc1DBajRFvUdPc MRQl/lBUEY6LfyB15+a3njSnEzsQLlrrVSj6GXo3R6AjHAa6Ejk4V1t9x9ZbLR4QpKUv+k/P4hYo CXj6YpF+dAIELz6s/Y18z5Ftj944EXkgeyRmi/I8G1K/o8gF4TulCYkR2JwIT5YWYdxHPM721AUz KNgbS8lLG8I1BXZOZQLGLk11peEHU4zzOuMxl+XlRQDGOJpCqvUrQPq/I9/eUyBl7WVNwyKosqeV IeYLcMAqv5Y7WYR2q6D6U8gwMdplogpWRNRWMInJxBtrXwR96U9cDbLvQTWfNhgzdKmRO+rWNe/c a0OLfFNIqW70JKjy5/1mHCmSdTMd3Pja5Ff92Nsql1Co5xyaKWOD8uPm5F2PxbMTfpEZ+zg60Hv2 ShnTA55JazZrog4aFamZs4FF/6SkDJ8d9Nz+Hv5jZrAcAzNDwrXxkz1yxBdBH+BqCvXjXrs+24yL XLqtZxUp5Og96B/Fu2DrQR9UZnVAp1d/vM+oMCof0wLNDXLjlUgZky85pp66VQbfiqiBBYi9J9g2 My0jc4DSzwp19SNbEqjuArUkZ8reCbi0uqi0ucGkMR1pNa9gQNaalqN32DnSd1fghT93ZsRxRTsm krkrQ0jGFFxuQ6oBOkmrUaUNKz07vhiEmz4b6rXdks9rfVFQ5q4kHKhR1EbsySjWYisDxkgnidRY htDyKarhVD/pZjXZPp/xlhjMPrANZkX1FZgIucyo9OR721T2HFtrLl/EV87xsCSq7E+5ub4xvSZ8 tp4hKl3mbcKVtm8X26WYX4inEUj528fT+y5Dl93Yxkx7Dcr3cv7dIZZPFGzbA9JkyIf1/c4BPotw x+7yZHRiFkIe9iBvGV2ePYDMNceIS0div27BqXcU1q0dzdjdAmHxI4MVaOn6zLbAj+xG5Qp5CQ3a BRoT54txJSF51BjyXE041+ikfqfowEPqhThab9trrQmDo0tKKxsIzSqFoAn47I97ZdIauRaiN96R p+rYc/m48nNzGSrg1ZpCjE1Sz8hC7VzTYuSaLKiB4+2wdmznW/Dxejes2PQD1P83nghsbH1TEbg0 I73v/uhDMdzQls5nNgz16YrMZgfeL/ImwsTb9hRwgcAdGZ+HDNn4NvoDJRqTvbrAt3KVaMAhJjSg +tp7pj1aZWyzrDtdSvh/HpNHLfT3QPwgUgsl6Rbt0YJLWIvSJ2XTjXfFKmIhEbJ97hXBIsyjOPF8 lzCsvAAhUnQ0ONC/YFsTokNueJiSjzNP6yuznbPpyEpxmo0cmMvB3zVlEP/2Hrs1ixl7DbPHI6EU r5imVkyyh1mzs8sX/1nYNoFXGU1R5xWgG1BQWtU28tC2DMTZtVjbEIkEP+JsmJz2mybi7aaYnGoq kFHGmTQrOaIBwcr7XCSyZ/gIm4Zo0LUuBP39poPFfmrpMPU7wrOGqsKoNv8n3GxIPihi0vcbSGOb UyaNWUFSNpepN/BNGZoAdYm84mp9JyNrfHnnaPsnW7CAoxL5C5z5Hl9T/wMOi+o+ZC9cj9A6qczU Q2ppqU3gZc+1s5qX4yjFSQt9/NAEMzWTwlAAoKORHOuvko6EEIqqqJ/ZcaoCrytEC0OrkPIsRLgW 9avsMTeZtDsHetOjOX9wXaSnGEz5XGQCHK99iGv+EcYp8oMUZoKbVfjrSd0e0e6AcvQ8Ker3/cyu hVxwvzkMJODrHgiBqb6PV8QrCFN33NushFYuSAMd1Zk4Q7zt3TlSTAbT7hBbEjNdobf3/LunTQCd KUglPJ0GzHBKLpuWAufjCklyTFKB0ptggl90CJwJdp96D7rkbIzUNPdIta8fEI4dJMF+P2J9ReR2 gosaRPXXfnYSk2iQCeCytI3feHgPu/sxwzq5NyRWYsE5QE3DzzmBnHPsWSUlQHTbedMAURFXpqL9 rrQLWe8tE+nDHm+h8QS8JD2W2qCv/is9YbdcvFzA8z6Hr+6cwwi7d59ErzaDwy1L4mgphjTuE1rD lVNyzNBUAgBQLhXQxOpVeCv2Sf5MW9kZ/3qxYIqMd44yczYRfv3dSXgvKDxuAq9FRjRm9eopLaGb cX0QVQ71eGmUAmhTPz95m4tUlSpcSe17nLSTYjwv2/m+faxlpJNlU7dzOE+JtS+F2ibkOgRRo+Ch xDlDXxmV9FKtleHleYZt6f/BnrFVAgwbLBkR82neAa7kU5Yn5FT0PPtvcY6nd8zCQwdSfJmBd1cI J52uSkJrQ0Vfwy5WkrBpr6ZDexJ9RbWpoY5/7zh9wLS75WFbtJmsnugQHnlss5Zzql2FTiv3MwIk uNVOJ69syxzJl7ZM/YXi/Rtz6RW/wPRE/0IiiorNzI/vmYYbrIuQzp8haGSgPaE36Bc3cYKEqYf6 qLQ1beNTQM0IHB8d2aUVNJnDgX8+nW2FLSjd4SXIOPZER/kfGzmYqJBfD6HP8mm+6HgjLy/e46da hti8UXrPWirQjTb9MpyoLlLjKjC1Uati/AsAEnTlBanT3lbmWhwDscFBTPOKQmTHP285blfczPXg cvJ8HsRn74ahl6u2xYdrbOvj/jf1BvQRq8+hva7+tS0qJiF1eyUgShswoiwR2Qr4gJ9mKHaAb0si i90SawfKatdGPkd034m9nJLMSVuaBiCHtxzPHwxRwH6rwOh99igjRKV8MkbZahjs2ne2Wg6c8kFj gG/1/I2bsnq7aqsEY9ko4Af0MOzCV1Ha4RQrCYeyZ7XMbNreLGUOtlIdb6pMMlacxRHNEOkjnhER XMrmlmWtILrd5BYErP/tqrK4ROdbQUduzDKo9uUvazjrWvvU+1c/w8D/5bfwvIWMQuMDswDXTB5V 0WbsvzcCtGzEBnTTcLhRwJK1tG5QCw5TK1rvXQOdDtIzsGTL0cE2KYqsH7T3SiSzDoSzosNqv0us CVHXSR5KUMb9M6xjzPKDSxM3CBdQa6tvyj1gzu/0FLhCDXfdYzb252Wo8FJ5tYKgr7ogyXcalWae RGTTT34wEYoadLD74X0EOf9pLAG16qouZpjoeRv3jfJDh5AjAySAltdmzsQs6mEvNPmNdo1Mx86w GcE0/hlSBLiZPzO+b+IBMFSUCDFiuu0GV1kENvHc73ik85RxaNMjzxqzt/H3qcX6BXPqfU/1aCy7 Z8P6vTi6GQw8ygY3YT9KauJB2f3iW0iTDQdl5Vu5aKakzpnHIATkIpdasWUEjjyBbqkGUivSR4W/ gnPPe42rtbMP3DyOS4/ruALtb1EgFZQz7AY04BcaXzZXHIwFVN+rGn+6S7SkU9SwIPwolEuh9Qx2 GCB26GWTLyQMpY1wl/i8V/uy5oHx2yFCrJCPuqnsuD/1IU0ZhXNNPrT/y57Wz2ReDGasdykhTWaR OOzTIxaRa+yl6gYC135JNzLsFfiGSZCl+zR+if20xlNik5XxE0c0JTTX7P8M9NFhOnETiY/w9mE9 JQZ1UKx1+ykBC+Ight7bUZ7FwGnehMJN+xI4TIG3TOUkcoCQWyAi8qIFnuMkUvPLaQ5v54Ax0QTf 3FJa1uoXDkZt2K/21xS5bxrsX1xaAitSl71jw/wlsykogFsdYwDAHxHA3t1M2gY1douzNJ6zDxJv IyzaOXBto2o7Uy5PMsGc/Ays07dJH5m5ds+yW5I/gSEK2+vH6+2KSOMefp05Aor+YZedXxGPXPLn v4OG3/NRXTroqSgAtIUkWg/EInDxEwB6takI1DnlMps7jnNKAMSI+z2y9dkxJKetC3kTzGNmfv/x CtC2t7ZDpeG1lGHNeH7uJpZ36jkHh4AF+iO52Avam8RSerMZfexM5MgLY4SSC8gOe3yVIAfglL1o u3wHKlA3vz8rUEqvSUW1OemTf/knM0lQZ3e8RO7laOuEIAYZ/QZX0yauFfS7iTsiUoPitPBneFo+ Q+DDdIo1cpWVjzTgx2IrdQIBMCR4XbqDRUJPvXgaTxTLd1aZ8ygi2klwZ6dppI4g2h2kVohZ6GGA ORrfmYqq4DnlTo51kWsY+JcRC13nGzM6CYCM89N53oBw1qErQ+qo6DZKnHEwWUD2DSKo3qa1sNiI pP7Zk5MRUqfb2edqOv8FL4bfU+gkVYZDHcWtzTpNYYDI3EJhxn2FNXpOKqfpXm6M9kWE4lHs68RJ YYHtCKg07cxfWvvmny5R/d5he8eL98h0cDKMwxBuBFG6q4gaJhUHu8jbgq4om3UyfahcddcgJj8w AS1bl66ZOdkOnEk1LOCBxLfeUuUUmzIV80gmF/2PU+W7Njtoq/op0vEH5Zo+rKaiZJ3m/FAwiaHu qTU1t597Fm35f1TQn0TjCwTEEcT8YyUAfbGGVI3Ulm+ozGeHnk6IZjIJewyhLe0woM8xNhY0/6F2 rs0+SW1/TkkD0WtpnSOoz6F/N7msLJNqMSZ207JOxHQ2hVZQYajFq9Q35kk2kg5ERqQW8uLZoWHd 8fqQHj2Q0VFT5hH/4RlbPIa8wLlBHTgr5Jn5ttDQrraxMsrzGWS4bto2rCYqkVaVlJgKQrjsL3Gs bIdVtr7gK6erd+tIMLLbWU2ruapvx8Q04AQYHDULhPF679ywqkfo9ztJSEkv7ULsNyhnj1jDCQyK Z1LLLPFN4FPgrOACmuqZTb3x8zYy0xI0o5fzYcDm00mxqN2tk5siMhMiamo2c+1YWt5y6QbHzFS4 DTDn08RRad2+buRxHpe43HkcjrDciBHqEaYSIxLK2ORIgcC3bn1wu7uiBr0vvSe32mPnV0EmW1GK 1WLWmNrlNPfTjOuSoFd9jKsXwocLch/zYdZiuRpEIjadMgzCAQx853l+9fPMRcHY1OPW9LmmbTwP Tq3S94/U/9Cn6GiIJUkPYGFyX/8zphfPOR8ND2lD+EepFHxszNLJl8fWIc0j1AZzRW1IqfRJZ0Fv Cm0S9nhTI63WyxdgaOp4PpCW2uG0iu8gpgHyaAId2LBFUFpLTQbBbY+D6tup+I+v8tEp7feojYBo fvWxAAurAkPWTF/6i3f2seJ0fjvCtZQhPs4vF/t0mciPrM5gcxJTdyk7NPNoVPVrJyTdOFOgNjWE NDK745WWGkss5daA6BipWG+GpzMaXqjAN1eqBsgiFqr1AppPd8gQ7eKffPfO0o6MQ3FVqUvuPcRc /1SFNNMhEMaoZwSk1SzQmnqqMJ66E2vhkfNtaRdXqLVgQ2Sf7G0aT5fQ+9EjzDKke1AHvK8O33at YpxXo2oEtJyFb5HYkYaRh0K9uAjqJ+H1mXskOAo8aCl1EWikrQeWAo80G0wewah0MggebLRK1/YK MVlRGbsPb4iuKeiK+t7RwBfslkhHTvPIAWUq05ve9tVNrV8tvFus2hGhPPlDtWcZ+ZI1La7cW/pK mj1cq6Yh+u+PazcRC/laNxoIz5J0nL9U8ExdMAGJvVIH5pDRZMQqYCBANclwLspwXfDdoBKw63NK UmZikdWGneiGvHzyYcQAntF7gDJL/Ph/UHupZ8f6FfhI7qxc4qw5OED2BzxCMSaI07qwWH2JPkat E9k1qmil3+0cE8BbGbx9hmgPBl8p79BLDbWgxu63GWi5QELKALV9LVRlni3cE/Feq0LWT9zoFfNr GfI8RXBFfU00medd691gSWK3BEPZWIm202VHV4y9aoUgObX07lopenLaCDq5pQc+lc0dljzz5Fsu CRtmx9lGNs0dB9Imhe+CJRJg12EkbBVFnb63h0oyiWQNVbHBRXRTDBAgyFOR4CZBnN23FuZ3UH2U tcte1D8oMBPf3l8IFL6S2Tv15y+cGyUCXtaSZHkfHmK6xoD8epcvM5PKUYAVjCGRR0TmqDEOKUs5 WidmzPeWDgzRxdVjUo6lyx9mpZAWyuWu3mI/iunSHa7KiVrxYKUhTMD/6Rw+4+vMvXHFPcKAhzcj VlQeOwBBwn5S5WSfYCx0YtVUhAR+Ga8etOcq/n6vSb14tcpVzFhoYVV7Uo7KI1OAkl5UhWkmjWkd a5QYRFSu7OCk/20cJEQtDE64iKHox+iJhxaiK9RNJj4i5Bc+AukDT1d0a6RH3Qvs5gqtrU1u67NM IDxo+/H6MnmC4+0FY8JBFbhknk0JfvpQ/OsEvaP+jx/j9L47DgmmtPdR7j3IMVT9c0SHBWbnfg6U NDXaCAnw1GwB3rZCw/wEQkrhWcduOXr90Yjyz7i+FQeFAGgXYYM783ijITPduvHJQ5FzItTz2Eyw 4tWbzpl6lhcLHDH+nvdzVLRboI8G8ZGua/apIfuq7H+VQauoivYEbBsBlMasTf1gE1ovUtsjtPtb 0j+Yt+gHSWNKonH68P6zXkHaBJ8+O5FbPnWJF1I/NX8ZuUpwDjyasRyTd0cuh/OyM49eYh5D46Ya rMswmA1NTywZGiqFOqZnb1LYgWY7lvqQ5EmRbb536WrrtKkY7/TB4aeKKy1BWQr30l7cyWIXIM20 xpiXwkS0peW3YtjfP+AGufV1fNyji+4qOyb9jCCYsz5VAhwO+hA7H6F5zC4E0xJ4Fxx+5/tQbKRt DTucquEBe7eGZtvG8kQ8/mayHBVpDN/Zn0dtVM1NESxxgzrKspHAkqROXrckByQuTzjpr/8QIcNu DNnM6vnLn5lhRXFfAsk0fYHdp2oDb1hSjTOwjopF3p8vZDqeVufPi7TuD88fnNExbs4qmBTJqevV vR7/x9Kdp8fju9CfhOyN4t9NMEPc1ff3LmZ3reTv7+zj1xMbHejRhf1wFLbW4Aq1XMpWvIgrTW8V hBt6eAZL4eQFRDHVL6Cnr16SAWbkxEScovPH7rpKtSBDUhBK7zg4f8w2dpSZ6/9l+zUOWqMDxip2 3GNPfoqN7+k7eGLJSiwSPbHkklpfcxdtwA5HGpDmxLMLEcW+JJgU/3CFWhSV0wNyo6rVLNJToKVU MDMIkEJJtzkY8LjxURU/udPz60Ola9NphBV3x4ZmbTI+gB+Bq7W7KIOLGwrUwMFRGsj8+3eMLpne em4CEyaLqb0VArFXPEUqFhcTNFevIcNNZtcg8bXbRdlmodhZUXtQfFeKzwVTWAfgKluXBqQrj5SW 2IZ5SkExtG+2T8J+A9+jlzjUw6ak2oRyNq6eBWwRkHBCkKBP2EFYpAx2cjvEZPuyYFfbzifBj29a Gw0Cg8dl/D4O5cWfR7OjDqfX/Xf6dQt+qGbHUOsAhwBOgsuElvJBm4VmnlgdXHQQpbMG05ojixVb M/sITqNzQ3THy71TXn33iFUpFvo8oglNcw02MyfhgQKhYURSrDY2ZQkkV7fBoulqRfuHLVhcULRw fYKKnVG+hWD4Z6LuPgqPpd4ayy80hrVsmiopQ8FxuoYo6MGI6eTb4cwPLWrunERuqLjSu3FxCRy1 rUNZfssPw+v88BC4fO5miGNFf42ePFPmwFXIcGiL6bg5Lp4Lj9ySzIlKMihS07KiVqm0jjgn/M/U Ie3EiYxwhY+lvEJGeN4cPMWi8LxCUFtN6N9eZDUtJQ68pewPu9YblzdFbqhtihv9k2t0SIWSLGq6 SJ3jBocNHqPn2WEvqOinQ5Orw3CGGliFAhTaMqt1hOuu+rqBjzJWGXvOKde2rX9ExpS9D6WrMEpL BdbtpgItZbY4zArkhMUUi6S7WiO9StJtfBY2qgWDli1xLHFImQj7dQ0HovmlKxPlw8haeQYvVeXS /C348DTJYYK9mjtLU0Gulw25ayFf3fYubnNAVcT631mCV5NhN6jvANv54MCHXYpMH6aQvrLp17XZ q0PpFq5i341gEdejASp0ndyGcCYuu89gWAu45yAHv44hVWfxGJsnnHDDSvR/ijatph8t3dePi1ab bo0GLw1yWZk+aOy9S10rCk2n7I0B8DgX4UZeYPzVWqzjudhnNZJtpm6XwkMsEuTtTw/yOZkJ3bfz aaJ9ttbTk9T1JD5pKaoQlVy9WB7TfAUULN4X4uBN7tstC87/JcP+LAxks9khbJ/Wu+vHvH91bZwG gs8XqqNuLchDhcYUMowK7IDwsGXsb/31AeWf3IqB7GhiCxlGBEz5Gg/2b+GIDs1rP1m+8/KqKffj o41TIYob9vv5RYOKd7VsszSwOo8p37+yqeZzlaU86TDTumDv3YhXwO9THyG3w0NsG7PIhMp3aFwS qauyUpCksTLZd5iUEjbXYNVJDB8c0yjFEvj0pV9QGYbj+jkgevKPnitCuGbt0jjy1KaYn0iVUjlA m9IJ5WtLQD4T3yCBntjQx3Cvtn8ocKYanKnnJrA48eOIrN53ESOReCHQNqOGl4j/SFdZ4ZN1N69G WHEOAlIxttyks54PMyPVNc6tRE6ZyuB81ReeHGA+NYVOn25sGwAyBUrvs8iKExthHGsbihhTeRVd MMYpj26Wp3KIsA5YWlcBp/FnVnYA79bAwmIUwjxnSI6ThCqq/QydephyqM2hiFRuBxrYYUpzp6w3 lQj0mLnQ7NUp5wPUXNcbnsOqS0ZuQYqZrBBA/JKxmmyDfxi7neqEh57rlFROegdFrp+L5L8b3BcK +75YT5PJDr4Ki3yVRBKowNeizXEb6xd1Er/IpIDi1Vs5fzUgv+h04yAWw5rcwdE/rqymlisY65h1 gFBeG4JneTdpcMaVBqBlLnQpipnCWva8KvBPzW0TL8SiiGNotFOtp3L4+Rh5Wsj1rPB5Y8vDB/m9 qnwQiAxw1EvVqZCO4qbSlA2Lwz+L2PtxlVSbEfRMDp5q2kuzAn//pfjgEc49ZFTzv9x1/Q6+vlFB il4ibhwesmZjwsZmcI/lFBIBmYFQ0EK2gfbFq2hRRORbNJ33sQ6rYaet7yw5pSryR6WHM5NwsJeV n9yfBjPFoOCq+ohMJ6IE//punli9jPvDjUA9DYtuiYAcYqwsCH0MTW+1NsuAVOoSUyo3jyUN2tGm UmZ6KEd19LI1awEZ4mh39GCOvle0gvFjiaW5ZumvjCrV/v+401TU2fCrSMgLvOvP4T8v14n/cRSk 4D61ZMfnSq1OzXmDEoNIPBhRs546eS+oUHy/5qh9DwaJdpEV6OThrZ/LSbTxXdHaezav6HbZ2N7M nqBc0rOkLIKwcpWGARv2IEsLNtPszkbjMkeHgtg0PEqhpUZPHvA470s7eYLie/6SYqS7Xm39UMKN SZB9e00zxSUUJbHx4arR98bikNrPDMpjBFsoesh7pzZyKNJOHFY5hyhJ/xgVA/9uvzuoutDNFTKb sPTdi+B3AH7lY3qfv3KDB/DGEza50+tlMZo7XpQp5uvLBmbpmltQv5uANBiHFcCEH1TVazMK46xB mfY1mRLftirYhAqxCWBGzHwxwJx4oKwdDR/vgCG1wi5TWDGEN69l0a/XdTP07KNuZ7vWiF6An8Xh HU5lCnOenROXC3spp5hdxBct3O7Zjw0FsNy/hyHGlGKCr/c6eU9IaUpS4HSVB+MuB/GUltL9o4D3 JqXueoSc4hxwI8gQpNvqRji8D3hXgU6cO8+xXVE2ICBNs+ht1zJJaaq5/hTVMtIptYViwguPyHgH X8qdrGu495oEedegN7zT83xgLdDhpDqVWARa2Kwbbpp99GB4QZznX8EwcTe+wZfQwNl7uvyCRiSm 7CRaq5IVBGbXd6RVZx7md+WcqBN/QT5HJm56evXVWm178HasOkEkrK9NmB0RGRIuMEtojAKTa5+/ N5Z6w0+rHy60Wj8wk/iIlzifkic1c5+ATyIF1YBP9S9WaV2M/Est+sxbYX9k1+o6R6iO/6WFHV3m qQwat850GbD4HyaoqA4jSIGb0S/vQOPvAzEovWvWVWNTjVOk29UIM/jYzD4OfaxOiuQHCmT2lgsE VqB4Sies+ex22Vgg+dgB1pPMTKPE2DnfBI3EBOYjMi3odE5zmk8pm3wvpPZ8UowKP8fJwhrOBL+H BeSamWmp13d6/px80lc7w8ZSFiG7s8GNrNu9BxiMTHIdrDerSE+66yeBEn38pQfTWioRK5KqIzGT qgSqwWVXxn1btjR+O5WLjMXEiCAOQ1Dork3A3ty1dfxXWOIoHmZxFs1ErMJjtJjuEngKyRYiwDbZ LtsyXSG3N3uWhtWPXfcBnwPAiwFvh6cctNZHdVNUP8XNcoYclrTRvc3FW5FoIuhzt8O3eBGtIl7v DYnY0d60/vGto2pXtVX3V/3sNVpGA4aKP6H/FXuomYsEu9XttB/m6yjTJTAG5muie02Jl5AOVBDc sFVx82ieEgKSMSNi+VwSNn/vP1plcWSifUuiGT5LNfREDR8vR0QdBiVjJ7bjjtHIqyy6YBobid2w xujTgn/Vx0QPlXRop9yK0qB9Sdf6X3xRh/huw2IZM/YmyvkHRmJuh3nldH8bvyaEF2a4wFRMQsbP tg9r1D1ssp+MvSWTm0vjmePUEe4CsyV7C7UD9c9f3NKDdLMaLGq9Mg7rfAzhYQYQbUiSRA+kC0F1 1dIIqhbB/F3hZOQTQGooqkQ/zkn27j5C57780Syy+ESFEFpK/fmcHQFHh9coh4roX1dJZCY71ZVM vxj7RRT0lQXD/fUrfP2Cd+M8HRQM19pskmVEBY77oojcGN14TGmgH12DUtHte/uzJ1IkJtfhoZNI phppv4gfdvv5CMMdSn7MXC1eNj/ZRcS/ToKebrK9Wrt6GEHBc0x9ZY/beEu7KSx3scz7hbMDcFv8 yJrxwW/cX0iryej8XaMtk7ooeGaj96Xh60i9ToEoDSNnE0Pp2SFhdbCJwFhehk1Ew2m8tu8ysD3g ghHdVa6K1SChruO6cb1wCk/b88Gpa17fP9NcCwcsQsFCIcDjbDPD0RHbrh/vVux7BqqJsnGGJW/q 8vpYwrBbaRnZTf7vmmRVxRzSYaqhYBCFk9og3wfUrhDyQi6sA9QHhC2/Gl0r/2GJFw322bnHn3nv /Pvzj+NVA+cf38siwcUZx4S7ZsgbLJNV/A9ibVml+iiETPb9y3nIvHwNf2KwaeWnnns/soik3fkY HD9gyF68gJBshxg64RMS7qAu1q2kiiE0LBZ6CZ0Pl/9t7fW6KAbXu/2iKRLkNg/TS5vFc9yYtbyx 9ng6kDV+2O0400B7bNMtLum4mWZymOQ3Ufhtk8mFxF+4ofTp9UdG5hQ4AcQbxUGGAbm1mU0Ke3j2 7Z+6cdSCs8Tru2xl2wGUk3ALmJO/KtflFrtdYJdYo5gRRmQlMp8DUNVDxPP1IxiUrYuhKkc4BdHP tYtQ8Qmk9KN3X60AerWI8KPV3pLsejuuN8UH6leOgSTVCm1/TGTIMRac0GTAVEmVQCg7IgiOQSp/ nTY2X4icSTVvbKPawOBKwwSAuW3V7446QwsMYmyYNM/pwn51GRpNJgRwUOEWhou1tTqKmVY8hm0X gH4wM6u/jy3SHx2m/R5WxnIpZbz3FvVsrw2Vr454XPo/n+gDzl9Yp5iCsVxeMvBt6z2tPSU75QCt 4jAF4F8eYxaK23rencdiIcPLjaJbcL+y0zgei96I5FLonZGtr62nudSvUFko4Dum+k0Sztx1zt90 IzRPCZg75CMAC3JBOWyxrxx2Vuh8Mw9ZWMzh8d1x8zTawQWPzHGTtrN68XUN0WoulrG+msYR6YIf NDVBim+ecX0NMqLY1zx+iRjFPjSl2DDWFLA0kP1L/MM9imz4Ioc68gqlFgla45WEaeYxfe8Opq0x SwROh+9c65cEYGKShEo/KuTtfUqCzVYle0C5EkqPmcfJs+cqKNQPaIZV/Dd5KIZL63NGDaatPYbT /iGBm5Vk+MdFxHh/JuPnBkPzSGdZdZUxMAFtga5vFIICtq8mtAkQmYZhiro+Dmc12X88jiJ7S/FL 3BTsi6EReCSkpxk81GJzSFcigZKduYTlG++KOM9D4CaReDE70tIPK15KCd2vmn9Ml896hKd+IZJs F6yl+ty3wNXHSfMEWcR6YB4UEOHRPoZ5sJ+4hzcQdxaA0hWIMXiZfoRtyZMAKOk8dwR3obZguPoE RvSZbD4Pm/exqCNG3O0j26xPJvcRdzvZE4YjPPzDzmnjI2O9pa4hffEiIH+H/z3Yx2MVUUPQUiUj jKqtw7cNO7zpVYEsRzBzAsl+fiW0ZsBBSBxvJURAcj5syPEqGxqhjHZnH5M02sgR3y2COgGM6/qu gImiENYYo4P5Jjj760LTkqcnV7sRNM+j52mDCAaP3BEjGVelRPnzn9OxwuRMw4Jq93V09W/nSWxQ 1zVrnh3+xjgDfCMfcBLWfzsvGXoX0swSXN0cHRiptrqGyMqjqEewslUUTHlCCvjN8h09vnz+WxqF Pkm+tkkZ4QmB2F2XS/d74v7n7EmEndrB2MVkIfr+MTzhPIn7whKN4FIIf19OmINPIlkx0IMP4yAB tvtRw/9v6WyCqXRCSX8xbiDAGaWwEQWAb6CDihJSyc86Lrr1aD4ObLzoUbP/nmxmiHQI1mYQobJw 7fni4JpDXXJ21J56dizJEUwe0XymC1k2CgOFj3EMch7yI/6iPulEkpK8metjqzKlsqMaGcH6tw51 jw9aFgx4TNAr2d6a76z8S58fZtmsWKVuuP3g4m8J0MCPl91fvrE1fcjnlNOjYy4sshuM8BdB3q3G hCKr6ZqS9uYIEATx9CBKLGXMj3Y7MupJiARA25D7ZcynVHiuJT3fFTa9gIH1cEFaqUspXh/Am7kg rt5Zy5lbwY+y9hWTO280UHq2fCsu2AtABV6mQyENyyi55yJURbxFmJiChHgp6tLHH8APYI+dYpwg bSSlHbCJn5yoAbQ+p45Fl7BaiFc84xgaLszEEt6Dqlb0hMquAflahMxlq86qHMVuWVllg5X63gfh MlBYiEAbuSb5V953AhiTnQfTDj1DX5JlJFNEBp0NUaCXv3GC4k4Gk1r1sslZ6IM+R5r9P6Xj3v6l dF4s6WRXMZTf8K2TDWpSBn4zLwxjpkGvaNx979+fSQqeP3owfva4ZLCCS46QHlpk/cbixhKoJoiw ibVYyGM19OeabYzLKOPepGMZY28lahcXFBFaM36/NJzdZXRQju2mm0zcZzFTIUJOBF33qjY5lIqd FuGfPxuWK8VaCkXFp8NeUeu+Qycp9/n9hp6yNIb0RiJjr4RGvwmhG65cyg/xWgtj1cUxy8fi6N25 vO9aZb+bqXRCZ1mFQstBXsZh5t4b8ogJgNZWGcaZyZxZNuTIcV0XMA0qiXkmppvcRPclJmqZX7E8 Brwa6nSajVA8qyCa7kpJuOGQKFkL/lWqzpRG8idiaH5Oo0GRgPl1JfJtjBfE3VQH+uraVQi3pe04 HLxabuXVekQdGg7c7tBuLVWV1w7W9X9m0pYxX8+C4T5JOB7G8NBXcRUzuRxnS1Nn3komRbXiHEO5 wFUYnN/nH0cEajXpaa25IBH7aXNxLZ8SGvaZLxft60dwF4RNjeiddpBPFK/Jag4ad+sjkwi7AUDn hEn52xtIpWg+S1SQGsh6sCcb13K0qipV5xTK8AYbtrnPqfX/B8lVEqAC5yw2FrVtH5g6Rb1HAvoG TjoiEnqVtwAYR8R5ijh/LCr4rB892RAsxHCaftViJmvsC5NFP8fcjkNQ+hdDZVB46HwVCyewboKt eNg8dXfzRRtm8LJ6UPyY7FkM6J6GYRbDiJKTKKx98MG7qO3yO2ZJHu2wfpvgNsiAefVacIzky8fs J2KtuymEIosxF+QsyFDuTUZkmfT+GshQQnRXTcFej7OL2uZcgKh3ciLzh2pLXNW7EQqcgygeDmlT LxwDXxK3x4pPHyYth0Dfpp6T+Z46inehs8dKxdrXbVRdEn7Q0JFLM/oZYEpsmJQhHk5BKRjOm4oj gnWuuzecTrXOEH0N0HemfLNCWXYDHQU/h2uefajrljXWOsHWhr3hZCmDqwACU0PZAvM2K9kOVmir T5bU+MG4Xe/2Yz6DG+FkKr6x9MVH6A8Cth2u38yrQGl2sspjN5hXPZmx4o+Qj66n6kfzAr52UHvb pz3bdL+QCkr2J0fKiKgJ70IwMnwQAwO3BVrc2vXm/8NvejaenstJvVCFqucnrZqJzwefZb62COnH I8RRnu2sGC99K/10hm2v8TyHId9FmRhQDOaCnkC7ZkOoVLEKQMRsOVRwhWGvij5HQEa6zms5cSYv lUsZ5OdDgsBlkhnPx4qbpgIRDZXBe31Z5BQrcJEToEidu7tW1gh1u6/wDNzZwFz1I7SlSk7vu1Iy oXD9pelNKtPp9hB3fpOjLT0f8RyuzytpWo7zjAUHd/s2LgnAERnMyXOD1UtE4xrLqpS9ox+41+sh 7nfANlJjsZ8Vs0eAUo+pJ3wDiIQlywNNKzHoB50oHKOTnAal1FydTxC8BJ6VIVwMjHMgXiJGI7/2 jGHLpDo2hUYiXOY2iRi73uTZ9800/FXcnOjTcH67FpXlFKxB9xKRMsD3AGDp3y3Ezx+d+YmE7MT/ IkiMEhp7G14DmKAR3U0rc9VmnUNrfDzm2adQAqOWDaOGlKn2dkPQ5oP8Rxx8/I62H8eyw2fiP78A ZRdcMUfHFpa7fWe/folCzsytFt/QYvtpUsFYT2LIJ+CiPD/qUEGdv6OlPdiwdxoR2hZhOs7CGyNN rFI7D0vVPBCnPyo/b1BJmMNFEUMBvdW974QA0RnnTXBeH9GzztFzlvoKt8QL2Zz86RHBfyX6GNjy fiz8pcYIs01/dcKV8S5Sa9PdxL7mRGHyq5TdX8fIvT5nPWi5bN5/ecJyrp7rE5cs+5/KkOZeYCFG zScZzn86vbtcN/3j74vgark0jfn9PzbpZBqvH+fUwgHCc2AmzcEY0oiv4gcWzq/H5+cHN3Lpwk58 5YGdTvBUvAJHSrUXVHGHEvyxjYWOkM9KAJwlGVFgsA+Kc4AgqpEuGBjaxQtqY2efQYlO3iSKsTP+ OwIpP2waBWcIdNcoXVJDtr62wJ/2tgAk01Kzs9Dn/kaz7pHB/oMpu/jQT1BjXaGRE/vtg2TtYUYP yxt0bkRUt20IxNmAG39CHfeuExUXqHKk9aqbvmXeWms6KOih4f1sJ51zJBekphoWtkFy3+S0vQ5m 2wzJwrCx+04n6c2dBeeMsDJlnfPi3pH7MLnQT/Iwixu0w848h7QgFdonNYg9AzqVWq3MC2/2ofyH sChsVdEQwVrolF0XEomnH0KnIlDw1OYhb15hiHJzlj84G8TLaCdCV6KwGZMwHRYmee3nqsnG6gyD 7oyWpFJOiHVsNHRVUr7ObJFmkKvfKiTHdr/vp0oII4o068+rQup1R/s8skNgA3jeq7RgdrN1BL34 CAXAFF3p6e+lr4Qug1M0OyApICK8SIHBkk7u3mTDAo4VKs5qtyjhcrvHqaJKca3Kpf+u3tMSQIwH V9xaU2aTnM7RZUhdfTX/KBUwnbCiDGv95I710pIhJpkq6dF2mN6IelshuEq3oswoIc4BNMANdAY6 BCN+x4UVW1kWJqkn0lGKgIzSlTQzp4n0ncjgNqqOd/QS+lp5e12ifGelMZAX4I1qdf/CV+hQaNOa t9tmNGEgLvPrQPKNRZjpUNpI5rBsqAWJCNfPEZnMJq2dhEBM59LS1WhRsSZtUdcCuxaYV6owzTE9 t+yPC2mkAiefEW26N3ail8Xpn9K5+ziEWacpe7gk3k26n2UJENXpuI5UtP9Ub69LpS8R8tI0XuiI qDWzuYQYNpV+GY6XkPweSLLqsMxARjJAbPhANg9D7pkWtJLM1nk+Lbe9ggnl2CFmhH+rVDYJwC6m TvTMKnmpxxpO9ZA28wnIi/MV+wzghlVM33h9HeO7YswbvBPL7qkAkUlRglAhIgCE+Ehai7SXxP5m 1ib4Qqh6l9g+Xswd+eTVRkcl89d1slY3W2g55Wj0IfnzqvKB5Ld7Q5/9yyWyKvOMre1yhsRxrROT 8yIPm1R8tHszRZcq97eiXD7dPOaU6wUI3gRt0fGrphJs65eCZ4+tBY2V8Ogfkvj7jDnjc0VB3Pa+ G92wMMZT2h9by+KBXLaWHgfT6ISSsA0vIGYVUvb8BAU2w2e0QQuhW0Nt/2SRfON/G/274FiauvTm AAkyPU3vYhtbgrlpl13ys9NNt5TpVB5jOM5jEAD1gSz9ysK1aPjQcqBg7HwElLDk595KJN61d0aC MZZZMlOdv1BCktju//eHtRvO+faHaW41vzy8sy8aZTE3TvS2BybEjUBvbGSWFa8KhaaTp4ew0mAt fVIm72hKAcYzseFOcEg72iGuApLtXqQH1/YEXIgfyT3ObJrD2foCcl3vXsZPpF44EqCLFNdmo+KO 5+u1tB2LNqEN2QgZ0fDzY8wof2JTKeOW3M4aENi88qOhqB535JFRf3l1yhjppvdcNOa7pItjs1rz gC5vDw+cCw8/A6FlFrHmtmXzhNudY6KnXmtw7K3OcJ6awnL1fSc+oCG79PK5FRgdpJ+90otNNjIa xqU0gJfnTLJ5V//hmQDw7dUqSreRiREVaBS4m/NMSBPSAceU9C18XdZSK8ifp8OFHRgPratIKfW4 H1+w8R+kENbmLdNOPwFrZJMlKgltars80bYVFvooH3pzmV+8LbPuG5LvedWOgUcGkCPikxyml+Ye TmLNjRJ6wXkOxLPE6n1ViPpGm+Ry/XUb71yLi5W9t4aQQ3mr90V5P++08PjGLEsImNUKFLt0x0i8 buDMek8wyMdIGh64EREBdz99iBiPIjf37g1YkdoWozi1yQdZEJXmNUcvrT6u4qVN+Pluf3mRtJEx Oydzi/Yb9UdJjTZnD3F9U5nQeF0zwO+usneZKiQN4X9MXCaf8QTxldlwZJHaqfXTrFAgy74Twnvx edWcc3YvvEZ7TcCDYhAJNNZ5K8xLrSRIsgO00FYSpq8HIxEVhGsIuYbXXeY1UVKb1kLbKH5QuvCV FEiTX8cMwUUmcdptQS/SH3fY3bFd26NvvPFeH2ZzXcOgAqFhZtGvJPrei3l5MWMPlV/RcWdPrTAE uaOjFabSZqxdREnj1AhAC48S3rvInrJeUQDU9uU+NpD2awkeTQNQlaWo/fP7d43BHF/LPvbAewDw nzdMR5SBneZWTPUD15Y7fik7zQWUJgjNRbnAr6xpokGG71h2E9SGfYvMrBcPxhwWwy2zbPMjTH9f h5PuOEqhi/Jx+h4Dyv09e9K9o2cEiQUiwESXbgu0DKDNc5lDHihOCqd5moqkDc+QrErCcOWEwolU mIc5B/MJoUuQBqWxlMkzx7uHcceIFlM0OnUgWazgrC2UYewnOws8mPet0fnfX6U9g4RLGGR0SG9D cho3HcyrdXCOe/boOVit2p3a4AtUGTHYbGRGz79bqiG8onNYiQpz55RCziiey/mv++GSg01IHL93 kJbcepHj0jPlAZaAfPP8ZszS8d/ca8HVIMkF7REFga6iWjjNwU/Mz21LEv8EuGcdc9q9TtId1X5j 3PxzYclGPm6VbH1aSkscRNh4z8jTHT8DEYQarDkwKTilkXuDFQ7D0Z7KR9nuAtfx22CrUY59OHbD zc4HkEMCHyg+SGof430JkyNdE12vtWuBHiZg9dVzg/xwQ+7EatCAcX9PLD4suByQbvxlZTXEmobR 0x3JJCOB0UzEBXxnH7F50BhbvQcKWVVL4ERvjdVzNruFRt58THN8mI0Phn7aUOVhZ525CS1kpdY9 utkB+Xx2SealAy4rL9jMJ21S9WPx8XGSs42b5CW9vJUmbZBAkV0qVoxyxxqn77xEJjm0Ln+oSj23 DuWM1pmgP6q1ctD0J3O9Z7Kq+iQrhVpiMVzLgmwRrko40qKVJj+oB6N54XJ0O61OChH4P/gWz5RP AvtcrzBDinhwJxe9t3HhfT/Cw3+726PUY9V7GgmK1qiHJ/TswS42FBZAoRnYRec+tmTNeXfXP2Ou LGJ5SS/gBENv/szpsWabqEdmYZVZgoYZn4KIuyl84e3+nUNDLL4hyQfbtTNKUhpHKQ+pstZdyb5/ uz/lNm4FnS6+mj/awmttcrmwznYW8F2GUxXIfKCqiH7xtWCYekSn7jJA2XuhrkZKtKMgoTXJtwic xIYqCzYQi8I2hnSiyNNE7m4yMruApojxoPrb6cnGAZe5MWy7m6n0J2LgPX7Nck4toA1HtxOze2fG rNQPBOsDDK376/QimMfYEBh/BvIe3uNb35bBwDCEhi3gHKiQvvcS4bhi96wa1vFrEBXT54Gfne32 8WJjA3DIoEvz7Yi1nD0k8uKyGSnKGjB4lrfNxrYGn+9PlzuvLC88VMOrb1wh/5cIy2c/FVEpdzsv vfHUFa8Vpess+y738d+CNKGV0jMoX24REuK6Tj5IOQ79Yt7Bij31zOpa6//8YCLMOcQUAL+YE3uP I7MoISTdaObsijP8pfpQe+06AfQg7a7FF09l4QFU8CHr/XQaqgTWmIRxs5dOwmDM/WcsEMJq4hwm qXSjlWBGk7B8xS9F/vR63PXdkFBJEvZDQ2hVyY0dWWBmqxnwMwn54tzpwq0n5U19fcHCOY/FGMzs dIOJrrm8/+hFR5Agxu60jPhNLFnMCfoWge4nsJz3slH6kxoBBAXZ8FlohoNznf+K80cIbyAE172b VZXX3wUTcdox+QlG35KIgRwF4VHVH37n6LOj/J+/2KxsyUazdB4koS4LvaJjo/oJ4LbHawNe6wjr kGyDKC1u/xRckgsUgd8rsZTeh6KtoER8IjYhcDUtebkDYNxL8x0KhYeYtHxTxCYdEWd6S5s7oJ9a wQZyYOKEPOOVhgygKz3/eskpoVivj2gGxXr4i7zFq2C/oSGc0ps/O3hatnzlqSChj8nwoAtgCtDu sdw2wGRSV245XzZTJPNBlDxmuPoB7/GYTe2G896dlX1OcG1nGQ5DJubcEX93JHxxMT6sPpcPSlv0 0EA129gBQTjnTf9c12f5a7y6o1bwewqVwzwyrzEe9gfxZ3tod8LNb0x+cUKGHWHuXBJTP2C6j7VL 1TTeSp/FfrJA39jGVYQMCjNJ67AxjwS7IwqWkpL0xjElkBinnXrh6BagsebnVCm1IpxtW0h+wdrU tXatG791YXNtbZMA3I6W5Drsd0ymhOmi+b6e5iJt1ERffhHe6FXgQgJSpTBSKVEC68qdtPTCQ8+w 8xh5Fyq0tOd5j78RV0d5/ou/LplZCraq0i5RzVcukUAxqSs3DgD/kagdfU94ULVehtt+LBiNgsN1 giQW5mSFcygxsMtRdVrmn3Bka1tyxxrILP4Caa5nL9sD6t8XkeMpQ4iZjizc0OeJJe7gNOmLfiPL +Kvs6illlaDCtufmTyoa3FA5I7cvoBjatEcnFy2uqxmgOpjAjT2GSAkdLMwi09iwEdZafCsS08Gy kqFNJz3Ipsr0Q0rXldBhWZLvBbrL2+MmgcObYhD9Vbbv0Pc0Qu27r3SR+DZj4u2sTNFiH7EvdRDR Lp0XcM4uvmE/e5XWZYfc+dDNP8/lcMfLn3AJSwG3FdK92y+cXqRgH+mK3x4tbJayY6+YVIts9fiy +XX/2CN4RipLG0EVvS23CvOOoLlBb9hbgaMsS6F+D7NBurROYMHf5lDUNzaHAaYHF087GjC2KWeP NvcxNWm868oTfPQDPEtzTn+ur5fa+eA5Lr4YbJmz3NLhXNLFTPC7Lj2vY6xPayUocfiOPBYKmJ9H JCMNsc3kNEK6BO3JYneP3LKtRqdnoCyIwvesmERafrPJZh/rWDRV3m9WTdGQPCLfAiIW3inPVgxL qeDls4qLEsaI65oE+H2Qhi5Jaf1AtY2NfD+VpcyMDMTyF+9cQ2uwO1TEt8LnTZ9aP/aBc8ErgH/e +z9xXPcYgaDbTC0venRFsA3lvgjkxbzJmlZhbjmlPLRYPPAKI3F2w1ERQO794HUNuM6UlFowLpPj 1XJKYEKwpSX2mn3ZcKknEnflq1+gSJ7b7E2BNK8OFumuM+Nfs6I51pJQ83s/umS1JShTlbIkcHc9 D4sjMKSmePY6/j/lXf3uh0KoY8y1mduakOo+tr+DBVqnwjjgAzz6r/mFrp4EdNH+hpV+lCobiAMj Pg5hJGlsjWocXIBK8F77n0Z7KVU2moCSSDe75Qcuy0UqtkQ3ovz0UlIYAV762LNLN1taeorsuaU/ FeKn/FFkEE2n+Zz4a/aZfzptwlcUW577R4raBmnovSRUZ16QZyORzSU5VA52rx/d2zAx9xzfggDi NYL10vtwsgLb+Xp70DpP0bLgjHlkJZZ3x4vewHXpfHpMNUdiW6SVJvEkqB4cygtkWVFu7tTu2NvP FM86f4LgQtyqQ+hUo1xi/AHhr1Qv/xnb1VDKAzrpUDeVVIOTKque1L29lr84ouIGo9aj2EZGhdDu OILI4WLI/yyJ4BDad28sIink/sYbxjvcYXRjhxqxG0hdDmtUAUBt4tWUtfnG9FcNatxojuXPLVhP ef/wl/Omdl7S62toQV0iMRJQA2rtLrcfdg2zaR4xsbk1Bq3aQOoEQyL0fVppbYaBV/sNKId9ZsLO JwuTyOafX6Dai/QPBWidPjiRSFq+dUJs1p8q4IQVTrIq7eQoermqgZN6gdnSSOJLeHPCdXs3xGye WOptxXPRBRtRfAz0AQocJYK695zqIQho6gkzWpsIjLUQIbLicldDv3y2UZRyL/sOquLKb1X1ImYX ITgRJOkacm3XKCzXWWaKemAk/FdYVYTjIyrK5TtZsTl+2w8RrTAG/m1C2S8Vgr2I1SIpW4DLjgKs 1y4lnF7xwc5pwPROJuUDJ5E4vCd2gh3k9DWELI49kDKA5ZuBb+ktGF4ZFcalO2sCavyVGhuD/hCU +U3y8Ia26dLW4k7rAb8Zv/shoIvTnG5eHuSBwFQKM9D2SsC0s5Rg8LQXgILGqt70pCaaTUiRIk7V AqBztCNitGVgLiTNfd4Z2xiDsR4But4zl+/dRprky2YuCOJe3WnbVgR/rOwUqPEAwSr8K4yabHuE Pc/Nq48JTy9pWS+y/65EgwkvCZpi94LbczmwH0mN6wiQnqJXZvd3i3kt5KMqx3UqvXL/EQtwUo6Y vifX01PXAU+ya33mjoiDF1jxqBvhCg0MqFTW7fRXsFoMkpsKQHAc/Y/CHu8tMyb10ULTOS/t5+6L SUQ6pFEIPrumZgQymqjYJOiHhXK1USD6ojF5HsGL9gLMM08284MFufutwYoxeoGLFcEgK6p5f7Ob es0tblDBHRAiIwV/lK6T0Jl2sUDoIOxOG9fidto3s9i+Cx7tBf4/juBPaLF8c/Sr073g2hrAP2zI qPldMi1YrAlLJ9x7RKJZIPNdmrXdrfHyxbyD3EPjkChnbacW1JpmDyeaE+Mlg5FPmFWIyWmDpw4d E/8ybHigqzy46GaVHGOw1UeXAeenp23s5PojMy2m3W8vg6EWEET84ZtJycnl4q+SwsjDH062ebdW ZwLtEPi4RFePfJP9q7hVA/xW6XjnS2kQHEUFCmWvzLPdNr3ZDJkBwTCMPMCqayXFCCJoJc+uXG34 1gsm884tSR9jpomH4/5gLJ++jSCwP6TuDnShbKaOTnMT+QzZAB3yVsoC3qe4+19FRmZvsSqMAq0g JuoM54iiMDHVCtNgZ2eIp5ZGZz+PPT1QXzm/zsA/jXjOdTRmY4R+YUlXMmKLR+tHNBdzvuF/MfT0 vxsbvsLfKJKiNqLHhzOo93/DS5JUMxFt7b5vkDhxChvay2NxZXrYx4AX2QRsQ5gvQz0FEnpQ238M DBVZBTfCWG7uc51pqypLWp2yXhW1v9VjCVNHEbKSssXPIPif7gGV3dNgaubl9LjnxmlMxRPiQi/2 O2PMiI8B3pV1ZH20xlYT61mwlJzjomPzvv1r6SHdYv5NFD+gVbZYP4bgSueYMELfhYuRI6RicXoE T0YbxEULa9VtpdA9Tcp310B4Q6tvl22HJ6pgcFLXXTBQZBVTp2dq7FcufIZjrR2IQvFGmcDdSuiU rfiPqqpWMTspq5dhbddlgNKzNfeN7+pHUHwM9wAvn+l341621xw9s+03O2ckwFBSc3mIrGKjxRP7 tC6kyvV9+Yu/N57EX0E5K+o7cLtpjxH5VYj7ifnAEhIF3dpVIJMTJJtB5bN8FA3Qy456aCWlYoEk udXdacwPjpcGQHIF4rsltj5uC6NgJd1mtT7z/o2ptSgqD9llgRP/A0y1TZ2p6K02eCIEJnL7R40w 8b0VuH/CbJOOmTVKuf9mZ6jHwnoMquFxnFkunnoisAiKOikscnbe5Uv6GJB6idoCFGb6v2pIzQI3 yOkB22FdpNdBL1Wq57esFwmSdP5bf1b+E9u5djRYH9pOb+1JiWZ9USlrhihU+Ez79ypthp/izLxT wFTxqWKd7Fy9SQR0GOFdZFIFFGUlhtjyRJdG6oW7ZE3MYwvcVgvKWqr+cWWjkCHhIykL1uDtmhCu N3hxe93Kki9EgZ7v7/DciwE9HcDqX23TOQVXs3m/Pc3nZ5TAEj2alZWqD+MFXqkE0VwHAs9dYkDz VOmvy+5eG89mCDcdjsiX53TQNN5eZknyp+sCwSImAty13X7VeY/xwcM8qaIu9BPgvlIXd0+229/0 HA/uV5+GlYpux/eCyhu0HJlUe4BB0inIvRk0R/f2XmoN+cw3krbF6zAqZN+nZkbnHTtIeT9BP7ss PnOiGBiVFd5H//hCmDyVTeXl0xIcesorQDSSRvcikdzoq2zx1La8WFc+OWQBiYLKzUAsjush0LPq VxnUely3FbjsW1k1Ha6Vb8jVyYirWRHx2/z8TbqESxvQt3bh+ZqIWZWx6LT1DcZHhPf+RViMsbX+ I1M88P7v3wBueY1OzZkw7MmzrNaDflaJLAMYWnjZLk6Rl+3Lg0x9jgZpQn9/ZhHIlMA+YLwTAmLY 0t0c99cE9fvm/vSu1gwSDoM6LjrHZALcCNz9iWKSnKSKYSRj6OUAtOhJLU1EqX6/Izt/8qV0HNcW Azl7f2ULGA1UUKQW0qliWFx+PuP3B+yghx46UnicEOHQnfawgnfjKGVymSaSsSVEOrHwCupontcP a9emZEHUVeBxBYTzLbeXcXLlG4ZGsjcAVzqcS4UZBCvZAwP0Qc2qjD9Y0gqEWXI4sKm3ZRGQAPh0 e25DVbP5eqEUgJff8qxLi2Tt1JZm1hGmYnMudnef9NcJ6LhsCefLD4dgZomntr5BdXU5MRlsy+Ad YANt8dvAX8GnO3+RMSeOrmA01aTmF9q0JRVG88V8UDFabDWa68TgpvI3Envh7vUCCIMccbq7sx+K N+lHJOGc6kWRdJ/o8/I/msaMdIWHdhI+K37wDucYTSBeXi5cppRpLTUocNihYTZ/gDWobsZjDi6K MI4v1waf12iVAVmS99JEskRltWS1yA1ub1/K+YFo6tNUVu841bZdUbFppacFmpJM4pPSPNo4/mw1 RUn3/Xdo/0qWyQdTw8gCcyn3Gsc69ZxMqfP+h7AknZ/FRbVN9poJzuy87g+pc891duI339pmR70o viYTZGeig68Dfz752KeuHZGW65u73Al6noqaW1UpQ4I1/rPmk7+nIWpjAC4qW50DZXnDDXJjnL67 g8zkpnyE398Z7LV4epIPRz6YBXySwi0IU7VV48JEP33qci4Xi/XWVekLmK5SNKqnREIfDzmogipQ 5FGi1ZSBWxSBxiO9i/oebB93O9ayhDGk+WavyhbrsvqaS/L1hWdsK982rWPYDqAs+V1ZK8jNn3Ge HYFmEro4IkEe1JvufM/lAQz1KL68yUFts/VDHBHNyndHH5/YyBuA7km5TWGFfp7zMBCA+He+6MW5 H0e+n4G15IQXXPxwj1H6NYxk1nZ3H3jerBSdpi6YHhuMtmnMWj5RuCphPdlx7Mu1CIy8tCZ3EElI uTgqcci+2WzuPDqfioMFrytwpJrrVmlf0vl8tsDZu3KNu2BZpsLAysulqZnPt1cnLf5LQADqHocB eBDNA7wRfJgEP5n7+cIbQf5r4JUnKVQbHEhbSaK2w6PW1HV0WJXY84ycjAFca7kCUfZDWDbpFtN+ NUW3hH5ewgpV7sqiZdbhBsei6pd4lAmpVwYCLIH8swm/f/Zdo6G3fo4CeSB0ZN1xaLHskdyyoWWw y+7NuSCFmTgQr6dXAJC7tEL4aG2kSk+fmEdsMIMiUzMJKP5JNw9XjlZPlZLJe0waRB8c36SqfTK9 wc/u39RbRT2GPHIy+oA2J4DJoyD8jQVnjBsla+u3aaS8DKAS28i+7c8/TgI+CugK43dWfVcC11iG xgaaVyUOFmlMoEtW1yw14b1/17nCD9Tnzs+bVvY63TMMf3PxDI9wfidNk22IszXm3d1/6mADwvS2 Zcg69yZsfZ53O1mWhNx7Au5+bgKUPmVMsJaHnler5hcstpXEIxFKdDXsl5F5giAj1gH2AcSospxd S50V7GWMDQaH5OS/mFrsm4fitPKI6D1Og1y+K6+dk1SC5xm427hexgParQ+wdOm01hoZ9fngpNGu IQxNA2VB3GLFjuvj5usNhhDw4eQYMt1xvTHi07tymG1ESKrogvKE+arPAJrQMHJatEeeExws1MbZ FWqMpcrdb3lgkG01nHsXRfz3/UJKqW8pSWb6BnYIfyu1jWfFI94UJsoC0Eht6i2CdsXvXyDljcB9 lHuX/xXjNR0W4t/6uic15FvA0YIT/k9H8JGnzirVl37stJu8nPK8UBbBQXNLZJm3vJP4KsopUkM/ h1OIAS3CedjcV0jdr+N0jpyEvoLooKDyhYygVpqI2e7hsVAtS+rjTLpr04mO3wofJ5oSl+OrjJtl wsyaNNZeQqqEdvIVPKyquH8Ps3pqtkQbsg/3ZSmwZd03rfPHixYHBQFe7AM7helOWViKmpz+fcS7 TXaj2jYOq/t0ThwbU50XO7lPxGfsYfh0JzoNx9QBMaR/EGcgOd5pmE9mNMZb+Vi4hMNJWAck8wZw RjvcjNiCrR9aGNkgE82MPa+8sglRkmb8Jiz1IzT4AgXgFVSeY++km8CPwRejHTq3IYTZz/CB46AJ gu0xWH8ss5F0xkBxGJmnftsCKwSo5gQQ4WIjoabMJ5k9sr8k4iUm2g5uqmh4HDLSbOJJbPmHX8NB D2CQVqTVSBfU5KxiXUuDoYK3yLhZ5tT/Zcfw2rkFb7GFhWwrWBQf+t5OJFdIcJlvvI62j010WL+4 Nvl8CVepLXrxhj4V2PuMLjFNrOXVS05xU/2MGeYpRNDsJPxWJ5dVatLsNiqfdVrCtiQVTce9R4AX fy+mpvb58RmyGuGCOCVXM9VlXO5mCtsBcYtdl2ltGRAmcDDsHjxjm60yYiaKz6Ahlt2pOp3SMDKb ty8rmV0Z8xC4pz873zN04JmHnHwGFSaaVQvkkUqQKvhFUU8NAk0Uw51FLUYVeKyxXJEUAE5WPoey OCpKfvcjaQFAphjadHj1v6tmnF8YDFme1T/H8FcGbjqcqZVCAYIjYoVWFkTOkJHTi506SuvN3Fki LqTqtQs6uXKAuEB+mRKg5N5HGcQ0Pu02E4cRyEEoRAJIxBtIMT026ws7jcjZ1omc6hNknw3W4vYk +tQZaeBe0xVtYh9SlFfuNSwmNo4dGWXUb/Rhx9IIoUmq8EtNSdhBCCUrDzzV8muJzRjzxP4emL32 /U77yDawKJXXk3/JWLvq+i6HB4bxYjt8suhVT3kLCAVRIi9zCZ7N93ATb2VAWnydhNcQkT7ZL++A WbqE+p1pLY6bWCGRK6PJQq9Zhed2dYzYr0Mp5m3mxgxITN10iDBmW1b/KdqWiMi8pW16AdSkkqPj zWivwhY05BO6GzCuufvxqFtppo8PjuxzVHPNfU1BoPjbjL787G8JWy908GloOhUmaa4YSD4ZLm1+ im/VZIX4/Kg6wPZjthxlhMFKd0wMQKB9I47DI7rhlT4T42S4z5wv9aDG3HfNkUVi+pBWEFcMypN4 fzalE8oZjD6z84WuloP2VAAibnaUN2ekvrsCQMiXnYIFXtohS0KjgcK77prE0tPJkgs5XUfcGpjy bU4MmEN6e+i2jRqbY051C8OJc7IEyRKxQS9yEkZLkkT816yGWsUmNvVmHpl474gMnOI2D35EkDu5 v6ZTQflOdFDCQedoUx+zaHskfmlQXDxy1JPoWgBBn3MVA1MXX8FdaqW5BpFgQk3ASDtTrqDrmKvc yfevYuLxQ6fBQCqfzY15oRlymwvKsX1qVs+9QAkt4BIVHd8wck8McQSqFRPzdiaqjxrSqoYe2bjW m0q5hFaXR1/kmAqvtOXpgBwnFiCnE2RdXkNOvaJKNZpLtYGLQ1CjEhNulbQUJDMpBby2SJbObjTW 9IlUlHqriNHSlhoHDl5MyriZagfx/YxiDY9WhKSrSJQowzZ80JLY9pCLCtaxLMipuhYqzuOcx4/k eq36UmOxoXVsc1lQawg75vnO1ftCFp+ERiVGN+I0cu6Poyn3nRyp3eDJ+EaGeWJ4LomAdoUowtg5 Jg2NeZDAFFx1L4n6Ume3pwtVb1TsWPLeYw/APfdNajALOeteraJF+1My5ukDw01s3wpkVc06jWBo CdF9ciJvlqkgsCGUlQ/q8P+ICcVLftP4mZa5ZJ3VoW/gTLNIBS0MULSYgKYMEEsZLl4asNDQIvY+ cWzf1ulXYXj+fd7uicQ7gOHPrUlCTCGrCF2/+qD5cCLKcqDd1Of9ZAQWRa6FFCTja1snKCJTcvLL tjEGacMx1z3qjUCgrkqvcUZg8NEyBj9K3ybACC1xpQ7nz9zdBOcJEl1hRSjXFbJvQ9QhZSWQeuVc oOXFG7DgPugGvAdbVTRz52q2fScwI2uKHLM8a9sKqw3+/oRVcprSXwvs65IUeHuoQaa7Wc7rS5NX K4E/4h/ki0dJjKmxZnCGXCf34R1ROTiGY4Q48gPARLQKdrEfIAKTS88eg/pDu3/vi6ea6Bduiuzq R6dOQ4WjbSgMagz79UK7bDb2gDljnePxNXSQCFqnPGBGSq/7YztxA3qraWmk46wTwO9aNTxySvYd PP68jZNRHc6S0BBAtmKgfFpZnBf0UvW+aLwDgDYogDqEh4VptktN2zo3yb7NsAjwlxg0iv0mQRUg P474OueO2ll+XUnrEpArjGpbUj5lYiSaTBFnK6VSVRceC382lSxwMLZiXcccNYKz/K4HrBaM2urI kDnYOL4xKW6FZwbMcKhMUQkUk/hd/9NMKR1j+zWEsTmMirrBoudfS9D6NofE564q4EEJP1ZsQ4Mz awls/zi3xfmU9MJOqQuVpEjqtiJOWrRvEE0fjdLcmExNE4W3NF+23n8DcJUy3Lgev6jdgci1ajQC wu3lncpm9bkaqlCMRH8XsrXYIQetsSsrYqFrMj90BLXMdbPQR/xTSymlzvAl7BcMoz0w/6WYvxXH uBofJG3nSE/PLxoem7HZkeb++sNLDL2OIMdIi2RWJsksyMe9gR+yXmIQ/SiJINvwYubB0rECCeoX rXQU+bi9zyemKvapDXxwzxYx0LGadSt78uZ1zXJZu+ZKCNGZwY2BH3oVYLc3821wmhrIkfqV3qQF iq+rcmyC14jaL+2EMaMnt3+80XgTIJlVQY1RRyIMTyz+ESgkfMoWcbpQVQplDNQLdjTsh3eUg21x KRrrutXmRXH/XI5S5B7MrNEDWyR4gQ8yekWdRo1Zz5PkjuAqP45+R84cjUcw9ooyfFq5Gk899BNj kPaWWM37yl1axOR4XJpvNx2a4WwzDaW79WHUAI6bnNZFvps5N4EOvluYgb//JCAk2SNZmUT+Ql6I JwHH9orMOyZg6e+trss7D69N8+yepFriM3wke+udobH9cpaGI0wouRV2K4LIq6YeXMpHDvFYmJU2 4COdlsSbmcnqhGCGrKzNnPIevdoiroqBK+Ak9xfLKqV2pEV3UMmqm6iv9+LQdrOBzc55wnoMXFdj m7vBJ7LG94bDjrdHjrbAw2nTsjUF/h+17f3OLWWQvj1kclVQCijeeG+SA6WA6ocdFaT/EG8kwZdV kwAxfO/LKxEneyjjBy+FHfD4+mJ0pwvlwzefxtMFOEOPBNFly4UGL+/EsCOWE6mCFjJ9h9OrICY8 OHajZwjQouTnJOYDj0FkxGk5R0SDCFHCuqPSbY9uyqkKOUlrRY+6JzM6QQRpcRdOhK7exxECzx2h e2NdJUcxjVPPT+AOgmkzG8a1nFAzDcbe9vZikQ+J6oEOi+EOpLfZ7+T+AZVYzfiUVokvX+heapOx DVQARDTz5Qso+wgO+gf/uxscEHgT/PxZRH1hQYhn7Cx4TVHP4GYX3ywv79ULSVprTZkOOGV49szF 5yf4ohb6wlssP9HuuMOLLrFo0i1Yh6lI5J3JOF+tYX9cH1DvGAaXnrXHMMcZjLsWpwkKAEXpykeR gOKqLTrE/HwVMd8NDwmAVvnoVTmcLJ4jE991NsUGyQXbEAtrnvLLy9WylIDUYGbc9PhRfNc9qxMx HU71xoZ7DPRPeuOiKabq8t1wOqc0sFkKMGr6wtU3o4H297dcly1nzT6Ro+SFWuEyw+bDOqXpc1of dIUBaC9OY/OdARz3rxtycLIhAa5knc8VlaIFT/92Ct7qBLX1HsxZNUrxwsAY3x+Bh043203MVLyn XKl0X5AH4NZ7l5wctCfBoRnkwyAm+yaevWsfhUEGE0Df41T+WUDvvAutZWAuX87iWiDPcWQtfQcG r2C+EMn7E3V1UEPlu2iJEbeQDFenBJTHKW0Sc2WpFok8N3NuywOxKAb1Q5E7dICVX/k8vDIgf5e4 SkE06wSWInaXVreeMoLxzJ0SOfATlADcDBVyOMEtJGSK9Q02mqFqsPXVx7AdxI1in+hSQPjV10sQ zSW83NmpGmYYUxEDFnhDwhyAZ3Ua4PTd8ApJjsKe1fNKVGHR+nGUdNuQWqathUA0q1PG/u1BB13u nm3QOaxCI1Dkde8bK6geIOMuxHBGyam0Gqho3SInoIZ8Gh1cVwJ/plFiUdX6hsCFsIP+5miA6B89 vs58YbLjOBUVA6egI5VKreg0ZYA7DNeD5d2SuSOK7yfI1FBkq+HaAtyYifvXvdXFiSphQOW9NXd7 9+CeGUpfkvd4daJ1aWS/ep0nQyNqzHCqwzqArGv9JN5V+V/cwSlGEj40HdNQ3Bqp89jlRV89rMpe fXYO3H7Dv5zE54woL60rd0Z7xC4+LQmoFlQPCkpw37axiNmqrSA1x1ZNho3L92rM2LssUlCvbOo4 8aOIDS22CYoochVeORwAJw9y/M0X0sMLfFCeW7LQG2zIAeivZbxnRAfJKFHQeDBYGi/HDPZN62bQ kHFp9vMrsWU19Gp65AZ42CoDv62bvH+kEJYwym2ieukOFw85ZP5aA44120DPaV1nE1qMf9ptp2Nb IFv1FY9d49LxtvKQbzE3QskjWzgILKJMXykgLxk+qp24BsPgAHRy0pXWbR/VhCN16d6eneSbl7mI O0tfIeVnxcpEErp+zDHS7uokgKluukZCHUZBoz+JquyPXXbV9+PspYowdDQf9+TKkMWtXUACRz1d QfyakrQchxYAj1IYXBea62ZRNR4JmztqeQa0AizdDE9r6GBsTaeh+DUyK/GBTrp4SRfvdyFeLbQ9 zquxY/OVx8uMSHS/mbxdE1mJY/WiAO2ZLorlCe2nmStWf1jXa+BXWoXwo8nFtV0Q0kY+loxiu1EU NuFGV1+W3vVCjXRz8Renk+vbype80yqIEm9ObyNUw/h6HmBrhw+fI1T2BA3XGueB+bEnpZumTW2Y SR3WnzPPVvEMlHj5dscoR3RoscEhukud6sdJPHckOTIlqWZqMQcdLAqdIo8Zr1Y4iw+hOCjwKnPG Pmu0toSzxH63LS/xE1fiEa8MPyw7FxR7GY7/aPiOMp9HroQP4PF36zg1+V1uyprzqKHaqPeHtvPJ YRuKr8eRWS+mLraUF6qvA+namanUNFLLLlJ5i0rIk/qPSCyFnt+X5gszKpqs+DPtOuiegew9CiPy FPqMl0atsk61sVjtSV9381yBOkQbzGz7ToDlQ6AykVsNGCH9R0vEWGhavoCmr4D0TeOr7HqKgUMC /jFEMGE6Lx47Wg7tl/AJpK7IscWRep/0VePpXO19KcAh6Y3MipzOQv9IrxH7rMlCQ6qnKlF5+j7o LCjPmeJGs7tDabeUXKf5xCKROaIqokritTdsYALdovCP09EHDJ6a6Qo9zLVPoX/Z+fRvYNVBwDHI +L9xCYR/c9FXJIu9/VwUUb5xVCqkmiQVKmKgu7tBmiRDsNDtz/1EuscNV10mwAwx5LgUfbSmkVzE ieyGMhbe+8XYiCPNltzT7fKfOdoY/QtiNyaECg8y7uH4qwDAwZVSCOT/ASNRgfpw1AzQPz29oVV+ dobGvS+9jMXEYROz4/Iu2aKl+WBmANKUdBsXcE3eyMrQdgJyzZhhOEkH2ZPMpo9UqPmgtEEovNgD DozJhGrfzHWk4D1RnzNhFuoFTGAxW2Q7uuL+8gqoYPoKiyTOYaDqJPjKRiUVOJuXeNTkjBNTjACb xLVLsBniC1vYwDxXV4wVN573tKsKJWGQHkFgFHvZOflGn+dQWLQAijkLh47y+LPggsAlszicWjcA La+Z9vXbttfZFnLACO1B8I5Qq3uEy7Sy3lv98cbbyd4SQeQUIGzMIgPy8D/CRN8fPwE6CUqJnkGT O7NDtuQ5vaHiKNdQBxs3b0z4YEZbAsA8kg2JK6HqqzUV0DtN1jG7tUvw+aDU8tYNJjovStc1Qzr+ xJjd92dPRqfo80FR5giXCt1Vi6mb3yVnBfW21vjoyp8FmqmI9KDU0ACGqtMDw/Q74DQpR+Z4NT5+ NTnsjhJo1gFrq9PZ1x1gWMubJnj/ne/Nw4MkI3pIBEI7nNN58XWFZ3HzRxdFmW5saTs4ixV0Coj2 Ot5yOOSJ7gVlNkTeJhC9Jf+Id0ngfPcvu8P5q4tlFXFxhzyUSPh5pXcUhFhdK9o6jKQs5YNpPvWl HXM+UHVyp/DKJF2wKVhiZ6kvLCTCczsejM06Hg+oh/VUWwCG3RGxK5zyZwkau6VNI7JxUlX5uUnt az+BqX3/IDsuO3l+zV2vGs0Vt5KQv+kb/HPDXMSBAf/qy3T2cdfghOUzl0fzMUHbfFljyYgpfOwS RfvGHS3c+/r/f7xwiGCjJv9hgUNHgkOlUR/0SoHvbTHbMyxQLokjq6V9zDiyhPY2HcIwQOxiKVsI a21VP9yDJ11/H0j8YPjI1TWnRkpVaa8972JkJxgWsSOxnCT6LKw3wzgTDhFPERw3PSV8fP+fnlZT nNI8s71Oq6OxOLhpkb1KOXmZwBahOrLztX6KI491S/e9AMMItSY7LllS69+IJlk16KrKWkLr0KzF 3FSMptSGEJ3rIy0Sn43Nf5z3ImDL+oU4Y2SyLBaz8P6aC+TThvKqf58TX7mF11ScvfA25hwAm9+C qTtKdcX7B+01zrC2nWHZT2DlvvVscBqKueXEkNLbZRwcF7zy/+AWbSiOLVtC/SpwqViadlPkymSg Cd24FiH3Y5m/ROxKR38H4TqEO0eM45hTTrol73jYp3NVZ/Te7/vOWYO0vUwQz52ljfuh/fUK4xeA nrWfb/D01mCynw15tx5lvGoNu9SWT9Zhb+qcfdaq5B5eE94GPfWygxdskGrj+ikkCN87vkBm+e2g d1zd9HHxr5sASlcjattTuGI3UYMSIrJ4eVsrDIzPR0QNot5YgGT8fiT4jVkMdTnnpkHcp2GLg+b9 HW7OnhEfB0IpimoFzLKw7HpybfBZIop47nBMZlwmWo354Fd1yNaQlZUT44fwHG/lmxe+WbdnLC6t yPJRA18gJazdBlpvZvaNld9ETIbUkURWEPBlI1CzG+Hc4LSe9A7Zkk4Kg8t+s5MVPnNsfQShBBtD Ermzhs9TawameneWVnvPHloHAJ/Q7/1RMrXIFQftAryT3eL7zEpK+QeDYh6R/M2HXFVa8S/rxRGq b1GEa6ixZK5qljL2CQ+u2WHiJfLlXtldsMAY7DBGDPzAkZBTD1e42+ZhLdnqfJHqERKXIPcenkT7 O6v7Ag9OtM6YVChZt5pO2R6kSm7pqU2c4X5FiSDvBHgbTw2w8pxb3A3iqcMcuLx0+xlqvDiXaJ9m N2Ml0ujBKoXEPjZn6G9UukDKH5b0teb+XbzLK6PsPQmh+9pIKHvAb3sDaz1JlsU7kc2Z/xaE5i4Z LTeBsz0FKrQF59juDlqZt9FRvUksynWMQhkb1VhpKCzNgQ9HPabpG0z7jXGbOilJ97pZUH7yem7S ydUzE4SfjHTfHEZh5K8g0L1L4m2bGr5DlT9RJk6AMldNob6XIMlwO7aizqSQPp/8K73jF73EeH9M 89/zRiAzJBstfCo7Kr6ANmIlmrAIBxkDAqLAvSJw56R9PkBXJj9wSSdf63/UH+QO/gB6RuciobuL JWiVxxt//avkmtpVQqV2ONmuhpG82sZzCWYgintJr1qtQkwe0i53s/zuhHheRayxrxL/RvgzRWbp +4ViDBFknJxqg2gBBaJylw0Gl5MQ6AV87ZNN9H4JI7QuFpc2E8/scvZoHGtdDAZX/FmJAVeNCOpw /gQw6kA8TQ0F4q9WRERHA+3m13QQMIfUvLMX09T09LrgWX39k91V7DXqqfWM64vXsr51ihdjFO69 PzW2a9bzDPcrIGrPDjwjkkeCuVeyhbpQsMKyFPuOI+47MCjsQIUm5fLRHXDGEW7CDDdFwBWLQcuh DrdksIxkPLAozXKKbQNwIHdWPCynwzYDTVOS5je2od5goQTeahjhb0gRU9ZX4t8khN3GTMF928Ug wXd1XHg8kJuCI7kHy7TmK1vvVNR4r1hhjaKgsOZkFBjsWzpBdFdHif58Ft3OsNBj0JElHRKRS955 1zr83y2jy127X4lmoUFRxZfhqiW6DGsa2ND1uu7W+hUmxyX+cvCp2icIpezTHMW+SQvjTuOX/u0Z +drsaazHPFd68VlNFDgBxZCSoJ6Qed7Aq+QUNMOIpA7oLxSLsFxJzl4XE/zZMPkVfF5LYC1ol1Yt x/AFsaEvue7W+SNkr2s5iRpI+Yd1W3t65k2Zp5w3pyLCGXHw8NBkfp8JC+dZ8xauiHLmC8RWFg8v uoWtFVPLIoX3EyHyK30SGPAQvSwstmf1VSlqLrtLUVJmgo2962Q6Faf1CrbXKLuouB5farmlVCo1 doeXpUV0zBqdglwBVqDaKXk+2VfU7zbDe6VFIh0O8Z8pkNCEOnIrV5Z5YdOkUjxbwZXZt+g7EcT8 EKFDcrIososGA2oxUsc8eNRzWb4+AQ4Ik/jH+YIf/Hv3LVMsiAq4tchPPZASsqW8/AITwr0zV6mA svqoXz87ien3q18YxHiSjPeraoVyXMX2YaqUn5NmeUWhFvHDO26LBhLyucZDEcAxPn2kqzhvLvNn 4JwDzyS3xzX1sNbgkPhsKVjCMSpyIzECxzBoQ/gNa/UrVxVIuu21fN08IU1AZKjEX2KJ7doEyfNz 52zrySrWGZdXjF9dktOJQTTYKaLMdoxmZ2H0SC3FgegNxOHFDShFNMlHr2VrCKKgxa1LHwns1o+t p2sRlJm4jYcBaszrPI9im5DYFgs+PYKWAQ4ox/p5JNpTRo/znHZXysLl5UdxKUh/+G4poHLFUZpA VTT2yWv5hgR516CkOUFkzXxZLJBd2IOwtWNqkDsidZgDm+/7U99TTzbe2QFRZhxPkVDMQFbzhEG7 QKIyXRTLLTV8UceUMRF9YOsm3MkdojI/amJOr7Qi/5ja4N30u7d75J2hROtTYgeHSXqCX5nDr1HE 0OuI+94ewBQEYJu5k1XWdm0XnFjKTci5TBwpZEJLCkqjsAwolxoL5ClZDn5IQ1TJ+qzcjl4Fri3k pJr5n3VkPokb34CTqBXkFMjEYjXBO/Yt3nWTNjHY7ePkuLNMEq/Dz0g32ejZLPGKj4MeJZbXb28n 8RKMPK0pHy5DRF5YDiFHfOlgza+qOtClLDLP3YvKt0JYU/YVhv41nGi9zqqthe2pLHVRgT09OfuA /ZKrgy+joi08S+8htE8Rv2SZY56nfvt7qsxrx23OZSFI8fFEs/dHiiz9P+Y2YgSRUVEdXlpVWZlj usre1zGX0y9fLjyw7vF0VUOQEOQ05NnbsTlB5JmMxhmPFv/NdYRzOXmNW+i1N6Ru3j2OD3qTDkW+ NdP2yGRXU7GYRc9iKUYqlYlwI/ifghVTlWusTKFc74N3iW5pavetgg7YnCFExP7G5uzFdy4f7MNU iFUwAo9J7PPETkk9tyu3uriDLo8EYF/K6sVyILHG+mS8w+HeZZ5zaAVxaolkBmut4WCVYkjxPsCu 3P+lfrZ36VF+FzAYVAcpDjdLGVoxIMb7Oc3rWtMVl8CtTJ6N2c6b0DJ4eBOdNReyRvjeDFAlcFkF 2tLpnlBv7WtJzjbN7cqPtlPhPrpdfI/R5mkBy53+bgjx7sFhEWOucoMWDRmaAG6ZZfMn8Qu8wI8F Rr8PVLPvkzXFEOWpou4w4LyJotQyh4TImT7xHiqJwGeH99VQqFPbUT4M+C8F1xmggEvg1Jrh7Nkc 54XnvYeSmLuFDr4O5ZBvr/EboI4g/LYYSsWzPjcjP82IScOyRRMqjirLfsynnEv3YS0Kcs+MdVPk O9GFEyKRdLHs5yUKmIWTMD77lCWxs1EF93b4V6V/q/5pa9cFevlt6MdHwvvQqE++QNnuDV/qqbft 60YxytOHb110rhF/iOWndxWSDvrhh7D+Kr8sWxF8vqo4SqSLvRJDVzjePQLVsiX42RZQQqb0hNWm O1eT03YF0PGXQeSYsi3YGqfYJ4q+ff2mPDyGpCrsiKL31Z0Tv23PAWyiw4obj4KJ7UVghzdqWwaW bCR/DZGnvGSiuawUMTSPKTyzaxtaZvpjx9TSfHKIDcjTwCMCpJIkI9H007ZS2Ic3g6JvNdMd5m11 hsHxqhEoZ5aQxWOXnZ6ukRUDjb+pYq/fJ9UwFrrrnXCpe1OsLc3QeXVZQrIFSQqmPYf5YONhHQkW 4D3gITAuc1WTpjCFPTRp2xcSHtb2dWNjFlGV+k457zdmUzS40Dfhb6gzvU1ZMZJ64bZg3NrSKkr4 Wl5WLeA242qETwkXuryr+7gkP5pBT/8jI6A8wRAsWm6TPyzxwydYMCbFiWk7zMgvIJ6PXcns9jJR 7W5QKe3FclHpE/G3tBVsVZV9sbo490n0bjsMOOw0T9rTNQrYuvPt9xLH9QiybWCt1prL/kqcgFgc UNCONaPeFtB2V5OjlyJqDCOMPlmQDVprvZEjbX/jIN8d1sRQcQToqTu+NW/Gb7gia/3Cp4du74t1 FUQ+iPpu01q9gMI43cxdDSv4vMBZ4ARHQ7zWbufap+Nu4cbnq49oZq9+VDQ5hPUVoZEikCc/w1MY C696lPEaiw34ItEcAmlUD2hM2hML2hFvjT/FnfRGH4Dkd0pnZv7bbmhTkCdPi2Ojyk9ranRkAOkp HMTfBCW0+MA+W6KRckqMh48kT+dZcFS1DJuf8zjarAdlrCJF0W9h9W1e/2ck0GwNpmmaGsqksJvc BmUH0f+6rok/KQ4k8M6lrzt6tTEK7y5it37spoQWeNfiVYxaHepKEVPJSO+grxcHuHdMrSUD6us5 K9VIJB1/HP22isZndYQtsbPOYuW8LSDNErEDXE5jz8OEui48y58WesfymXJcsyyp7A9arLR9HPjB jxd6Y5V1cTkPtfp+BWL4wuu+M4nuQCJKe2cdb7mCCEtHQiz5rP740O0QsHC/p3Rylm5CmgIHS5lc ua9VTXloXP7tMGBL+IcwcFkoZ+L50bXfOsi3aw4DqHhKXqc3oEkox+XX39Kh1aKe/urL8Vrpdyf+ tu1qFugL0o7xCgFI9fJ6Hu1+0KbM2iy3JErcqFdDlHBjMKuqhw5nWB/Dyl6OTFpxUUABXFNqzI2i nY9Ur9XbD+8EXzpfdYPPsEj0nhNwcLLGmJwJnguNRLYXtcT6SFnEgn0Xpc+GUp3Q+hHVtswhZ66H bTOvBAtgt+Jix1iGcDInMih7hfT1bDKiK48fP1fuYDErLU/Jflfb9oO2Et1zFz3U0CFCSXzJbjm2 Fjg0N4uoNLY/zogqneXsbaYpQgerc6o51xH4dL9bRblq2Tfhb1udR4glQrXBhW+eAY6TvWFwlR8z 9wGRsdRfa8EgLmBqX6Z0z9Zzq9sPdowZyRFc3iEkf9dFw9tV2DudiqW0O/liRmDBVi2QkZvInm0t T6TPuqMIjHFiC6Jn3qece2T1n/NVc3qj6XiDU3m9XKYvhxcRgFJgeqxvbJe/FWKd2C21hvoKKlUe Hon0uELHLIgSxdcQHLdd0yK1gnJjd+zKf2/27WQQZDAVA/aCF2x8ZcD3u3NvfilUMNjk3S/n55TT 3BatQTJWoa6jjqrxbJ05Rhz3F7Mt6kNshG5EA6CT7JfzK4QSCAMSDwh5QM1zsoXs4LskXGE06pnD 6L8Ng4N47tCmEDuvdTw1HLTPf83mcQGYtIdm7c0BYuAedNJF/I9hT27R/zX1KzYFLUKiE45EIGGs reykZ6Z90XeeGmpGYd+dGBXfrHGKIYP+xtkwDWWbInB7QSRmvzaxRr8Xz1AVkFWKoHpiXVlgBHW9 r7q6bKR7DovCYK7arCgcJ8pREwOaqO/RN9a7xos0mbPKJF7VlyWM/KdkNSr7y3Bh/xihtmB1wLEI S2owMv3GQTwe6zY/EojlYNC3RVkLCNV+WuuKivfhPRTloay4qg1B8s/jeHlD+IQrXvzKWGz4h2cU 1xrvM74FnnFbbbYkZm6W77squJIK/WeKGkS2TUJ9oNpajq8fsoBCLMYXwZzaOxrqPbi9cX8uRJ6l DSJwugE8VylDXhM8+H2ZcsDhwZr5AF/mKu66T9vFF3SserLnUE7JZJYsdab0SEn5VYJCL/LLhZsC vnJbXg7tisfeGoZUO5sVVxYa9NVh520ysB8lmKsU16jgIG8/Mzu4pIdQABMlQX5toACvq+/pH6Gv U4xEMNGORjxyj9211b16YNB0UGq/dNoLOHxwGvm1gru1aMBz6jFCqW0IjZ48+vOxXS02UQ+MZheu eUJgxuby998cQRNUF7tk9C+eVkpIGeAfK7jlFFHrT+e3fHCHs52mZyUkLjDFIRxmtiCfh6L1Z/so KmHdnsVKP+3pAXVoy70xMNEtoIjaQ1VaMNNdJ4TXo7dThkMyh12qOXA4Nqh8CKONxMiyBZOlMhUL Y7gfaYOWZXAwevm8If4GWr+1cfgytWcqPjLThHm4dzq6MegdG1Hp8nSsU9USKLfOUnyG5ml+Lh9h uqcO8C3dQ+fKsKOqASh4Th1q/bqs3OGuR6H/zIJNsU5CtAHjmLpj15FjLtf9hNF86pbiv5GKBm3t 9yWWnvHzOeS7vTa21kD7jv3nJUS1sQJ8fKx0p8fTFkt196BKzremE6SHBr68oMeQSgppewfkXuux 7T5rzaH2feaBEAa49U+AqfkvSfbMqpXGBel/YiaPZtztdrK2W0P9QqaNPcEmd9hatM58kHRPxK81 v8uZedQBPVhMm4a3t2P3qnpWdYNChDdWqGwUyKEv5dMMu81kNUpZ7159me58rrDLzalcQF6mfiMh d+QQjqeJLZULw/+IRzCO4M19wQ1B8eXqIV8l1LH6SLEVjGEoilL9JZliN3y0whOCvs8ShxTSyckb M1SY1M0p/8s46+bD24h5Y/i0Arroi27aeKyB8x/jCPXwWqIZnzdUw35iLv0jbI7vGqepuqralEYE EOel4Fp5tyLIAJMchjGAPhTfsbnnGFqu3GKBth5qwYQNixhWvXhJndP5btop/PBzloIz1YLpaoe/ OQXpis+VkO0WjQAipWzONVP+YuWWKVo1V6F/85uvKdKiKM33+SE2KGY3JC8rA/Yh1y+aqpLdowk5 NEjCZ/Qn1Bh7wUv2DZeVm0CVDav593FfbzPGPjvPE2Ya9IPb9VS+jAVyCYN2lQ1sCBDZxMTzDjXP O4nro4HUqMptQN8n5jeSTDsQ98MPw0TGG88xTQbswDB4mFuHPiW/ytCb+pQNQif3V2NDaqo4BFVv 77GCAudrRUspgWD0Ij6NfqRf2HAmQtXElPzXMcbk9UE68S5PbIQBzbrRQWgwA4G1qCaP2SUztKBl kdMywEBY0WAEdkkIwnFBK7nyXngd58KR5sromegIgZTrmegd9M79K5UGt5Jmg7e7CnsTTc9G+IE9 RsPZXtWd7kezH8TEBQwv53lq03nWQSpqI9V7Hbpo4xOCdxq3sgo+yAXi9DRjkHebiPf9e702jwRm T/+lXRnDjuHxhZ4/t+qRV0vFyNBXvL4zn/0QKPOyAIthalz2sS3a6a0FEMskevcuBqXzK0nmHDta V6Xw3KYqvPXnPytfoVF90CjN+lJJc8L7G1UidgNblMQ7HlkQDmG6QeUSkv0V8yu+Sy864z5sQ65m A+Q32sbjLiBXZur/2vjKDVlBQxzqbcjPNgYi07WaQEp8LyMd3e+3ibRpbhB0guEPmlPVqDDPlxJY DMlud0qN3RUHub2+kzxX9QFqJb8kd9lpFQUfcP31TRWbepOb1ueRUAM5I8EhO1ODTQPrIxZPaLpt DBZy/TfKsxznPXA546wVG9Y2snY3SSySeS6WKg5yRTazAnxo/1iZHokXFfF2gBEMGvsSNx3aKV0o TCBKbVUVwym7ueweO/Im4TaOJ7wjOh+rJokI/2CxX9wnR/nXYBT6h4TW9O4Ovf3vz4v6rKzMIu8V 3bniBdAgLS2GTgdKxihvVjS0QXuDZcxdB7rm1VyOm+qRL4Ajjj5l6+pbG99ZqcDRs1S88nQqu3i3 1iGjcTU+gG76RTXkBYSonTg+RbzN42vFa2P37gSYjOTOJZ6Fw6cZnW8CrV8l2IvTJjfwj4tj4uYP FWkIfD36U2IqyLYo46eiiBOac+Yu7zCcAmuHv1uH19U5CeJg1jKQqO3AxbgQRL9qq5W55MwwBPuC YOhKEG7LH19PO2YVN3KnTMGcLBtSsbNYVh/UWeji1ge8dXF8gU0V7FnY/naviSM0VzEdTCYwh5Ig 83C7soxOTfbragkyA4C5kQsXJ0yvsFx3ScoR5qdeV07HfnXnknzJY+NTAoCKN/jCpVqZ4Ri7fg15 8RXny5Wa4Qnrz4PAMCZY5hSEXZRTb6tPuKCssiHpeCCOnSQAmxFFlYpQX5m1bSKQLZB30X1TJ3XI 8qIpJ9jYBlhHSY5W48M97CFZmSlGfMAvQ2lmW1UF7OY+hPtM/p/e+mOAuCoixePnxvZQb4f9pozd szKsW+V3NJiqZRFGa2nsqlSwucLVuJ+cTc7FTLmldSd2LXL0fGVsSXsYvWr4nblvTBKlPFSRXUaP AnnS/EomuYdMQhv3DsFXR/lg3O7k/OKfNPNRzq51WjZymI+NbH7Oqg1pTc4t+XqkF5bI8gllLI9F ZXj7WLjcRrsa2q34uYptWOpg+4+FcGVUjg9Tg5Ogbw+Yz6rH6ASCUYBMwuA8zNlCi9kL1v4Vpd4J 2IJS+osLIiArSQNMoto6EHLtNHPZVOH8JfBqryEm1gQL/Kh4aZeODWYq9DsAPc/q1Lv0prqevI1K r5g1ZTj94q0ptbnc/l0pRolOj8yLlkNNQgYvxQjjIDultE/kwoJ4FC/I5gTAui+i/CVHmBdcWQoa lJEYAeu4/UxZw/qQBD4/ABP7T3CSlUXh6W6JkeLBPZQ951LSNEtRmkMbiKlGpU66dMd1D3zkjlmM btH53AoPQSBQ/gFSmK9QZkf1m42SF8CVQ349Br3/EuYYDQtOEvUQnJ53vLwNzDhBd+Gedqv/v8th XBCM8N0glA+AHDrhXF+/eT6XqV/tcyIlHVOK9eTGioqvI7RzU+QKtdsZMLv8KSWUQaGYifzcIp15 Ng+F9HWxm5QPDqddkYPmNFPKdvT34iAvremi78A1//wiZTU5gngVf8peYC70KmC47Om/nZ268RMx 3LzmFX/vknUDtPhXdEYE8ENax0dfVUqj+WDzyKY+hS4ihUpKFlDs1gFeyRevV/TRnkGIr2mN2ec7 nCbM2Ez3C4af1oDlRqWnwQf0eD0+LWcXW4oQC7nTG5HyZLey5V359QO+vVfy2LC5djTi+dAD9Ta6 0eV/iNXNN1Yd8SxvDW7gyfwNb1BD2JdmLwbrCbA/9HMhzXR7MB84WDB5O6R8+EwR1JkMiWtONt+5 z45Bn3AqtEC7JD3XdJQohzGImFuAAMbjqQK8Cpjn/xcvN9cx9xQmqhNbuoFFEqZ5VLUdMX2nX3P1 ui2GNWMQmrhRI2Km/Pk04DHEnfDpjTIEes+CPwQ6udzb5D4zhnlnvTPOIlB6JOEI1GVO3o0Q/w5R QaXPCaiHXImCz0q/nj5b8UqiLAda0PDQ6eTWxdthie3AnDhiJ5KJh63PlDsmFlPpOdOfzMZbnjFB E97MD9ecGid2oSRhztiBS70M7GtKF7yxcu4hVNXTq/OrsrC/by+sqVcUymCMItSzOsa/YYGYJaP0 ok1/aERZk7YaAcLBDb/fJUREyZ9JoegkWtcYcUZ6tRCXy1cuP3fDG4iDbSYRu5dPWYbQxJo9E7Xx HWqXiGXyS/D2F0xHhJNPmbHwsfFtTHL4m+17sPQlS+buhLR2y4CifIOdM6inHQUQrX7jJVoG34d0 tpgIYmCw+rfpUD0dRrA+wpCNITSuVS5jm21f2HV2eb5yh9JO3zysHf/2sTyNBS4ygt1alXIBAQIa CUeEpvvXfiXp+OvMbIJWUVnV9SaeKKP0L2YyWI+oGV2pRxzN/4j+yXGQiOqq+eSr1oEAL4kLP0Dc VXKv5yRNPjhLi96i/yFtn0R4Oe3eKQpAuVTT06xa+/FK4LULyoP+p+FNw63zE1Uz+BTjvoEavt91 5l/gQHsYZCXugIT5Afag95f7TAEgDDT9Bku46ESfrAegfLeYae93PRWLaT5MVMesrs1f/PQEa6l5 vHi6l+GJdh2jex/D0CISHG/pmw0JkFoam04ASl4SKkFoc7agjjJC7s3SJlHvfyysuCHPqhoVYEOP YiPwhClySBammW35PMFg6I4eqcswUkoBjfh2AEEuSJzvXCrk86Q8k6bBImwumCIJIIT+gUgjkpvS UwgxKL4KEI8/5FtMB8Rt1lz2cnKDheNXLiyRjQbluer8+3nVlY20LT76OKwFkI4ehGAlzn9Y29gk l2VDOm+M6JFbmgjQ7OSMIC5hLvzVkbrA1Tbwl788kDMww8uF6YvA176O8HjdQ3v9ghtQCJhIgbEE kwjn/U9jAK/u8ApJecjBD2VZ+wTEiAVDutqeDQXWdZXI+99zmeAjApj3jK0ocPKTXIT3uEXSx5wp WiBpDQiiy3fOjOuMDkZT0ujgLMFNx28cw5KBzIJNFt50OKlsAU8npViM2GXXFw+OD5+1D1bC/D96 iHqAguFzzfiPJbjSZdrbdam8PGd6gknqXRMS6ouxOD6ed1F8zdarGB2jXpFNqfI7vmBanDvJJ3S7 jJb514z6R4z1+U5l5RxVh+Y+jdJhPYNAONUYNkk/4bqddXRiw8FDbONoa0zKGw5gehMalBJ6oIDp MsAo5Gqsv5BW4BWjH+MtyrVDjqYjb9xxldNknbbSZeZVDGLJhU6V1tThYuLX0eshbiaFIkYaQ2vP 6BUW7oqmk+amvyG5t0/pG9WizNIVZQpz2+QXndDA3lH5rWL/Dx4pbsdIs4eZxsOXGB4C4SI6aCe7 IXul1FoOplpNM7RxHpcIw0atFcCqwuBeFJ5BS4+vewz6bTm4I/p19oHXOjNei08yphVmqeMB3swz LYv61iMnc/OoE13lGMiiOR35xbIGvOzDFHBfufzUqnOteUu1zqGzJaaGKArVnYvmhEyQeby4wOKd VYMtbmZiFncTATYSY9uGNr8a30/R+KBeiVtudkYgFzWU+RtVr8vCYqt9Wcb7OAzS0/3UYsVETNkf qT+eURRH0ExJT9S2dkHeO8YQGEE+pudorZvdzBGWqbjJevtvvaLVcGgyvS3xOKN0I61VQqTj2rjg nLF4IMN/Up8yHbw7op7fAEBbbKDwzLC/K/m0Rexn79sbDQaEOvAA08QlFCJ+TM/RzXjKck9G/LNz aqFrkNOHUAx7ItKNieNJpwZ7Q7BrvHtzNS5gwBY+Avy36WeZ9JqBLUh6B9dbnI+KXmdphT0xlfbs 1v2Q1Dx8sQBJvl5rOAZeavqqoHQMp5Zk3R4LgL/lNvRF1uG+ZKfrwG5aUCjFhAB9h6OIuZyKFCSv RGisCs9lCALMolFF6tHJGaJTf1+K3D2d2LxGg6WFKDDmy9azL0D8jlSqQut82xf3E5IHU4xtjidm ee0IoHvaUg0NY/Np0qJy70KdGGpIcdE2TiQiUhsrtcqO3w5s/sc2VrU2tCakLQaCn/LS4dkdC3mb DWa7jDRYHPepdKN5gvabMouwY5pniH7mzlvRJOzxKDLuq41N67gbboS2vRyc6JF/Nb9pY5aUM8UL PG5t9fa+CD3fXqd9eNerGVQsm6o08YXbIbeYVopQoAABCj/orGuNc8WkzymAOGBA41OGWPN4yG0z iCiBeMnJm9mWHa7jCkYJeziU/7O0i4B8UXjz1ngs+muXR9jB1fK2XFZbeAqouckpcprlAaU2Bo68 bLW/PFH81zEiUa6n9bddZp50wpZsDwAzT+Y1iqLq6oeHsVvxYDhDw2N0qZfJXZstl2gl4roh1+z1 3uWzli0ZXgCZHP+50qBULywJjKrLGSihv0jdLIViHYMHdK+e3amaHDg7CqWLP6jeOMxq2dn7wg+3 oZyJ8KzvgSqU59sW9fEblcOdbWp06o0qbakmJWfeb/WikFfM7cgBlPQDhV2XWwu+6AMDguMQwiA6 DXnXfIi1lLffzLj51XqB8FHXNJzN8zeOGBJD5h+PG4+uH3oPeiQHOUa921aQw8IX6S7mhdtonpEl bH4QGglRpRs4QRwHslbHyWEgnH8eImOsNOn6OAvSxoy6dbiBymHNKVHZgXnj23HTZxn7yDZtVr+k ClnugtdAwDoJOwNY/bbfwISeVF7w9UHkVgOqRZFqKnbysdc2PqUFQb4aMmm32zJYYwl99COph6Mj pTEQ4QYhI/gAoW/mMhagrZp8NsoUheSLULAr6U+22mroOP9zndlsoxx9tHCUy6caLy8pgcTrEOIF Zsjy02N4OOvEqoqeV6Ah8r+CIizf/oxSADYlM3gSUrb+lf0sFw47SlGN+TWA1kVkWECQthXmcIjL Cdic9HE2jibJ6PqxZioAYIg7n4IexPxqTAoL26u9K3Xi+TzHtBPvUEWet+WxTnVzwA1bWHCN6+/g 0uoF6oBYZ6cYavFNwqSE40BNVmFw/ti5x0SZhuVmcBDFZQ5sYcVnVMxug4eBM2Eu+EPH4QdI60wH cGYKM+xjqhnCJ+KdV2QS0UQo01qsFBM+4lyxg4US8ZZ+EGsPjKwjC+htnPtes+GDDmN+6lSy4W3M A6zA5JXHoRXtfQLfN+Fmx+AA0m3d4mAjVVz/D0drp5TzSssgtmCxqVbOWVsTwLGkOij7qkkgLJ1t AR1hop3Slf6+Wkp8sHsDa61kGdviCTvEnVjTlWThYCiwxElcxejQsg/YJlZGsyXepCkjxsQ4ZfFV ApQKHx1CxOoEW0eO+NfC0LzWwMOTyekp4V6RK6cXB04l9xXrP4sWgPxR5V+j/60sPmdy37bZBcG9 mPMPCFYvydamLY2tXERCQ7bM8BeFGhr1S0bAqfs2PoA0KhUdG+nk4qMTkBEmYOYQ+0x9M4PTEeqk XBB+2dDqnuk57RvYoGuOrm22e0cvdyFhO5rk5RsOs5vMkJKIFoTN/hRCYg87jsLa09lr8tdy+0p/ EsfOfQTiaHrkqPNu7P+pkk3RL1JLY178Pj0m3ZfhPjDVpD/dvSWVBznUpEvETprf+eF9zRUDKiiK c2EazjEgn8TDqaKzBnQ0fdQFElJZisnkMkfxrh0XP6hUmxstZyOfaDgsoXEk1tao/I9X0YXpqMGZ wEhd/a0SS4rmZCxjwGue73GB7Wwq7+Z8kvl0O5LN8cGkv21e5Z5JY2+A05vZgfjP81PAEGjmST5L CgjfyXhRSBdEn50p3KaHUWfIeyXJH9wLXVUNzvQwJ1eQtSDkNCxaK6CSENR6yw6nnILHmLQNxkG6 MRMmD1f6OXRuhKaVICWxItHGxFdmGMyhZGpytg7OOEV4nt9MbPfYkQSdqnDYTau7/67D/pxk1r9T vzx+RpN27+r2ltGOBR5W+S+fqpsZAEgWr6wXK+1jrq+yCYr9cVZYjab2TxQXUrfN5uCeJ/8TN6mC urJiKc5qbfxgnujI/olqkj+HBHZXpaaSZyriCEn+5dRH/VQBPhYBcxqVSo1QZPO1Pf5ArDjyS9xV 2a5YeOlea60ZNlJ27UmODorEdhwofayeWI7GqRNS0cvjt3ZfGb7bqcJMIyjrqBinn+laG629JY0+ 1+4mFZG68Ks1ivusiDoFkqzjhNgDCw1/4lExCMOeFVam5nfNt6EtPulgCB6G58V5b2kBCGIn2d1l sHXOaJyNtKYq1ckqxStWtc6/wkcdOqxCQDsnVoqwOwiDJknDGT4VVjvoJ7c8rQYIHnigfyyHmS8s EXXeMKYzFVbl0jMVdAlRzw+tqpjAhcgK3/4hMGofjZYDL34eo7Agns3XQyLp1plDyTqHLy0ItXtT o6ha5LTZiKK43/Hq6SJ+pHJL1GCVMctdQQsdRtorN3k6mV0tUIXYeDWyfuUtO3zp285LiEIaxBwx I+zs9wBT3mXL8ThJgnhge5S8f4mezWOtmR5c15fQoDHRd/FHdQkUJy18uwFpyY8ZiiL+nHwmaGG8 KjC4o3lvU010cOWPNmAEONIg1ALqrIBDl1sD62EXEOGZyYfbWG7YrQ4h75rDMBWcL9wxcnxogV1L jmlntZQtxetcDeXlEkRz94RzCYb09nCDMaxxNA5sTHHAQUsicX02Ny7KKXq9HDeNnOJeJkWGzCGa vmZhZjCfkDh8EdUYIsSl5E4eOI0xgzPVref828SvoDI5neDzieOXiU/cWYvTZNriuYuZNuhlTGEF hUd43AAk8FSlFupsCH39L93cCyVqO80u8sVihu7SHtb/8BKcv4+xmtlD6h1cXR6K8faB6aA3mhCp XmMfgH2fudTwG/G9i2jOikZYo7XRAGdeElrEpOnNhJQ1n9hniZbYQp+eZyjSj8krkFKoQuclR8kr ST4xoNno11gyoBCn7QNpqTzfz+cf94dNrndkfkOGeB/F86UC0xzUuBLeXIAPhdxWGZrpjJX7ncGY IsHBFdfuXxOwRUGEDoEjSEqg55IENuWWCOlZNI3kBC8BitQlpF2LKcctA2Elhio+J38A/DdJ1aCD moVdd6GCb7j0dFnVhICGt9uTtq/K5DmWs0332saXubKp+ePXIjKEGK23VR5dxyX3GR5TD6N5rNqI GP98IelmnWliOhQRfHGw7ldTw4696FPs07HXMYcn6o1DCvoT3WYqNUGZW53MHFjmmrUDI96YyotN E3NKuOy7XLrYOIxqpL2T8YvqG3ubCCOXXk6ZX1vF0L+MVAAqyFZPVX9Il7GGaPp6UnwX8gb6KCeD t8ToVkrdOO2QaRyia9DH/42TbYcdfshbuoKTbtDmcPiuy8XOsla60o1q9WNI2frtXxMdYtvOctZD kqK1bZwDAEtOb8DuKBfxzn1uWAyCYNUvmBoqXXzKQ5u7oF91hi5BGOLtA4ELsK7NG296bFT9GlvO ukSJAddzIDU1/l6o2nVTR13Yn/vIWEZT8tByZGAfnojJl1We0VEm9Z9WuSIfEjUTLqyQe/Bjyhc9 Xq4fyrPdueet1b01Kfvez1GzZvfCQjwoajo6YmM4dxpkSHWCRCfl+UXyk4AIqajocyrx1x/OQgiL codb7oXMte1Ld4JTT1eTm/slrZqY4HdMzGwg/ekm8waqveHBKYJ50Cc7+P8cReeGd/qWQdeV40xn Sysw6RDK0Wg6bOrPKiXJ7bHSbrtsLj2x12Qfveyj2qeuQvecGQSARcSDW66icFKPJLDtRCyvr+eC Q9l9swlY3NPS219fi7yPGNCRl3tCQGHSgNdYQOOXU2PZDAVfWIKEnu1Z/rIsI5UHdWfX1EZgpoK3 SOMmoRyzqoMURr8EUWu+v8IzCRM0oFrINfOKqOful7bH9J8Zben7DC7a5Ya47IKPfZgK/iNNGaHT JllHKb6olkZCtwFNo/K6oARLcG0O+TUQHWz6P1pCTROVhx1DoLiQWVZylr97PQ6MVbHcKnNDpYrt cZdVtOXyTtox92BjzhqbwB0+5OMH7QXhpqASt8TfEQ7TWPPB6qA5ArGBPPmHYZHOZK5B7pc0b6E0 1Ii6+lQFgbKjr8tO2QEKXeL9cd49Ydo2GZFrGzIpGP7V/VLq5y8mgqGwnAcW6DZnwFxeKFByrXF2 Dc543dkT87ersagvIPe26tZfkK8KP8vYIhhP6FBhFqq9rSjq38poQO8WgFIdh/G46HUfhAobpquF uWYEjMjCffn8wEXvMje5C0ZQf3XsT1b02pb+wGZfxk0xzxVMDdL6SfSM4KcYLdHjstIrHh7XmMCK 5SU7U4IznCn260umQHlU4Xuhs8PzwNE4lmEQGV90updVuXxaNukxi+IRtzgxuxLAXjt618SAcnyH VPaWypmCTgrSyQm1t63kuVCjyvgKI5RoeZUsiVJnOJC/VswJ3dAorJ3BaDSpNXxxOkBP1klGdmQ/ 55hivMIzHpUu8RnfPEP4up5+HsfYmHXqJICDagCGuXomIiEFVRx3mFSlBkohp7Sw04/iDCZ1TvsR BSOv63QZMAjfhFk92sk8irsxoiptOPbeqjtyTltBJOH0t6i2iWvxEwFWUyet1XXOv4P4WDEo90H/ XWdxelfVDcTFjWd+2OindG/PD6pdSB74WOJxJJ9KCVogyvlQ4xQz4DICSZOB6ngx+RPieaSX6l6l MPkGFaPc40nvoVsQUvZ9EYxtEWWaHjNR+Oaz2EH1JE9txR4P3TbRERyq4JKvmnxsb97iD9prHU+W 2f6aEf1rDCQbqHen+E4VmvJLgYb7L2b58hZK+WRD1inbVYXRcpUwRZP7slv8+GXSC+JMopPmvHZf 7YQiAgvWeJe2wYoGn7TZA8NelNyAWc4j9FaWgsmqhkhc9gFcBL82Ins1GSEyTWtpNUlTR91ZFI4F i6fUPgDr1KhOvKtCVbZLQSBEcMwI1oeyZpUPxuFIFK6sAtBEW8nHiHL/Y21+LIa8gSHH/ytqhV68 2VqtA0cmS3RtDfxrypm/R30V/xTXsYJurV5SuPWAFx8KWum4zjk1rD5NHCaNql/1k1JhD7nHEUQx sCMNje6VA7bSZQxB0LKPJWnK1Zh2HMb9NmZn5NMFlMAjnWEKz52mI87xDysA0lMQtx/nLLgB84Jc zrmhTDW9f/c6PEKsZfP0D7P3V9ewLrhOnghSoFyhWPw4jgKPo1zY7O+pc/biWcPJoqGnXPqasVLJ xtaSwo4eJrEfzXcZplAG07kHNJV/3hByIjdsnxUrE6WlHxMM0cG+Wx0HiwcqW4qBEjE7tMqVZTKK l+pRfxyLHXIBGIp3FkiTKsCYx49jxg/3tYLwEemkFsc8AFsmW4O+HjN6KMrqFIjKuJK6uj84Pj8/ JjF5j6/pQ3x3TjCXymYwHI/5GD/wNfrIcYnEXm/TdzEOo+HD23iSQ9D85OcLeKpRodrEGpbVr3Bs MARFuO2R4POYkjSf5drUNgNqNI3hli2YB393SCmNy8YpvWq3a0QKcc1DXF/zvSJLVHiaTOy90WzI M3HtWNhk0yz8oOP7kNU1DAVMJvv07uVKNXg3yb/V6vcAoOby8NQEzkg6UtDUYj2hEvPx6ZbES4sJ jJd9MGoMwQI2GceIhsGERGnO8B6y3a/gVFLDswPwQvRxFQsdPe36GlymU7eeudWH0ugYZPS07wbC J2Mt4fJN/12ePCkijVE2QUiwkjVm2QrB0TnLu6hVWrJYfU1/5OJfeE4sLFM4yHzHZEFC6DawtZ5o gHKPGvY25HhASV3Ay1DMqDEjQZCZGo2n5DNVSyf1HkuZkLP4ojNRlXxso0NqoCvMBxuydJTOtMGS 2Sq1PLyZ/Fx0kmlN1idbHDvf7iS2kQMvrG4P7khhBkSIR4qpGvDAtuySo0Us1yG0YHVYMb6O+BYL xVWC3Ug8WfE8nHLP+cilt/0YZwDcPv/1Zz4DpaSXKjoxmOoWsyBaB5l1kk1++vPDR8zxORw3SsDI itoo2dtlCPXJDUfFOIq3B16ZXuEliuiVVVCldf4xJco4IFvCxGAaNEzPy5Y495aG+cAWY3HmRcET 9UZyubySnnwalIiewVyXf2wGs+QEFjd9SoykXmdukTBCLpDWcroB0ZNRok8pQ5VaHR/OFuIpUd1Q tShedcyLbwVn2HLnGpubreB9kmNJh8uWQCiauHXt9XeQ2lwO1kyShgwh5v7jFfnMHF2H4K343e2b hCmm2s4Afiwnv+2r/n7Y9YufNQDjytIAV4HOT3AqLUQk5TZesKagASDDDafZdar/7oRRiAB2/rka IGXmrAxBXoYHenbaTxDGB6vQHO3ngMpFG782v1kH7L1BjGck2PtaR7xJENq6uyRT9EEFLT2G8GIO dy66h+LOFzl6TFU96Wh68eqGlW2MYECad8GoJZUTvHr7jOyhSc5xrJty1J9lpPqUF5MlMoWkaFJj D4n8+uBYKW2jDE6v8wpySVQC+LF+tYTqbe9HuWQHC44iYgq2G7Sq+MASV2dOR8KQI9Y6SwU6priB JGsfY4XUbCoaOCMYwhK8/o4aMgdsOv1h7FKC8J/++ytoBmODDw+exo8Eu2OO45Kp9ZX/LVIo1oLd jB9chG7wYD1IrDFkt+pgDSN3UGctyx63c7d6UAvbUZYpN9BB+80/HWJ0WWJjwSUyE+ZpiPUH4QZN 6vzvizcYon1YYgRA/GM3/IdhCOJjwqlGk1hKBr09YWj4wPBDUVnpsJxDp+Uf7NjHXvKT5HwhgfVT 4n48G8fTrXGCU99BsB0qsyelG5htADG/NY77X9VcGZzfR3fZJozgDo98RBCmYHP+OKkrXO9Q3YSx 42BHb/whHgK033b0QIV9NWEr35n4+Jnh4sAkOjY99zd2UOgZpc1gPEPZ8YaDjgLPgfY8f3JK5Y1w HQ9VGTEsqOa5sxBo79Zat6nOPXZKuWXv4aLvsp12nKcHDJy3cL0dYpgsK/AK5HUFk5L9QoB0Acuj SujiGto71eOCwgfkIcelwgELHMZLDx/jhl6pOCYrqqQzEc6kC02VoXyZHP5Fj4yVWRJYzzsFqfQq ls9fTcX5aWJMXEfpRqRwLGNoGkRFsqUbBxfExPpe7YjtuTLqa+Eqrt0LANWW4x8ieeFg5q4rHdsN mYJ13d9n5/kxVpqYR3lWulR01l3Vd/gbME8Ps47L7TKLeWmVf3rwQiNrWODhCoHh8B7P912YeC7o YZmSe3LcIw5EBg6oKSzB2pn6eIK5JFX2P6w5PCfFLIvC3eSUC5mE1Hc2uCWiVZYxMsm/5Ep/1JRZ cvRRHEJWJJlWpCdutJTTCy8MH/Hq3iOFv0579noz9RB1iYYYC2LljkVi91m7ouAxfRqihSiJL5K8 HXzftZeDp7PFUg1vGImxrrXSRgvxwaCdJO+4AZhTlbKs+tN/Hy2RoncVwQLJZ2I1AlfmFQIjFeQo +5bIeJR3L++7K+0TVm3JDmNJmM3vU8/j1AvWzWAWuVHvGJGwvQnKRUPBUqndkV+xLz+2FSDp6K2F arG0Ol3ZKjRPUQPbyfyNkTZSiVmGaJ6nTMMM2cI67wdZgLMMMBQzPbFM1KwNzgbZFRYHOFRYszVi tuHj00WPsqJAY93mKoe0JmwIqyE9ArwKgF8AKnm8yoNUR9JOP9VcrPVLf7mrsPkvOLHZG1KM8mKI 3UC9zfyTzgF1IFMhq7WwZ1xKNyNmM4B9AThbuhgGWTeNiYxJgLTpoL9xFIKNrNtKaNHtTyz0wNhi Nvo5CPbYIFIv0dO5mS57ieyE31UHhzpvMtCXqcsByu1bHVLfmHoxZmwHh6dqANNcFim22IdXWVjd jCc7EvOoZm2C1xjhTeTVT42rex23cpPRi2D9VL5567mMDdqMj5RqMuKyjWenBTzPXiU4D6f1Tkwj TO+4QwWGYF40tjjMpgxOoWRjk2CzIHRkHDKAkAs9+cm6Nx7KMVS6baI2Zxl+ZOR6K176nMK+m/FM TMFp9jroArAVFFiaGeYnEI6H0ho/E4Yl82gF0/CJjWbUlkML0VP8kO9tGhGrWcdqTOIcm70ewOkX XG5xoBpyEjFYAcQJwUtIYzHMieUyaVQL11TPIEAHesfLf/MBVewvpHXJk2VtDleFjoA5b8hCTc5C 654BaK4pv33dfNcFi37HkNeuu8pxfi8IS3t9WNPjkzL5aWRVgk4w7xNjJRIFaiI4wKJ8XedBXMOo q2P8M9t5KA/oJ9se0ZHLDZaeQrfO74tccmBAqTIXhsZVXP30X9AfniJ3RrBkZKbk0jnfB56T4C8z QHWJh7elPmJkhweY+czUTMousGpmv+RkZDVkha3EwbY0X6cjPRgyIrOQHGhCqPOE7Lh40fWyDWeg /IHOdEGF9woLusyV+1oXWH6w4I76LCgWCT/g6uSJ0WYdob6iH/yKelu+TZvm5v9NO0PLxtWZ42zF Ex673hXKexv3YoGI9xpHBk31kA8wE6XOiPAPN7UtMxA3Jiw6gdYvodN0EBn590Kgqj0jQ452EdDO mjUHkQ3oIZcWLrvg4rrEzJHwUzrHoJnhdpZueogzWtJiPzNTepmumPqrFdy3pFnSOKM0Cs9zO+mq vzjblcDP6dEymL4XuZvu1o8hA5vcy0EftfJjqkp2nDPpt5aJozszfx20fztfvRIPgSNwpmhNPQsN Rk7dhqZ0cfYPoSW6w6QfpINR8exIi+76KDMNm86NL3y5z1u47tpuhxLta4qN73CTsAjhHo5kBQHR cep8hB/zluRW66mzSNShq+MrtjwrK0WqC0GidxmTN9A6xqwPFdZwemvvZCblITowHA23Dd3etI5O ebJIhgcHpSQ1213kmHQE5TbISQe4MrvtGHeCqts9H4rQfHZwkvRaJIL96gYwRX8yzLrB93O/74QZ WyFEeXhIHifoOJNY+roeGPO95pBbbFsKF4yb41EoMCEd9pzhqEnUlu9Q7ndyaafAOe2yanAUk7Zo e43K6ZSbbxKI1dPrrQADZxci5dC2VzOq3P+NPEYTjjHNEZrORGOrpLh7UYIjUiD6qZi8pxD3RVvm bfwHFDGigx3jMzeQhTH8VgMF+4JPiGW/3qLAbE6cof1n+VRzmiYvfarbpvx2cvEOOYt8ZauNB6it VA7cLUEBQjBoQlxqPvW/sw2+s0aiBbGqTgmONtZkQFiBKpAGZu5uagFRAO/+GEOX0gUM67bhuQaK UXu4vc9KEhVxExVlN4gI6i5ZhMi+sXniZGyPdvhFG/IBDC5LXw++jzFINzJQJR4cL8mDVAKYTRCm 9/psHVfHa2j7iOx3YMCsXPRp4N+oFzTOoIXsnzF783hPVv468tz6NqyqgFTAAYA0L0mOq8pgfmme GzsH8fF5tO2g51V/1q1ka6iFE0PVMd/S5PiVzbNEWB8SJ8pIRx0tJFLOo4BMxwPrmELF4rg5zROK dGGwUFrQJZLE7tNL+Ckzooh9NMFu+kD0+NkITwcmC5vPSC2RFVTYtvGe4MfGUyKnSC0f0rxr+y4P 1uglKKJTt+bC6ctkgxH9/KbE2tjKF14F2hIfhjSQ50Ug4Ydn3V9vhXdfKfo7dJcdH8QoA9UR0Eo3 b7QSLxYqviB+WorvWGAS2SDtyBQmweDaaf3l4BVAxCLPx2+GnYLU8UdI/5cLQJlu/VlQ0HW37VAO WpWpVl7tsiDlGddqbFmWznbE3x4sPQ3IiDxekoNn5fIKYNC921DcHxFCv9Fhp5oEXHvlJ9VV6F2X m4jWI5z0z1kuXGaMLO6w9TKtE23FMYUBDWPOtEKA6YI34J9BMPvGevIbV0oAQcVIRhQ1MmNrRaWI Tey9m79pfn4uiNkLozBQi5ZR9kBtP73yzScXYJhLauJek8EUDf75X9oqcummRnGEm+sk91T2B88F l1X/uvACBWY/ZVONXS3h6QIJGFmxDfhIzhM8eQRzsxKZNtyPT6O3SDVLsv3vgiXgfwoFoy8cmFEd 7vZA4/RxxcM1dlbUaGn1L3YcxBeafdcbFSqDVfzQLnoMc4JAwa/HqdF/MgdD9TjrF+870X3ctaAg rz2NN8y1+pqkKo9i2s/vEfs6pYmw+brtbfqSQkZY2wEkaNimHL432elj/ILEo9ZPp/Bu7kz8RQhz MLhxQigpXZXfGzsaOjuyw0hzqdRNbToQJmJlSaPrOSB9Pj7YpOmEwLvrsCRQoZg0oNTYCUDfAlKd J9TPxBwTvGFOAQWwLnYJjUhSqz1klSOA7MsRlaK1n7HswGhQMQ5XOp9zUFwGttLVX5Ab5N3modJW sfMwvDpzk+eCFkowFQI3ZAu5Z1dYxjKzBXyMqiWw2w45DNOz5Nd0dnlnJMBQ41RgFV7mv42bbU6K 0oFe8oUrJAE2H25gK6IKMO+iTDA2F34h1Xi4pqzEb8FGJakfyloqtRYMhtqVRVH/IAbM1MMAVIAk eXw38JCkiWBD47dhliY4ONTKIpcgYn/gQUCFN4EkfMI2LIX8Fu2Sz1nUKAEq7RaQjGgUc/RB3CiA ilXiiL9yJxIO808A5P73XbKpmE/GjtT73TWma40uXs7elVa46OgK0pr4M5gEIPMy6iqMbZuDp5VM biAjuaMNxrHZf+Q0cltye0LvpMMPHZOApF0wRmGnu+fHEhHDkyTjjW4nF7c282/hqz8ruTJLVpba tFH5h3EXHuVAX8+Cf6zJZ065BIGX0Gjo7F9Q1qQNBrVSF+TfGOIZ+crZCRw3VxKTpATIBdQ0rLAK BwazIpNflYrMk7XwxbdSWPkGD27y7r2PvjtVBl+HBvYXz1JPKCbYseuaYl/FNTq8yfkIs3DZrWtN VUc8fQ0CbudCGDXYYnfeM1WItLZoOgHeMlSjvMseCR2WMFCqVo9ERBCxnhszjPVf0ujQiB7W0Ema y12Y2TOd2UbCXHJoilPrMYUyjClKM8JFOf3V/pA5FbhdKXHSA+kU0VeM4XtU1MaGCPJOk6IzrYha eRTjUOTZ8vGEBCwTb3JVlmiLcSvuRqe8/oD4TpTzdWClT1UNMXuY1IwSqJh93SL1Jf3LNIvmv1e3 kXMVKpD0JfTXUoltKGgtUoSRCgdRDwM0JMqbPo0Km2NAXrxzHb9ju8dA3JARf596AZZ+hA2ASB/j mrou0DBzzTfNUW1B73tDpZEe8jzKEy0A6/pXV8kyANc/12fyMuLIfnWWprnyEV0FgGObI8McvIlw NG+mUnQwcab8Dxqt/Sp/R52ckGBTJEje+SS5cBPZpgpYIza+YuaPrOcT6Fu5xJ8gYlX2YiT59WXY rpW0B2xczjsdZw06U6to14xqisSYv/qXmBlchKLooEA+LXx+CN9W7Q8+C65a8LxorELuJXqdMgRI ey03H8CoikXXPBnXVsNlut8QT/9XDWWSNQrdAOShemi65L+WwPieTAKYOgxpG5dOb6NY0vcxwaHQ RA+Wht/nzyU+DaPkgjceBtTT3gwBpM4jhXrI+2+iHaR5xzGiqSqIkuwYopMDaVsfHJUWVnXF5mAr vra8ZQAKZVgM7qWr6kKAaGl0C6p5nUkba8tAmrnuMRCsBM6G0XZajikDad8AwJh69u6AADyPA8MF pHd00Ix12JyTlj0jNCAEXONW1GpVAKlLw54XxDXhKfJ518mPe/KSZAbbY/AcyD/JTI3y7116oIZG P5L4y8opzvrkR0jcqPojAee0gxWAXrK385v/66GGugFx8XtpwuwHYBQ1RAOhjmiw5fjqH/YFCy11 oUGOXzydvCH7Xb7ZPjIQulwvZJjejBI6X4Ofx8yj+hZ6IOhshumrx2nCt9tg9gdGNjNNTg9ZBteK YHuwH8dhFIzz5TUMLpCtCWsuc9n636uc/q2yQdJbtssLaf+CMrCrFNQJpzrwOcZd5zCaMsZp1P9B kFRuwMBVI9On3Fno9i/D8G0Fr1Z/Us4RC9wgxAi0qizE+KwSOz1OK7ndeaNQsQQNiiT4TLcUayd/ xVF8OJ8NrNHYwSCfJlKdx6BQJjwinOMWu1Zeq/7wEXKExC9Epi5vkFPf1J0QbHy7OSOSz7iaL3UD fdxYsxpof8Ty/kzpf+WwgA9edJMSi4ufk1Nn+RkGLJyVGYEXh36CpTvl+SLRQSIiTXS4VTLPVPmF 1pMEoF9mzlywtTu/HECy7E5t3Ipg9E+HX312zfxEOMLmdrPODVtiiNgejQ8/45di+cHDQbIwi1cO 30oc6+EWBn5P/2mGiiB8ZOmHWGCjCX9762kqSnF4zlvB6gZfSMINhR8W/gnzK9WBJbCLa4zloHLz qVM2JgytUL4M285odlbx/cBSGLNRfacOVoC5sG+KLkpkUnRJvf/135S5apZbtDDjTG8gkDqPdUhn xFa4pfK/YFVa/8KlqCTortQHpH/jP6XhFgxmUZ+iSVOklgcfNUkI05WqjgqTdFmctXFi/BTpxZk6 SUgk+LdXi0WZkthu40NVQ5TqJnyzx8iLlgbrLJdBPtniVx5Z98+dpXb+65Dhx7TNhcl+fun2z7yN +1jMWVk3RYOK940G1Ahy8Yy/hhOgzPseBEzk1MMv6+4JWXRPrUF3/igFNvBS+LyrB+i+H80nkQ/o WXQE+RpnpoT0FGG1REbaxXTxihuONqFz2o63sp9eEAxJ0F7g+JfPY9+ocxET/GpE1MLTSgKIEGtA 2dkra1+VgBffGgXhiL3c2aEwOcfepl2dgtWP/OFm2j8clnMD1NTMxzHiTup5yiPFXQm5msWf1LRh 6hzHXLog4DPEhbplnnFquSFtRZbShQEgglAdvSm2kFONhQEUQ/7+snSF2f71PsAsqDntCfPeyiaQ 8TvilXxDgegU1S4qoxzx1Oqw+xuaEvMa5+9qrwpdr+zQjwSzY1CUZnJHrEvR8v7n+KAQhBlonQHg 3aAwmyod/PL6vMZuSPQ/3QOrVoZlbA9CYo1r/vxrQB18MRPx7EH/XZosNb6o4pw7vaI3VPGezRmc MAYVZZYyASMTRRoAf/xhjyn96kgO9J1dn/g8vbqi0NVqib9bZFQzab2eGJEdZUIIk3MjD6x/G/P2 kEU/dTq0CmGElcjq2XkDmVN+A6sJ6KkaLmhYjXjrnFLUkMmJ4tHwcJfDAUSQ1OgM/6M8J/k4F7iZ E3zm19JHbb9Io7CxYT5gmJtJjhEPcvNBMRdKc0MT8dHKwm727UkyJfYcyZJBVq6dnjsqqP6e7LCQ w5I1wd9TjD57DDImf3Huea82j40QC5QrVvU+5G4vrXjVGeMjJwM9J1+JnNw+lOIBEoP+i4+AWuBj tbGTFSTp2C867XD1DBU7DqIUU9qhk8tPbY7uGgA8SPZBGq0xVfXF5smDXO901w9uTkjyB0HpyYI+ sCCf6RyO+PTGGh9oerfKe1WBjD+rBg5PE7mxjhgEugLnJ4Erc5hTLL3IENOsfUdQI+zQjJgGXquI Owr3AlkIdfi1UkYvJWwig2wy0ukgWXDRwKphq9SBQqyLYXSK5ka5rHEcWIviAUmBBS2SXT8RW+t1 4zqCuBj/Dl6hFxzkhdmZVqqemmv2HIUivbvvMI6ntGv9lmZl5n/KZURjr0xDxw+MQdaUtvMd8Clz SZCn8NWsu4LSHQYAQ2sYQSjsnewqVNEd5Vk2rUIKjSFHBpgM3dtHVvg9rDNAHGQfre6w5jWVjmjz qjhfpFM63MfsoPTmfkS4ItbF3PLqR3g5miHkeY+3Eivs5ounzsOIsl6oAQiDTYzOLejwOKpDKqcK 7POWEHfj+GkGzr5+0xNhNSH86uSVjWtmUNa77RZsmlXsDzvJFlfOYAcjhCtXgnHuPYX7FD0rH4MB Yxjb411KRz5ubM7yU43wVOmAEEtR3/LmS+ijhdYFD+MpXEadrkh3/KcGrQAvIAwv3BULkUbM92Qh iqBjSFAR+0uESVXARauAiS0vWtsc4ACladV9jP9MhK413iMiH1C3J41FCCWUio4K3sXAhtGntymF oUlJ2hUPUyZ49DbaGDiU+ZK2lPenkimn96Cz6JUaKU/dehPb1tFBZIbwotqATwJVeTvZ6pvkqgof +PgzT7X6IJ6WAUB7FU5O4ebzysy2GCPv+zolQQ6tsjkZfejLsBf+IWudZ7lNulnRuz+OLAJW3io1 dQHyPRB9G2PvKh5M1YGAj+Ny2Uui6oxD0lAVVMih5Kaz5Jq8Gg3+5cyMKQXAYGMsq+B4tuiAaq8s KSe0wFKqfvx40D3ChRrFEq9Hk/nE3umtcvNDBUBPhz+783E8NpsTbQ1mpPZ4frNK0gFm/g7SdxNf 1xPhU/M9Cd6CA4EDTLAxp6Bq7MVCqJJ7dBZwS729KdNorFPkKYssOOcSitWSDnsjcGFFsHzGuGgi JW6FBW3uUtppyPnyJlBH7bcxI5Hoy21rsxMU7kheOqrbRLEntQdXJ6ZOgc/SxRSO7XBjxjnPV6QY DKKYTJ+IvlvEZX96hgq1c7HFHONTYYF8WYlBEJjupyYpYPDIGfzNr8n5INASaQk1noz9xcEJ5Rbh CwI+KLvKTCL9r5xmyxbOThdlx1e18uwXeyflNypGRdeQp/SdM0uVXFOv+xwA2CWiYlmDcURCYS0W z5Yyz7kZwuDI1Y6OAcUvtS7BHVfXD0Iml33yFCEvrHhz473qKCHGGMXpOu2Qdy9IDWMsAQkTfEA/ aGruXNsW1GlxJwpVQifrxfLd1pJN7O7JpoKM7NySjV5kfcck6Wj3jYzv07m7pgBdw0wzyFQJtPxJ Kp2mmhRS2Z5thos7BGXGLcuYbc+k28IUIDOqkk2CZTcFCTqHAffa4ye9AZPYvMttCsIZkRavp+yU miyH9a9lflQES7a18NqMLXDlANyEjImDZsltKLljF16A6prOpVA7DAJ/no+ZHhadSkA7FlCRQ5j3 +7nivrUyPTZeqBIipI5CWIxkS9lMhp5632Q9LmTE+g1+b13y/Ms2k6OagxMeonHYkmHKQZ5XZj8z M5gBaQ6eunpcLqoYnLHnrGItBWCUEOLEaxTjzRkoqGJOsUSIxgcPkSMc5wd8h8/Zms2WHLShNK3v 7xp2mZ28p5mRussLkTPsA0gLq0RR7gR2yuPSBtAOyb/2GNG1PytLIrEEE25RXEDv3+eB8/NVfBag +hvBGT7b4U6+an9BtJ4tlQQ2NI6vqjqa28LLn1npIBi+40mcnKInV+qEWHh0oaitL/p9rbdZAiCk qOL3HBGWzRbvc/1kaEHwMoZHYiE4eyfnMmXSZ9o4Grr81LnUn9KvuAEKBQy4l+ttyC3YIzF1wJoF Jg6ai+6AKZbT/k8nkuTRUI8dl2lq2DeXuy/7gC+jgjIZQcpBPg2icwxy8WL+9+ZOxiQW5xDMRVxh kzziyg8eCLQo8HB5znL4dXTSv7KhoY8OTS3Li/UjHgbbuGXzTO4ryVKG2264KnG/gBEkLWmBjoL8 5BlnWFQbnomVnqQP84HtvDqzBg6b1ZvKK8rn3e8pVp6Yyo59+b3+1cvrQdiVSeGOyXulZvfiqRDM YodfunQ2kyZO+iUipztDa0LAvSrpBOPVBJv9h7f9Vz3Lm+Cnwu25VUHshW5o5EmtFbFC51aqv0+h pLvHURmjD7yTsJiChy/Y1EKcDdi3lXV/a3SAPBHu3ixu11bVE7zEoIWwmmv0vgGWvfujS5vF4UnG ah6EyAug4mpkSqoKuchX04r4Fpbl4BAAoVA1xmoTyRHod6LjsLSU4MxodReSj80WewGScYa6pU0d J8PdJs4/w8FAL8W5ZmGq2w3Bl5Fn4X+W+eImTKzBvnp6r0/raEZ7EuP00RIg554XfHJQqsNT2AZS 4BnjeJg+phgwunNkiZ6MseMT1MaSJofJJr0ZV9+DMB7BfAdeZK+CgN5YyJGm8exDhTLAhMQgD1EP i0XF4cVuj2SPxRsWA5G9Bqw0HbvMtusM8S8DcL6V+wQIRyCJzGw8SNcwZ688U8ltPCoKj4P2+BTd VlftlTJaPVXPYJfTa1i6dBOgdilEPwVer1m6t+m4w9fXtlC7Arbbg2cK8FSvWS3kACBzLVMwrubm Dl9iQJvbEONP3KrFN7FTXD1dtazTDR0Zuox2/JNeMui3mNbmp4TS7AGVEA9i6NX3AyXwgEwBTP92 EJO/Kq6HoXN+vdyHbV/2m4MRp5hPa64PNfak4dZ+V0BzIsleMwsF9H/rnywNGPXHM5u18XALlCGn +8++7r5spJM87Q/tFaayOaHwAwIsH7ZafpvLMmaI7KfT6ZJRoF9NpaRno4UOmwvRUTI8zIF7kW3P xSYqWnj5jvIG9/XMeQDz0mpArrEkt5E+ij41JSP266WFwtQNXiBD4gx3FM8M1yTb+muvwbi7u4lC K9tdbJK/KMLbPyd+fPVlRNVO7blvWDw5q0suc9djaOF8oDEFvQ0yeIuMIfX8+6eKfcJSH9itZGO0 O2jBjgGuZS+bRM0EYbi2ALgKA11tH5VVvbN936F52+4WTZhSj0V/YQkY7P4Ouv0YagMmGmMTX9n5 qLv5yXUcgbfF+Vp9Adn2q1NLHrLBzmMENkWH4nu2+Trx+7FNc/Sy0aB18W87pyht06AgiEObJTLk 3vsrAlNlum7HHAQZ50EAfoHEeaVPZ1XnF1k3qyCi2fbccsLdOkrHltPU7xJImR4iPFlqBQDHWFoW /IlhQuZ5KShibj5XzIPpmGA0Mnj8hw4olmUBCMjvdL5K8fXFR4KIWZs6o+Y0jwQpW2gacxtjrQrw OkgzSzJAwoZR/zQFpMwTvnmbOI9XhADOMn1BhRCGvFS1njQ66d73Wonp3e30f93aG2cKhvDS98on 8ZGia0jVZ3xcX670fDEJa8IDYLe397qX74ZriPpgq6EfH5pa9jKo28bAqNSfQdxT9gRozpj95eRl exZlsnrYBuZFVr/xuVN2Eo8uC/h9j1iNXGzgydOXaQ5837ONE5EVX9ZPtuiwLvmqqLs2K0W2ZHtM N4lMnTTDrsq/E3mHd2Al7XzdjUxtZzt6XmbhTQhRBzxADAgh5znyAIzuOHTTJOFkhPv3gyWacbgK xejONYrjVV8Y78GRTCXNdh5g571+B0m5B9idSrFJfQQkmdBOv7J8aQrZS6S3Z6IoDK1w37QQRaut pCIXtjXaJVhxnuZ59d5elb3/rMB+gP3N66iTYWXlUgBHZRAEHXUIn3pd0QW7S4PONILSuZnTTP73 baK5DHsBOEuIhL+yMplNAtv3ufP7aCtbP9WP9FVqEqUSKTpukKW5vNT2NT46o6UJzxtA9+EfBGrS +6RmiSfGYCOF1/Vik/NZkEDM5Ezz5PjUpWnoF4zbCw/7xnpPHiOWhmAEcuKfU9MH1gjwagz90CuL EABovZ4CaEXsZo9ntxXW244eH5f5FQvSXjwF41OfyLQubmoViTZUeYYYIkrdJ+b8se+oLXtqYKGX yP1zVPbchZ7gGFCEiJrVNwtglDrZXxlpyPLgDncB2Em31hr30rXXN6wGsWO+P+q5pchU1G4vhLkZ 9OSWgr46z4Qnp0eU0amaPxiZg9oR9hGa+qM1dx9c/IZNedHYLCPxIJWAVTBwrBU8I2UqGQJRZ8Pt WM8LHY87Ggbyxd8MbIX2LW4h897Hax1HMjLDOVazgYA6nVNXKE1YWcz7sncM+QODbzd4xCLa+2Uf qY9XZ8T0S0ZTylcibXUfmeR2cEpbEHEiHoY3u5rQNI13cXILwKDNxh87p81YYLy3IJ0dZW112loV 00pWHxYAm8x6NtunYRu10hysKH73epDkIA2LHJDmJ0NUq2UwnUE1AEF++Qp2WKObQdf0O/fQVbBw v3AUbvrXMcnd5gYrr5Ercr5yy+CECbNzaCmK5+wJBOcTk+Nlm4RNwRZs5xLu77bpISAyuGj+1ZN8 +jiqXfUnrO00z5jJMezXewzmyZ1ucnFh5f3EBhSVM5feFYgSJfLDIxOT4skXT0ezFYxVZBiJ13tY 8dzTaKWxXtFCOORJam9VyxzHCaSnyICWZkuBxcUOOn8ouNoaavI210mblmNxgxcVjAerdh7vmNdK xbaHnRMx8jMAUuBuJDwI0EiCJzi0dRaNAjMsapbThsxnQWk3sgoaGSz8Hx2onKe/pdQ5e1qWDDch c8CZMzMDpYWnfNomOiu+5HY+mk/NeHlyfbQMj2Nfmc2B9KhW70RuLRQ0hQdvy4RaASA7IDvrarpJ 2j2T62fn3ckfJn5PwTIdYqLtBddHat88NF2JkaQ5TymUp+/3d9xGKryiN8OZezwS7Y9uQWm/uPHX viwgjTpxZZvoArP2yY/o2+gs4+7p15i7iWwiQ4Xkd/DOWUmbH6pIP14I6i+9Oxss5J/inTKb/PI5 hsf7vW55zVLgDIZRtzMU1riWyiX7CWrrlqLDEk8h7zBVjvUguWKxgGZ471onOUqBTHEPAiHLiRQC bktdorYmI2lsMsjcgbllyAcEHs67eKBM674AA4A+HwDQPONduNoXHFD/us2N66wuGb0vT99mOZpP xxqf9+TZrpMFtkdo1OGwIqkWzcBw/89hy68HHPkZ/yW9cs0OYvket8rK+0auYz0MQZ6O+ox9cS93 1A/eZ9hTLHdI9GCoiiILdrjvL/+mYniZ9+DubjfTwR3jNBdsQNEyXxgJvyu+qCHSGOCU31Ui+7ch QoH53Z0pTzI7xovNaK694mkTBG+8o5LIedKhfs1YgUK+6086yXUUa57/0KkwzRABfre81FcCeYNr RLDEAJVvzyDWAFq3kNYZGN9z9VALYvmPHsP2CtGwTAAuWu6CeuYWCj/AWeyYLHCNYSwKYQo4drXg iZUdPrhaBVq1ukgc/U0afwDUNmb0J2a/OJKO0cDAaH5ykyd/5PVY8UdnjYmBNBOIFxL70Z2dcc6s PJC4R5G5OwRn1H9Sa8gnl8RwmlUiv5zQKV5wdhk2Qgaa+ag/5ykcKxgm4h74z55Z2XINScUFOxXI 8zXZjgU4+AHnaGtY4ZDgUnloNRaRbjsVCP8g8xliNLQwc7zi/KRP8vFan6gcO3a12SnN+ohulhcH RNMRG3aBWWq5PApIK8DxJk+7vu/R6bnB7KGBBF4mhZVuv0FeR17zs6TzXlabc5sD0363iHlEzZ+t DzJpI8ZITTUtBdVXx5NfJqG6EmpIUuczmucjNBMdNMPNLcFzLYVWNqHHjDNDLYABZ3UDEjzBXGCi /hUYzUPPUJD7UDbXS5yUcSdras2cv10TJN6bpWoVMhHDhKOIajExz9jRNm7z+JeMHbKMrGcYG5S7 0ibdqFbz4/D4xQAxqlxhnwgFJtjpj3eWJb+cB8FFYZcUfvukLotCqnyd0QIGjgFRf5eM4QXwTd++ V4HoCIJ/AJwID4rupkVN8eZkdsbftB29oA0j6a41FCV3OW1Z6Z2F0m07l4wCKbG91qNlYpRoQsoI 2mRLLSCZFandM2sq69ApTi4dCyR2QLPcWqw+E+gWtWWz0XTbbu2vm0GFkXiaXRqS/8W0rH+anuIR Htb4AXYKMh8IaR6k7izOYk+R6pLVQCyBm2kdbiD3K7rtaOB7jpZbvHCWTb6ilx90QJcO/9FAdei3 Y/fdNJwVCXrhxDL5IMFAnILXflPKUMxo55zMv75BxFXkSgKpwHSRJtFsGSFZslumYaySGQIn4Ewk 06H1c2+eQLT2Tbb+7bWT1aM1PGp+80I+qw3+lO/kBo6UNNETUqUiyeWfyZiHFONOUF1AtIhH07KS hhcqbYO7WNdv5vwZP8uZ9uoP2o+ycUUOGP2ajhZknOr6rVUkEWbjEMYeg6S0l57Ksjb1+QmsSDQs +y+blvledDF3OoEihwm9ntqFG+JCdLsHMComNPMBpippKQX5pRYz8Lm+8sdPC4r3Ay7eppOK8Kyl 8LqSaEDP7mMsmdDj1gr/VdUWoXdYdNnlLQztZPixs9CO0gbu1VQA1hBs/WUgo4TjMXBBI2TGg1R+ Ib5iICd9HYDTCyel6wApmdGssvKWu5Vk6oQC3VShmIpGOLLTTscqnRyIx8ewd7xL5ZPxGClqxE3E mTOYv8zzvwff9AQxupAR2Os44NiKJqqtnMaR7c6vYvNFvC8jC+wN95IxtT9k3WU7lEfosenUbE3k nxto3MR+jlX2Epi2jPOBrzMigMkBK/3Z1HXBtlagEVaafJZ9KlykMjfP/fz0GGA75n8qf0vJPeGn 1+shm37Jvah8Rl/lmpiEuNmFSYrs3oXEgcpBJl7XNxXGQQ17DET2Vm1u6Km3ttYEcrm1I4uIregR powZg63ffNgyG+nXT5ZOs+tmDcY4Rvj9yMS6a0Qhqmp87cwwZR88o+EieemFZy8k9g39jlZl5pt9 Rs8GQ2naFEGCJ8muBrQzEzxzwj/3sEtgaGfDTPl8gyP+JEKQvf5rNtpESyXDnxHcxZdMwaubHyx0 vrvLfT6elT0U8iyCXJxGalzck0pSMug38QxQ0y2cvqazxkFTjslc1f3RXUYDGM3QEyX08E908qv0 INTzp450k0WgBxuWkwQ0HDVfcbuxLzt4PYJNK1Q+ZD1zjfNRkAuWvYVLZFX20r7c6cuP0+JwsDcE ojIjgiWojnuit4xA3Ymbrw54BGmvLiOuFUBn5zUH6TPhJSQheIiAxl/tBhhhBcCFqomRSHMW8K7p YqoRCN3Hb4Uhy7y+uwWnj66YiFza6l2MIcTbZO+n7izresTUuazQqOKfk6utVwerXKO7NMNnzxsN xTsUbMw8zZJXtSlwsATRK8Eou/sTUPoK0KL608vDL8QYlroClsT3YOj0BeVPGwQF3frApMzsnMKx K5B3R+O5xkZqHkbfyecNII9y+qjybzj2evRbt41nW/Mbo83t63QhOWiFe8bCWIy2azHHS/XJ+Grj ulYCKm5k2+OLMq5WLMLQ2a1nh6oZcGWVz4BLTml2vJpc6qW5lLb+8XIZQTMr5vfsQW7MbWr+Jp66 rPju/rpX6Hr9gqlpaWs2D7KsKSXQhMarW/Aft5A5Y0KAdTmfpE/1IDf7RmMxuWh8Z0EYO7+Cka+i YhcDG14pnRaOOELVCHfuUVqlSIKxblUPZCkbzPIObwkMfhz2WGsgVT2//KouFKaFTAMKBOOvh1qx El7wesHrKITQAxvn/S7xbRXfO46YL5aq2n6e9mez5zAx0PDQw3bT6pF4922RQ0q+jXApb2eaqdlg yLNWnb1v3pPpivIFDPKEH+PC07gAHOtFyEX3jw6oxTFUhPeiNYobuNbF/lb5LhHeN0kYXFJOBk/w BAs4+MY6g79z9zm7uNXxkGnpDzEiFgKast165xfhCThsvH/Ftt1ThyBXfszLEITql2UxADVnGZ14 q/KUzEKEfSWCesV5qHfcMO7sV/b2quArQWbcBE2rVVIrWQ8broyhirkcRYg9F26kROKz2ev8VuSR sgy3G5yXC5ifkRIUtoSTrj71LPDpcK6GKjlHLXaSrpR5a1gP6A943Mo4T5pMlKU5y7fo/TRflX6c s5JBZq/wWe+VOYHLQ+QdyvjeAePs2vhWjOH0p+OLourEA/OMvR7Y+uaqalUkBNfUYpET5x6/DJ5v fpm6IXz7yL+zOidiOjbBnujC/DGjF9gOfIaB/ITk07IRMUFs4/4b/rzEzrdynIy3zOwBilkytB/i buMXO1ckPLng0KxTc7ieKwl+4FnlEuYxrSelBhsOUnpU7hNjcBM/YAEKGjWVkqZ/Ly/FAEGLidDv wXy0NsTPDolKcU/v6jV6Xk4xahFhKikXH63uz0SGDkhmTsCtK7ax9vvSQffOwvEuUW/UFV10hxTl VhxydXtxP+N0vSuWdng1zBCDi14X/m/66DjvDW4V5/NjcyAKpiFIh6lYnqKLsIPQJY7iNBPDAI+Y OInGiucO/iPVqnpCGo3l7hXeGaTAVK+f27vwLQnTP0tvSMZum882kbVgDxDzfPwg1iZ2KXtEbwnl rAPphhwv+yuWgeuXjmT7lW4xuF5VUfqPQh2dS6DUr9ycv4k6SV0JBpKXoveMbtj5nEwsWTUADbOj X8K/caPhMwLFTn5FEqskmgww9Brkxi+h0kUVPneNIQHYcVkKFWll68uyv9PzBhMMxA6N3NDKr+tq BKuj8ttCJQQxrAXxV9yervwZBZbe6k1WO7MvtK/safkBAgTFC8H991y8588uTFl4AUhmiZWsmb4F qSJ8hn56++wNYpp2KuGxR+R7/VAZFaCENVWTWN1CXJ+zHxC2gkPdizrt8EBlxPUU++0KdTMAQRsu PrP4H4lf4H9Pt8bG/wn/HvV7t/wwvSEHR0PDGc9EfKBTQpvZYnAcbTxgDCtR2ecNpTkTgnaRO0JH Zqea/CzlIWHSOL8SRq2m8KjWNdSJMHc7nsERkqZ+wxQ6SX0/Q4zITtp8g0Peod2cYNODOF0FEWtG a2QYjGrfYCTHT0nCMcwdX+Z8TFA77VUZg3t45ufoIxPqj8a6oIjfu+ZrKSbW3ek9svGni/egRXzg JInorJUCvRbySRvGaPb+jekgX9WEuGinjRl2j1egBDHWn5ZMpMPqsKVsPBAJX30cmir9v9jDOeRd MsbcJpWiyDqs7v0UzvO2EQVVgXo2OVcRB1IiqnxCVXd2xLMdQ38XF9lyqsrgEtOvAHT5T4mypPQ9 AQ75/OxTd6clUZDZNzG0jPn4Gqt49/H1ND8QEIobh0QumW2su6hMlYU2k+QOzUjDtJHsieqfztuw jWOB3V/CWjV/p++bYxg/o5e7VNiOkwblDZqz5izXHG0rakIz6LNZsXkU5f4MF6EHsf6CLLhV968n yR0tWEmRmsCVDeD6/9jvwaXuO4xHRQkMKlyiSkfJoUc/Fz4hhsB8t/jIGDwng2kth45U20RvbbKl aLyYP0BZDBINj/mizutTj5mrDr5FlfecprCHtuH4w5v2zQ8/bnsSAQpsbuPP+1SoUhLmYTsnw+pR lpNrJLiWVztIAL7RqybWDFBVg0oIGChAYvv/ElcI/K0NptksR1isdK6LQ0zL6V9CRcibLA54MkSp fmBJI3dyEsGobRl9ADudV8L2zUVPcomgxT2nWpW602a7M4nJgtEDu/O1/luzVXTraO+SRTrh7ugz 8jweIwpHQ3myhi8G3YdI2Gzkun8vtDohz3x1m8jt9TLOKLmig87hDtvUm6rOBzcoMyWtMGx91gHE 9jV30OZKRzREqDBlC4bn/sIC/l1i61QjcsJ/ll9nVBrtRZ3PyUkY+OPEMavQjgDvAPGE0N7u+TB6 3rVvYeOn5TtHaz0T/rEXv82D8msPCUjWXQWHV5KdIn9kCCunT5Li34cHl6+uW2RofFTZkIGmie9s rPzloCz6HTxcDzlQta4mMqtsYH3V2Uo9KZ25DpIYtv9pIaES1N/pcl68XgSbRaEs/4YqAA9A4hBN kt+AizM7FFbfHInF0HaIPy2eOFiSqnu8eINUt89+9ATjrtshoksIGKIpglFUFLz+dHNhD4s4Tqx7 1ZNKBICgp/XjmvRh4o0s4xi9vYnZ1DhT8c4UH4BLnQ35kR28BIl7KZBUkmU81Bd+I7ythoCO2yle j5nnykwyWrZ3O1Y+Tk15wvk46+IJQlHlCQc/OT4JBlcSSbfffUMWDtjdp1mhnSyWarfb3EwYWZn2 KDTPSj9qOvqYpaJtKBOTKU1AzOzEBPg149ZeU6PBylsoNEFF7/Ln8prpTO5d8NppcG3GYeZdighL YZee92jwYjMxxPbPygYn/2cwIS2ExyyLSxDV+ky8jEU0RfG6xcgYwiMMThYeoRcg1d0EiFV13P62 DJM/IVvxWVDi8cHJJ28HFdDc7nCK+dQXuWxVEFwyBOy2gMS4gCQd1sVM9ugaOkz9IdY0PFSmx+bN 6MBB/VlwRZfXj1xd1ZeKW+ZbXsfBB6EoTGyFNi6asJw9MeBZXbJlLHgYamnH2iN5MRRI1mDPwiil xtKs/0370SqcAYgfmwTQBVYcBWeypZ3ZnKUCKiiB3hMdAjrL5OWHbUqHK/uBeevFupnDsXwpCMew CzzCFpmhuMP7rXN7LPMoPkkHalur2jt72cWAfMtaB3jVp0zd44Q23h5Tt9Tu/6DSKH7C8rDXLd3e 3rXkRHa2bnGuBGJLBvd4k2s+AkFkB0lC2IqX1sjYJdrqe6dJcsjg5NtMCenxZXoj0/1N+IKMn/rP ELk3pSrjCBaDYmVveR4a9W/hFEoGBmWd+pMPqr0fBYRddJKAaYtSGG4PUphtIoK1RedYyox/A45p kEfMUbo+UFmFVKZ45h8FnREYrN6EhBYFWRAmPzzCMpSs68rPWlxB5qp18RtlhhUc6ZLXOwBQH6yr eiASecJk3cct3HetIcHrKZjiecPnIRCeMJu3awk5y+XS/En+ce40AoB5i52eiTfvfvUnO0DT1ivc y5q6FrAyOHeqSaa3JNbsb2KGMZD9Fnk8GR7nW+X9GdDK7NN7oSSAKRNxQ2UF2z6cjNbomkpteSUV f2YlQJO22ZdSzqN5HhiZXYwI5SX7aXUvNKvtG63+YWPsET6/FvQp+C4CjpNF9N4+HKTiAciQ/Ywz 2Uc7Fp6Ul3Cm/T2vNYnvLT51ghuMwqzYJ33nzxCryM10GhxNM7XyMF37+pAazV6RGQ/lhjDoaxfV SmmcqJChorQX+WAy514ASTsEwa5rhfBJ2TNWVh3UnnshsUjMQOXY4Y0gRWT8im/2IiL4r1unmcAX sYm3AtS5m1u4x+1W/U9LxZtHSs6MHZB1ihSTz7o1rFzAzcxhY+S1TR+j4GtpW/zAQExX3j3+FyA6 phd5vmhjCWbLrUd0EOb88gU9q4j56WinhBdYMH6NZS4j9ZsYXXhSrii8Uwv/edO11F9/dsccKV8U 1HZcFCozv+fNlqbUxmbBhPNPe9iDeimsiberJ+yMMcBhR0Ic2CFAV9zTWQRxApMas1cgZfw61oNg yHYWusAGY5+w4Lqj72QAgwDEKRiKEJTWXNG6jPsHBcojYrip+8RWr0vVczHN4U/v5/j5MpiwxacN oMrHno2pHBJ62iIsFQEaL73LCqafRgoSlFSjZF0qokcMNm+apOYJvbodWLTc9Y58Xgn4fCEQR+Ba sa8jlpqEOGBUtCGpzAPE2ZjpCjSpRZ5U937ZY/qJjiqg5myrQHL1s3SKTVV7QkFDxiqDtBRcZxNm /FwS42xQNkko8M5TLZtqiIb89mzovw9Vc19mCPWD3Cryvb7cdqE+wab8mYQzhOOhZhS8rL604jzT lFiR+AMrKpxboX6JLAwubNGMJDzBmTJxxC4k5CexAVHQd8SokiXnWl1ZaiQvgVXTZlPPc7ekR+Sr aVG3qS7qp9cs9fxbmxncSDhybfei2tyzIHgzLfpMBMrD91l/lZth3ZTqkAJnZoA4TlXczEL7ZhF/ yB2nygce6iP7/yDy4R6WPe58DGZhSWrYK/WLx7ZFHksX8gO5od/XHdDU0AD3eaX+MNUd2T61eBb7 WVTzHZDXV285bj4WL95TchmuJDstkKw5Mn1Nv5AsC9Q6aPhhPsEl0B1M5HoCxtbrj1m2asOL6Nas IXsZxUUAhvdolhHny/4pU3TJI9xDMmzUdTxNTF1XeUpsLfzOHjQg++mavy88EFmiM/KRFqpvnIMC iqed15DIFZgALYRRSwN5e82W5lo70O3tdGOXCLjxYF5nlIBK08qh5rIV+M4Xsf/tBWdkdK9X66RG 3j/LHbC/ULybWPDyjWAZygGp8d1UAI9oU8XH1+/D+OoifVBdc0/3Ib1fWXQ51xh1HQtvBsFwDfxL b8lAO0TtzZ6BQbENeBn50ATchO0oSeYC0C622NlgR7TVx2lnxTaFF6SxBKF4Aam7DZm6M6a3M3W3 65wQsEPs9q7hFzdoNT6f9eWMKvFbVjv50GlA3f2fswoXEFR8pwKcMsoDVbL4RLFCm7W+zgC6Kajw LK/8WEtG2I+dedwkFL9vLt4x9ibp2I7+PSXRQDgj6UNnDrmfDULXO2sf8JZ2HTCbN2BXDwDASHjI pvir5Q2hHIOIzffZStPXUzi3vEbyTkGNPfNil4NEqQnexV3nSGPoXI3Q+qjzbG1mNjOz06+FDcnu F6p+efMDAuikH9wi73g0NED0atWJ5/i//qj1hCMbD8qo71oM/hwzYn36kpGQPonstek9IEeUA2qo LABaVPNcy6UpG1y+ftryFFRYJ7ske1t3Oj4DQhmZRgpsT697LHv1EX4j4BV3Qhcsr8IIipIydebt +3NEb5MLEdU1FauEAPPDD06t380OE28RczAFeyCbenOoAbFK8rN4WQMY7ToQUJzQflEEfoyRE2td QBVunCuJG/c0ATcbVwt8tptdhtxvY9yjQakq3mLyyfJGrKLHtEDWfoCgqwGOD1EphtqT+EWO1z5t B/OIxIySmHZGAalTqhIVHcwD3fW2OZKL+pliyTR9vk0RZnKZU8jtt5TxOzbNVQz9717aWkCjpnch 4tGsz6+Bx37QLj8/NKFL34OxqxRh5LcsdrmY8WbcOzREaU7Wa7wPqVX+GQNAt2GbePo4lum5O1NK ITARvHOk+pvI7fLPAiufjD9t0k0uMbvmxZxXLpDObdcLO+7eJvh8ENiRkEi7kPQ73q6Kb6St6ENs 5ozvk0o+LGtvyDS7ns23I1VoxvzsSmeIeC39wdWbzoRsEt0QYjqQ9SV5NZghLNz034mh26pjPRAr yf6f5IYYXNTWBvv6Xi5TBcJ3CCJxhlcds4tt6zJwA/lSSUa2EAZeI9MA9KmI884hbLeeD7j1dsyw 1MSTzQXaw8kM3JcjL5xaUWEZzFixvnUkz2/vIOSBcmrX0gDwX7pK3PTPHVuaQO1KRF+0Em+q6ggb yglFbwSP4kxYuU8Ip5Y+6RUznDH4Yw6iWLD2p0jn+w4dt6bXS+t+/ZFJSi/EOPKBZH93Ytm/4Ogu sh3v47aMDNWknThpJTE6ukHzwd/M2pctyxr42cc7i4+RaiLNli+Ht9yVaOUDLS2RTpYuExu2JV0P wP9He/zszFIqt3jI9P4MD1YYtbC00iHAtttbE7lDxkSiQ3LxIsYG/LHYv5FIcMfN12WyffKz3OqG OTTc6VsQ+S2vJWjrBFuYUqW1PbaxCWwk6WwHogfok/UDdY7WVKmSIhXO/PbrFYESa690/9HyQqDS Y7ZgZvW1dTOd6hEwTuHvAykXjJNhd3cmCQbX1UwIrprR3ajV8iAYAyJlGzRxvJYhaecpLDuYUz4r bkGHGNUBYhizPmoJw0lRwtU2BQ8QMDIqDYIaAIioy1VcoBPZMwt7etX30SU4kLrYSv4xfiRLpjh4 fuWelcPy8qX+xF0XqGHMXBk+gjUbMBPgpaANyIyl4xAst6Xoqv1E3gr4pl+zxxYh+c/FkzKFD6lC cOnDPiHbXd0igJ+QrcPbmqAG6uNbuPJ3C81ffwrtiQANKOMUiKloX+UaZihP1tQB4wu2xQQuUsk8 rwKbEBp9Ni20yr09lO8Y5om2zZAaL6EBimG2bwUSEn0XdPPnsXwLfANbZuzz7DheZW4zAU2hEkZd HOmC8MkD2zfBRhhTFDvEfMv6Qgm+4nvhRFYT5IcorAyJpP3YHT/TDHtKu7hD59e3V4a2id4cEUc2 DZEeiC6jwWSNE0Fx3pT0A8Tgq1oT6lhbnEU5OJtfhqMNiEk5hIgFDr3EpPsGdX5ROv45e39ToPLX uygUe+rEU570pfCGbG48eT0bwHGIrTRRjqxDQwM5fPWGJUTaLvC85Mqjtdri0WouCJ0CEQgrkeKl bDuCZkwTaja5dfy91Z/XTC5tHxkXxrJWZTN+4o5P7ZThYQma7kxU+AZ0Hhg4GEXPYd2Ku0BasG/4 dnKMg9O6JZG/iP63qH4alwCGC+I7hMDm+yeOboHP5IUb/sFrxrurJXUV563p0ZszoejVhif4Neyb NOEXDwPRoYA0dq3LsND+x8W46MAX5tH/QTHtNuaSudOwzcD9s7PDFWZvD2hZ1a89vJF5VZocc9Dp tdRXZiToxZ0gVHam7f/X8cJfqe7UBMWRnZe219s7762dQmNEiK5NcKLcO0pH2VqvjngC9USBXaG+ 4hLJgyh4PuGenoc8FLvBcqlj+3/Vat2PLmBV4VygKOL9FzDyLbr0NKEJ1k622vU9GORJYroEjthL lpscE8V3FEiNabsMlZKuC5BgA/M7xFRffim25mUQ16ktmGLGiJ1l/3pW7wPqoB+p7GXnofV8SdZy KgDOekO9qojmeVeoLCgZl6/rOaLoVTwqqYKIKy44a/bH++sxfJLa9S4sVVbFs+eP40qhxlRTJapr X65fCMIsaJMQggq5QgSjJNzQNk8KYXKbt20cF+kJCcbIxPatpB3nZ7VgT0I9F4mdfXFcPPcDnvB3 vSEOyLaoggAUOpk8KSN+uI/qsgi6lNhxsgMneRGEITrt/YEGVZ65y7nepRHhGF+ywn3/9TYQrYZY 5ynk3LfkK3M9QRMGVVMp2IztN7/c9jTqTWrYW9pqJ91Kw7y8inUdRNqUU/Wy6Cark7Ck8XvVbM4z dxhqYy/UKLt+k3Eho7Knp6UUDrDEX4mg5KRV0WXXkv5yDhNOTNxc7FFokknGZbbRavLa3MZqpf70 ARUbW2ZLbYDYbH4bDfMxEt3mtADiXZDPM5MY/Eiu8E9Y46D/4MnsSRVAI6pgVF9hUmicUGllvzYp x/A6pgtCLb9LbawlIkIhnMHVh87K+bcqZbPAHVCvVMmPzncdWODCx87vr40vdzdIdScGKUO/H6hc xK9Y1cRbSSLhUyv0mz2XzELt7X6TLt6K2pQ8hk6LM0nk/B5YJAWYnObUwBqScNiUipX86O+7iVfm QMEuUl02aWVnNsEY3eJamimQXkp/yikqFGeTt/OawIQQyaxRpMhXmgqfnwzPy/+sc458O/XZLdUD CyPZMzMMjyArCYuYFeavAUnPG4s8E6xc039q2hoO1uFCDBAbmZ8OcxcI108vMgF3OkYPmlMrrEPE 00+R2piRxphofrL6HWnv5GN+hocspXr75SURfg5xQgjXRqMfu4hf7MiMyTG9bBTGLnXE7zYbawYG MjlRZv0PgbNobZd1rVJvoC4PGefo2iUkyQ9PtXWRj9+0p2OJV0n5ZtQwSehiqYpoq+jvaqyrVgWZ R3TNbAJQB7BpWu+18pqEISZNgy5XDr756oT8vJcr6o49hPFMnS8nZL6GeTzZLUqr+sVWPYHFliJh KdBGYO85WPd1if3LaKkNbDjFdgirm0FqiwJumv/cnj8oUEGsVfBM/boVGQd0IOklzKm3HxoAX8MW +Y7YyIWO73HgU11N+c0O84xnNa1YisTSVOqc6qJOlBfY1NFDq4piejKXhWcQhRzfCB/cGQwm8Hc+ SoxWAk/jTsn//1swKTTtc7Oke8wKVo+KISwUNqwrvi0Orf9JC1OxWxujmF/7mM7U2OZ478/+IitJ f8ibgrHc25Y0g1zV02y0768gmtdxC0rT2d8SpBW0I5jCCcIz68imZtQ7YIB+zJJOI2V2lej5/OEU G92bmTYyw2kKqN9jtWOg7AKOU71uHjCqY79sCacyvBuA2llB4IZp9sy0vTRBCYwNGcq8ImjUhReI /dGqDQCELCuWqYuz5qhFBOijxKgL6RPfCVNBqDReVhStD+0I+JHNleX/fi7mpGZI2Zez+r6VaK7l xT590sKR3CzR4gai8xRJbcDQAOGVH/707N+BjtzqYT1k0tpfOhfhrkumrkc8PuTT3LKuXr2hJv06 L30yf29Evpk0xrxcCyLVQ8QP3icaFzJ6qdHHcbeUmQPlF9aa+mUhrcGFYkG2zygysvLLsTWVTLx7 XGp5SCxy5d1m7NfmBzkF4d7LpLTBtgdAjnH+4/moRnojXIAd4uuAaL91eDlOCUfz+0juXkNNJxGJ 2ssGQ3v5cjWEVAuwVBPy6t6H3BO3URzMb8j59LUcS+XnbBFWGnJzfnXVXRuEKMfk56T1pTvy/rgR 4dI7j9wpN3cH/n+Mw4qDroi4uGZoCsODlGvhvTZXymGOyPTfdrQWKq0dYreMAoYzCGyM2yTvp2/i ykk87t5WV2BogUXNLrwBr7ubros/71SoIn8mRznOUITvD8eK5LId2tQK2KD4UyfEw+S/NJnQQ78i xTzC/qpmjTUlxNLJa8Nz68VtiYi99nOV0D+ReFJ9F0p4y2kqviAyBqnDj6mpZGIQ01yfxTLf/C1m vpsLnbUK5q0vgQeOFFpmUq7ypSkOGQADb05gXDH2HAUaMmkff7Bn0riCiN7Ud14uTrLMWPB0Wg6O KKHiOirSxBxiuKn86EOhQrOHUSryl0HXG00ooKAtj6muSYgc19cGYm6aKIkZCSJA9Q+9Ob82cyRp IwE8kEb+aTI5t8CHhwM9aBcLIc5l0eSfuMdmMOQXqz24Fn3SwjiPuLBaawd8qVeLyz+SrZFP3zEh lkkCZKWOSqcUUx0DWiYJLrj6K2B8zcf8QPCnr8mkgdYZfZ8BR18QQZcBhQ3si8KYLhnDxy16N0CK Z92H2x2qrzYO0i/glz5DmdUO8rgCk6w/y8UBOLGUD4+ZWxUgkAeVTQFDc6sdaHT/ck00Zc8clzTL 3RuKq+GyyovosFtBJdlRKnq/cqjhMwUBh+4TiCp+0jDfAAxkMiMd8CkPfdJtDYUpz1JaDiHLQHeI 3tmrhMCu0wgBz3ZH2Wq0Bk2Tqy+7lxIPFhGp1ftXC8tnYE1VdLQatidKqiY+qKphFBjRG+uAcZK6 2pyCi+uL9fG9gXi82t5XRUA+UWBWPcvwXtT9h77pOSCMBJ4u8dUiZvDLZz/+JSyjzE6/YZ3POsD5 Ya2eWCGIUlUI4pdx0WJnBwnjfOczSBP63oBz5FlCt7bsAmmBk9aRvyaEiKhN+SuMFZR8hLvG2nZT cuFAtUD8G7DsTyRJRjW12D5lSfcc1qtMzXmmInojKK7il/fWpqNK/RHTiD3sRragLw0SuIyQXN5H IheZ7alRchKFmewjPRJMbePedQZmSAtzhgyAAm4t1OHbuoHKpgEvgSkJ7cRzF5ur0PQQknVIzYm+ eWxU94EBckG3FFjiLIeSRB0Eehmz25wzytar4uiLXPRwLeRr9jyl+QKlfAtJh9dcKzvejTOin41T ccka3ybsXsn7G9rs4PiKZBtqW0xZZqXLUe21D7efqxFnRM2KhmdiWHdTB8dXLKnhnjdBE1APnm8u 6ytqi6dxpRrQF0L1tPaCndj9m0xTXJFQEj47ffTI3PtpPzWq8/YmqwSlpFSVI3Rp7IoDGWQqYfa0 BdjRLwrch36IreX1YH5jjAQwTlP9hJd+WDdEjzeZk04/DLx2hAkYOOWyYxYJ2ACA23OXpKzUKlLH ecW0VvphImOO+onuM5JfJbt6NlmK+OvmD6fBJPwISfonyx07WWowfhoBcC3QZEA3SdYRokCR0k7u uMEStXRpGSb9YcQedbbHI40nzMzJIBeT0fp1l78gkCitrbgkEShKmBuMVxwQFUWqetwWNbhMboru YorHNu+LwQMgEy/xrzy3cdOXg+zUoAAk+4XXWYUZga06MD6JfHa35gj3V6/xzAEY1qmWwCwucDl4 fAlmFKkWL8m0X0d31dnxLGy2K7dlkXZl0GLtdxXrFCgP7LeCJwKIL2cOsJaJbDY90S2phvm3lx2v NJQVeI+Qvd/DbuSd7I9g3//AJWigtI/Fdw+x5qBBMdTUMlszBLvZwZMnthPcDqe63qItJuMHmX9w aKBDt2yh7GlgFLR52mvUchmi2mcO2FS9IH++VssWWnzPbvxy9DJ0DinrxXenVQcs7TyDOHXYrMr9 8ggU7/KU20v5memraNCTQXRR0p0XOHRhajUqhIaNFcj3sGIfTQGZuBxAFvIGy2s0N3tMvQ6bRwvS yMbtXbKlemrklZ0N6AaI7Em3eUAgzfXcbpvN+coNUYED5yQdwvRS2F7W5X4DHzIE+N6Dt8E0XuHw H6eLmSX1rpVj+F6T/3h9kC6kTpmWHBYUhkol2qonKIMAEbo0wnJR6E1CSZ3IdOPs3oNsl9rYPo0X tvrT+u6V2JxnWQsFM3jz88aWXSVJRIAgel8ltPdtP/139vxsLZsdeCDOhwDl1aqypqWsojjjWCE+ 27YMx833iKDU3i7szscCYIIIEDtYFlnnkUmyQ59V1RRgxHTfz/CbkLSmb7k4Mc0K7wE0RRhalwgl 3RnKkwNjnnWpbKygWfvKDrGYIp5Ld+zcMZ7GVBX+BVIvrjuWW8enIKKwMOdbDUNTusJ4O1i7QuvR 4qCqfsucs49csOxDoOfN4MZfoPp4U7UHb0Gkaz5Ts3OJynSyYtJCFm89d8Wh66vwguXAAkJ90Do4 47VekmUs5sgeS4ux5z8koDi5V7MVcGs8J+Qk+8SaFGhGwdkn767mL/riv+5FGka8iiUQUWFHwCIX isAmxJCAYjGOQQj0EN3QrkSjowIp1N4PXVs85RpymbILLkXVZh3Hd2YYUm9PXCD5Xz20ru7lIO0S InTKInxkM47u2B4c6kd0d1XEGrA4skplIKG7LIbioZ1jbVD8UWsFApiMETu9vF6iYqEfGtx+npoF eU272zbbSlqhb+3PzrJAwYK6ZU70QohKbWcBCkQcWN98l3BADMYmOWjcyLjh9gu8wPYjXoOxZzoc jDhVSXisupCOfbO3Y0UqTuihQ95v4opGu3QqMOKqQQ32A1g+XhRsgWRAiXykKOBq1WmpKKOEvJqW 5+y1ILKAYpia8dsWnlBoqeD75fshHzbgUuZVz08c6AJgaMjLPupOPfwA/o66qHLMNlHFkp9hqWEr 4Vak+RK/+WJCvf1Cwn0EDOkJkRM3vbrgii5ZUkikjGesUb86x6jliQ92qn1ZrT0fkdK2hG3c2xJ/ tUAwYDxr8MXNJ6pjWm5la2+4K+Wa6K5lBx7XS6gcjn0TxbggO7NDNw8sSnqShoK7cSiEf3tyA69S hPwySJk+3LMmaXmtOlhw807onMZ+dD8Oufs7dYLt2ZFMUadfal6uayxQCbgLZVHGWWSpuQeBXBYk 56b+VPgOdzX/3VeMqJSA0e3k2moyOlgrhdqO/qmugOsfa4NJUSoSVCm+qDN6r9qSigmRUNe/MdTA YCVFXRuVRnVC3XwaPZBAVW7IKAQph+QiYZBR39EjkIMDEdA4Lb6pw91u9+EQaJKoRY8c/AIRWwyj JUGuTqPFMHQqOMrGrLUE8D6tT93i9dnHY1X1wQg37i8kVkSnELPD0jfMikt/nhfgXrIsKl4cTr5t Feaf1MhGLv6z34HRRRDdT+h5eBGAFVux0UV097G1BOY41KZ7DhTktcPE6G04tzmUH8/SNh5hwsvo 3C8VWM86Yzrd6v/4beQK1nsKRtoEvlfOe5UBdUKg8GKmPMdriodyZ7FSW3yWKf5D+5y05DI6mZPt RX7G5WvOV5KaRX4padC63dRRbY3cXI9o4+4Gm4ObCpUig14dhCyX15cEIjB2f+erluFfS3gC00bn Wq2V3Oy2X+lPnq5JX38LXUjdo0M/SDQVQ1eswjuMPkvvB1ZYnsul5SZr9EzAltYBEf7nhuXxZ1UN tU76ugCTWIzMZx17q5snB2zkFMQUZWtSSgloABP+2TaF0vw2Ysqc1SCbiTWofq+D9IDQHUWOMOw4 KlFmEWM7Rw6Fam14mhWZ+ZJwvgqeHoCJ77NsbqirKiFh3m1D7HfZVJvjGEqYRMJaJOR906cUz9eE vRoHQShKHfPAxZu+hDg0h0iSBjESY5AczkqjKJQA2x+3hdbIamuAFF7iRDlhR6XV76B2CtQHAhdm anjbzf0/gHmGLJ7TV+DcsYwmMlyg6801lTegu5xVZVd8quGsrK+AmXb6FfltmNpf/2QTL6TRj7Ps rhPiIaA4NKXgisNagnC8QflmxVGWpQlmZrYwCF/KDzSRlL4UNGEy0ocLkk9pd59ZHUVT51q1bTax DHvpiweW+c8zSmGNrYxhuvvJGoRUUi7msjsUATRCXDiH/0SDwFF2S7+igBZLUmwOZDfhX4rfoAfp xkEgEVXAQPZimyHiavmYRd+MxtwgIpm77/Nzrl9aQwUsTXviRNhoEdVsKkOQzL7l5wZBiulB+45K DawLQbPeMXgf1YwRouS/Ct/5jA+BVGFC9PXVqo8wtJerw4V/reGxlR+hugjvqfmG60jGZVXsIPIV qvI44kP6d0rUIEavNRaqExA7KvmZHLKF1I4Zhtp8j7Wor5skNfxXmEVasitG2YANh0rAqs1w4fB6 r1uZmZ46GEWe2Xq6yxeg1L+VJ7UqO4yH0TE1kEja3wvloOXau0EyW9Oh2QCd1dC/kYjih3U3UzMa fk14EXWzt49S0l7NpfHmkMmEEq+fMhodRUMuxSFCi4RWtyD1bW09Cp+WIvF8M1rnexrUR30rIh4d klrDFEzYj8+KYJHL0nCQ8akz4E3FjSa20Q38hOSSslcC3lhY3n4sTnj70vNe7TsmokmXOG4sOrVa R6dCaptwMPePAb2ov128XlOxovrxdgd8/unQcJYPgAZEEUSvP6Fc1pHuvKoIh2s3EiN0UpDfYkXd ZmsUFKD8bi/PQcG6DpQn1mSpLYpwacORZtfP1aFx9tbt50gpW3MQcwil7JuB31S+MbbGFNusCGIL ykGoPuvCKdiqseKXxZY7fvZTCT5BSbdGwe1URvugeTFUhQ2UzAREDZov62GEKV3zcQhuahBhfPrB rgGYgsDFKF283+HSGn7+ZAekzbIZoIvezWTgDAEsuXLGDP9W2JzmRkpbvirzLZKj2OIUsa5x3+8x 4MEZQK2geQfLLEwjjEkREoQLqFiDpDx2Ggw20I+EpyWlZ7QtGMnC+j0jkntjglD2Hn3jYnUmv1sF C1pMHoziCQKqraSrHV5PQ2+go4cqL1YogCYynB/YtZxpg8rUyZeUQ3ndc091x1aE3OFQVd3pZ2i0 y2p8s0PO9wKrKqxt5Csd19+Pxj9hrBuonYDvOTu98ZM215AjK8hVFAHhPWWo1AfPuF/Thk5aLxUh qnbxp03s0GqMAo13eEOWTmP8bNVXihUoi1ePCmxTh4hUiRW0osbuQAbugnpkh44WzhebhwGLkIge obd/5r/T9rECsOpqFa9TesPAX+C/AqgWZiRUEtw5mVdyxl7iPi90vGQuySRe21h0blMb+0AK05YX WL58gcNxAGdEj6mC72wvOdHA8/gzv3swDOdc9Lqq7pms2TJm7//D4f5uLjh5Cm4O8ed9Q1F60PYT GmzZRg+t3+xmHSlaIoFETtTsS84grEbNALdXaiibgnoXk0DCJwpQGx8XRB7+0zXHQZuUfj6JbXz2 5PxIo7bxW7OVSG3wU6AH88a4IWUYwFbUe8Q1W0tedcLFmZfe+DJXJCkoX4ujVuvdEwghU/AHja1L WGzz4GoxrnyE2wpwtX46bQ9G8Cm88Jzx5ayCSVyki6A2QqhNQdUT4yYCyhRm5XLF2mamTCPTrjpC Rvcha5iOWQDTk0Mba2v5TKxQkDW5C9GGWi8obAvCcL2/K2ogM+BCnd1GYLtUtZGyZt0mrZqd4ODi qDXVCS6N7FuxXvG/voFCux1VazOluHCNWcjQH418EdhrGq+OjqPIpXQGdlc3Q0OGyF6JkPxEFmQX hOEoD5uVShCq1wG7Ykpid4hwxwyUwXNN5ZBUcSsmd3rEulO6dMpxKu7Yvl2KMWy7HAUmqJTYLceh jsP5x0Ee7cU1gG9SuOFrLmAnLcgtKoLpQEMVh4P56Ef+5SSaexH0tqZvn3YcSKulyNKV1zQMpRkI hiT18x+gr8ZofHSgrJjS+LyFbwPhRbFvEwEysie/jJ5l49Lb5oWZJIBR4mw+/2Hf2X5wpgoNU8Su 80+sfb0ffVGnkWz7lUmzCF5bqrSUt3WyDiMbb4h43nEo27pSI5UQ+D91wrj4WaoDXHKyfEeFZL+D T1RfIkbPff/5Mgu9vWCm0VTkfpv4E2nQ5hMoi2EEsmvNASA3pzTYt78OZq8oiHBnttYmI2g9oJaD bzDJN6FFfu4qeQYdLaCEGUfNDNGKuceUWWpCvN/nivDUSaYwdLEYwgoMsdexJ1tKas2rKP5D+E1Z co1tHZ3kPH730Vu3jikn/oTRLyx+wO+Pw8fPeSyCeMsYXItqOs8lNjujGJUHE6Hzm0OOgT9hY7j2 m4Fwk52Qy7OK5pK0G8miMWC6E5q7DYCfhBWepdpK3lra3+oaZlqC0Hsv52ERx8bF6XO3zg85+Xv+ UBhULp4J3/H60r34dr+V/U2ZPHKaWCDd+aaHCQRiK+KvSuQApvQY7R4Lh10MPQ3vDgv9/82Yw/fE 6r8ERvdxIWCmH1zsS4f9+L34JsARs2akhnVKvihzUErgqgHaV+s0DsLYrzuvvQnRCAWJW9fRv213 myuzbwsfOxYCPrZ2OdVt/3u1PB9K4ZmQMBRasD8T28hPph/TcaE7KTYRfnusDUlPFtewMgDw7CE0 ybGxRZb+qns2nPkq7k+KZ+b8/POKinSROHZn5t7/1Qem/OIX9dXa3iAk/S9/NtIwcotqHF0Qxm1g X2HX6AUClANLM48RSLPIHcY5V+ZIhyPyGLKxgZIOVsBZGe0IFhLFwuIGZcm2W/c8Mdni1xKm0R3I 5kXS0YfuiJ8Fymdlm+Fc11prtShu1Xly6999j2XnzY0N5+DEUv1Vx8f1477g3h/SnxS0uuvuWupc gfrYwmmg1OVE1MNi0JQnk6jj/VYN+VsOTPGgJcewLaFC/Rdp45raVhppPzJPAOPNDJC68ZXD9W/S pKUhZm1WRZXCkJpxap+f+Cxtms0vF3y1BudSkGwsWH4htFk/kMVeTctVbxP3+SUrG5fPJDvm4+XF 968SZ9p6qadkBFeDu0JF9PWF+TgJIArFdqE2FA+lMmh2Xg84FWCiBbwBy3rPfYyRRLzpGbikTd+e LgbhtU+KeVJF2Rih5Hm9JXsdK8U3OJwyBh8zcxmpsw/njE/beLpUD4Fp8ViYM7SYCVd6D/7HIyjv bVo3/olVe5d3sCi28Frh539icCOiJDb/5Ps21AfCmP3iIQGtl4/T2Dw7owcwR0JMSNQxzkI7F+v6 pmIF4cVTeE5YuZK1FdkySf0Xn5FiERVAZLgU/eKEeB+F1bcIyHQW83DL1BXCp6Jy3OWZIKw1mzYt 2FiY5fdFsPJzeSoEQUfAxdElL5+8Xl9ormH7rHZnPtH8P/PDVW2cQgDwvtUrAFfqFbYOrvwTU/LT SriUCMG+1Xg0NS00nHFVrMht3FxAzSIYZilvbWeFAj7Jta/H8ZytlpHdIQoUgzHtB+cG2ICLQqZz JqNDL5GjMU/lgztxsIrWx2dJftq3377k17XffgKNLlLp2fAxOets2dnkyxnaoJ27/1nD6fAkJUDb Em0cuxZ6d3emO8BVpY0xGXL7oIxWMG93xKiS1e11L796UZlYUW+PQFvfoi2tnWnzmMc1XF5AecnF jvl+mCiPRhI0P7JUJghtWmUwGcRaoqH0za9KLRjfZ3fFNEawV/O7ihylESoGqs+huyvWvukYxNuV pBg5e/UYvnTbbT0/j6AGNVjwrjQqcg2UcUDiOSk/g2E7i8Iz3nYqJGQcdpmnKdUy7CvQfolcGI4s mBrMXT+r7HMj/4P53XDVHBjgNip26OEuKwYMVq2lSSTDuYhoTDBbjZ07to3IAIJeWJ27eBWyIjDk yTQzj9eBZsu9v1gauZdYPzfvQhV7ZkvpxLxXpK7fyST0itUroYDVvHCFb9v5KBQ5z37Jxc9M0XAJ FhPeVjo/GZgTcG6fyiFEn0VNeTHSrN2ZNB6kU3sdF1/eLPu256FfcuX9CkCFigNbbg0H5jEiWZMl 9umeOawr6p0m7MIcTkSGrvejIbRzVFg4PqM1iCJYfuwtbH2LJPNfyQLAfc2Dp65MtTCeM4+u/jRx p91kBneo/RgCVRbkxgJlgi1oJcb9irXw6i4snvHVo68AzTE4QOS8ziTpfwgNXphWyczQClyF9CI2 P/739RSTlFgFfQfpBPEmGhUj5XUivqs1i49Ms3dAn2inD7e3uFzE6rLtx/iM6KH+M+1nuyJZcU97 +TWQRmS3aZ5PjSipT+ZPAVlsVw870HZbkkLCpn1MEGApk71mCiNCoX2C0A2jL45Awum8wq3sNNSd 0daj0K0zPmd6PDifXNySAEolZ9RFSvd9RcPzH4uboayqm4iAZsZHhWfhNrbnmqf2GumQu9CziONt AnFAd4kW1NAdMSBTi6oi5R4Od8oaSZsuJ+ivow9Wc6eDkzEQLu0xKA3iv7j8IqDPUspQTKXkTtOq VrePZZ8Wmc5LvQrBia+AG7m/HxtMxXSbOdYt2TlzrXDCnvaymB6XWqWa3BTY2cD1FdrdhWCrwcIy TZMrPt4ERFfPkG0A9eE9ndTnrNbDiezqJZDA0Jh8/SYXP9Q3pfJHMBuGH8YdnxSZQimK6l6g+vWk s5VQulZrFsg3s27lwIiZc59GIp7oU1svSz/O3kDp3yR44TDUf16WHWwnIs3hAtS87msa+89yQyby s7txmTRkLjSPlquyHKHf/f6Aiw4fLx8Q8R+utGgloJjaD4Q9Yqrs9EHjHHyphYSn3xfauC1rdffH 3SWJENgxi3/jYKKxuLpVKI6MUR77fJmTpNG4VfM9ZbluVNXI+7wlFCC6n53rn9mYTWq9Oo0IgDcy SEouzznUUPg6kiVo9d6Ezr+6mkleNNgB587X3z32gyfczI4mYuZ8O4earu0LWZvpca47xS8WnILY fTDiWO0ZQWF8U32DglI0BFi1MY02xjrCTWO6yWtpoefD7oGQ2P8DWGSbDbFugHUCk6eN8HWL2N2e AIqVSCwovTI2iMunxM+N2tRg11i5lSDXZa/fxHsttw59FaIfTR7unnvHx5yFPxAP6nJH+UC7HtJc xxw/Tsjg0tFn1vn46/QfoQgBYFzroFFjlOuTgCBxTeSUY3zDIHHiZHNEl7RB4KT5oUbBlMcYstm6 ArSGl3Hk48UwDrRR0gT5yyHNieMrE+T3e+ur95FFC482TKjUp1QeZSOW+xLcBvscbZHGB3i602ro xStP+CfMEu6uoxgPXq3EIeElkB0MZOfqJidtN3N4RhGI5p5sST6Umv7Zx9Vv/kLsif+U2mopbe2H THUwEQtMQyjUUnVsxnbXRzg6Amu3hA+VGw9oOXAW5uBrzxLojrreJBW3r5UtiP3fxtJfQdCTCPan E4IRsa758/lAlA/ietrJYRH/r2uMh/BfKyvJ4bFyU03T7l7Uk7eiEPqUwOhnCOT9a5rgF281Ctzw dWgYfoKfdisR5tgnHZ7ZYsg0C8nlMJBcvvqa0YJmidnaQpdB+hBSel1hvSdO9WgVzLKWkFf0+98n rs6ztUUL4Wr7xBBWraXNfJuifSv6+YzT2lRBaMeYz2sDrzpLWOb4KITBGJIdcZDqYwDHxI6CuxNH xefOhh7WN0APYiPtqWAgqW3hp56Ph3IFwr/4aDijpvpOjvDmwGMypYbTufk1ecr757b0y+Pn1Xym S/PkjxsK7npQgmSiuVm0Y/R7do+8J4F/Fa4i1AJWOfwafhZvL0BRU7tUoBOpwJYb2VSGbx0gMMim 6GGN5AWRzywIqNMLhATluJHIDx3Sq91YNMolSVD8bDEC3G/A3W25RS4bbh5vLOnKuJWxWDGiqUEk 4MEYF6N5ipnDswc01Bi4cgemgilouHy4MC/0SbWj2jhPphnmzuHPFoeCQdBSMfc5Z34qTEEJjcV9 G0JGAn8DwY+0sirLhrBs97TQ1yVE5MRvLrLnz16R08WD1V44KdpefIdxfNGCf7gbsJvbQIupvWvS /F+YuyaI8SMh/ICF0LoRDP6S3KDRldQ/skQNbQ6KgiVAT8nPIj//f9dDgNR/AbRzqQ9I834Acngn Nw7WnPkhvww7evi9E95LK0Pqohdbx0aRjlxFiiyw+vV9ObhlAdQR1NoLPctPFt/jDMkJkiaaQSY7 AvuokKsjJsfQPKoXWDLIs8uceypEbT+31+q9WRNg8hjpeaQOeNFAWqQ67FpUZNdoA+ksuE9+mabZ AItAkweL2yO7B25MI8HaozvygUziBsLtUNMdNL/TwS/QPfAFjIIbVI+/t+uIeTAZlgesM9DHcFIP rkC7nOBJpAxjihXvofjHewdsIKMELXQOxIGrt9Uyp9EOy4ya1ogWZi52TA9FhPgVDJLifO0/Fzvm FcaQo2p85nlAI28MuvbMkZwykFD7Jg+POiH0CzgcoPXh1L4QN9iMf6vica5nIDWJaxM75zklo2wy qQvDLfMzfhCDJPvaA8YKiaKPM6yxeCQkV+y9O3shyYz9noEpcY+mVEHxpfAN/y6jFz5mif1vKc2k iK50RgWGTG3xogKEa2EuwjshLG5ybVmkORb6SX4louUlEJ8Qo0HihUhAbCRMyV4p1fODQm4k32v8 ZUvMRi/dPuvi+PL/jKL8xO8O1pn7fMqewW+D/v4qwsYKxh42Bbig9cSx8LmkiLwmKyMhRlaCYwO4 ovtrx4QI1OwHNNoymO3XI+me9XsRAEfYzXO0Qm7Jd4sNXGTm67pNJpPPcIUglYDce8Wh16USRpn4 aootUriR8ErUNVuQ9DHclqIpfcg134Q43aAI+S4qaRuhCb3WcP847EjBJUqn6DWgevSGkOHBIqIU NMc35RJYMZlf227JkeDesSX9FQj5qGHoYqy+U2eErltUX2fZSORbo2USMLZPhVLlvxM7cC51mK8p vsSUyYXjnUQQ8ViOTxWPzs0Jliblib5HxI09X9Mx0+yMZYZbYrLx+d9HzZUNjyEPTYgphf17MJVy LLjb8Y3VjMct7S3/CkviUwUCtgodO0+Mq8NpsthtiZ0NsF5hpYuT0LCpL3pFJKKKj55vWtwEVQJs FrwDhJe6zoRrYdURwch0iC55YmbC7HX4UKh8sDvCP56Sd6yyT4BKXHfcZ7l2xYtPPKhzMV7IREay 0oXAFi/mfkvvX5+HFQA/ZJEbFm+iftc7y2gH7uxDVfDJJWmqfe33fl045rRPQk2CwDya9YHw1iaV 6hT7Nebl3o2K6zLZxA186wT7R4dRskLC7LqAJTk62C8HH5SRlIT8YODXD4RrHBBOoYvW+4ERF+Ji 9Q/YEKKTyt86QYTI1BEh2zAIeF+knXj+7mHgyHBirYMd3WEpSd1vODyQp9fMwU/rh3iWOJZHRckZ PtmDp85H6KmHh8FlYAcQTuTzV4GhjH7MOwiHuRxpcWEJftVb/8Hta1nzyfyP2s2Fju+G9tKE9FwM G2FrKLIYrxt9DxLoascxULJ8pQPo8s520qzzT3QWrq0SmP0hLbDwjB4ApfOYRmUI13nXroNT0pzq HtoNsZX2QmMvFICQsrj8HbIwlt3pZq4AWjunTAXU9KlswbO7rlrasr9o0EJGFyh0GXKIFW4r/LME d1sP8aIiKgxfuzoSB4cBJ8uwf77dYsFTFfdaazu/eKXACZRFWeU/idPZzRuc4DhXLn2YfRpjwXBJ sZY0slIGU37eeypIE9bGAgcof4QNT3ed4seOHKyRh2ZTbV7uS84jxAYqX1J8jIF89+wBk6SiM1X7 13ZhPL54Jz5y5I7RNvu67gfgSeARRn1xlp2DQ0s+IIjlO/8gIbqS0Ydyw/MZWGnIs4kLP4h0W1AO 8Fe0UwiNd0/OQ5bU9ggWGvMZF52nmGWWSYoq5ydCUopSHq0XCywnMtC5oMdmZuy5e0cfccf1Tmo5 eg7T1FBhwlcwa1XVSJfSDabnZ3Jdk8etjpzUlqzEdb7IJ0K1gV0n+e6OmsPjfbioUsTPAtISZOOq km8AqnrF4b2Ahu++ogLGr9Tu11fJpoMOknioV2akSQK6ehPCkeGd+/0o98bhA243T2qh27tFVLkk kVVpAtQl0Er5jHY5Brc2wePxG+RVz7CRQ8qCjkJn0JWjaikXd4UeD+UVcdYZnuGkGIgV0ql5NNa8 cC/RqK0w5uIvc3EtdQ7eidAgHLN7qSfBRULYLbuiH924Fl2bt4qv1y1SjEUQt7VTY5K0x5f2Yy2d CuwWUiDjrt6gF4fUAK9ZcEVuRWXZT7MO9TqLVjEPLqe+fTB4Ropva7g8l4ErvsgYPmaFr/GSN4ll kRF8sVafp+gICCRsAx9BbJ1Vjiqb0GarQc74JdYRutxwmsOzxYUQBjjjQ7LoOiRk3zRRLudpuiPR gCAgsjssHDvTpmRxPBuoxyiVWUh1xNfuoscVRjkl45Y0T6gJfrSMJH3/invkKhPRJS4vIxSjK6Oy QOQ/1c5vAOuea3TI15XHnvUBMnMN4qZtaEqODdG/SkDemKqXfogo+MGc8CKcba72jgsnGSgxAa3Z SxKQGzlpMA0YPG+4pzTKbn3Hcqb5zoVwFm4Q1dPyPA3uUxhWgEz1iUeZOKZmLKFUhCBpLFmsWLCO AE3BZI0S7qut9WyXgfO9oDoQvFOQucyPTPSLqvSUdF+PTpS2lGqY2arjZ7v3CWMP9/f4U4425LSd wZRcXahoYrRa5E6k812ScphsrQxVUiiy774N0kiTLCYHDUieFSMzai2jV5uAZ2EHjWmUn1jGLY5t /5E9/TBn8rv3SKJHKp5jjq/DX2v9fl4VXYEBMuO7/tJRtQRQGZgDUiCQZkDMpJjtv4gbzgJz+bS/ D3psdqfZcDCPJvWMyCJaUDvw1m5HzGXyMDT2Mmq0LciFiRd4cxrtBOxCIMCIKxXCk8XNc/u5wR1O BRe9m7TDSmlwwiNCa2MCkMwvDKqtDqcKrIOUy6NxlikTNwzPEk4ImU01IvQUDv3A32FcujJ66Hvx Ij9bd1SS4STEHSdiq/Vid2gBuu7M9yZefltY4RLZ4xmqUNT7ZYyUCO7cFxoUyRPbvc9v1d6LT/xt Gpntb2UY9kvxJFeKjwMv2CVZOwBiHq1fF51p4suPMvZmVJOGEaL49Zrt4fQRpgOZcwKVO9Q2rrMs SyFJjsjzTYsby7ENrW5tjO1/FW2fStA8l0bGjOP1lVfLkkJoM77U6Esole3xf+o1QjuCalxeBYM7 P2oEL+fyENWpuIRFzyPLNhvcBN4RBSIvB8cUH16SMgcwF4eSs6de8gai8IbH8wnC1s4MnHPVdnsV hbN8rN0qtE0x8p9ahdx+9o6kUqtU7iwmqP9xA6JbtqiTLKKqA9GVyjx8uOR2kMMVQox4NzTDZVmc NfVoZpjFfeeG3imc5MGmy/gwVqCz68gJ+v9z9PeVZX2BshQFnt9eHbYEKTR/fSwinury1AhON2Ey ETcTna9RT32qiNs4hB0T0n6VPICJNpdcKT3AM/BNeRTk/VKcEWUrc46OiJcFxK2i7K9mdmG0gMJY vl7qWa9qTlfSOXBAZb97yiECYPjrYyeG2+A49auiON1EUaAkqwnFICcC77v1YSY5wFpRmxHxThud ayR+sGtBjMHCxuGRu8OBEXaHHiKolRA1++HguNvTxQi9V0zOwY1Ox/XKQJGs0fZZa7sbjVKagYmJ 3V94926S5LKGbqUSZ7iXepCU495O3MUqyP4q6scdR+aG0wmh6C74kSRZbCIGB47m30DnlljTz3WW Wn+VurweNofnkmoxx/6OgMt2ot2TBmvIff9W9qViwSF7vi31NFYKjuhkATg6lGoGCfLsf9AbK3+y B4WPJFnXfSWMj0eL51mSAmwqn3ne21yB7fvJhGeATfxQ863uKKPVwu/+WRYN+dZTMbBYlIIcILLh nsy38CeVoGbzM3x1wh5OiLIaTbMrSGxBdm2yXoelJWm87NI3dTV07wVHaf0q/xWTdAbwEbsPEHzm hf7GwgDGYCCOsn2trBp7Q/Y3Lh6u+djkz/CUocAKw7v5j4iWCfyKPvkDH+QZIbJWzTbCaAghNuHT TeR7rW9uMU4WV/P/1gNuX3QU/MvwujO9tF67BG2FQFzn7TdoWTZAFmoo+7eTiqn+PukIspfrSz4B UQquWFN+48IHTeW1w9HKKZzT9nfsUtZI00EezMJs8m8CP+VnZ+ok3KWsqYrZf2oe8ZtlAYcl/Wso QpWHDp6QkHmBPfmxpha7C/aABtN9B+FohCatW7M2zRRJEoZgYR719OYw9TFsMrW55EJZbr28SFXU 7ediXvLoRa3RiumhdCedokqQtf81A1PsmP3Swq7M92EJXSkqzphWxVoK5dlViv4utQdEGq0qZId/ afyjNIKldcNJk9kUlH+AgeMl5zvaKAgLZzMoT8qwOxRKOVlyznz0dAn5dd+B2iP4j+oIBV8PAu8y Bmpao9dD/LEVwdR65yeTLUAHrfxytYrhaPhsmEAoMTrt+S1HOcCV6a3DV4d05P0PvZnmbN+kNvpI UuGEzsNW2oh/HwpN5Xmei6VOzPZyCzO2xXVSEL8FsuuWnO+1FNZ2RH1aE5iRpm2xcYKPudNLs9mM 0ONPlTk3XwgyUYS1/hwBhhB19xyfhsq9nxKFlgfV1d3naj6nIP0L+eMPR7zRAZ1o+UW7iWeQJts6 O39zxa178cBHhOmoUaiCFkr21AfcZ1D9Ja0dFDAqWBoeOFR6Cu0SFGGjydWjbhCA2fnaPhsBiFQl A14o4WBovwTQgcGEbBy5sIXExBvCijN4/mmWPq6yEc3svDBQpQZH+m4ejJOzSHeb9fsp57uXSaYu 7Se6StWYJDnPijNpdqm7IzY/eGSPc3/1zkmi9Z+Z+63LegDTWP4emHvYMkQQ0Q6GqWp6XsagnddF hqp5LlQbfFbuP1SMgGnV/V2boxGzu2HsBjW/ftEKKlEapd8Zp4e7m28j68kvFzqb9gA8oUeb0hPJ RjrFI4GCRttWkjpZIKK/8t0BBuaF01r4Va46ibyTw1qnWYuVrFXv7i8XOKpW6G1FpDXvvaHrk4J3 NkYYr4yUDReAVb8XjkqWLNm2QL9YFy60W43nUdJsVRZdKS8UUN1yOpxUE9/j/UC1otuI6iALMbuL pBZL4JSXRvSMBZvFdPZ17tHFFgMXIMBem+DNrKXogtUk0OHaOovvfNsSY/Uemvk0Zyt8PCfW7IPw fUO91OImVJOhV7avzQB1eo34mE4UC9CKjNoMtFLjlul4GTiQDOdY1awOsN2sT57tOnEYb+ZbYg98 fmE+s+5y5I1ZzE2YHWg4ommc5NfPly3O6HXMMZp+VCVeqNyhzbxWputbayPybLu5reRQB9WDxsMt /mXMWCKDbFf+P9d1NT5fco29i8Mnhl4Fi7+bbKfnqUeSuCYKSenK5qEgrm43NPMC/pLF1wrp0NWZ vxKyjHPOxDv91YpMYHPOQijPVn87DPBiZgDaI04qbiwVBjvXj3hiJvJe7L9tAvTuRP+dVzhY7jJ2 3qhZYQbzEZd5ClaJHkAy3Hz6Apl//S9bTZxdAFTMkwoxc1bVoZM0olj7t7D/YtN9bXfV/XqGSMF0 DgbofGhYfV4auSb5/H//4+zioEmjfaAeexfSFV3hZ+/LyeHoqEMrD51cCoWmHHRpIbppah1FoaNA Mg0MphJmp/9f8G2HTzzcj/5qR8jnJReI/4Uqb0gu3z/WLa4mbDgPFbQP7FoUzt0NwPcPNkTtqVqv VuDTUMxvIRIBkYDiLrU+E3X6SVip0HZdlh35ulJesHbm90mq09AKPe062xflDmZKeG2sV+ypRFUM 7OIIgCIMtIxnY7rR0juMtlFuSUt8qzuEnfEhTz0oO2Em8wWHeJAQ/XNa167JogimDifZ649fHLKF sK7sQC8RV3YFBoL394Rn/33FVlG6Bb/OyHfvDQwNMvwcVXbNoSTp6VbK6yG554Hp1iopnS+Zlm+n hB8SvmMOz055BWEZtNTV6iSrlSTTt8PShdCp63u6Quya+tWYoMcxj+NgL8jTr1NcSiODApYa+2Zo w/elHvrawcdBv8OhqOMnXnfV0uQxuKl2wPUdawPi1YWdsYW1rqID+Np+xMJFFqRLpCsOqfcuU9eu toafAaG+Wt+264n/DiPoQ925KJJMLhfGXswf+82/Hf3jEaopbFjGmOzO/ijJ9QYJjZmHb9jOinTx D3BMLqsBtyFVEalWHCnaOqRbS6IHBjUw1ZhJmqPNmmcrdVcCkUr1PWgV0x31LxbkFIGfw4ErXwYq t7H6zFhdp/UNLyh0cVdMOAhrOP1T9q/h3UlauzWIBkMfFwEc1gO4v5DAhtFO/tKl1L+hZDZ+wUZh lSyzM2NbCwy95Uaxg/3xB52PoaqSlhiyr6JumVT5RyilJDzlpeXg9Yx/04z0MUbHoeN/+IyAAgHH qKBapjvOgIYTtwOxSTcZFHx0zHWd5ANUkbT/0hmfp2gDuOd0JiQaeIRwOgRtxQVXKtcHNqK5kdPj HBs/i3Cwf0zPvHGQ+qj/2Zb47t3zKwlSg1pv139t2rIk8BGmjO6kTTNCRJ8yhk+gCRhKOUev3l95 AcLTXLc+UQJkvkEPvx6AromRsnFGMlswWx2DGEEww9E90NJcmHHXNMKKhJ72veIs1eDDP0842vpt ZbBZdT0v/HkJoDLWlba/hi9B964U1K3HsThOhIW6R9Bl64fr+otRudHwdz5VxU/mn41CnsGU6gB4 Qlb14W/axY0JH3/GHoGeWCxVNq1Zz1rs9bJyqMAardxlR53MAdvgATjAl5EQZehot/sZhdHphEK0 doPIvLWs19SCHg2LLUCEA0f9gZiG5oYw5VutiQN9NNbUa7btiNYMQxr+OCmoVf9Rx/ztKxgUzlRv 1X0EnvUzqFQxCgrKRM/4UWIer+DGNPoDQvg7TW7zL5Yltf0f9amhm6UNJuvMc+8BVsZzyTOtuBSO 4gCwK6aYsJNuGg+Uu5jSitWQ6BnUkKsXK2/B60n5JX5Ksx97COGKgiL+M90Gmwb2V8XHr0Fa6BMO VmOEfh4gSvOCZWFOehdsDpp9tJwVAIqUcTHkRYxFu8zkhzZTRSPrZg366VVG6R9JvCAjFa4/zf2d mbxhctB4BRFztEb+g9VKOk3HB86uGqMyBKNvSZW1gA1INzNvd3E3DlyI/qeQms24PCR1etsJM0PF Ydv2pNIX5PnXa3esc296I4WMLBpFcNszyIqQJUvr4i1qOxt5OZ7cIJIT0zH5injbYxNIVnQX/lAG CRYcddecT2a+3w690FI42R1zlYuEcqG73Q4ic/3h8Z+lq1NDxuMTXpU62r5RQhkZajobs4Bxh/Tj XDcYzfARGIDEy8PT0196IZHMBNM8xAT1UB6F0nWAxqXGEINgFB5OIRYxxUh77WV9j6LChNv1fmER S35nyGkCECjMCiE04feCfv5IvZw+cpDpkhhPdbywLp7aK/i+LPyS5/cmyn4IPNSyvJcLtgv/pdW5 sRPSdqzh5EjsoBKfi2AbxkWovKH7jEwJpGtXDc4ZlW2RQcqydReXyhsnsbCQkffrSizhdJmNyW+e nctOZW4o9Cy9Gjb8mjGCmNln4CYGQA6774XAbqcYrR7qbLrS29lQvhRe0y/YmX2j+nVxmyh7RC9p xpgrdy3KpxsrnMR7caQHRrOhlePVyLf6Ul+8QUjIV5VAl1OQjsPfrFzZYiPQTh9yusZvhC1st5tS oJDXV1mR0LShq9VntmR/DY+FlDtTnZ9/M6apVL/5bHD2kXiy8/ZwIhP+ipMFXoiEImcdhuF2CKnP y+yRg0h971HA3PTtdPRU5uYYYDD3sBmjYy/Ua+hgiZVf8NtRbqBemm9d8BMlZ2LQiOTfFpfP+qmC qb5uQRC0GH2/4qs1vht1/5+QYeJpVmHxoYrrK6iyGnBz1PT6AM+QZp7wzi1HHz5QgL/RU4uKC81i 9C1EH9QUvQ1HvyeMS+iwMjABHBZrZnZiBbosQgGM2DehtQkABeLTs17wX8AEdmGHaEkmipzFiEzX FHU1MPBLvuj5ahuePC2wc4uvvGEFHbeVfFFchst/+AlDFjEO1JzouNlxNEe4oMDOIp5ZN6Y6ptJq l+86BOYXLmS6z4pxn3GFBib8qKLzFZ9/JbCpOBxJTlil5bOkZBDrPc/mkrYdG1mwxVblsxp+9RvA 9OxZdizm3Oz7OYABk5/1q0A+JPql7AVRjswe8nvFbX+85wogNBbC8OOEL2IqtZrXBfS1Eb+s4TRB cCyAOlv580tya5EqGQhnMcpQjVn6v7fWURmF2WcjLtxts9z465W180JcN8iMZ5PM84YCNNNReBJs 5kf+btoSsqYURzGNUDPr8sOcfK3nfQGYYwoHwUnujKKN47oGdCfN+Umkj17rhaZDX/6BcK0YqWRw IZ4WVbx2qyTY2Cs9pyNQoGYTQoo605o8bFWcbOavwK8oNlo6/IUpnu8gKDMrLKpEg2eAITlr4AOp yBgE2FY5nWtU384FKuStqldWa3HhLzyaaXrlzR8dcdrAM5f1OhbNT/RdriT5VnQx6VU6iqsUgibi jCMAyg92McaOfShgHRVhxpgKvCCikd/yBV6enCfjcCh7DoC0NTuPQQahzomgHhjz8+09DDN+M133 F5IefZAnOWbirxIo12Qn2U1yIlBwcJ0UEwYSpupmQ6sNZbsg4k3J9IUXcukQxxB9kJic8XBQtPN1 T41dbdSBvc9T2a0CnU5YOiIwf5VzR5BYGeIs7GKoxontv8Ph9IaI8TQ5V1/HHBOApAATDE4RWVaz UVYNbYZG6zxDiHmd/YXWRONJTa3rTJK5Y0f6+X5zv/Kneaok0lxbhFuHmNf7ZZtjkXiF7uFYy9nN on7k9pfsd2gQUMz6RaHF265g5kugQGumnfpnGQAqsqTKQVx/am9WGgbA69jlBlNhAITij7t9zS8u k+QTZYY/dxEiyLDKJft1je/9fx2OMRHIMXvYqahqnoWFOF2DYRgdhToh720usO+e1qHFjZFrPPy7 CDzs8sQyL3AkYiIaou5h/z054iYXjBFnpi4vN/bPg+JUBHX1VkJq1cg6g9Q33ExJf7qnbxxyj4sH KnVFxi86mvLMLaK9tBGDum5QaZDq4YAteSXutZUyP9VDQTqzSukP3+ZyP2Nfb4HFnV4rCSare4FB fauGh5AvSIclMaiqc19LMabdTP22BijnY4xv2B8vSNk85CiOHubXnIy/u6aLCtvhdug3E7teH6oy 8oTugL2JVByPMamy+RE946ehMuNC3DbwAcYl42xj1PYg/Za0PfRio774kx4aLk9nlMOMDlXVdtOp RLPTmgQ6bAoo1YRhNMckiIn2xV14wNQFHTlITSHjb0rjdsl7ZN1V8Qtbgz8hL4KVczZu5tI1urgi wmhDWO4+V/5a1d2kuDeUj9dGakchfCPmLZjxDWEO84GL3bfoKID3o2EHqUuhhH+j423NTGOEw2Y1 /IcpqF3PN2tUftbNLezw1pomQNaozDsdP99wGEzRpzlnPFgpewcD4gn0d8gmkLDI4YVf0989nD1N rLRb+np2jBHt8/I99M5s2HKAInYaI8dk1XOegVj3ntZCjpyYSUVpPDhAF69Lqx7jvg5p/sVwwCTe K63CJDcdMsJzP2i4zfk4uRSExj8wkdr5B0KaEMThMne1+VlCldCA1cHBbrqHa5LGeBqfdecWepwu Ga4NvRlf+WEDHAJrUhkjMKC/0QuTcCC3cVBk9roXG1ARoUMcvoU1wjN4t9imu5Kivt/mDwB4DmnI SwhEgpKug59rYmfRGtZwaXAcQL4bywJUBJ5vJ7MQRVN/tLcfeATieuvpjmJCQkvC269nsJ+F+EAu /4BnNVj4xkQNB6LNpttkK8+6IvXAfZEWXv09jjXMOEY4OTjgnnzihH39NS4KmQokbCw0w8YiTqg3 LqL4LkVXHAG6NFYPi0MeVx+VZRxBxxthJqMjQ8xY+OyQ02ZqkN8kY34pYFsHSv9fb7p1dnIAC3qj QHqON12ToybyhUExYgESUClyKNUI52QCYbLPz77t3qYW+6obo3O15p3HTNMLsp2ZcCiuapF/J8nZ 82zvuH9dXBb+gOGdFabrX+Jppx15QsbKBAAmpxGL5Og1V3vzM9sTGYfB//G3o5xh13pY1pnjuB5N Z+ooG1l5E5RZx1sMfLaKed6iF+qT8anWo/xZniKWDLGdrOHWG//2uUUjSjZEtibstQlxLx50azD3 OeT4wtFnX0srO/ZdQCCDNpSJF9Ng23hvtbZ0pq2T+PMwJDOtg2BTh/MUjnGvmM0HF7yGQJR3dC1t 89eY+lmZdrr8d7Q9VjVq2iO23GsQdwO08ULPjFg3avcKOeIoUTqMWZbFfrj6X15H3vJ3OVFVPBKg wWN9scuLDuLmHxEN0p5c3PP0F4qzgvL74gRpZJN1pVqEMOzPF2arcP3LcDnf5JFLwgo1ZJdJQoCo l9SFpoSdOYUL27dHrEwTLxYyt732VVLlb6IzwJnlgLGb08uNS5SwuMNrZZKD3G6Pjhkcemb7ovNg ckKxxnc02x/38p617OfN2xGuwxEAubzZaosDdbrFTEaV3vdNjOyujMM8+aJFjKeoAJ8eZmTHaE3h EYLNhpa9eVejFSmb7geDfEsiPauuUhzyNHnxqEMaWA4vAcX6RIlMDJyoeQnsbUtncMbUdi/l5hXL 8BC9sDW2ft2VbP21D5pMstuk6f6GjgtwRJpAvscOngWQELqmV7gMH9SbVdQ8R9mizEUBiAcSZEXy Shty+Enso+GLY1CR2DLaYTKT4UjAa9zJv0XRw3AL1W2k0kVb5rKh9n38AxNWAXFtP9LWh8Xl48tF UgvJUzL6jEcTTLDUbgWNSsAwKnclzlT8tAIK6Y3Yhhi4zf/X5xefZB2Paj3i4s3cKB9XdyO0lw5s OZbc7WaY06ePxrBmzOx0xDW/c29S1lKgp35InG4HXza90+/1M6ZgHWNzPSYf2p2eylvhMPxhZ6zx KikoGMHM7hVNu+V3vhtak2XT6TKz+puLrO1dLBVgUre/2lYPzDEvyvmi6yBL03z2AAjuNhoLTGZv Qs+E33oWQ7O/Gj2+f4fjcsNTLvwut9ij99ay+xa5MeFDocB4CpqjwBgGC+nhgGFbvYzAd3NwmEIE I7WYmTm8SWSyAdOD/An28JA4VFsD28zV7ttW1w6KVSE8MhGbQ39QCJBuh+eg9+0im6QBLdMVI4ux CfVqwNwaPfGPHrxQh6maZ0adff3l+GGyuaDgtHbkT/I8IVhn0X4zs1GztXKGcm/l10tHu5aMZHmx c0XQsiI5o9T+H1y3Ds3ZY4rWQnT9Wqj4qOUom7TgehaOCZXhTV0ut3DMT2OV7hhfSuPUkekDN3bI JoBmKSdn4yRDtB00kVfrPtJbXeMdFiT53QqlvZde0QZdNMluWFVjyVNl0g30rkrPb+FW5VdStynr IdW1sNUsLO1VjGOyK/8o/fZCHrHmNMXm6GRMkIe+1waLjosnWA4TQ5b23PnJPhJBv+29PV3/Txef yvO8h0Ro4r1EQFKU2BolgDL50tCtfWdkbbfyrUBQGc+FUP5TyFG/WE1U7D80UsuXrIVODoCgyvTK ZsZDSWkE1Lk0ZwrIdlTRuwvJUfG4Po6hz+xc3MgfR07Ct155gphIUl2CCjhOwJ9OxHtevm4pJ/Tu olds2f/O8VO/bJMkEkli2p2TNODMh8jEYthzU5KNtsyuvQyNRXrJBnhzqUPFScCcJ/38bbHfNhIC McYFK/UVz4ckRT0s5dT/o34jxNUvASRwqhadyGpbwlHbWYxu/snIqwhfbZT3SFMgQ+6tq/xxGVDN iO4n7KJ9LdyD643DHFvDvztIsdUkNn6mYJhMVkV/71FJ9kHmZwToOWjkCdBtoQF2+ajY0oyt88DM mmMuT3sWF3bsjFfByyFuYXjVS8Pa7+qr/nufFQqhcGOpcbWIj82JgjzkI7ca8dyP7bPGcEzY+Nfe AXAZnkduKVA2cbD6qRkrYqz9tsUwhnvC0I+TVIv2VBfknLuzF52ti+TlXd0iIfT9hk/lScN63zeB 57Hf3UH1Sspf6BrVA2NkDhFrXFfP518xYw3f733XaTTC79GYSnFS7WosmWAh9ayt6Y8vF2dMq6lf Vrp4M9bHEGcTo4bs05+d2E+NpY3iibrmoBbpX8r7kyfAcaiZ+ngnUn12frx+x8vFYLG6oNFFsk4S p9hk55dvn/i3Dr+clP44B8sSqlXB4bfeHxeMEKYjHbvITidgENk89r8miiLGNJJoTTkW3ZDU4CbW GlfBiPJBU9DBiM6Eun/TSgM/x34eIfjuF2b7mp04beC3dA8Yh70HJPJIy8FAREtJEE4eDU4w6WbQ q5u4+f1q5BcgeXfQUof0/i6PddeAog87FXPUchFU94JKF+aG6kZ7n7bWsAx/4APrGXHz5gW7atfg /P8mCnpREXJPXjdQS+cCZeioRrZ8Wtn7GeDWldgz16noKwr8SGiKsnntsYkXLnY8AFLUhF33yz/5 OdaXCcNVHptrO0CfjG7lhSQIi1rQ8FoYfQN/1oM/n3ZcSMqCgL0pSo10G3bT9XjmGreJt+ZeYzdS EWu5af/3YFfKQkyuuGE6w78vYZzfchz5Tyybrz8wPe7pRQlkeHzI8rD5mUQvEe132RphOVY6b1GS mi2C9O3PAURSa5nl1gvugcLlgswYodF4p3oW2jm1g6KRB/rNrFBf34PjrESLjW/7Tvr5Y2lPLocU K9ZkcjKGuKZ+xBasjFtX5tU95fTYLaerqewSEto3TKxFrKHCc82qAjHrs6pD61aXLSGQVP1jui2c ZHQx1d9M+xzH/d0A49cPQOzWmlKtwKDB+lresODBukZBkE+yvWRXg83wjtkNBpN6cB9+9ASvSWL5 r154p3h1aV1GHotRiLSJjvxz9Y+8EqB5kFR6lgF/IJYsGLvi3LB6PwEoV96IZMoeFrfyB88yY35j PA1RGS5EOkfuYbCBvL2yUM/ZVrcCaA+C2OMRs1E+A8YtM4J9QVVaGPvARxRSwDm10/mWcIggRbIt rSCGqky54FISmwBoPDkdvLvnvGJ0KFvun+fEN8SXpE1bB6bTCPYKf7yzQbgc6qipKrddiJMOtDf+ AwlnmCupkvbV2TUPyFrtmCE3Oc6Kon72Ix+ytEx3yxIBYanymu9jr/medV6Nu2VorNpP+jUlbzt1 3tHhAln8kdyG8/qq/bpN8h1kBsZTMmP3cVlsK1slhHSB2N0T/ytQgOtSPcUt9JOEozqDAECHGuOY vlma7p+hXvJNbiepoOlCBVM3hhEk1k2HUNPGW1XctXmB2wddyGTgzO55EWrJMbO1Z4N4BU0w4y3i FvtBGCYAI0Us+fo0Cj7ywVfRTkER/+2M7awkdETndT6znNpE+mKAaUBf1NjdSPfXjT/Se+1uMadf 7ULmDeaKh8ZOHnKd4mgIXff3y8OcdKr2kN4YEtTvhitmCMos51eRnmp67CPJCzpNR96BJMRHAQnO mSliPXbCmitCkl7GnS59pTFx8sjoMeIRvoX8M17zorYAyuVGrfxxaarVyJ6tvnB2r2oQTmjZn/NE LT7UBNnzrhylh0YhwC64ZUktne85t5/YJzCGmXob4BLVHQJB9JatS5vGxSIhJhJLHqIElqEm3OV5 FhIJ3/wlxrmkCgFTvTL8d4XJZoEkFESVyxfhjyAQM/f6AvyTqIiANydJjbNugrryUZE9XXNJCuQn gn/7wN/95HB9KGGG2qFbz+Al0NQB5zGABUaWMK2Vd+XHyNe9WCk//c3xR7IMswuph5c5yqB742Cq fBmZeVwyFRnuYxZpytrIufnhbqpnixt77P3jA6PDnGSnvMaPdj0YH3k+c7BktYBt5yfr3i526HZO jJe2FNNuGEQlaPH+7fBH7fz4kLf0INjUQrUmb07Qs6GH0f1BsVidfdRCLq2EjdpoM3EhVa3AkDEn 4r9OhGJY0ZnAqhQvwvkaacWqXYdGXexSxK/CC5RVVACeHCrEqwrCDKmtMl4BwFlWb+xqU4sI0V8Y Ggn4hCDlUrmWyuLsTRy9pKi+VjSoWm/7oAK5WdXvvYNQ8tK6K/mzTqVAtXfeOZW2a66ri1y2Hnjt IKT4Pwe0Kl2wsQ5z5RVJcoMtTpVgIDDg3/rYjSSZNoFHCHRZJp6Dp/0++Jebv2Io6B6C+ywYrDIx rGAiD1c5VZnKuoLYPXod+mBCupg1MThK25bFbijbFnwLlu2BGYHfNw8+ecZSA1aJT5e2iNLB6svR 7jUQXDCDwLT96SK8dC0SBf8gB6+Zs1ev0E56wMc1fhrDMmikzmb4deQeEuQZXo6Eis+BdcTxrqLm 33dBAL1bsffcMPHCqLHxUSyL/TLOA0qthpAqjtvZikl0bANOt8ROd0zC7LOee9oCxy87A1rmC6tn gNHHMprOO1SZ2aEvT2zq4EAd49/3nyIwHstZBeaNhe6faNJck1uE2i3xKKkCSqqke1IDsmnwZwlE RgorZ1UGx4MF+A571JleOItgAnZuadC09pgr71im4Xb6ofgNQYElOY3yUafY1O2IkyOIq7nayePC 7y6usin2PT1xxVcbPSdzlOmLilQPaWT4dYGP8/wyKGsGa/+t3Va/EI98sRCmI5xSXP/PZUYjRxbp nYdAclwcoYMBHKGDcGe060XqzGsCcULZJYIy22Ff5R+vTGejAXHYnoU8C7gsnIAxFawWROZ88a6y TOGx3Mbu5h6viHRDJd4weeqr4XX90+MI1Hr2e4DSt/ZiWUZ5nR/U7SlL9FS5RUALCoilDQozrWxR HDYfqhuAIJ4flh+qvCAetoJkPgLTzPD9/8/1gdMt4O0CgIfNyi/PHsg/IwsOPpC6LXY8wtsE18jv o6b03xGHNXys3efkGA2tfSLArdKI5JZoxfrtr+DC6NuuNdPwjdAr+5PXEMnL4BjVbpI7gBor3Rvj FzMWiuzx8rSANs2FHhL1jGFCaMJo7o4nbfgM4BcY9Jf7ho0Rxkdb0OlmB6tFvZIQyWPF1ny1oicT Yn4E6zQcaoMFSpy9eAwNyxaYONoMfxKrAGlXp5OQN3LwnrqD/Cy8NMZPOfCb1coewvhJ/HGB00i5 8we3bjjreqC6nnfAuMQ6/hMTSeSjjVH3yJjZkJpfLn5Ko9m7Q74LIiDS47wAPFtCiU4MWgqWqqNu U4kK+hWeuVS451MlfbN1GIs9Dct0/a1AIDflpQyQAvpvB6sHPAiRU3B2OPVpFcTyo0dte7V62ZS5 qcO3Ro1NviNqIqQlVu2BXE/ZlnupoxRRlDSF338apzZLQh1ff8EZ5WTX4Bt5LsmMiAB9hyRBF3Cq wOQbzO+Ei5TKYtbhDN2AUuRWOVBhhqFk8bbx8QnJgz8+T+97m7yltJ/8STurlfRAntgzL3+9RvQL kxk49vn3fota8mQQfhYvmFt7B319+gmYkv0dGxI5IpPQCFl58Jq0qNf7PGIvlwcayvQ9NPU+hOvl 1nlcJhFniQfiYa3e3IOEpTc7EHfoCcBR8Aw84+5lk2zyDbsWCjwi7uaRp7D4zJ0Ad5Fmrkd5UzCM d9rBMa2ToQs0Hro9Cy/LkamCda4LHy8ULLbJEZhgurYRT6AvXg4Hb0uRtp3dka9mLoQJ/y9uoHS9 c2GFry6ohtNElL88/tcjdHTnKhrx0t5wETeqqnEyQ6FMTyzFhVSNeZhrTUd3w3A/dG7gXmsk0vCT vkNNBPwnJn/Xu3ZP9BrBvGmnS2cyeGnUOWalv6pJVIxYC83/BseXcpBcKImWMwakUZJA3H6VZGcP yqCjlnGmgUMH00nsXqnbAmqMQEneJepekRGw9z6mRrW0DuvixgizkkwmT6qpBY42BhUOosMOQpIO 0fCy0neOPzWNuArgbEkeTL47cxccLDiO1TtbPPmgBx3cOzFi+NWqIY2P4LsR/vVvALObsjACvHRc OGKSOldnpSsODCbgOUVaZytEMs8OpAGIbHBlztdc+c/ERFIxJg1Nxe+U3/Yyr9fOtBzR20Os2pZn rYLKgrBDXK8Zs5Av/lsAvAujhZdvOMtWZqurUd/829F9a+TvdVaHrOeSeKb/0xklu+bPhRgAik/A ITw1puUgJEPX8Z18zHweZYosIujvQoy22JH8Vf1Rdy07C15R7/ln4IWWCSWbdqMweyfVx732Stms 43ViQx2vsSr2s3cRdb/za5+ez8Pjhen4Ib82sD+BtMmPQOYUtxTFf8huZv6Ql6ky5yRAvhFC06XJ 8D2kNRtEH5TM8S19vONTV9FNdn4GSyQKgrVj35bwmAgorb4THmgqcLJ/P3zyM99pEmwrQZ5xEgk9 JK7fm+czA85QOm0nL4MRmCTh2Jf59yumvn069TYt4jRUYl6P9jgD+zyKvbDrtLYUHWQ4UbWsH0pb 68Ka5dR5rzQEU3AF6oFUz1c28GHmGgOvPOJ6lAfIwqnoSe96Sn9OKQJV/By8uPChzFpMi6aJXAkr 6hP7MCo1oPDXOIGaXgMahHWICBCrAIE08G8Wt8LTmZMFVATboAVY1fIvUdpblIfaItxJbnWtDjqE zLeDbQYwN6tu1UCuRxNbLHaIs7ZUoLDbah1l4z5k0yOJQdyUm+1iCsTzi7duYdPbj4+YVWsRP/Jf HNWKzJvrFANf+GTYRAw5JIOFkTV01mw+qCdEISAlDL1d832c4xaw5RqQ2fBe5WPFpk4XV1ZzRh0p VOxkqv7Z47AWkCCqg7NFk0J4AglPBBAby3CYQwYJr6zhb82+M8iHPP6DV32VLWNjSB19vbt126aq spSdvd2eVgrXQL+zjJ3sfNTiyr9rHE+AO52M9sU5tfVw+xVTQTrT58/dyF+BK2WasezqNrIMRdV8 49hwEuCW61DQiF3Clk2VmJVhg2LdV2HgxLCdtaUfkGB7F4RX57Ldc1GvNSqS/cLEoE7DFs3eLN6R 6Tdv9Lc0mFDtRfMjOsWj9TBlCRiBTR6/bgXMWTh6nBtf75mdGP2mdZ+16d1ntu0h5zTaWwJZ7tgz 7dkQ6XvG/+9oMUrvqZIOvf/mWQakyDCeKDlgHSngabVtF/R50J/y7/MBU0KAGh75MZebRJcfrkkk WPZs6Nck12Hyfnb36Tj8k/j/90mOeZctIHkBgWQRLwTnEvBVw0cnyjnU4xD8PsbhBQ/od/UrtoGl JCIfhzKZDFN+9D0mf72L+UlV5wN5vTjA+c18y+zxwiA3EO2aup3ZnNvb9BN/13KXWPgky49ro58t Upk3fGXZmuxboXVl70ZPb8aDfsAFZYqZ5Yqk4bu5pxKg6ansWYXdMIz/TQ5zUMXVV/aXsD6qj0/U VP2GxqJpxGPvNuCEss48ZAmnHsLx3o+Bvt6GgrAMCmRUqGUKd0E+mYJODxQhi2oTHNjW8NGyT2wl a5iOtrEiIC6WRbVfgD/BlAuQb9Gpb64aXiHz1pPiIN/FhyG9CKbN1VTU7jmUfynGXu1GiTL6PdJ/ BxGlxTeJaU6iBAVVgSQNA9aALL8HtoZhFuZhCKWpbOff3vGRuiGaH5H4G106jo0XO3gNX3WdQDjY vXRn+EwRw5s4+2hPJaR+8IbdIIFryVSNiUvrEm2yuFOAhMl905sgu1U9HzUydsZnEdzinOaBl12i +n9yhLKQAdvvY/OZUN1CKfdo2uC642kXw1W32oR6Ew3SxdhabicMdoMh0mCA8BHHVK1T8JcNlzmJ fKrNZ7SJXUZiE3goMyT7KyWyjXKPp2ITa1Wvu7yVpWXJ2Y3Pa4Fsj3uwKfO33Cqd2E7NeTUy8qR/ OUAPwkyQeMxMinb748K8TksPmofFuKpGrUJBCD6opM/Dt+ME5NVj5I7FMPtkzR6AdKv34floP7LD N4ujr+h1LyV0nTqCOyn3a3rs5xxPfmltYngAoUjaqP5SVBNHdlI1wsSDvhB1mJFOUJPfpCN9YRSQ wbSHiZ3ltFZV2XYyL1aVQoJKmUGS7SLUQKU77AEge9zYB99HmIdVC3eY34QuIGXFEYOTnCyss+B1 opGeR5YxIt49RkJJY8C6B3+y5Ci7XQ58N/fvC4KYHVlcc6LC7+4N9xGpOjNr2mn/y1ViSS18UknZ GyjyYLTh9VuuZG7CU3nnO2nzf4oNv/0nHoqL/wnUaBP/y+opwuNK72gWNoPYAgnnyI5Ihuu4XHwh DdGgUNE0p+oFzbXyhkpBKKoqPA7LoeB5/opO5YuIERp2OZqwgqm3WPrzBk1Cuk4ZmZJvcINCNZh+ anYOFYGudZ6f5uGtB/g6VDjGJXO8bnG32PjxeJuN2oEG4LBjKU1/baZcUcjlGSjNlKEMn2JGjbtN ManzuCWWyF0ZSopxLnjqkJYe2e4mrfsRWVgAcG3u/R3DYUiE7fZRhZCvuZVzd97NutBeNQZenwog pVu8W0UzYW5odIvVIbtF4DoCe7LQvH5yy3PnCBrGV5IrT3pthWjOGnDtuNHKG5sVZW7t1vWZZ0lF uP4PmA8YNBK9MQaMo2M4uY8xsP4vry7heM/ugaoGpnMwqRorSWs24mvsjlug3Zpz8Tm1JSoWgDl2 IaDH8fgQiDSfnEwWdx6yHYgYUL3AFA+LnkJ6vqFIEIPRoMDGpyeAimlC164VBxm1cHaJmhjQvCTs nlFCUiLyttC5EKwOOCTFI6TaipW4tZIxWZqzJbLlGvHULMVJajf0Dym9H3imBx8KGeeXQfu21eqC qQtMWlr7CYIXiqfdHhEQbI5S0GnqqsWSin5w5/kInDt4OIbMn/IbKOv+g4dROUR24snbi/Aotq6Z Ba5VtudgpArqDO8lUa5hW97EMv/Lq1rPibWcUonxGCrXOqudcPCoW7u4pGo6lUZh6G+kB+pSuS9p MgtunKF+Hbm9ATNC7jxAFLLS2zJTc2WisceJZ6zopphpxeQP/EjhM/7+rnbqbj+04ULz58oxrNfm UnXRyG0aB22nrMzi4VaunRggjJCa1V7my37c1MrMQ0R/Juo7Ko7BMaMbQY/N+JwButJcQrn35qkx 3i8vGVNZ65BPEtb1ho2b2qDRCZScdnZNb0uYOTuRw/3IHoJqe/Id0wpWguZeLsWrdDwZvaWqSQm0 NTN97AOjUAipkwNs0+ncozgvWi4hG8poKO1D9kV18fKkhM8VN8PC5yaN9t/tL1qcxIvph1OS629B OgzYio+J38S9APuSYicKVTWGsv7BqILcoRVuJdBpizfvPJB8JDUuEKlYXvwZmbMfLPURCnq9zel6 qkOwGMMzN1lyQPAhrC7sLS7dFRS+fS9AW2Uqc5HUks5Tags6jlwnfXJNfJF6kUbcnVnipnCSpVZS nJhRV19WzEwYd6itJiEGttI47dkk8+kMosVOb/3C+qHffUbm9xkSecQ3g9NxuDniXjrLo5C04EKf BDSOV7cNOTg7qfXlKye07SaXlZjlqIfzDK8NVYbeUiJC4CqOT14Ysm+cELwmEfapWFyxq4QH9YBj 2haKoBuxLjouY2yg6OnX2NwiUP3SXg2aUN5szh8e2n5Cbw2c323pWIj3VwAuLveu54tnCG40bb36 nnRqBhhgnX7HEArNhfVCxu75cERpy3kEZ6seFuuC2QwTBjNP+ECIumWdHibtVslfiS5BWlccm0Bd Cw/J+yFBRuOfLTYkIsXoSBNb0XisMLBD9hSeZVnW+H0cPaT0OT0cv3yGnFwtSu6zy8JN4P+GeJJT 75Gfe0hH6CwKDg8UWSSy1RmCCglZbPbadbGliuQFbhgBnorsZivWinoIlLZsv4UiVjvaMGsqW25R Uv9KdI12VuL12HXMwHzlYoj2jMzwyFXejv+Q/Ej0nzalHofFrV6NzPFG69FB/v10PT2J059+IhY5 mLoD3jjMzAtP/Zu9n77I6hQRAalKRtpy/SzOypx86NoukFY0ropLFNhTHusgXMRxTchm0Qualytl q9fLcKINnG8JDBlM0fTfHyabsXL+n26ndDar+cEtI2RXTeahDfrYd6lxsfZCJ4Ixztv8h4Cd8CMO aKZTjVm4N21/EGDGDtX+IzTH930M2taFcOYT9FPD7e/ZVmergKBQ4ZS8qdtPn8l3pc2Ym6V9oy9Y BtcsSyK3GTKFkcq06fZxq9b4u+kMTwk8iEKLWvTDOOpTEyIMX6vJSdEfDzFpiBXmB6LktOtp6o7v ApAG0lL6OOtanqqilvpOu2/TDBINoIaw/yvUiE968FyNKbisrU/FjwHE3wES/IojR0GQGQYPRdiI u7FrRR9GH3YMJkBng7pAgk6+0aDqjLydxrulTBNYXE3PKHzMGvMMaTChj7UBWv2jvOKJXOhDCv/O y/5NLhYGxszj8+tF9eqKCkF88iFi53aQI/qe0QmKv2TrEbjWTCqlISxFiS/GlDpdHOn+mBg/S2zL oR/yHkFDGKzImDiJfuXfY7owHC5R4rHF7ZEecB4UEvMS/zwfBRaJed3hC/o9JGTXI3kSO9Tqoh0e zfo7rZFlp+gdc9XQZolx5LOaINhOk5PJalP7HmyRiZYKGAlo7gsW2dnCg92CRsvdFnqZRyeo38nA Mskv1hKKMv1vGdfrnDGbWanuiGmunI6XXNgohACkMS874t2owh9VGkPE0MsDoSrZjLUU5SVQ8tqZ obWnhuLhbODZNpkx4UMRq0paetOyncUrRmZIeePMl4FXwjkpI/YCyMCcR7je1Zy9e/ZSDwYaLbTf npJOIapEbsqBx0JDcZTFgwGk01X30wRNSY9X9zRVHjezLCx6VvBaxWwk3/PuLOxjmUtMzf4dWjfM NWooXLlVcxxoRwzS4vPprGU5kdNRosI8YnSwoeY4+C4hGwzvtz9eY3OpyL9YKM97G0oDu94E9pkK sh6iR1CjFYFVOjn0WZVLAyElDcw/iuEAbFKZvyrJDWnS2AVyetVvM4rJIlSJAILHrkBOK1gzoI05 JZYVyOgGkv4E9W6fyJLvsjSZVuKpmTdOEFPU0f6cLNs151UQYMs0ZXDzogsGOtIWOlusUN7Hi0B4 tDtTzf+BnSreXaPzpkhHDo5l+/+4gRCSlEap72Zd8gWmYuMZvPjxZ9/dF0DIullp6IWEKEGQGe/F eOnVzZ3v1KpuPpTWC4F/yL+Lp5b8WXwZyDDECCqMjDQsXN2uFInlVa3kSgw4bYUDYWYVzaaiJLiA N5C9Fdoy8iFGuvtysiPOd5KwJHMr2LqyLCT6k/fHQJ5o2paA2NNGEMKABMqmrJqkYOWHIO2lLctS bjx3jXP1O39jMXTs9o9GupIwnfH3PfVNHsVtwV9ld5QKzRzHe8I3av/bO5jT/0+Xa9HNZ4w+cWsE PkO2npECD3X9yW7FBrAKoxvn3exGfAbrnDYAO2kJkrqVYiB3Kn6J18hFNqJT1J6PlyXParcA78W8 +AqO020rTGPRV8vT5MjzwwisZfk69nwmtlMQXUjEY/Mqsqs5NYLB/m6JVvqWNM1E3h6iajzyLS6e PkUZkxFyaknonL26No/XFfx074U+Q9+KpLjrpUa2VviN/pUN2VhqOR0UMNrC847fv8VZ1RTjlos3 Zoz+ekMdO9vla+5yfvqlkzMJ8K5QJsXNYwEwPq8Wb4MgJv2TcersP07yGX2vLXnjds2IGtw3t2Yf p9eOFZKVyba5lxGDSqf1IBKkygdxbeDEQqE6nUM3vGsKB1aVXrPvt9wAfTVcGwEL59o3g//h8rNC FoNPczIMXKUk48QLzv1sVGywdK6gaM+K6ItlEhrpyvuphMXAlPpK8HuB0FD1VcfITkngy+ngiUCQ uX6bnXUzdg1Z8u/mXfF6lwAzBvmVr5AEvzz3cLNCa2aYSkrTkIJbr9G9yuAmK9YjHG3Z4u5YzanU 2ZKj/RTnQSqiG6C2nH1zuNN0rqLs0np36iM3JSMX3eIh45+v3kvGJbmndQuf/o53lWkPOFjP4Vor vf3MgD/IjB7ivQHhBI/Mj+GcCmyEdGIJvO8wfrawmBF6Cy3LUkDayvJf3mVz3KbhxNypxxhrgimy bnlaSgRSHR/izXE/MKuUFJYoWN0r/dSoadg6z8yOFpXKR+CrFyNvnWAUFxwsAfXfcvRj0njPylAL GFH/JLitTnKBuW0WBzo3Dt9TyvSNWLgTaHQlb0tCuQIZ4EbCaPqaD28w8/XA/PaqHO2iqIngR16Y WshTF5vx4psvZAfVgSA6njF2YmvLqTnnm3T5R4jM9NtIe/d5pPDz0nHDbmyF2VXN4dl/Lzp/N99W sLSYIsUgPgk2ydlRbgSlaSA5nQYZLAhobV79Acfqk/ztwAJ25Pb7LRHGvRpXe4rPWxzFg4dAWCSL L3qIzd1Q6aEI9NIkh++tDD6tfmCqexQW91HzY2f6Gt59R9/qG0RdPflPnQ9zlBS3Y2P1rQfSOg2X vemnZtTW0FQmcEtJck4jtbjCOvNMSzT4s+Bh6FKwfyjg1ZTFFur5WCrHa0atF1kdlvl7HhTxoP4h x8mvtPez1nqfw+vO8s/S1/KdOrJJae6ZN8UiqY5C2XfvEMAb5iY4Ix2k0yBeaAqftc0t64zSTqz9 8EeGz9Q4sPr+dURxcizZ87xMfIlMrSGhcAXBs4dNH9LIdt5XCuSTvqGuGz74QjTN2BJocdDG63Qc mrKvwZ0jbgHiy8c+Yk7CergleLqImxobEekeC7lqItuHRvkFbUwOypSLgmVcJ+h1F8cdPmf4+cCm V9//TXg45DvVTxbc6bk0LP1FVYVzV25yv5uyPmNqCfoeTSZKRgyHqf1oaZLu6YezzPr7cwtV6RIR U7eYABHETM4hV6mJfSWJikdBvMCEEGNciq5mlqDQ7iQMWiCYCk6MY9vrBxRbpl2HTg+VKEaEspba ridY0UqzN95ePiJCO34JnPFqXJOsJBjN9Ox2Ny7qV8W6M2DxFqZ3HGtZeqIPB5vIJSnSFrspBdVg Pxz5ata8NSFvxKgmFlGO7nx57IiU4HUkGQjbcUHqwNFePMQzUoxVapFQ5yUza3tX2lFfKqaJrjJ2 ppfxFiP8a4Zt9q60Q+kNyaXkrCgpKXVn9yPYKBhwfeZ0xFoKUFXRZDS76XlrcE2JWldwnpFfqlEX SrCm9Uf5HAmlVHGBbZpOKgJpRcs4PJKd5P1sEhkAZj+ZzwaIz8KLFx3psR3Zn2s1rh8yRtV2hQKA tQD/Y08L5OmDTVtz0CdbUXnxvdL82K6RwFtmrKVrM57jEGMcxG593DFB9Cpl02ClyldhpubZEGt8 sxEwJ6VA51OdJ+WA7dRzDIG12nCL+eOzeksWdb/wVJEvtT5CZsH31NRdY8FGxrDX+ogPyzudulo/ BPrdXCu7Bv2ZfRR4CuAc2dWhpPRCMIs6HypW/BHTXbVMJAc+V1Y3LaB8WguDh+yyrq9yEOLutN4/ 0+dy76zWLL8Db1BSp4gDCbAmmR0xNTJOLmeL6cFQ9KPxCFSNpIjIWgpDv/rZqHLQH4AK1bd9a+T8 FW0uJTKilftuNGPW1y1cThh3o3c89/eiR3HjO95LFGOA9ESjAMab2KaqdrfInBfad3fGywiAvF1i LkJzEVnlFaTzTDSsYW4yo2RPJ0k3o/0fM6DfPAr+L6VRAG6ii7zU7UW/BtcD/x1cDLS/8VlTMwSP 4V53xRn+QxIB5gFq7xMQq3v0IXhL7A7PXIOXliiiAs//TiTu1eYQZizguNyWyQ9dWB6Iau99JebE QmQA4ohmsz53FlYtOoD6HwZeHZBoft5Y1P9J4wJqyAi02g0EeTzsk5QGNBxtRDbykza/VK1e7GAt 5A06blo+0PDcYedda8own1i2rUZeYUzPiGJygwpGhCeCibQ/gQoAMGFE0Fr7sDKidjdkpXUcslg4 nUUcqoYeTI803H8+oDCXRWNytYjQxssVyMRIJQKFFvCEGIVkCaq4xTgADRjbIRYBQ+is82XZQ7Un zhZBMGggtFucVN549wFeTSuqtSXRIs1xluQ8/dH1ByII2+APQYCbMg5AwcnWpLbM+UC4p+wdXJ5H wS4Mfz/b0zjSVVRBD02ex8XnBdD8Aldvu25UBDXKZWZ59I31/Jsx36XDv5oFVQcOOPQSIYcyp6AZ GJHcf6jVfNNPWpfKW30NejwobHS78xZ5qflgvsOHDMvtK8S1T5yHv+4FYQ0K16EAyo8Dn+EtvviX +gSNMzcRROUFmMMHVotYR7naK6PqGn4xeWTojM04hEdC1i//SuEOXq/bYUR2/TQgAwHP/l245aT5 zo31BmryBdBEtBeJTMTqE2v4a08SFUAtmcjWZiKx9vKdHShR2WsfAS+UA/O1xmw0QpNEgxzLTdOv MJT4MrRkqoe4Z1bvlU+ZXVdlmMmDQiEOeYKlqwE4XS24QmSHfnWieHU8myhOKdH/x/OJZEgbic9o omTrvQ+y6xGSVtq6X8uQLMgMEWvRD4oyo821wPmF608BpFBmDcgE95IcUDZYT80jkTtnlhJdLDMn vXuMOyjPceNMqmqhYfxyNAl1dPxv8pfnLmKi8oKSg/Wcqlvvvxzxhf4RPXyMl0bpD5mAdsYBHz7N Jpgu1xhyeeObLnxbxIurXZb+MDskzhOUHi9Y6sw3GtbR70elx9Wi5K3xVW5ftazwjLCK4kMXWNla +MVylrA1F1lHqTGa5kJ6FnT/jc8BIsMM5pyD8CEqJo7nNvlEZqzUnrECrcTGg+4Rdb5aTLL3uWEg K2VRjBpaDAlT7pkldujGm4dGRaLbp3dCjdbmJzHc/MEje/J9dIk4fUOw6sOaQFHE/hcSVU9ewwOp HBmRqkBc11yNc9scffcrTLXRdlWIzUeUhdSw/jXwfaSajpGQjQ5S8eN7iRWhfdlbGHv74+DFC4G6 9lnxxRFkshSoTV6RuKcAdlEdflbPpNBlcR8KNxURODg5N6pwM2UyXqHaHXnjgLfjwMwBTlHOzcr3 ydpv6JSM1hfwo5EkjGygIakdOoGaDpA21mHiOUkBs8cpdcaYwRwtsM0zgw6A8qQqqWFdlNEQUK4K r4+9oBieL41L7LDzGI3ZlBfYGbpofBR0sHp59CWQc4T90pt93TnqNHA+pCpBALqoO6x/cgRJtXeD 76CwEkNIVRiDAl1k9q0GS6/F5s+zGqJn/iXbSslSlFa1rOxuvtDAcadJE6qNwKFY1fSX/yn1jhWi XP8jxarHc1LH/hgrvZ5pMA4nVW5UYdgTsD/2pe9H5pPUZgGA2p4+qCkIdzg1O9tzSQf6os0yWhej Ow5IOO5LJaVVTT9tEvPd3APtuFSxLCXqfIp24sjc8wV0b6fJ/WXc/tS1LVnGCIQSPg04PO6VqzhE Fe6XPMFJ3v26UOwmieaoFntWkX5bpon3r2hqyCt44iN9B3TKP5L/Y/9ioJx+a3J/aNMZnfjFIYZ6 3wJXfihUlSLkCYeNBNnQVkSWptlv2890j11hg/9LLawQyGp5Psbq2Jg31Rbz5C2VFc0ZobhW3RKj ypQFxFw2fGxPQOuK8Fo/WEw2PzJe056mHbw9bzZ2E3+9qYABFNyipJbK4vZqB7wL0OA+b19XBhq4 5W3y4Ukwr8E6UmclIRettqKjmhAj39sKxtUGtr5EKLMe9wSKWl/ikRbEL/S6Sh5WYvrEyVOMJsIG siYNHHKs4GIoLIbSC6A0bSqWvuU+uSuAofa3ji5T8plJsDYRgdca9Kj+uwmaKv3jBtPvxgyFfe92 mVmeAiNW5nop/lCHjSQhmImIvp81QQ6S4+GBAzb9/uHPrx2jVuYTKodpJRUKuKaf/JKwcvVtUsLq TW2c4Nwzfj/M3GeRtTxGR1vp0PYXvXBIXUgVqZl9YwLY0De/7jh5m2r2xw8e2j2a+1BmKZgi2JTg m1b/3G9I1qAB9sAG3dsRtpPSbS00/mrcghbfvm/tvcnFgsr+ySCX8rrvS0BAOviolDuY0LIg1OlB udDd/1YrdioijsX3ZfQiqr81q4C1ihOvzzPkoBABu51L7BWW8L43vj9p7fgDog4OPptvSYRwgm4v h1uiG2vbjW2t5tPcNAnvL4vBH749En4j2n5TGhFaZ8n/HZBHjlmIbnLymDtDDJ1+VKTEpzakTT1j A+rDV//VaoOcwVGn2qXwHJXM4lUAwLGq4i6CFIYpTo8AEh6OueO4pFF4etS6fTFWihtt9dGp+B2Q S+6CN4DGoKQHeoGYvL4QNEquAEqIihKHNzKa0ZFecs6jg495d8Sd9QyT3+5Jk7so5LkYSH1EX7vo dos9ELlN9PJZpTdWQ1uDY2s9fkCELU/xRkQQSmbDTYyWdDyvKbQfabHIn+7DvqsNJGqgZj9uS5eJ nwaS8SSuA1Mwv1kubsqXBgE2TnuL7fRvDdwsa2gNUB9SVPcC7kd4XclgDqdZ2oYlbYfSb8kfZcAC NJJoxOBTsJI4iCOX6s9uUNFCjEzqsA6z2ARTMUB3UrBZ5X4GJkm1XdQgCDfaok+HCPRbgrz9FxQ1 rfpU6JEz7KPxZ5Vk9gtSxQlOVyEF3qcA2Phw0XyO1twKRycbsmOCde0/ZoAPN1Q+Jd62zxgpJBoI wTraWjr8cyM4ZRotTT78P/EZNDPe7Qd8qsANb2S/a8liIt9Edj9ne4tVCnL9+1tVjA0PbWduvBrC f1+q9zw0JNBeVHCjsB2HJSkIqsGmchQegs5im0Y87iL2K1QHUM/y6trt1pum25nrIUlzcSDB2xwR KycWdnxmIYInDEWkD874/vr52HTAh/gb6/6GbS07Yr1ojbJN83pzk6fwcQCZeUD+DiL6PnHueSdh qlk0HCh4B78fMxmEDzraymVx/DeZR+hDivl0ozlxE5O1kqpBbQhiN1r6YAAepCbh6uujxBJTYC35 5Sy6aYkyAMFCCQZX3sThLYbwbZ/TLx2LHVCL9ZZALMC7yex06TiVPxeIQHRwgonXGlr/xjD9q6Xl jG+rabMnHIsQ1d0hQQX6jfjKGJR2L6s2x1MNStSzwjb+XGGMwc8ebLgqGKfpQaja+GJDTKQrm1w1 1qUy/9IkajLqkEKTKN6s6G1xwAChhS6oqLgos+/uR1o28KKB+0cKTsvf6H1FN/3+gjta3vnS5Ljp gGVZtWvHHJC70T6zVIackHteCMUbiI3CeA7hKaBD6FQXOCarx1p/+L5N0dbgc1VdGUJIiW38Y5H1 +UBb42iDm+ZJtJGP8XbNdGQFDUpyNqOPFBL3HkkTaWALI2QBKOMKwORaSdV5BrOlvYO0Z82+CQGb AhY7NgXf6e1BDfmtvfeVR6zJ+Ih+n0Z5ai0MqxqneAbH6nTPMlfCIwx3naFHheDwcMgumS1cNCIJ oPetJkKxiEQImbYYI7MHWV8H2FEgHD3sx9FN6pHRRzovv0R8QHFrKM8JlSCT4B5EOR3DfMpi15QU /8fsN3/wFNt/nHBp6C/z+0vvU7iBVXPs0Fh5b9jyAnQ2AzH7OmDeK4ecOO51eN4pbWdrcMO6A9a6 yCWwtyOZhwqXlGptC7v2Zrp52aAIiQ9G7S438l7eiPt0aj4RwPOdvMYvWF4EaBN4mFJ+mp8WDU+2 Hmic0+sFoprCl4zkuyn4SPUs1ZoqvuCzTK03oT1b44rCIC/odZZc1S2YDxVRR36UrOgx3ql/l3tx M/qqzBHvvo0PcoLcHV08NFlVo171p37u9HQI7UGtg6ikUzHLcIMLYYr2CBA/g7DUm6+Z9GxfSELi WSbith/nvRBes5+jeY99C8wMXO7W8hT8ppmAKG6TDLfoL664UgepBiTTO1my61O9aboSrkxErwP9 Z1fBDnzPuMatNgl2p0M7bhdE3LYVgGWx3URsS272j8qt5/5SgYVAz8c9AeSn1Kc4It7bYLYsrdtO EWMt7Gfxf4AufG1dXDCbhvLjbiQQ8S/kqgXmo/9FxZ5JfjUKbSLpZ/24pPyioM+UqRoauysnryCs +UGMLR+t97xuyjxOFOO9V/IJgc6Lcz40ujxTJQnihYBr2MCNdfobSP1+7yQgla3prElarE8uKiKC ceCmlvLCcH0q/ILLjS5j0cu7VBW3y+TxfrG53vxtrhvteUa2kQIwvfu9rtOcmFxlvv0Y7+sbjCM3 IFMSLSSQzfSZytyJqqrMqRLdcjsETbYARTvZUf+TUAFdkiV53xqJSwF1gQWcg9z4vvhroh4/mjLp 8j+kK99B3oGjAg1FgMM+iARIvAhzsPBSUVuKmGWX/bT3P43JQGkwtmStTsNhuSP5mg5h4zKuUcAX jV3U6PvIP+D3Po2V7o3HcE4s7kApEB0xGo67UZBtVQC19ob16AO6qAbjJACDHx200bylPZRC60UK QnG1z3nA/eExiiY0wZu/GYBNL6BrRCeW74/e+0bQ/LgariX+mDb2Ni+ffAS2AvG04s8cLbp5+al5 A4buF59AxfQMBMjO4tbXEv1HKKn/wWa7RgXozWohonx4ca8jSeCQaf7AR92i+7VAvyXQT0tblzwR D6iU8Y1F/VtTytL3OHLrduGZOZAIT1B0SMD5xke+KfEJntwP1FLI+zGJmB70qY8JjlAvoeCmbx49 9V8SL3IcF3XCzORgEic8lBxEFt8DvdqnDbC9WmiBjNCL+M+l1gRTd/LNqVESGv0AEAPza8rF9GxM By8xBaRjcAAG4c4jdtBbUKWMVkvh6e/+H5k1dKqQstiq0OFGi4Aw/nveVe0erWpu9Fe9bJ/nOhnf pF7jtdrPstXU6AZ2ycLXL0SthxbWWrbswt0maAnHpDQxEoSNa5W0NxB22YqQ16gJ3jDdnCn2GSOq kPbx/0fLr93BBE9k9bNe7is+0VAOmzcqDUDRN71c64/Tc5Q4lUeoPxic/GTED7hQuUojsdJ6NCxH GxVtP4iwGDylKXIwH2B4zmL5gqACjID5XeJ13L2KL15S1bIVWxqJ9xfZwS+bkglTyieIodUBV1Ww KkVm2kBpdhIzbgENk18J4yNn/vJGYvmYpxtt61FPIkXjY3Wk0Xbefw57hPfMxztIs+I4+GmcqL+1 HdXIj/d/s6UgmwwZYeMxe0ZEg6C9OLFWAfCJWQPi8OyFQNSS3hIg80LXDnFvWF9THQ8OicYmBdNF zCbNf2g/iiM7njUsP/g1w3zb32atI0BWDGPYneyf3h1K+RxdYGY/JbIfcJo65soQu5EeRPChxwRz UNWOrKGLfvcu2Bf6v2UJyPy68Y7w1FJlaNpjaqMDBTcREqTp6nuPAFQXnhBbLtR5O4VxXxCiJLnG r9Nda4evbLEt+3yrFkWexzwQ7jsGsJ4f4Xa3DhRyLf0UDt8e5l1d9GnSyCro5pcoRVXTACdHbQaQ H0rwWXKSAt8mrMw8QIin87TSNchtpoOQUmkV+FocKBGCYkvRcq+XT/swlfP4aCROhqYhFhgccoJC Q3w6VlN1FxrMiVdqw/wk8rnCsKgxLpUJApWW99CtIoxjQhVVRNiubTqYh+WLDDPW5fOWBfW/ll3z G9szWMP8qdLxp74TKghNg4OymT35nhGXy/BPkOmslOEK5xSF1wmnkMl6urtl5/hIICtqskYuZd1A rOXKmvAcg1pGD0iUn+9CuGvJdBwSRebDKqs5H4BF8HsRg4ITIxxREfPCJCuGWiCw+OR9WZc9F3zJ ubupLgcfo/VQ5dOsjBGSOiZYp6WNYwTMi2i14MlcwDG43UF4xsnhj8GWwlzJMWTsevYU+Ik3BUoZ Dh/6L759e6O3KXfdzHD04ugDydIainTZiZLnDDS5xlzkrMvMwzvoixDOrIdJNDiIHZzBbqFEajy9 TaHagaYqoUa/1tkWYBGqzshqXIzMeb9B/adXxK4iMbbBHkQQTYftWdyrUCqPujXEbYlKVshAlsEp TVvSjnuMPxSdwuQBCWma9PO/9r8zAiCURT/fdD2EOMoXEG4jTDykago3Mn4eMuFbZvPahThIV84B +kAV4zM6pDCr8szmprDy+ffl3idgcK2br3zJSdaqoQK5vT+piYkHcEXnCXcEEPj7p+/fgNF0k/jw dUqjjZh3vlIVBe1/we4o6uZvGbNjZhx1b40D5GUhNGWcyTspISIpDMOrTks7BAmusPwMz+vWPh4o b2qgmj1CA8vGnSSxmkTqH0hkXtc0Dgkxl8DoCLpgiD93CJWiPBSDwd9rEovb4BxrqYx8qz14jmPt hMpaF3RhjZ/kFLx3hlNJj3BcOIIRH8yZyAP9qx6gwEIr+lCqU78g90o6HiCEezsZDaP51OsEWW6a lIDbv02slxjQ6H209oeR66NQSoMF/RF2e6kP1ETKERP2JakU9CcRLimzyYb1msuK/36jsxMIvTx6 8CCJjpNIAXiP6v8GJkPA7MERFD7sBYeBdin5aXjCrOGnaJJI8k9l7gc4DA9SFwJ7iPB3ZAL8TCsR RKJwfYg5ZArUXQeSRqj5NsnFhkc639JkdRZnpRqkyeQE7EUSWOU0L1+gOtxTfto+2mLfKWRboOXS hjHENOdr2UN9KOi79cSfHMMw08FMcGQI0AQaP1bZw9hq/uXzn8lLdKUMb/UVRgOWEQsuJtChWghi Zh+6paBPRkMR3WtD3oHkqpRl53aylXvpPzcoeYW413PK9DPWF1NPDXovkhkMohSmnk180WMeLb+o 4PuvMq86Knj65hb+cojFnfmQwvH6OTC2KU2CSFmCfjVs+DY+l3L3GqSQgwQzdziymbwGXlxZtZFn 9nzB6YEhGb6Bo5R7PvvXnNaZY0a3FSp8BOfO0Vnda3kyC6Yn0fDXWw5qgl/YD9MtDN8it96jXp2v Sge8bzpuXsC8pPUYEYajpt3JUNUYt6z7vg5e8OGrTX5uansdNl8h+TgF8oLK51t5lUmzjeVK2rHa pbPPDDlCq23ooyJf7e+beecd2rQURAue20+JXodotSoDWUusTBuD3Yq9ZIG7BpRwCvBUEY3WKK+/ VT8yFVGyqDuPqaV5BpSvInK34Y81A1DXFpApBQjw88T17NXX67vdamwubdGmKhWpoT5/H288rMAw KgGjBg2tY4FV3tm0h/Xa0apkwHS9wUMtSA0M7wbp2z2+01idooWymGl9pJNgoxFSp6qsmyNvgWY0 SycfdR4va+M8Vjl4b4ZjgtKm8y39tc1mNBPWMEr2v9OWcz9/ZO4oQ+t1wQaNNMGz/qyLVotpDUn+ N79JYJny+aJBrDhMUmFYV0NTXdDVAb/Y45Yj2Ihr/GeF6BwpBzwgj7QqDXqt2Ga0MylvbhXDLrKc M4YNvCybZxi6diJdBDdpNcLRl0AvupiQjQxvqPsZckurJMiDQE8q4izuh9wfsKdvGW3cSkUz+fiq AToRQJb5gKI8+KiB5X/kxqS2cePj4XBCQ6NB6ZfOjTHA3MxK0iPlBsyM7NZQdhIuBUAjZIdOZsnx Gsvu2NLyd1Y/W++ei7BZXWzSojIOKvxP8SJiI7gdbDr4sQ3A3Q4y+Ngo6f3XbpTWYB1lu3hrvJjh 342LnyyXE7MCcH9pTXnchiCjKkqPAag6UbjMEyGOPZUw9LM4sJA8f6yxZ6AUp9UAhVn47PcGUA/Z 52aQ42mFu0xQCRbEce+mGakCHT5pvXa/3zJy5UY7GOsoj1v3gfMfj32xUx7qoo97iYUNo5ZXF6Mp Q2HQIuPFLV3CZOa5YW2clrHHXRCknX4B76GY1SPef6HdeDp355jRqCH2ptkx7f8rkn+6ikIPK7ja 8PHN4nq7NEeP6TH9r8/pSJWOf/kWR4z41C8IS2k0LlODqHKx9kAvczvUMiHfXHEpONMNYEmnB7HS sXGAnDV+UCwRg7Izp4vpYky2vIlr2Zzhd6ujFBqhWg+bej+pfeOfW26ocM3W9j/9gRq1nLA07ElO ryLdNTP4ZMlPnrX211Cf5y7o+DmPD4IPmXA5uyfGPTBuah3PhUIMJrE/HgXyvehDNYOSwPjW9jae OE8BHGLq3qDu//EU5gcQNHxE6iaadoVDuFJKKkYGZZQY3Dt49fszTOia+oYDM57/fpmv6hTLaNjW 9M+AR4tVx5AqcBcuHSYashxTVhmdpk2gzKW7t4VBVoMpZ4JOXD0lNNH577MaWmH+HnvRP1/4ymdv s/1iipckxD3dlejlX96feBbJuDuYZk756/0u+xmwTjH8OhvZQreb6njVog5Whd5sY5qqmBN1aPWr ccsSqc5V2gkGR1WmrnO+R5CGJ0Fu3vXZ+0zBAbnQLFxDOhfeuDSCc+Sd3ezCVSyVpPdRjp5Mjvni oHzpbodyrHPcnnRea6/3wKv4HgbOyQyOmgoY/oLmXUU9X58+tsWhBaNYZwFAbQJ9OWdl2SenzVt0 v1RiXyY0BlA2boB+llOcw/FItqKqZUiqQdfu0veHiqcr/r1FiX/YClJdnNCq3KZskbYnvK7BfRYC Tjnvg7v+mgYWlDPKHpnZtYFtqTWaiiZPjR6JWpjaQHURL5CpRO7RjmFM+sfmSEgPJCl9d3eUW5My wZj2ongCkfoAQZCozYIiYiaNYQW6IxuuFpq4hm1AEMJomUdb3Gt1+DkIhoO/wtjKa3JCjBlze2SD K0JaNFk4WLfhGp8T1LaNo7oJfHxekM4/0bIo5MVhQ32LgcydVWJmVfSAcLJSj+TVJFC/1Z4upmnl OqvCsAOxWgbvJpEk5eknqklUTgIYt9kljJbJ2bZikfBPCrx2WsFZiIA1kcifQz78NDfrz4ZOkcbx T/iWcbGG+nX0mX+JjOwGGNlYib7S+41GfaxYM5aPy4MFETJSpRGBDww6gfIhwVqQ/cRS7Jlei8Vx kHCv7O9qXAoA9Yhg8helHUpyRF2H2M8xUUxXg4HS3LdEmTYQS9CdBsQsnOi+7cfbWTC9BztH7Vg2 cIrDejx8xBJ/3Z2o3fBPRllvxh9TpgM5NL/Gw82RqbxjLDSmyKLYKw9VxfzzFPFEwy+vAn2whbX1 Ov6czO/EMLa9BKgo+GMMHNsZ7F8t/nCgVprMADNb0ys0xDlZeCXy1NjH6co6Zc+vZTzvKugiHLdd UnAG0BZkii2AIL4ob5ju+ZxxIKdzzxrcjYsiOJ6IaJ2GIlYG2BZPF6mxAjtzXDnMiodMrYQM+egL pPddAjDY5Yusb5+/sDMbTxQQngngAG8otnc9fD3HqUP/qWpzG8ri/2GcsB1aHl14RBY5EDmZATuj 4rzGxxlQMK6NO0oevyLAfZuAvEb8JDO1VS9ywlkO6NI7W6P6SlKbVM/Khx25j740BpG4QsY+IAvm WUee/R0Qk3or1CjqNlrvQSykyAJr3QbRQRRNSumFyhl6IIOmSS21iN6+wwYBIXsHqYSusZZVFtTq 5j+s7t0aQNk9/ugRJ3rGFO6HOhq+W1vhQLMyEAV8F7biCSoUexw+WDc9wUjIRn1sb6EIrGh5IrgZ QMTWBqnBxGv3cjbZyTHXRixf2RRfLc1jlWbyTNru/iIfumn39zYsvcOPDvOnm8NEk7k1uu7jl/s3 EAzDto5WLeTMmzAZYIC0I2Reg/G/Ml1bgN3bt9Z9oZiae1vyIWWpGq70x4P8AJCTG6n6EYdroG0v pfCGkIQcU0te5y1MFFsJ7dIX8K82JJ1vRPLRh4iZj7kdzFT+Xk+94GVkC4ipzmDgkenwkh+dPCCO +2Q02z/GbhpMkZjOAr/NGM/sRUO5PdTsDLBHOfZx/3kOlpeSeHzSfunAUZArcccYBo5oPX3m2HID yE+EZVarWit/AQdpu35GZUUFuuUnDI0hdn40E7PeQcbzZc2tHfdLxGF0M20PiLQf8PHXpQjHsTDN 6FDgez1MuEx0NK4Hxa3yB3kk8Bxm4+uD8iQ7ob+jvuB+vj0S0zveA5fuQLX8q08HWTDQx3kYeZEW 0Xha+z3bAqvhB6G1P5UrooHdjS6FY8dfckxJ7x8/mD6OCkguRMXZVxnKlCZ5K2dXQZe3Zk11d7MI bBXs7Ca9V0gLLI9aKMExIrwNPVsB9IWniKK8TSX6UPiIQHVnD3w0Rk7GdB+1eyT8xTFVcbxr9eDa elx0GoSAkbNP9GLiuVbTIZMz947Xu9WqekP6Tss3iN63yosQTLe2RTe+msi2zEGfzxzJ/aTFzCM4 KHmh2qlG5dz5zAyeA/s4vwZEAVlEicWroztIUKTxhrFHao3+QeVTX1dA/RiHqSn+NVzuxGv31Iy8 bL7iOvV6w4gCTxHweF0NfekUEpH/8Kn9qJl9R/AMVpA6lphwys3tHyBJh0WRc1gOvGvsWUiQSZhB ER8q8a+tV0I9SG6UTBGXE+v4RHttjD2SjhlfMkbEG+k7Ml0rOqVFJV/y5E35GWy1B8F5D/kyRsGT jt+YszFBIDWpsuwwj9ngAUoiJHRHzUy0dNiAkeXn7eSSFjKxDSz+i9CuEi1eJTslnENJD32ORz3W CWbD67SPaw+NbLx9YkbieWEIbxW9YlyMO7sk9vtHycHXAlZxTh3Sbc6IQ+7ekZhOppgZVY1PSYFn +OOmzgRTXqKmaYSOpuPKJ3L09cWl6D2dc/W+4Z+KKLdb9MQ40mZrHAOm1bOoRLRwG3SqWtd7Heqd VxVXMIgROOlIK2Om0yi62tfpiivUFwpFWxgi/0zeIOaA8HT9mvomnJKCNM3b3jkY3Q6eh3ctPFkW sqt9H3L7oFMmocL9KHWVF6uRh8j+RIU8fdmKq2SSU9ijr12r4L8HNB7yA/29i2ft6EtfeYNk7uNN w6n6YznKO2Ju50tcJGsMZ51zNIpbnHdPhFSKA/c4t3TXjMwek5hJj3ZXePugYJ8uivvAA/NUOQIk gBoHtVw2kDYohPsi2a7rsoo731ET/5/er02s40bU+k/5yreNRTWhJRHcJTHlnaiOOtLHgvW/mgBr WDg3M6nCdEaHX8WAK7ko4/cVsp8eTc4mmu2CClIGaXxUt5qGbkcoN0bB2xDkS474ksD5piLY+FIL 2hfXup1UR3MHI3fs1Di9WshMmFOc/asZWeFSlQTp1dCowZvE3EjUM6kGkSD2exNWu943AwZp3kGu 53hjR1M/m1VZRrLQr43geKqiI+qEOHJHTEKPe0Lkq+DogDp6GoghrbtHJOLRQbFa/7V7IMkCx1ic amZ98dG+tZD5wsR6Ho5eKixYPWZD+1UAKA3rtJAmbvS8GQGTQ4lmrBFu9FfInElSlXOjUk3YoMMH jSCuS2aBuFmmUvvHW75E52PNHtCzT0QL8FDycMJFNEdMp5KcVkSYE1kKUhRcgyeSJiAKKaFyhGyz P2CWpIwad2h56FmghmRBA39ClDDb/m+ElLVV6EqOLPalo9IA81YZFmM0Yz2rwrMd4IaTnTrj7ZHI qvi0BMLQppVT87xUizi7rvYtCir8nO+55K4lqBtxxYEaHxOXByoAPkouRnrge07+9IwFoy+CxNcm 6KMT6Yb30KWSprUMtTPc48KBrNBqpPqrWrxgP82ebC3S7mKOuA/FJ1HarUziRTGokKJ4OJ+Cv3va Js7OJuTs4MpyMYmXqQodzsxZsz9so+gh+fWV18VTvmIQYKLw1mpuk1HfduSeJPhDfYg/c/Lu7GKm PU+Tfs8jknhOxPd+kQCHMNQjJr8s8QwZGuM+bUlCvnkM8KlKYI1Ny3Q+iYFcC1KA7IzfYeahHIjP 2eCEUvPTGO3AYb3ENY4CSQQwsu9C/NjoroFd6vIGGO10EFxSXAer0YR+7EmMmOv0AKIyoUjJTAqX UvfUH9FagZaxWtIypyqf1e5otR5CvGpF2lCwUpf+Bi4Jeuza+hjF0MSo5zyPrsyGf7sgCoU8bVEu t47BwAIZMq+Kp1DNHpcp+XdkIBP+o8jYQmpbo+xjOoA9U+a7T1e5QtDWIKDKzFl4egfsM7MEq4fw 6rYU2egAPc8POtpb4X8s4M7VloqApt/1tkfc3A8wNZX0J13XjdstSeMXnnP5J5rxuUyGgbLljQ9S sc4ud6jgsQ501Bf2aW+BwfLZoQ62OH4baZ+L0x2zmhcMIXFKpJ4cULDzbgDNk2H30a+JbnGtumxy vuiL+CLVkYcithqqFyUbbp8TIKflVFGCY+JPxUlrqDYgrGB0rd0Lx+Xsh51Tea3/CpNqpxHbjNCm RrXx57+cPSKkwdYLWWdc3GLfkyILlzWgdgq42s4tVpPYw/SEHaweNHCD6fTy+2E+JhM3fwq4i3ke mABc1fDzDasWQ9xIudP2y8/2DuP08NGN1RuYJ9gxfTu5w0RQHjaXvrzXCraSV+/m/I74WbrZOKDP oj6DbIlrpmZRgdUzb2sf7AGKOCiAXtEgscTpS+MSW4KRoLJ+bMZbMkwgl4TEUTCeBoWgrk/UJRbd wO9qrL2X7ATdn/8ecnnraoJ0A3oKc2iJiQU88w5vBX0kXRLy03popxZnOPLK1jIoruEd+JO8YaRs cSizVYdRwWE9HgRYB4cJ+Y5J0xaFnq4Zrr+ZT4Muf24Cmgs29lOOZoRuIZO/onDoeeScS8MDiANY NcRtYpUNGFJJPXwOjTmQAqFk0FNPv+OC5a2Zt7Pt95oI/1+4hioznBUjswvBfwq1W/kE3Gs6Y7Vp +1p2ifFt9BCJkcHLH6HOJNSkI+qYNPvPPXCchVgYkbwaVpLaCzDhTByoMx0qKoZvGX6/3SMQPQhd mmYq9pg7IZ4YXHe6cNAqWKYqLYvnedIlQPDHEmwqKNeI6ewOKRIVrlJXK62T1eJrynA2cQ59eN/q p/qKu9kWZpHq8T50nb1ycLi64j3cFh1G2TbDdBBsVD7JxWtr4fmax6WMlL929x9Tr4Bl+gULA3VE 2izUuTc/a0IwmO8KkRsLwqP+Z3Pm6ufF6DBp3hgA8Z6xSNq5dlQC6LDgtBV8isWLH0xEFShzXu3S /scEJNAygC0DYI+mlYFry2j6cXOfj8qtTTJrGs3YQgdGw+p0CGIt/hAwFQU/1jAHs82yLaPaYrMV CAuw2lZWW6Jg2wUmGp/1lAT4MBDNcc0pGUqCzBWYpHi/x+10QW/3r30JPuKr8o6lY6eXZSipKUKH 2Xto8IG521eZgSYelrVAlDxU7PqZvSmA3wLIolp8ivxYkb+VYa4vu0H2Oyv8Z2xTsStv3CCzdXsE jgOsNUkMqIzQWHJxX1IBMp3qzdkzloX41y3Iwnl2xZt9cDHvVTJHA8MQ+7awCEWQCj8KiLaXPEFq mq6nWPzEhklmQL0pR5lT7hq28zTVkX3wBPiAHtvZLXEWPLiHAYVUchag1owj3v3YnfglSsXHEiMX 00U4Gblbz9g4zqmE+XY6ezLom2cZdnC4UC6bCUMEVW8J2yF4vtbFdwEyLBdtEhkA5iTaz+vf1FmH BTrtJevuR/SI/TSXvnA+p8MIHu+A0HFmDW5oK7YwMP3KxE+kEZWjM/XtfJFl8t3RDtFFsatZnpJT gvi77guXiOfYOIzQjKNAUTIXzzmQ+B4sweWc1V+D3a/5V++iL5+mEHeKDmKzT0PSwv72qJYBaeAC t9dzPz+D34/bebFW2lyB8ichlau3lRhdkPJtCG4w3cih/4g1vXXaCudAeXoF97cVleLVLOP2friG wwWV83unmaV+aoZlbky5CK2aFuxReLmjvQ9zBBzVahWRyIsz56J3YAlAZic7NioqrLBaKPYolCAU EebnzMk6/GINtjZT8H+RxzB4tC0mhJbeMNBAuISxR0bNlgtB+Q5yY306nDdf9nKQ6nX7KhYrDE0C lQ/W+0ZNlvp1obQt5jSJFldNaAHxeKkrrem6ol+CQAH6sdFmB6Uu8QuLcG1e+s0FvXQIJqgRgt/d tOfglaWNbVQbtF/bvOWoLYobIwJebAlw9QvXOqjFHgX5i2di4vzNY7gTpqAgApqEiRkaZVnqtiH9 RoQeGcCInOaybUtHdUNci/feMkpjJvbnyjr/3Cecaks5CKD7OD/rwLPUnwzjlcdMxRwuSbGRCoXx tEkEO2zx+o3Todl2OcWjCe6Rs3UOOZTE7Xdw+Jb7ypZt87N5iV+ZuIBIGcZnHnx2MPLD9PL/C/tl nIRpS/mgN8sxKC9KWwuIFwI1A8Aaa2rIWPrEfzolvKMoEy16QyOrPJ5zrvY8VHLux841SKxHuCZs m9/EnDreGlSB7hO/7cbAScT5YfjCueClnZYbWuVn4aJF5PKpAaHjnWzznoMaPw2w/wDZBNPNnTjV BHr8ey2WpldJ1RvagEJ9oZeJYyjVtE9LfDA2yJzF0V78Bob/IhFl+GqFT2hcAc+yZ3IVarhl7cfu sA+V6ybtafJIrl/7sqWjA6cRfqLCstwbRYW2JEZoSYArb+xW1YfwFI8HVF6z+ZKsnWj3MAPxnVYq YWwD2F5uBTRJYgBwEQbQMu7NUFu93rXxrKaR04+/MU4kEpAz+2C32przsmg+lwijLP058qE6luIp vhgssq58Civ0Hy5PkZWLf7oVxx9/7Eib/jJY6NuWyMLQGxkCGlSNUnueF+7gcxSkz3I/5JNVN4NX 6xzMPw2pBp+xeONoAUXkPxeIMxqtBjY+YJUGxSJjlMuf60/EDSAo3KsOI7AZGsiWRjnsWSw19GyR YaSnILpt2V+TAs7NC+ZR/9Hmes77EvUk8VsmfnZpYB6vIWZuX84KPv8lRaoLiJgN5FOeAAdl6ZsY SUmoCaRmjgv0Pj/jR9FqwH4JDHOg8euqBk6nuc2pmdLmF0lTq2xRyn6MellOdbI+i6eb75p0ZOul L5fzEC3oFUsww69/RU3IB0uVgKkdNsouxHP8Q9vBrgXFA8vMLr46/6X8C3rTeJEjk3nfQauqJuDg zQFbGLwGB8x0kyMrXz+x+WLkwKd5Rk+YwoMKm45lzkyAvXrp1Hb+JYbLCp6Gd5xjyNHiAkphgrdr IHeBwOLGVYqlZKnn69tz/pcxSsBFOu30ZrE6a0qBqammN1us4ouyLqPk5BlrkEXxqZOhi+8HQLUm AtsSqQlLRQgzI4Xnh6/BjAsw8U1Am8eM3OKBOiQFd+YMg5iTKPxiipF4Da7ZaZ7JxCo5q2A7Egs0 6g0wv/j6gnqtTdp25DYvL625SfyBSd/j95fjAXE3tGpnRlhCSlF6rStFkx0RIJW3yTj6bRzGJMz5 z5BSa1Sq9AJkvUBQE4WTCuRmrf6kD80EP53LJM/s1i4F2I+SNPVniNp+hrVla9sqnEROw2wOh46L 5O0daFbENahA1I/c9yW/r2pwDEnjpHX3/TmdeVdjrP8cNd9YYY0jM8MQ89dU21EuTt/7h6YTvH7h XMonMwG8/yxt+yzrcIsCWpRsLZSqo2LBpMEYzj/5JzIbAGwGMpJHYeVgM8+8yUWZJyK0hMHWFkPJ rEK8j0I0Evrrze/j9JsNmN81lCqhfb9U37g7SFm4tHmyCLBk9qMlIUbufLuVnbQuu5w/2t7RRPV2 7nFS826cDo5nbyh+o3I/5yJ7TVDkpyew4vcHg0wfd8jelThX7rQ58L+y5SxE/bQySHFjUm6leplD 50AoCbwLf/WJSMAuDSvhLWR7TrRk553zqGHWGVE2WAzus7evRUfcNYADpi6p0kbBWQhO24QppZVs 7LxKlEQZh2kCNdny0pGI0HC5ClwUMAUCI3U8rnA+/RS0FEKEvvv0AnRI+VnUxSOLAY/Ib8lSQ4ya hGX1InnL7A1+jQzxjKS51e17tSprWU6VVJvYiSkzZCF91Nn/coa/eeUn3iT+RzVS7jre8wrufbE9 QpAuKtJASD8KUky1zGQHn6pwl6Bf3l3u4BvGZaKSmnIWj4bZGpm5kGtvUuvHM4J1KEsUUyEunS7e 8A8XfjRfwCXERulD0FuIBA1K5ZZHibZ/cuFKi8uMzsPaSUJn1vVAzYrpIrgmcaayMRsOiqFdpAJx YARhgU8mpZuMVSP+ooo7Eghcd+GLLNz3K+8KQw3Sxh82yWjG39SL4VLfH8E5XPXtu4TPnNBrFEiF F0XKEAlHJ3BLzrqjLa1mBnd2ia2JtFZREHO9SC4IuZlyo35g2PI5oj0Akmk1nS/w7kbkZD1aIBx4 F7Z/HKtzPX0THunMvulcZyxA2CN8gct3LlpG49Q1h6KfedZOXDmGK0bh6Z8CMXloGvElBRqhEuap SCzpJmf1Bsly7pLgyN+QpWNLfDuet9DgjNUv76e0mVSZS/ZdK0Zt2zIksR/yAvLweNuHP/8EiOLI ToJruRPSiJ67KhRQDHlwPn2x+4mmo4LK01yDllR/c9Dj0lulG5Hyon6ExPah58ZTys5e3W9QNhch hQLMk/pkb3K1fV3STqJbX0GzC+CJNntDepyN+t+Hr0bw7X/5N20+o9/58+byg2oxj4Ha0HFHhhY1 k2mHbuBw2QrEpF5zGebPwlQZYm4Pbi+vMIluyD1k6UJUJ4JFBKUD+ItZ6FGSBjMcME+6lMmQqelP HYfPuz0UoGoh1JI98+T/9mj4gJs9HSGPiBNgfyoJYClVgieO5h4DW5KGvp+UiH7VuBT5ebTRtWTC ic/IzICPOAhvW1LYmmqR6jMElBpOuqEVIsAgcMf+XePYopkJr42qE5DtyI14BZu2xQAHjNU5jDaA wplJ3eE34/qRle//giIAxJDxyKqQzYFBeD3iVEHPeD+9r1OTxteqmfFj53Jwc1QQI4YEhbEUA81H 4UAo1FJ3RZqhOFMkUcLnZDFaxune5E6TSKosaXgtQp+Vj3VBjtImyXFsZpUti6rq9wkFxoLBeQwe mQuJ1BZWVaYAfJjiOV7/gszlIOIJIkXO8uwLGnuJ1pZmmi/B7LGNHYpTt8mcns7pqtJbbCHaQrSD lRSH1k/rs++5Itb5U0mE/tC1mYmVPq12sFZi6OFFBtIn1x37DxnwGbbXxpIrp+vYRU6iGvjcQIGt /ba7Tio4E83UQH/CfbhodW5+sOpLbtktTn8ielGbjIf7NCyhk6lWHYF7nS/UjqY1p1CBPQ7gMLP/ IPIa1yHcOJNLMDdDSgvGp8SB53waNez47hfc6Vd0THpPEtJkgPH147IlPR/OFuZ3IrtK2YD2KXTi EY0CUui3G8Jxktmz9iVcmIW1W4YWB6cUqXi2jBDQr9juXK1kDNh+C0UY/heQxz2iBqg+Jml8HbM1 s4B9rkJA60yw9sB6oa7Gt5KvHkOH9311qVN96MEFkXHmA4UD9FWs4VUZOFVcPGI29qtMOdOs4IpO UtHlq8gQSQYHVdzrR4WTEHN/Ge4HacIG7KoBV0Q4HPQjXlgerPHiNAGLQCoJOQFA59g/x86P90YF /TYNMF0TtjgD2i87XOKEMiN4cvk94r7Hue2msTpzr6yDCQsE1sGk3k1BDBzeMXo4X9aRQdmu5GYZ Msh6Oaf5mBjaKpHiR4c3R5SOsKMgCalW5arOSwSjK0a4C7hdUQKhIGpshCVufxMvVa9GmNSExcWY oguVhJfCj7wKJzjYYhM24D7XPt777mj58ofi5En4hd22UHYPpBCU+jP0X+qlclAG7+Qg54m0Nuyi 0HQ3nsvZQ5x+fT43PaGWxs/TwoZn1eUZDKrJP/kg8/SWYeJVS0KLJ8E/zAcNeN5pm/gpLA0DKUlc 5lWQPkT4n2ChZNgc+F7wyZ/l+6k1kpz5a9Zw7R/YmcM0SJAaQ9gvu6CGjq+LTwgOXbTfBEjSH8ZS XAL++GYh6j8NF0XE09MfLpb3tICAJlEPWR5pPvcq+XpE2tNyj5yonhBMkB82M86ntFoCVauFWXIM B7SdPPzRYTo63Yze97VDPCSI5lIhMRIBNkxh90rdeuCpwRSY//fI5VJ9/ATu+6/mWLCSmXG2eKTO S5d5sNU6seGwfY1UTRRqVl46C/Sine225axE+t0s1FF4lPHSCVpT5HbBPe21TTlByfOAiSK0FBhs K4vDB3Jt7CwZYsC+SSKUAjQ9J3gY/hFp/vco8h2qxBo6jY29v7uaFiQ7Fh9p1b4NcvvrkzsfEdHj bwvFJTOIAQqe/wBnxPvUwqUkFb3RpQat3fPsRsJVtYkFTKxxV6kcSdUXBVgRmMUEcyTJGemrHfa7 jz5GbbLPSDLAmxDJ/UbwZ7qoHK4/Bqw7/Crn9hTCET7w6i+CS1oHph3vp/uMvgyc1SkhDmeLmmb+ a8hfrAvWa0d3/7+G4U1S6JqgAyZXZIN9RjffY2zNjobGboUXKNC1/wv4C6govl9AmH+GsvCCSbb/ XOBG5BeqoNgjTdled1r9Ea5D/iFGNxekyNgVVeWaVJSIZ1UXTu2YqwWYnmMOVQMV9zsQsO6f/F+S h1V9Gm+MUnd744gfCPLTwqqlCeHzVv9g+2FoiNvXOdFETqsPEJ+FaNi02F9HFs6FQK2K3M6xzaH7 nfJppQFri2mSNOOpc5ILla+XVTetq9dNAJ53xvMjs64uj8OX6YcObyxVdWSZ4QbrqcKpcJITxGWH QuVtFxZcdBpdmbNsaynn+a/zrilkXzBxhjcG2y7yrwy8MASga1hxu/eM7CRi02EBSPWrxeyOjOT+ pUPQ9YB+zp+J4jKa+dlm/swdqdz2n6BmQ5bqgfiVX3xbf9a4zeO48ekpEQfaOok7hXv+6ynYlLcn aGDfCe+U2/dBCVykP4DOHtXxH2+GUfIzAxud+TyZXITBRwimIiE+XMtFgqNPiAahAwRkY9L6Qas6 3VEHIthPE6Sr8eXyqkH/OZZxDMnuBjqRZ/pDz7Kosa3KPAxRtezLzw1OZWPEt1lgGfD1sUqfK3kh svp/jm3p0exaEraKEcvwN6PeKAlLumnEguM2dgo5pgw5t7jO90+PAPReM1GcDDx4dkF8CLJ7OvBu Oew8nPSR0qfau8sUGHs0vMK2fd71ErJ7ObctQPXhhCvKbKxI8d+42GIQ4q+1Msdt43oyFxcJKGR9 M+vdqfWvkhV8KxBdR9xyFSjl36ViCLjBmsSU1+plxA+XABtUuq+wG+aPHvwM2fEwunCTxf2vvror V8Z7aSZ6fD9quoXAIc6+j3xYAj9WJfDuTXIl2Nn4nfi3ppmkajLLQ8Ua5JQ7wdxm8nAoadbKfK3f z5hjOXJUkhTrnJnOMlU+yuPEBhE780iuPb0Zs50ACx8nuhSRnyQCrdDmlDS6krTCTL1u0AjNTO/j QMWOy+U+iQw6zMu8DkEwb66K1AH1rxucWYZyrpwaDdGEosAhQOXV4+Hwpwd1V9tzUsJW1P+4a4JZ 1ltl/eMy+kk9CrOlx0cZVdZzbqrJywRnGq7nckxOPEiRupj+yLzwrlnYIEQnz6WgUCLK+Qd7uTsc qCPZILju8sPdepDEFiSueGdqg2jmDobCLm99D0KhFttkF+0KdCmifgyZdaDXxz6oWn/sHOScZbn2 r6O771ve40Gro7mrrFKc/2EvJz3pzLqP7kPSmGxpTBPVx6o/GYmY/BCK2f//aQM4PY5TKT8UEIjy weKuHMBxz0+F3N74klUnkzzEze/tUu1q3LGzHAy6GYQJzkoY94CA/toSwxVo2cSHpFjiw0OzbFBY 7mquz/vFM5hye1EyN7bimag44kQ1GxP5qciHLwp7XlPKkRLMqkphzk/z7iIAc3QZlBJ9N2vbStec 9pf52brK8/7V8Xk8zpesbe0qJcDoLHpUwTECiLzi/0nmEM075z1HkgQ1FA4hLYWeBvKA72uMRFM8 g8DSe4CgLUxOyewsXC4YXj+YZYrNwOz0W3wIC7Lc+A5l0bzVDyIa8x6al+aDdX/BCb+fvKqQlz2l /6hSrwafgZrEY/iSn9fJINQQLl1e78hMJh2zUkuqwBWHOfxrJCrrGV5Twm5ci9ptfRxnFK1UYOKR P4aN3iOvStjskTOl61HpXBSwEUnpXtz7qTpiIUMx/ZOm8vAiyqNxfU6DwndVO0P6ZcNW4UF0IhYl jJGql5wWfEOmG3Gm4iwGPLx8d+KMzPgOOpdzlRhSafOyiPPOxl1kth0HbbbMSRPQA+HYS23qMS5x uGKI7IjYP45hq9vgHMCy+7SXNFu3j8zkr3jW+kYA+Xj2T8ZSeeGBTK4J6q8EO6Q7nAlGEL5JHnwj 7oAhPezjzBpQ5mbSWRt+oQsoI77+TPQdXP517oMPcdOBEMpBFVMfyMMTfcrvr8H9mKFuelrcqyS0 QN0WmpdWODRbC9PJrCxjkPAylyLOEKzMo/Yu79wdl3e+c0vAH+i1V03Mg3N1DT05T4N8044JPspN 1ossL1nop5G8nk1deLHhm9tMAwFtjKaF8ZOJkJ3EZXdMJIrYKXeg7bOg4DYbq0q3aXypJyyySP45 myzHXCyu+B+kU6i0n8HTRGXKhG9Uz5UktjcrjmptdzQPX/cioiIlPznmhEGP3IHFy1oCj9UDB7Bf XG0v5ZibKKoHF47DHAS0lBcZQe9dVq4/uDcco+Yt7Z0RlxHrX081qIQ/PiuDPsYuzTQwYJam4fL1 NqwB1Sx5qwSXNuS4ds/vwujHVP7yBMkHR/iGIWSdWBvUJbtepIe++Od5LX1YMD6CHU+FOzYzEBAv anSDR9h25GIGAnefejhwbh9y3O14p2BuQiP2NxVffXIiEw95tNxLyNJILO9qg8eRRHsO7U10ujij HJEE80YYCLPEVeQ/KFSf2GC5ccrO9Ya/9XRBrKqZqO/gBxDt1HtY1DQ2N/yC6UvOj7jpBACoMEkp CyEAmpcO65EjQve9MkXxtuE+9W1OPIa8grMqs9SgsNmy7cb2KmAU56Mv1lDIrV7baydCTCI9eG5+ w1sH71avX6IsS36Kit4BD7pSsiyi8K8zASGjpdbfu0zld2p+cpz66aGx7XLppj+xw/SGnoMAlzBE lc/yWktuZ24+mLkUqOXtMN6inOmOkz2yoVpwnnol/wBStORWR5Bafakz3UfuNt0md8EmR+6v9gaW RNXoG+n7s3AP+HgRhPArlwvJ2YAgYWGxcNtXkoIVW0KjV9uAorbc8oW3Npn51TL94D1OpFEOCH/j Y2p84xGpj/hyQsgE16UODrt0Atjja6ozStZ/OPbmcNfZgkAjuNQYCGTb2ZPJ/hwxA4iWwzzVHJxS /kUavUmqnEuG8ulpkjspUxZR6A1z3IB93kOJ6Q6+8p+iYcz3E2NdyZ2T9aw1MoZxHMDJSX/a8qLt Rbt62l6xmzfrbjqervJNgnP9vyIwB5uwSvfKSB68ifMzuffAoiAH5PWWbTr7IdPX7CnO/zbX5ell X/l/1J0ab7vGlAN5IUNsBfcrhRcYPICE9SyALdFiUYO+/iXYDrTHVLqNFzHHrGJ/P4D7XrtyE8KE 0Forx8wy+tIQWR/KXYEBJdUlVcf+2WsxJog6H+wvH+c1Ykpe3yLmLU4dS/AdIVWw+Os09yXD8/HU 3BTeAv7PbsYQH5R7Tbcat2qRmIdR8FBnPsQmGN5kxaSRIRcVoEhFhej03/vZfY6x8ZcfAT5sSYKq zfnOsMTVpOBi8MUwMg0s221nEQlb0kHlNXtNvqAjZX7+gHJBvhHgT+CGhUqEx+09X/V6STYHqNw2 ZImzmXy9oYy1k2nPRrBoNeulD6DH4TxnnX78Vbox5Kp8IBEGsfiQwNAoaPYTsWRvU/m3jx5iBGHw USEP6HdL1SXtcQ14j4lIHAI5Hu4B8GSITQyr4Cj+VMTWd4TQ7Mm4HRTrl8RbJ/Hu2NJa2+V29/dM r4uQRhxZa/y00PHgyx2+JSO02yZbNq4rwMGrcAWOty6peAN4OTVNT5K7kRxoGqNp0AfEAfbCoGpT 6CXLGg9kZzoPfR1S+mjfvw1fWaf5LsT+5S8QLl84B9V1DIC7a0t1Dpyv3W/Ws2bzKAprKTNYkZPs wKwUjEmQxQpAEiOYdD+a+OQShAInn03TyCyxDzYNjyRNZSsf6K+oNH9WbzwBSaJqkZOqWce93f7K dMPNq+dNSihDvoRpWS2qmFTJH/bJ5y1O4t4J7euZqsBt+TgxcCMA5LrEzvNtnVHEog0YcL3BVycL yNLJknSewGYBYjaDV9ldAUkPyul7sKZpY15zTuAG7Q/0ovpcC7rpIRI/rY4hCPr3sZTTr3cXdoDl xjulb+ogp7YwfpP+Jf1XxtPvcc0WJv09sOuMCFhaAQ//1dpbGATNC90qMy9llK06Ch/whDTZUFQP 6a4JaViZ9Zeaf9Sqr4Av5khVnT95rbiUofUg/WFOStwv3WBLU+RNWBc2M5KZ98dXVXVEn0DtuSYj sTwloLyp64gO3vIz+4dzSgOYKY+V7RFXjaauFuPELG6DC8atpuBpoi0ENzBKLfjddUbJMmnlg0Hp JkXHytN4IsnIV25pVKbhTGmfcMVRZP4ERbavR0s943hFOHsk+wrYfKh2c4Yuu5M6PthuGtgUYiaP Tg7DmWIB2pnwkqaS0p41HGGsNyokMGhqdG1fDhE4LaSOeN68qSWx6tL8nHOCWitv5Rm5qNHylSH4 GsnThTmXNO1MKXcP7F/EBnufcbev6ocYoYTQCH4tsiQhPSW3ULki2VCDkDP6dRHsdB4Q9wPOT26W TGheepoXnThK4oZ58DAmgMNw0QzIiQAn7vrmtw5J02mzH+CN6RCnbQlGMJ3hMDXf2oorNZyil7cb n4A28eopr6C28aIOJSgH1qL2QqUncrhLwdiUYK9pS6WgIvfjWArKelsiLe/jogyrBDNy0b6eKl8L I6F3V1CMTxHdf4uNXyOO6ikFjuHB9j3Cr93wb4RANQtnvsHwxdAZVxh/EbEYcAXsx9dNII11NvVv dL5izJzpY6iGkOOaLLIU3ZFvphIE1YzjRuLadLy4OtwMON3AmqVNaadiE3n5zJN1G0iaqTKRVHdA UojSk/8D+pyAcxo94nWWEZmQo8PfQ/VDMyZ97TiuWEpgSUthj+lyU1pYiP2V1vmENwpplU2URemb a8x+fglczWx81oDEbfGAUOmJWbJYo/nNWhRWJlA1FAX2GbWhmRZHzzlvoAlAOi5vzP2Ont9mCLQ2 5Lec4SBqFJLseP1A9uek0voYT5MgsN1xV8/mT5ltuv7dUARRaHLZrcZT7q9DfZp+IbXUpvNwGwyq fSeoLVTauymXRt8xiILHAPGXziZ+s9f0ghrgvhwWuFWi9iWeXj/lXpuSoxASWB+B1MQbXXkXE3Ph H7uLQr9fdpPpUP/vGAdVJFxFDVjjfkNAXTXtDmgiObO/4uXbNkyc+prNjBOThmL6wkF8pXnCq4Vz k7H6wYRbx132bdyH9A2V/80+KWMRCpbqS7EmdXWSUTrCcao+TapcMIgkhHmRc+j66e0fWgr1ksFk 4vw2RRaMScET0VgGOt9EflQKKVXebj0XcVz0RgWA/oRaHbK0Cbdx0wUlMHX4Yh8OHR0tNP+LrpqU 5BMbR5NKp6nJZg5ZHXaMxkoitwPAAHQRZJOEcYWkw0ILx5pPStd0H8uG+9enMIF2yXvrL7y4GnQk LREu++1sP+RY/mLw8gNxZo/8+K/RcDip/OyNurRVaRHQxexmc+/aWp5QakmRd+jgE7Pnqtahp4WM Pp0J84NmFJ84hbxIVNBzuByO7/OPMX3XbaLokX90DCBCv0W4ggDqDfg05qmadRQmE/90sCtMZ42T iX1dqPHyvtsDKSPh9DYxrdHM2VQP+en0IT/Cl6qZz7cTCfwgx5yC7ctdOKTdPA1shD3l4121K/uh jg2tRtSHUx5VzAca/0Lp9nNOfWIwITaVfnK5rLk7M+IxGEqlsEFGoLxXbZAzyxwuCN8+z9ycBAPE C+Ev7YTHHotAe44dN40YyuIOfsHWHLWGTyiAiHY0rvUkBhb9GazrnBopYTfQk0p72PjHtkaAWO2l 5cjJl6M5biJZC57tsKv7rRqjvsMoNJXOyBUsQnkPCdYJzIxKBZghPkLR6r7B2vYSRjBC7Sz/vvpz fT+OM9bWXCyjdlfdBaONhxhMyWLrlTg7lyvBgmDWSbTkn3JsfBJbyRYmwDb4NlVnvemJLZL4HASG 67BqRstu4CkvwHXBNhE4WLhomeQ7QVs1bncTrb0gHXdtdpcflXvaaxY8ElB6R8EGLiVuUnNTsG45 OT4AMuk6MAv6wiNqeiMB5EbY8b9tAaeobDBt7o92OuYeUGUYuiZR9yx7WWmf9rUSrg6znjYoiSn8 k3rVV0TbMP6Rtu6j7JklrZK48Zji6BZnDkGwxM0862HqQiqSToG2GaSJoHFCnRXA3HbRPb96/l8l GY6aGrJ+JQLQ0phOTycxEIVGflDmXumN0+/hAk+pMtfYJAXzm63WWxb45X+3rRYJFxzhsCZ157Rs dRWOEn79SUML1ShCGqr+0ogvI6mEgwRz9MQWwguXep0aXpxcDyEudqerGasGwe3lqtwxerbMLyeY CSbmwTH5lIBnrF1bNW2OuOwgFJXkL36XeLkFvi5hwMvhb5IZKhC2dIKq5p4hMIreu7/znmpEj+iD X6YukgFapaUJOEIHCGR3reiaoM5my8lCZYM7qKuJO9+KeREEmAu6r+t7UZM3lDdylybV5BU9FPvE cgx8Jj0Ic4Yia1TrGBFifEpL0nPMQZ/sB2+kACdOmhbn3TMeqf2rjsLXem5qRSClfpo8TEO+KObs h65xgUKJcH9KUx+diYXZrVMvblXE7C5iquWMRG6EfyivhAX/ifa3Tnt7tDCz3VXsTd5ToL926K3z iz8D0NlcUVZhqJhT6/RCRRHVAlJYj5jvlvlM+AG/j9vUr1BMvCbCaNXYPIfM4CZKPdp0A+tyJEln y/PQA0bfDHhF+hqwNNAJZs935l5HTNnaLrZeM1D6hCFfWfEOsHtGpvvs3hMYpnCTy+Y6e5hATnLQ 9Qpklbsb2Q8Kg4W0XO8l5RX285PcwMYXt9YFFOwW4si+HPtDIeUR48hC/R/+2Gh9/3bppc7VqaIo eRXEVKMwdwdpcHNWmfbUa635De7ylZr6ryBs8cGAYjykmNMNgvsQxtvIkTZI25aRnZH0m7kCWHm6 dXqNRVaxokhUKx3884FkWfLFLx4RZRZuhsuVuR93yTE+I/tQXISG+gVU/8HOHHyRpUDirblAlotA GVWvnnNfyS57SLcTn1efIua5In6Q6jSKRTZYaQ9kKlKZgik/gSiAq+O45b5KxfkMeXN8+CRm69Z1 rFiIeNbC14hXgYigiRedVL6YbFwYsEhWF47HD0G8hrRT0y7zdUGdtlleKT6YU6wDLLo4fQ3fbVDB kCR/1M/ha7LC69KJ2vtx5HWeaxM53WUsezgn89Th1/rybDjmvEsd5f/vWn4Ch4PnT6KcBIBIbXLv aGrBYr9atWPHDTCfcrdDONsmiE4Uj7breR3mdpcMINx+y82+qUsualfOr198aYmzcOIZbxGbqxY4 Q9VtkAffZM4q6q/yrXhTNCV6ggp8tkHsJWWSSt26pcPws41HvC2SfUohmxLihw22faxBX5kGFRYM ih4m2zVQiOHTfBBAfk234nc0LskZS7LQzg1/+G1Lu5Wkil6nkTV9/dMTTuHCMVg4Cyp3LfrvV8Gf xBXhwtky6I2daH7jzTLzsof5JKoEh4UOqr2KhIn8GA/eVEBiKs269PveFIwmyNGPOS/T+5aVky4m Hz/MH2mpeKUwM0hCYDEopvbzyfHSjwwscPVRg1DlZo+eFjJT2itpzQ1qxoKIwrGjfo7sp1Qa+pFl 3P9/UnnCVBvXG2MtsuZafevz4ZuB6h4u4sTdGJXjh8ZrS0/gnNdBm4r1W5kwuy/52/3O7SSMtHjG z80AHLDs6+cPKdYHEW1INr5Qjj+cIDO+CUo0HYfGHYszQztnBHs4tQ9Y8Ww+9Pyf/IUAlBtuiiyi UCbTa9itdBuTwLX+aw469sw7SH3PCWG8FEY6JUKokbqrh8B946ccd/abZsBZnG3C+hPDCv03TfXP mfLNrSJH95PNfKoilpNNW+61L+cihxtl4sJ5HnT27lV1ptsb2MO83EFdK08nzJZgsAbxNq2NBOBR KY223Jp8EOilXYps1m+UF1x+GIt7HnpLsernrBvk20Nln0Afs/0te80VMjlQVls4lcEViJwzxdnW A3W/Jk4qagm1rEOr8hWn3buF8qECgU3ZzrhOrTM9RPH4RXEho5P3ATdofNzUodisUY0CGILzjGJI PSV37LGU7c2UVoK9ls/G4q3ynAwBuGziJcfnu5QpjTOQADAN6c1xPD8pJLvTvfGxifesQQGFbBD/ DSutDJK7K5ZwAWgOr8VERNHeX3FVZDcK463i65dsXs4smRc3+J9fquh83gC6v5B1AMlJ2TbvTano koj/lWZHmX5YgzNq0WZq3bKnr9RY3rdw/b9gfeiRVaATc5sJPC3pdITXxOTVNHfTLiUMXJpCsus7 vpEQMpedmU5yfdBoPCrQ1dnIFC8Gd7XKfv2kIxu1YXCMnyDxN9b9pAvWGXAMfAiOj7fVfCBV2l3I guYWxIMVTifYY5eHvi369yIGmmcWueTIuBIypSp5WAnvackUIjU1VqaDFkxyvhvZpTYZaqZhoV+l CO2n5LckK+DvU/017yvfhPZEnmIVLcDoPc440OBxkElfmarpWnL2R0as+GJBqkzbnpJtJ2fXR3RJ lT/9rTIF8XsTNcm0qv95epbm0WhYYVHo8Ly9CkG2ttG1OuWtQBsiLJaNYGxQfrW4oU+DoPlPKa14 uXGmqWtQLMSf0eWHBckkiYePlFqJoZBqv7C3B7XFC2A/LjbZmq3Hin5CDldjiSohfdshFCvlj0Th VjSfDhb32je7larU4Jf96RYgeMWnLHwz3v/4Ba8AXQ4EhRvgjJJaBMUgAIQOwGfCNKk2G0qZvrxx sGWO8s4sLA8AQnjzJPGGYC8l7D1pza9XLcSasFYiDWp+lzSU8m6n6PRaGz4XquXI5PrbZ8myRcmq ytfMbNF07oZUQdxuH6R2gXIfske1+Xq6iqeao3/Sirpb401ej2oGE7AcK9Xi8viLoeSNyFhCZSj5 9ZU0DY+Zo6OZpcryeL805gy5n4UuXOziUO84FOTWVb8gsGxm8SSsJEGHscZC25+xQdgvifBWri5M N7DnpPEcXnNAO7QwTnBvVq9SgYwPscoMkIBsgSjoh+s8VUZVF8NRuB8jq9Oj4MP8S8HcVDBLe/2c t8hESFZ5EquouMqOrQLxpTJgiPGkaSs7QTbr2NtNB3tF7ltp9eopbu2rc6cPA4HzqOtCWwcepnqb N6a8Ckvd071Y7ZI/4eEbP3kqjU9zD2y2bKj9S/Rh+JwbFSEJrdbmj315RcJD6TOXpLH7VvwQuk1q sVE8ghBh3LBLZuYQe5fxxYVAFYDPQw7BwAr3otBxR4fajfxD9Ui3tioV4/c6Kaixo8Q+s5MOBiko mUtoVKW99Dsp/952ojebU3WQUZV7gJmcOvukbdyYkFlfKYU5aHrfZlv/LkSQUwhYkjP2C3ntPis0 33+Rst4DDvuC+G5plwV03U6leq5guft49F5sX5eEY4RBVxcEzQFQyJEV86UMfjthZO0vYR9hSrnu pFhkfBBfh8w3Rs+UTxklGVCA3q71ZR7iEpzzt3jeQF4cgKr+5u8j2OJ21cbrK8KO7b4ZOZ5JlcE6 CmOw0jzK5WhXqsrHdraYzr4N4vgMIhikAHud3bojGjmPqcsSK/0t2aMOk7Xl4D2FOh1To1tQYebN /4E+4Q3og5HjTKd0M70rbqspB25nuSkdzEoR6f/lQ2y6uS3sTZgwrMXNqEH8UAqeGALY4wdznGYa Ma5CKPOv/L0a6TP/tCVxgwqfTw5BOo+3IYc0uWlVmNzDy3gLpv1z4wjAC3g4g08qQk0Sgwb/Gqpo CdKSI3Ni2V/Wx/4N5NiipUjhFWo3NTALNvEocrymWn0yB7KyczWaGK1L37fHgg599Xi3cZI+O5da AegrxvP7CGLCtxCdHtsCIKmgJQXg3qTyA8zc9cLdF85ra85Rg5Oi8td57R1qEi6y5D5aV8PggPD+ F2WyNKVoE2mxESkn9ZzgSDeITJMPeY6B4tMXrXplTIkQlLB30nRpHGgvA9udSJtFVryLfWwAYT8e D2+TrYTQp9Scu+UaSoGuLMZtgj2XEQfm2W1k+dQnVxeacQxsnozbjIgV+yL5qieGCuUjsIO55zxz WCmB/4ycCo4dvayYy0WdsmPmXVZ2IGbuO+PF/JdRWS5TqfKRfwOtyUvyjND697vQlws73WsYVJwT rTeKnUKn+0cgY/UNHqQgC1oaBXpPKbvSM6uuiGjnMvtYJwcITw5i4a1+c55uHbAAS5O8qxLW+FjG gWSPKrj83ErBHPc5PWUGMPF48J3N7yU8QkUsulq6MRUR5VvBNw+XqAKNZUtk28WeYtK2Wy2Z0Zbi 80OfbNxuK4cRJ9QCpMsz5oRa5ewDU7d1T3h2mxknhB24m9mr70mYcQ3w0geAzu+0QbDtBQ+qmbbi vlYYv0HrLQbZRpJWLW8HFSYRMxxCSZw1/UDNhWE47nt+ZXcAwNmWBeGZRchN5nc1wPlL0YNAXN/B Sluh4dWEIFm/bO2w8zyhZLOdL0KMCUoXw8qlygwjSrkKmP1hbanjUjeTUXNGSXdOhZNK0SyQ4w55 cth+8wQB2SDMQ315wZ0PeqbCW/Wywacr2UXq1XMkZtVPaajTs2/b4hlE+EcujP0e7hqho7Tt4yZt 8WkN+SYtNnSMaPZT6u+sgat7cjnTMD48LlYC7qzL3a/jTATRYE+T1sAtQHSq4epoPnUbalfh8CRs deWsg9vQmi8EfUT8kJZMtmWKhP0CQxqAx8NmQ2BsnAeJW+RlKbH4p0AkPu11nrXrreRT9Mk78DcO h9gf/6g23wJa+eX1PktjccXztIXpn9ikzpUUWk0UvsqkaLIl7HYyGPfY734KVDKchnIlH1oMbg5d wJA8Or/M3wAs7oz+IzeeKRqaRw17dMJqabU5fJFCGh6RK24L4Pzx/ALX3vNYEnnlj/qKToWrdwxf H25db+w4FOtU+U6Hii6gayT4nAnnxLnGnqhOD4Ob/oO9KL27lkBKjxYwdhquE9UCJMFeWtwG6CXk QJgCszNQEoYvwRSMq5Wz1AI9ucqkX/fVXBbGL39U88rm/nr7KmrsW6JOqp41COlgwPw2eXZGwz5L D+b12kFP84YXFmj1rwXucd/RYdhK0Pbzwx1uG2Ju6YOFQ6JfjZiXrbOj5JsXUv8ExL87GioCdw8t 0ngdnMRoqN/uawDAHu8kac1aUStMlBhIyIRYsDDNhMmrRuM+sqlCDYFC1PYvDH6tZnNTPAjoBeMi L5kPWUKzDD+lfbc27gfEjfgTIL32EVBHtK3XiOVkBthDYOBs0gWAc6f0x5pywWqy3l44xWkc6oOo baIKGNhibhsARupNIrPRk1mg8IiEhcyo/DxKTzxogR5CZY/HxCw84PstcdU7YG4tE10XMwr2Xtif OlAoWtLC+U2kF+9VcD8rvZC65NLtkTjDDCewaCrKIPzSUEyNUBiOmbqwqkl/sXcY0T8gNLlQqgRe MYuBfJPbmJLDKrDUbj9k5/yjStfX1OKbSjNSbdt7C7C+MUGZ4Km+GqJy6XDiD9X+wIXua2w9qp67 omUhJrs+s15XvX94op5J5PI08aZJfXSvnKXsUUIF9DWwOgZqRydLjZ1MhaRJBjapfmb9Zk+slGJS V9twm2mvVSmZeG6PBECmHSQRAx/vfeF5HKVxr+EENIh/GWvuGrKchAP7pq10KumEm5j7CVWUeR1U kt6VaH4QAkgsh8kEoHZ8sDTR2J7zK+hb3WmfdzhoxSwLqSWNsQ6ERDYn5EJnl2F9ToWifeUxn6bx kJReEzf09eKFiIuxWScbWST1x+66SJrD5jlXGwkjycfA6XpML9g/qNJ0UVIm7D5kifaPncbq09z/ EXi6OPQ40pitEqEW79jhm2oVcYE1I15NBStgarw5uqRsspXiZFib7X+OcA6WTCrYuvPcyqhuJRvl t6L4IS7+kKlvEh1YdkjCiGHVl70cLvTYAC98Md6rKwhvpLrA4xXStdWrn4s9v91vgaM6jk3/VW1z bC4I9iSRRKofRi5UvO7ZQSO7cMHeRQPK1ol82qxczcplclD0Da+gOoddyMDk33pNlf9KdZyVxkPD TcyWuOVEoOdJ0X5ekZZk8dDwvzcsyQzW2HaBzbB+PUvgWjYYB4UIDrbvXwJqICGwuW2AXCSH8CHh WRglg0LAhg6w5HHaS545Qp32hRjQx95bdTh3SGWPBhRdXx3LsTY562197Z2G/yJdPXhN+7pnM8mt kLlLHKEhCfTHqkMTZ/UO26HQzd18O1zJjeaDEPG6mwKLqNQ75JNJPX6DxQEtHxL430jxgMsfowGL dGKPco+IGV1h/FrqbIujEk9SdPTIVz+pt6MBauGYhLol7kVBBGZEBXMgyNdZ5YCeSe3DQb67eJKP 91TgKQIpAklcBg8PdHuKzzKQYpu09efqtWxQ+mWPDfoBBUACAuGugiYXUDlXBrnBFJZOOLw5E7aX OzZ+WYDbmoSy0LfCpVFdALRPJIz5Cu1QsQZUfcVByixCrKNIiVLYqDs//7b9q1HCP68OkC37Rq60 Sx/7L06TOu+XszIx4shJF1uNwICV3Y8T/oqBGYDBz6CHpy0h/6/GiN4WrjMIZZWcuZxMBdi8y3z1 1IWEOmeEB4BODXgp99V0sj9Duc5cGX+JQ0Dlr+DyHQV/dNNTUnhBpMegda4tYvIOeQTpOLsAVH8M cDohmTcJOG/CqkQErSZEUF7p1EkRq4QpoJp4eSunNgQDp9tysu/10vLV3+BrDPiWIayIS7AtWz5t VlFRvLnl8sC+gDgJTL7Ov3RDROSwYtkqg/8B1WnC70d+JeRKx12YHeWF9pjgbvvTSIGwTEBMEprk mhPlxq34IHci/tc5a3awaBlYT515lITiHmpcP6HbIKv/ZyVe5SqpTu1clXPXs+oum7IjaW2JkEd1 jhMuCxyZtPbXmFAgwdYWwAKlA9hI1vCDvcbnmaxrLp6C2oQFk10YSJYvJN/V4g6K5SffY6FzNZR4 i+9lfyXzlp+wheuNcqNNtjqQCS1T8gmoKWkFDYKILqsC0od7d9wGpk6eMt5UY0UrcO7U92inMug0 VLiVFk5lS1BAOlzes4cdQQ0CiBTLsCzb/J8S/fhMj3SHP5Ne9o7LcHHce0qhdYg2KZBd97nxMveV 1HePmfSJuFYx7LhvfGEwHvQUdSvMs7gKojoEaL6jmLEDu/kUOIL131yvl8siT6ZEz0omVo0dbrGy oSoXuBKOh12vLGfQVgL68cvjKs+Ke12a6EBe2mpO3GDDNcwXkPQ15S/9mngUr12CM0D5RavHPNCi xE91QeurD9gLuaklxHeqkui8dk/qqbo0ew5YOi9BO5Pj3KPgSZQES5w10p6ggoz35B4TiFJvEKmn b+kKjJIL5Ky8nudJyN9zGZ6Fz7F4gmu+2wuYHJ2HDgpHidSTKC0h/pVH/WvP3/HcPByF020oCTFa lPnCcE/gpz2g7OBj+ksxkF5s8gtClLaIIuOx95tpBas01YNSnhX6PNJy75I0gapIYrYUUOu1TMtS hZJGBD4818EioZcFfS4jKLv/6ZT3sdyV2DqSdo8IdIaP7gW523YPuyfqQhWUWM8MX9QsovuZvjpo P7BW1pfSm/BB1GSw0ZKoexzMizSpqQ+uIWgRL9m0TDXThIZMTncs2XqnFzSVaNvNEHRfr3h1GwFD srhIhg56Ak7Z7gh1D5KQO22sypNRzETV4Td5/GYcKqJrcpG9NH/Q7tMEEbA8/ZF/8WiprkMyAYCH CmpTKIvg2LdXqmd2yUFbBddeqzRteTuKaabFNIhUs7v/0HrqpVVdcmh+4BQOXcoaH5iEVyE4ZiHd hgZxhmSfavEZ8FC5wz33ASBp1K2bMTYtOkEwu6e+cZQLuehhJ4BnmxGp0PJJBZPL83UIn77+DySV f7cJao5sU8RD7vj5DXqkI1eukMusiSq7WV2ni5H04LM1lMz0sgkIfWdZBHNp1L4DVxSrgq4jJGqi uVJd2rEq21nMLVVcmmPZjDc3Kx7GiL9Jq+WhzLFVdHxPFepmufK1o1ssozlP+Wx6o+kXGFOyCz7T FBOruljn1fglN/BzYXdGU1pIeJ8LJyw2gWfs581oaBdL8PtiLgiNM8kujViWxTWquRKbRxl9F+aq VQeqJFhYCcpEUWi+Ybgx4HJGkS9wy27uM1gzNOCsvR4AlLMvRuzL2QYI8trWloZEmNlu8C1JHLzG ySck7K6BDgb9rJeG51uCMEOpejpMDkhvKcuIJZUEsdgKdQZEl6nwHjNO03jSRcNYNngOOvCeU5zy ZcP29fycD317NnjkMgxPZ0cyEBIhhKbE2fDs9BUriAor9mv/2kzjTk/ETQyhb1lEiSQVe8KrkKDp t0kRqmehiMSgldzwfG0AD3bhbMDy5/hCJtIejXZwQHw2CsKtMpXVYqnG+7noOqhlN9JzsDyik0pv 6+Cx/72BoH1sR0SgkmwFDsOM77qOVD7nlRmz8PR8w288A/M9FMW/8khLHLhhl3AMiBLq/n4ynHhx Tar882KIQUMNXHnFu/sbDSeUA7SBQPrcqXSIN4qmIjXS7ujX3+Va4JmVsIyk+K5+ajVOyqh4Kwr0 XpBzwpda4tMgVIezEhL8TAcTvnmsOdrJ7ZOISJlLpom7LNOWZMFx3uryrQoB1zOo0s0noDzXkHD7 yuADMbh7+J2iqqAT4oF7MfkOBS5orEMl4HnT+LJiIBstmPiskQX33H7sAVnMhrofayOSrNvFj/oM 3XvwMwnfJLsxQ2VvmxnlCgSAfjrVey09fQef4FUHsMNw69nwulnE7z8Y5VslGIM6ebajwDj2MSP3 gRRwAWWT+N9bw7rv72wfKr62qmqAPuMAExrtxav1rZN/aBr24XBCr5SY2zsnLFq4VHTzfMm2O1eL i8yNvziJt7wucyyqPE91fdEJFmx+BjDjcmjEHmMtgeavc4/mdC16QsFE9XvEInItAyEZAyJyxzaz We+/Ow6wbNMRrtWESdYXfTRgsmcGridTh/Uy9dMoCD8L2r4Lfe5pT/qN82oN8/grE7NqomyMJ1J4 lb7vRm1SffUhvB8xOVOiYmGY02ekhYk7dMM61UwPUldbnJrS832gIl6SHSwtA04InYGL2TPEBR5E bgNPw1dnieXXjTRZRYhwtKESpH/nNJshwmRu64IAxfKW+qsO9I/a8OTm8b6hnHTnjF5jbjkBfjT5 QByLa3tIySKuQyF5qPX9SECgECrWHERS2ZRw7WolkWzWbfCiCYeYjMlD91HBkcZOGz3QV13VLKXv 2M6sC2ANOSsiP2DmI2b4fMl+yw/Uvg5bEzkfhIZgaFaVJbFM76htFkUStiud7Nv+C7KOMJnEpBlq zLO37JeG3lDdMZWkdB7/z5jpLB4jYrJP+fowwQTYphp+AMiHnMPpm4Y+eacjxReHtTl8UN19ylgm 3pTnKZU33DYumDPY8SYVuAd0et0aiIqus+rn8E3Mc68puST4bY5BJKWz+uz+A2IJKfOlIaCl9uyN vEYsUP9meLpzmgML9ZG3cyydT9hHwSPKcATpVhScyAgAbPZKi0EW1gT6Bnr++y7khGxXYtqvB/2U wq7Ff87UjKm5N42Iqq6cRvxkEQ5uAORiaxwgJ4D5wuEFOzAMtvZhO097JqFHCat1QCGimYx88Oow Kn8mPSQ0IrpDq4/woIirC80jCpKoeUpXTtf6EMJvF0x1+ZgGCMzCf94M6i9mmoykNxMyUpAMQwJY XssvHdGGmkFBU+BU/KL7fjXePH3FvsSLPVMw3wtH/YiPvafftC5m/4JIWRi8xTnyjpp8covfPaAq t93TE6yzZI+JJjmzpMsWKzY1yXaHL85ezvsypVL0owasppNrZMYa1P3yWeByyDKzELwMFXYs4GxP F+BLdARgjlCSIAjgYT8aZbfMKZBPaU/cWgkBbXNOXzNwud8CRsrLpyF0EPl5RhYBZL4a+UaA06wf F8w//V/Zd6AOs1g/9+4SOlrE+xtfml8IQj5us2htoHqWFoj0bH7SrrLRH1D/ibUdtkOweM65c0N7 ed2MR08+P6wQ+6r12ghLO0zywK/XOfrOF1UwMkZTd639nXJLMCJl2/CJhsBfTuv/NTcomX3hMMaW jyV7h09Sf+S69NAjLdpcd/zwOpEfvz/rLd1ON5Lcfid74Rn07sOBrCJ3f2go2mT8fPWE4RmBQ0O5 /8SW3iuI6MX8MGX/wcql+ewL4KTh5Ij20MaW+IcNQkPH3JwO/ofH0PBiThAuaWbQXqZYkmpFQ5Nt ByXt8+LzQFtzUcbIobJIAflgBJ0GFK2GMiUiXp3AzGQUWIA1qZCprmj6od865KjqGV2oN1ZGLjEH Gh372tF8JWIT8kgELGHGZbyELpAo3zC+90FQ0SIdiqeATnfsTKGUfUex0zR2CmQhkKuyhYUPYfTF lz/qrYPzrOIlJg6EfYPVzneSd3CkdcUUK56tdB69w5GFuyCvFXFhBUYkGW2+rMWM2Ou2r23G7TLA mDDJniXsEJBh/k7NpxpPi3pJGpOAQsnUtDZrH1ShT0yRlnhRl9jehkumPRkybKeUpsOyjFzSUia/ mpbvL9X5ob06uDTcSBxCt0dHPQPOuITESLACTudfaB34H2xhD+YUVaRy+w9C3QQOyR0ufuvFcAsQ JeTqC+1lr25NQnX9Dkvl5ldo+m+rfDbv2eXNMqup+hXr3e7xA+H70g+Tb+k1NSa1IZI1O88TINYt QC69Qe02ZXxC7G8jxzfTd33nxJXb8XzPZWZZkX8Y/qciKTxWOlOUQcGxQ/PKnjdtBvv7J524p/6H uMZL/knkJHAcTeYqz/Xy2kU/F+6Z3BMG9fF2z0IFluG12O1FW6d4EVfJOw5yG+YVWNQTYs+HR5SI i2xK8dOZ8PlIG2663HeXt4m/89STDFsV9TE9Y1qmlxSCETLOwq1BU2PH4QkHaxPUIJoD4FcbVWPc VDCZC/LAlApAG4gIoOHEbDn6YZ7L5yAhQZJJhgOEECEj9V2nZPyGyDUR9gCsrvyK+D6clU5OS6hk k/XslsxwqOJ773y05m5/3KYR6/hfD5suTsBc0cCsFd0DFqkc9nuXLThj5N93tBcTlMstqlkccvkH UU4CxJ4G6rTZgIoKHa5S3QmeSjVCWEQknl8QDbgkcLGMniIXBtQq6vUlRiiMInX/fqJOah6NC5JG ySS03j59Qt4FzDNOUWEwUJ46FDpnlLp9ikRggKL1GbDA4YnO7uzB9Q6YAlPyG4SlFtAVimWvWk0V CFocGYhQWgLQaYZsfW/ek4MI3ud2Tad73ZmXPrs+PYhhjI1LIZgCggoZiiKGFcrPCogz62UP70Cb dcSwGRQR2vdazQ+VqGH1f0LOQbJOA4GaFO5ojaaQc0lqUbTdKOhJ3FVnXYrZSPK+WREycS9Uu8Os 4rc4nvqZ+3REhMLGqcVqjMjoGSezmo7TD3/P4fUuMeHy8JPejBWj/bugj+PsrKFZvaUSqBB2vie7 +50pvznO/sujoFRNs8X/OTIRfmSlhInxzfKcg7Mp6BKiPM+JnCTfHTv2yEvQE+xlm8Tas8gnniDc hJBtAbzseVjKVrdAOjtTTJusYuuSp8oUKPEFVgJO2zTICBSZGZ5HJ/bTUWMdc7sWl9a0ZLxszExB xjnR7hEILXuvv2L0HPOHWsX9KyZVEI5388qCtFXKpQDQw7hI8TeMcmRARI9yMc4Z6RUggpiR5CvV FVVwFNsiKxelj8t4V3MCQEAqri8v326EMQ8McOTkygE5kZYlg9NZq8WXSEVAC6vwQTf7uLn9x93Q BWTsXUxmY8Vf2axXiaDOXE6LDeEb3JRZfiIIj34/Abpbs945Egud17eV+lSF7QmO6TlJUUq+2VyU y1025SsKY/ctIri2i+7ytTbevlLNt+oIKMdghM/vwV+NT8MpAHensNyZ1wxqlYfwDtobMmq5hdAs d2VUxco5nI1KDNECR5jG5s+rMDd3BSQWtE57rEP/M92PJIJ1c3WOYEB666bP2NI95BvqrUbXAIjl mWf2eaJzbYK3GJPtyor/Jwo3QrcxxEzUmYyujxq5OcUwsde1rdBR6bG5PGdvQ2E2XXzqaWiEis+0 1vgAz5D+HMPl3tZHoCos5unltjkWA3044eUXXmVdhyz/OSTAg52kQY3517/bCMY8zPS/XKSz33It 5Q26KI6L0tMVzjH7H9SDRqxO2DOidL6PowmejDxjZfxn3JfaTAu5qmQg3DfZr+Ut+5QCHN6YSMOq eYlx18hSh3hzlmro3SH1PXLF2mVI22aIKWn6RLccEB1svve+6gl2qolKywo1/Q8c/uz7NoSjckBR 53aU3RT9FXM+aHZff3Ofawibt2XkUOpICFGUpAmYyT6ltQGI0YG+pGZ3cw5BLJBLXykInqltCc0+ aKVq0GsBM/X0SFf6QoEj6s3f1ecLtEik1BCjFEpRvSyxFyt7UMqD/IyFe1wIDrwN7uT6VfASAttO Y5LDZUIXfzZVsZT8Soo1H44zyYCZYcf/fhswTaYLIA/WpX08ynYbQPewyevARSK7sAkNx/nYY8ZM MkzT6QzPOiR86/QsdfeqnSqvZYQLX9ANywThqwhEDgTwjKihyxcjh09gxPsQfjnyXsUG0EMqdJ2U k0aOflEQgog47nOmr1LXiAfp6ZvhuZU+Rb2s2pXC8vIU0JGzHjzHHvlwNBO9LFHO0ns8YeCn6WPh Q7El48xDiE3qzJmn6cn96wKFdSmgNWYJpJqpjZE4UQI7lmiU6RKcqjQUyMBThMZE0C+IYy1XDSN8 iw3F43XIKltr86zARdrMMpQ3QWj7XwAJr1wetmHdk4Sn3BWMAibJXhptX7LyPoo89DcVCY/c6VBm eYVP29OF95oTw9LI0aQXnrbdmOQ/nzunMmycqIfa/kXmt2v01ZSOkWzQmlvnsCeYgSSTuPB27D5X AYv0oW8W3JaLokz1r7RSin4tsn/ZNlVFnki4wHD3py46JXg0dvt8IBTF2RAEuAHT8Mu751Lw2f2h YNdEqQZdTCJYUyF3RyzRd+EhmY50MfSCqcJwoIAI8fWHgwfZDh5VY/HSsgr7Bmm2KPemf18RBfAB fhn45XZy0SabHZsVICGCj32AhQOkWUmDZCPlZ17Ue9LmvAI/IBDTL7dwkOMoc+ui8PFDqIK6u4GS OxsXXOcjvO5oGRZ+FCAP5ld1PStSA6nNdnZUr2n2axQu8LWrwon2svQ5RwLaVBsxvET89DpXwRjC ytEiz49vnwX+X4D5/VqjtiHD970QlfGqxqXJmQ6Z3x9h2LfVp3drpe1WxWFy9+U5/quahGh6BcDh h6z8UWtM10DZWMsQN0TCwMHBayW/FiU8v//BaHd/m8avdBi4rs8TzscWFiXKzVDfjEZ5fV7oTZqK Ijx+kVSXqn7ysofwn+kOAFpBSrGQ1aLwKZEC298Iey73C92A5jmC9eKOvInuiJC9L6agRXqKyXAY yi1+5B46m83KZE0OpdJCx+dHVxnm0JpqQCljM+rfpm5S3hK5NhNOfmKI3FqKDnt2uDC0TylEtx8/ zvCCEZodI4dsvJidcIxh5JrIubS2y13xf0searl85ZyU4OLLXt55kwNmqMI1nYC8COD4fOaNtzs8 QsWzElC69JjHhawyVh7+J90o7e5msiW3PjfFgG62of/qEMaypvfHzcAEevkPlutcdytJLd+fNG/j Wuf4XmE7ivSxeQkaCgc+P8etl/Zx55ED91c3UYSwTm6SkM4JepDcT8enEqqdd7m8gFajwpUQ2Lop xXr51pn+OqWZymz805Jx05TXSw0nbF1MS5ieMwHEy6CSoH/NhrV8ehWQpngNWSI08Z/PnRGivY8g skIuLqbIxD1eWyG4BRh3fuc55RPAEwebh0r/4i6BVmqqlIhThohngmIbWDUrQcMqLUOmWOv4x7WR X81+ZVNMh2DcUIsKerGX+udCOEwIQU/WVyUzxyFf4MQYY3y3QgevW7K12kYpYFCIzBEykwBmhsR2 RulKiNnS8TJL/ADLFn+ewnkqnoR1FAZOQsR8E+TxvcWd8GOCGxXSp1SuWWjd2M5Xg1LB9FB7Jpb1 OZ3OqaVJnLunwnkrPBjlOAnKb+zi7aj3VkQrWn+jRdNe7GDY9z4BAs0AOMe2lJ7FSlVLbml1ZZ+j YOtc50PF9NnpWbdOb3E96wYa3PTInWx/vsPCAQw/XlvzYUsIwuio+s/z6gGwuuHrd7R2ecTjEkT+ 7xAQ2w46Tn9AtjxAIZBUYbbhy6IOnRWwXty8lNEQn4VBNJjZpRD/IRlz8agSw8IGKLsumrHfAWts WoHsSqtZEtO4bU61Mg+CyKndOnzz2i1PNk92lc3IlagaIZeEiho/UQlzJg8JZYSV+TKnc+DoPTa2 pa0C9Mnq9pzfiHRYefldGCd2C7pExYpJszESJNv0H3YZEJ9Pa26Q1d+qxUAuCqWXb8bBZVJKk+du LyW3R3Z0E6UmeBVsy9KwuYAaR5WP9Jdhfp8xjqYbr7sIiRdTTZ3V2PQ4moevS+LrpzkTpF7xydij HXoUKpyvCYTDMM3MTaDbkAxRAJUu/acPBa+dTeZbS7LFnNGQxEnxm7Lvh7P4WD+e+38oNOIuslYj EMkBNCTrvuyXzS/8Iz1UZAZU9YhGCGen6szCGOPu01cT6fKXMX6xjkGPbFInkNi9icgAvIpsKtOx ntNO8N0yMsENEafgTtrb5xfvgyUxYLe93GaMOO6umbU2nL9iYtxLAmELTyTIwCHob8IyTHE3ZM8E ePhVFC5DlRjLIMBDbZRdFAQ7F3XYXkb/USuT1DbxqduM3KE7fgkBJl7nW3XyZRQLflHrwu7IYs3V Q7I0UyijsvehHSCc775vE4tIUXTUK75GIcvOqDJrWnAEYpAaj1R16NUOEQLz2ojv7mzZ5oMwZqmD p7x9f/rFzq7aXG9MkgoPJx6SchC/wyaLTdNYLPitXragdRgqhcge2Vo2L2kW3PBmu+lrXdJwHCof aVCR4+BrJ/G446lK7MjrOdU4/ngV3vsXmiOmVPvb7qTwvo3JsGLaejPTjt9AaP2PQFcWne/krYWn m7cCCCcmcczfGd+OPjNjfBUKiG6QMqr0adePG7V3pKiR9keceC4yXPmkzxebQuWfKg2xmWu1aKyp UXDBbgGCnAXh5Qv0y5Ig33jKlvykFhg6IW7R5JFBM9n+H88lYVYEvJp0egaAcis9GyEp0GQrXqfv lEiCnA9hqJlgVPDRyrKG5fugwBn+50rQdvecKik78NznI9BITsNwyf0l32xDJdB+PR5pYS+116XK BvnCuJqscsi3D9S8+cP3ZrRBPTpOQiCaKsXV6pneUOEwMzHvsZqoj7MUgNoIxO5Is0eI9Q7VqWQe 5eLxLULDnWqPM6nXqAHlc7eVxuae7uTr6nly5bFwfbsJLXE+xSo99Qdc9bKnteK/4y5dIKMFGWGY I7xuYL0uESEM8bZZK+m/pdAC7NeEweyH5BEIya1nNJn2QyClWHCruw8V5+ELNi0ovIhDaq2nRySv 9M1trxJbNMlxWQ4PYSfUHmUVNx2XJ1Fn7KJcDdZLs3w9Oi2wIuB1zgMBDcJau4PYjSvIkq1Nwb5r VYOn7tfY1I4pepepf9PIsl/j3aqb8+Hr8yboENJ5U1NvDeGPnvOWTmOQRbcNPaXmgxIMdTCAoRnK bFGIuigjZ/wZQVXPSwiROOSCK+gkYLibfjXI8UgH2tApdsrY2Gzp01LlVWj0CAYAnbiIJbNzGF87 /hylGNcqqDKSqD5LWVenRrXyzr8/HFN4EN4imQRD84EORFMJ364/InNkeHNnn9HXabWV1Sy1ROCS zkEA9WAVGyJ4RfS0iFcFn4uD3fhieqBz8JIZNGXV2DPv79b9r0nqb1y/+EYZeFIPKBqzEyjwjlbn SD9CadHYORSOWmmCbvvdq+56/rxQQHILep/6zLILaX6FveW9Mc5AfxSTmxNEchojlVCF+TPafdte SuYwzO+VdoUFoWMkwQf/5ObJHBCc0wxJfa4OmacEHAPwEMOJwjieO9c8xWvkzR9SXDo5DXu02eDD t3cQdnOk9bmXSYKSf8qzav6/hPGPRsYu/oLqUJOtklic0r+mHhJFF6+VO5LnFeZeK4JsSU8vClfb h8HTIeUibeUjlbmbHTDcmw7fWJLyyt3gZ+5umwpdMlRM6xL1BS+K0B+6pQOFPNJ7DTn4g+CLzxYC zqDb59sJl7nOKcc47EWErYulwp9OTclQcYU23l1pv8T5voSevfmPDVDTNyaDaAa4mrkX3aUsZdtE OEfUM/7aZGAxe18JlGrrAU3pGeY6XV6amnUqGzfKGzL9C/h896AaRjAIbkPRwxjZ7CHr4eAen6t+ srtPFvO2BTZ2fSV/+bMKctV1DZenJN89qN4DNDq6O/ZYdxrolRXF3wFlXFH7RSphgVcc7BAcTacr VwMDJdl0UdDZBWJ/qSrLwgkHve43MiOfDkRuhu6CU6uTVsHj98mA5tdTbmRoNZ8OYlpe6nNmAXtY 0IaV4Utfr5KpKRSs8E5D0jkz5BVD6DRc/8xiszWI9iRL1c8wjUIjLy7cwhWfduCaFsfRkP6QFL3g mM45xopF3TKeylVgLT2SEIulhSJ1vOCJndLrocCzHA54nHUVwamz1saKJcaDtmLKfEnBkFDkv123 I0mLKv5QmYsYarArwpHmCXUF+9Dr+1RuUDWG/IUm62BNnKpyIrXWS3Qmm8ARv3kwdDlny9mumfqJ KZhr9rq96BDPozl9i9nm4AfJtT7EGMxFc7NuXHPY6F204Ray3LjmT+rUvRCvMvgFDMnh9APNDJIU hKraoFdNaIKiVvy/drLBzN8czchjY3O9LRvp+27tJfbGtoPSUWjoAlOp2Dir9Qgrs+3u1TeJqDrP ppX41E0hekS5UKDZdPBcYit0XlpdcgP+js93DKYIbLEES4zgOusvL6iR7hfz3YusL9zlQq48zfM3 9J5pGp3DTmpQ2hMQ1D4RU+t3YurjXS3IuImL/no8lYwqANZft4svFKiSFD1aJjt7tuRDSzW5hk53 CNeJVFSHqqBQjxE+LeNSUPmhEMtquDSvAOOZvZUePmRrIJqjwqcTntFa2QWvHEm0rA4roFUQZGZ4 D1F7yfDSFhUUgra8SRmYRCnorQkXyopszKyk0AMHMgQnTN2cVVn9B2LRNkBoZx+KzuxHjnMcBmCQ wSM0vrYR2vxGuNdcpVZ2oNegG/NbWM0L2ogrE5RFEPdv5L7T5U5rtx8smRyBf6L5lF+pXLAATt4p 1nwffugfaBSxCr3N9d/77i/uwTpc/136zm1YDqZdPgLOGnDvmUfd+gQL8fdE7SE1+hZefRhJemq9 teAuUll/JMQyELk6ySjGBr9SQyz2BaEny2KhIqf+RJ5PecAuScKn/EnEQUUOWvCjirq2c9uNpXhj XDWNwrBGnaUAEwQBo4aiEuaeLY0uimRsqU68Sc6sTOVu8ud37DojSgmPjLhx1NGoeibtHXNuODEx IpVK9KojBAI24D/jo1u+RySajlJnJ+OFHflgwyy5D0WXO+Ey4H7dSYLjybumija6zlB9GIVyIRZk 8SIbjVBiGhNa6+rKfuUtYENJn7JMk7kot/BuAuT8uTMpWARIxAMctN8pjU/GrM3nK/yFB8ZqEAaq u8FhDWnwxkZEnZPD30TtMTNjuDIoa8pP+BL1PQMIMQ5xBOTJfMsXUONzeg2eQeHfYliGWy7B0PGq cioWEnkWnrSqIZt0L7NqcY9hhWuQByscmnOqtsLdQi7/NAFHF+9rpnqaBOdU6UqcQOB+/AGqHHwp cHwk/mvmYCWS0YH4pNdhdL7RZfGU6CgofwJuIgZ/yl6bqDv6mM/Kc/5wAUYLTlt5cMbSEu/gY2A/ rQKuEy/Duz3latt0Rk5ygxTX2cWBhhAGh1+26Fmz1UuCc5179oG2AbAQ/xDZ5KnRyLgJIbsFwJIV 5viVZzWUcxz4lGvzoU2wMFBH+seLGWgSdvhbKkEOBvsi/AzePdSOV1E7F40ftdOnMHPnJN9H/HDF 3wCtA7rEasZcEnB0cFuP+y/DBGKGqKsnKYlmoW0SqcxtAPi2uIdjyPjaDSRj/5E4afXCQrNv4zQA LlfgdrqQRb2q8mp/S+MB+J7nMGTeCcCPwVadi6rPLZgeLJ1jXWhHP5pi7LGI1AdIQPZdnqL1yn4a WlpjCSB8M64Oy7KN8BgjsiKq9XAMo3CYorY3OZprTLidsV4vjhM/k7IDMfhErTG3ba+JvSFrboIv m8zkj+zDA9eO8pHbedzzEHQBLtB7h/WZelxPSGd/Ytp57zS2LHWXyEMhJXUO+e4Z+n5fZH4EmTTK SQhohXdKO3I6THI6XIReRIoJGbY487KFHUPL0lEFZDAPeJGtJaBYlrh1I+/pEcKQ+I2GPleaBvFP KXoJUBncSviCggs3+Pd06QTsx1o5wZMm+3gTGOJt6z7/Lrw3uV6xWhEoiSRP7nxaKKEQdIyOuSFl kEfN8wamFltqfBS3UEtBnvXxCR5Yw1pv1yE9SPVLcepS75NZsWIsfd99oQG7LPfdm04qEaEmq9ht 8zOOA1Wn7yMD+hkCMDrThL0q05QVGTD0c+ormWqGf1FHb+uCeUGHlb0UIvKbUBxJj6ijMndUTM9W MJhqS4Hb7OHwbns9ClhlK/rAq/U6BLvweIBfqZyg2ySwYwuS1VgmASpeZsMye3z7LWMK3rFpQ/Kt 5m7qf8pLhJju8PTtBBlBTPWLqU3l6S1A7YzPHI6e9hsnIsyCfBSVKxpXSTAS/GyGdbBAr08Km1in HwkGhKgdQnYIzBPTe2dG4gqV/mHZhNH6pJO5dUlMup4vJ4m9DiwD5kShIBrkFFetF4Ch06IbNApp YwNoO0vuqxMrza8qrgQrDaRoHFczrFs/9vMkQDHlSiJEhH/XSBfwVNq8h/2VnKD4L4KHaKp0AofK h9W2Q0TF2PTYFxT/r4lhvbL+QxgFSILL/MMHJLzHNLtInoH5mO0sGtINPzlPgouoBwMp8vVVpF8V A26as/sQ001J3QBhHVxSVJcCcFJNb/ceMQGmUzo7pCOlM1sbSnSfTxco9DQIQLz6kGD6BC8wQXIe jLfgt03753KONzJLPC/Odm0gGxH6M8UpJlS/+Z2KPKUHEPbC78NXWC04D/XrV/6kVL/c/b9Mm+tZ zCA3y1eLK9+PVI5HehcTpj1RFDiBTa+djoQhL15x6pMR1v0AgN+VMZU3FiT1giKgV9t6dgXir/x1 q+ubhe+x64zEb2jXY07OKdDNLM/eoo9JRklIeOrszVU0wp3XisYmyVdxXFcjur4GT9lHUhZdbwqr 6U8sSiVLtx28tiO/X4Yr7750TzU3wuQzqWgzJsaDeFZjJf74Ls4T64FCiiuR/A9JSAtxkO/nKDKx /Y7A2WOgXQzgl8w9tySm6/iTuJuseYHJ1+uekhebV01uZDusB5LJoQn4ZQueTuz3ImkHvcHsKGxH K7Yx2nTqPwOvamCa9ZBh7XfN26PxtRZAo3VdoWP7qpyczMn4FfH4BEwCaUqbCfOqAG3JEZNQWbPL 5OIsaZ5ZCoFW7STKYZaA8fCZyWqc/NJGdkRSYsqfsoDPfPFaG3foz2g0CH+CmYGzOpPrVzJKnpbq LOd02Av1B8/YR1cuPF2vNRJSM0HnmXWqBRP7NtXPzi+nrjk1dn5Xl1tzzrWn9CCJZ1j2bJd2c+05 tH//hClmIVfpxnmfY5+2RSqRUpmNUrevh1K8GFYQ6UcAUXOPsAaGrppD+HbLieH1tExBDKqy67hO sNxHSPxiC9y4LIe43A5UKeEVIdHk7SQivBIOXKhnwgojJxNoj+6CnYCw97Fk3GvT8xpxFRIRfuLz 89/XZhTcZdA8S3XmhK1DJgigfG+G3X3Dh5xQpOPm+ENjoJJjfOGjDDh9gxFIn0hFahDAQG7SLLSX sYgdFlNL9CuYD0FpdlNl6fOSHuxG6dws1xBUt5AAlgVHoPtx3QvE39EJIJdOqxGuFKyop8ARKDMc Qa2vnkPq/HI9jAdsDQrL9NKBXFLBuAmpdBLEcgPQd2wVaPE9+tEiCAntaDqv51lX+IrMb5q+gHVp ukrB+Rf66bMp00OTGVUSjfBDKY/4SWFxVJuXDSI7Ode/b8g/w6a7VNBr0Av9kYiQjsM1jX2DOWDH pBPGoLNEuJHWh6IxW9yrBNV1KSmMbo4DyYHVTJqXuMABao7/VPilyCGvwwXCtDH/RtJt7NGph0QX y5rVh0tF7R09rCAnYFwD01OPhaQTOBFaQzvGWnJF8ULCxuVl29l2Zd3Qptn+18h+i22XFFFwcpNu 2k7DCEdzvJq5CBfVnDgLFy4QMQO88BJh5WuStwd6MsLcHNdNr51HWgxTBcG/0gcwxm+n4S5Ke2pV +wKFrb1BtGkxyJ5z479pB7iq3iV/VG88XMKZwhD4N/IFmjJz8YI4oaoTu6t0ONKhh8GA2IbbS3gx h/FX76e99u78E3l28cUiFdKc84f9xTFXlXZ1jjDSQ7w5WYRgj9E8v7h7+zCSflABCFxNo7jZOBn5 ba4NDn3kSjRhMvVN9atI/Sa7M/ivAYqERkTPkJkcKP/LpWo+8D1sIied0b62Vg0JIcjdH0KY79aq +7zD7EGncl+7OrYBDTTdMOdeLIwpeWzcORlE6/p0hW0ftK9YARe47lMRHHpRKShCydFK8DMug7fi zFxCYUPnTG6OiCxoO2bIQM4ySsROx/VTHQTj9EK646+wvu3NQ8Ox9Se7buAkeAo9mXHgjvqN/h48 wnmqnASwvtMWTL9z6h/WQuM7Wg3GpDgnEJlqQVmJEjxceOcIPdWFx4dNlKwfyBGRGZ0FP7+Ma82e u+rjHF7XID1aJLReLf/inC5vABNHb+jV01rWFlMBiXCjwlL987GJlc5L9gE5dtoBGRz7ACZzn+AL Ml2Z/JrhQu9QclxwN0fv4QQOZoCp3tlQGjRHiOOYb1rLSbyJxM4nn3R/B18bFgwkRyfY07AmATYN 9YTNdJG9PJ9xTX/kZ0voET3weA/lGjw1WseQ5TlPfA3uQchvJgMPs6OfnNmqXmuy3bXTsKqnTjuX 3Z4DsO/xl+cjhBoFuzwer31w/RJQhY7Wk0rekZC3YYC0HM5KdF6/DPTMVLWkihEgqRI22ol7DtwB lDYteayZFJLhP7Sgu0N1U07avLXmd8Kjh59WtnfgAZhsbjbZPsjL/ITpyD8D0o5P1F8/5Ku0Gm31 /Cdo3+jyzXlO+s/zoos1Y4/gN0s5wo7766rvVbYKG+cA7hWkFZAntJnACzoUfgsaMWfqGjoyfY7R UAafsQmJBuBeabUxwLdGe2c29LLnXs8coBAihTIfyGZHoGlkIjAWx5qsKjKlI/w5VTCXk+dmXOxz oNPvmvt1W4gfptdi1ro0mu5wmszUpCB4Eq+3X2BP8klQjkC7aolKYwUae7ovkBqGSkCGQdAR4JEY W3usQDzeCKwHhBgYYjQQ2n44zbZkqz3hoAwZKVR8WXVvxCc+6lrKHULdwUbQrxdCiOHsVQODBrO+ F+qRI9l/YIwgz/xlHSnI5d0PkppJRw7BqEmxDiaLQccsVhdqXbK+RXlE3MXaeCHyAxBuZuYcj/UA maBxiE/s7T+u74U44pwXOhk3xTA8t+T7R40r+GyxUtd4kMhoT79UAVMV1U5AXr1f8TnuU3rF0way eUtuJyBLhs7PggaPwT45zQhxpmNZrCaTH1KnZBMJ1IkA/YgWG0bsGdgT+TGfn590WFtsIOt5tN2x ESfiijK2yBEcQdxeSkdBpvk3TEnYUilofaZVzXvCiLOi52fWb5ZsPdjF42BFhfYGE3eCyV1j+OiZ 3TqYh+zaGeeU48P6w16UIsULykd02FUzAB/isskaeP3Wg6wrRRBCHSmnFS4CMMM8eLZZskSG6drG 42dDWx3GwG5IHxCUwom1y+d3lBEIJ9Pc6vW6u/LRJmxFstVtDsGYYdhtDmn2zEFY+DR4LMLcKrUD MzQPjOjYI58In5gCYdnmyYrfbzkznEBu6kAH5ooZuYClWJEhkR9q/wy8DldkHTC17hg3CNP1MEEh fCPrC0iGv+RdwEwzo0njBt7+c2IRGzWh0GwvQOX0M3YXflPqQ1Tj3I4p8PH+rteNBCIluKKHDI3x Qql6o/pMDjCHijP1Mcmbh8EG7t3L6WriXOPkRs5QIOSifHLZaDP6uhSgbayFnoTyKO+lpht2Ro4a NG4G6oG9UUtq53qH3GFkCf0fCs6LSKhw+SvSMBODauLwglMAGlAYY1CW7QjRUuBVkQwKN57o9ELe FVYQV38m0qBYYB+eyw8Kz9MaC0s32f7gpt7stiP8zSOMm2Ejleju5DxHSudz9t3GKSH7jbfW7EJA gi5sUSMCzzYmXGJ49xEBpuFoQ0hEQU6kk9c5kjme84BHBEP1zqJCbshm2bIdjq/IkBB+YQZAcxe3 fUOX+2bPHgDW9VDGaIQSlvs4w16agDMbEQ9hcOHRJvcfvEtHfg4iSOL2ffU2WJyOD4o2UFjrQa1s nVsb5ivGMyB+7ajFIdXEAVHJ/wQzCeY4mpd52Uq39Z0u7F5WPHBfPSbgqvxu89j2vEijDKaZcFPo wK667qNIxGFy5gQCkIvP2F7eGXaQNGsHq62yWeJ4F0VQ3+LojJUg8EGbaZfnVejdcnC3sk41nHKo TEE4PlFLt2kAfP31AjDS9epFDATXSTjaCJim5QzpMUOTepKlphXh0772ga1/XxJ8KAQr9WGF6sn3 DkaPXi29v1DMA0pHpVp4GTitcI/MNnk0WjK1OHeYl0kjzHcG/HgYvbOJvAas62EAz8XkrDcTZDVf nIYqap7FwNDngnqWxXuKKqKy4+NymnAjVu8mqH+cUnCzCLfP5QH1NLMe/w6gb0bv+HttDS1wztpm XHQxD+JhNZA0OCaUz/1FBQEo4GipT3IIzQkVhdVKMiQTjavPymhtlzFrf5sRFodVZXIRRz1exbJM fkvYPDv+rUAHE6/w8wekhYT8geI1MT9hW0q3paFpgrXVDpt1N2INpnKKr90iLZOgLfStGLE+0JU/ uN30ATr11mI4+1TbJrW+l70d6eQqKnt7M2pkzhtxWPYtLS/jxW8528y/724+p2PfwhnH+yy6nSgj y7Uv96LFybJX6i2oI6R7mR3LN/k7li/rJsxjg0hQOp2QrEghjdZSqLbqIVaP1SCbnZfq8gO50rQP ni34AwAj9Ae9PAh/2u+iyrsHnNtQQy5nyGsKe701A4yahKNA0mqa8Wl2okBUXGL1OJBpgyl1a/6C YQvyu8iqB5qLaAjgopENQmwdNOcb//LV3XfWgsBR19uRhn4hdmeZ2ZBpvF1hIP7DM29LN6X4yZ12 5PxvrQWBzo4UibJQr6/TgxKENDuK5tTLgRBxtBGz52m9vfLpfAJbMq8G/9wLYO/vYn21BA1ZHqKa Ap4biPzTRvNdn6EfVytl2mXiXzR7hBn6i6uaWekRg77FD7uA/vpy8pJY8iTGP1zx9SsrHInhXwC9 TDxeldb9ulrRHtGhuPJkA4sJB5tLB09fvCBdB/y0+FuDu4cxIphdjFB+ZMNXVP3IarOBBtjmZ03j VvrrlXWbjHkKyAtviQSd69LmCUfKfHaVoNY1UxkBkgB5J4q42li6YBiJ/Rpaj90nOfJvl2tSx0wV TK4pJjZgpNcGi0mjow7Fvkz8zrAW+MMyqWEuX//hp47W1Lrv508pP0bo5w0cT4PNr1tM2Moj4tT1 6rgCfkMrdYGb7UJpXPhso4MvILfIg0nohR25WzaHLwrM/6/bFiEwzcEaC1oIYX62rmC8OWbzbK+u O0WkT4FWQD68oN6s8JbbYODOwYBCqJ0wABlVokDYQYwrSvHQEMCoAgXvRhYfExiqp8tvGIO3Z2dl Se/DehNy68F5EwhFugyr8U5BmXAr5QGZyBu7n/N1QjUF579Cbx8bBfgn9FJnA8EjNuYFB1O2MmbD shdRRHFmjorxEgd+vHaNSlysI46j6Ci7cPDtURyVrwnkUasRVOk6tZ4L64/6ieSY0c+T8tc27LlR d3owMsu28CL5UjYsthDdNtGIB4ghlOCAthXV0cmAro1Ie4/qIAESbJO0n888wy1R5/1g4/zRxLMm mEc/Ih2xJu7wZa/cJgIRLysKmlYieTmI395fwWVTxxwdmKPHklHTMQVJ5ETYdTFl++XmAZ9ibKTR CXxhsQkP4Ui4ZEOl0h6X4jNgPORO3bZBjxybE6+LCipr1Jcnm4WReMOgd2WoEkQQGz4FcmKwqVmK jX9Jebd7qpTUrbftG9CWUJwLAxz1eXKK3Cye5fL4YC5IbbthIdJU02YD4bOzInF109jxSZbqhQx5 MRfo8J1933w+DtsxuSDOWqi/SiNJKOF2UwPFf+pwutSZIh+OQT94vDRMoK9d1UZxUGt7Tb7WJGur RJDPgy9PO0McC/WJIbzKv/Bk8A/1I6T0zha6MI6kp0NDfpBycCSjHL8yoGxykoGa/CK3tP33Taoz uAFDW/VC1k3VTBaN3fHjQhgUzrlbIK6ZrHWwYfdoKI9adaLT7BgeGqEDreA91eDkU4jWbu4bDZ8Q S/qlreyTHPy3Vd/CA9pcbWhPGIe3OXvz5N8m04vJvwIGZ3BV/orTk7i8Mm50z1Zzl4aoTef6eOko w0JQE2pIz6cUerCo5GJ6uDwm7M2Aexx1z3twvGeQtt7hV1tMf3EeNMRaWxJjT5axavTNHYqN6mKX S02B+EVzAO4lXgoC2TOzNjHK42Y2STieOxz7KHZWmWF2CEcrpfLScZmmWZarcCIjzW0Y82d3QV3d LPdMQAWd0JPHTpDlENP9jFTlPTXOvf1YBjkESDSpWOEQU9qIUBRokSqWSCSfO/Jg18r7V2ge1ywZ d/jQymaBRYmqpiVCuovQRsHhCDFQPQsEiSEj0vKt9aM0F4rwX0t7AsNDW4P6BU/7kIufkS7nV7UE QJ7zVHZX7JAsjSxOYSwkXUzp+m8MzIRwwrnt7sM/bFhYiyDYUemgZwJ0GmG7GOGilhSnwk8Wf4AC uitsPtLQOFHHrEpw+cZc8VDqd7341Twqe+U9IeTBaCyZsJpLcTQzCnQ/iX/J8xuHIEiJYrtZqjyG 9cuzwwEAvoE53yAPYTIJN0vYegypY/94djoIpwwab97qX3TeFVF2ncv/7emex5xyBebNFh6cO323 VLP00XBYBr92Sa7aoOSaEnGUZzvs/TJ/22ZxRyBjvm5cSHDHQkvrT/wtoMAUTTNyH5Cz5GyuQkTt WM/EI80lMLu/RnRrXv7OfAdiU8LOQuwxGqqF0mDbrVFOegIL0jBnRX7A83t1nHQ1lRcObmpxuPM2 f93PXvBBV3Tx1nAMGTfxnLwi54qmDCDVDt/7smP/kA1OgvRStWZco0scNU0Ug8F/GF3m9Z4kNofQ KtpGd+wtPBi+/6p893hqrpXPskw+2R3iiGU/velRNjQAti+MqKwAJDKOWfZpor5q3W3F7/1wnSXN 1B4TpjifM7Lg2pCT13JIoW6Pb43YLkFZoSbh90zD9QLhpKJnsTzDncYPQj6d2JD8fakEE19fSca6 gTY/Hu46EJkToMK9OjcIPsgkQ+V6KRjV9z46YXBwXJelgXDNeFth4Xn4NjjQMGFAjOGGGRKE7y0n aWOBLtPPEjSWcklhmkF5B/06MHLm1dJPopQB2vpmoo2oN7bfiikfyLCp4iSdDG4dDwzU2Vy98MWy 4AaHoiKAlQWVi6Ro4dRSTKKd2UvZO07ztaGujCuzbTQOCjAPwOGbabfsFB644WzAPfbEzNuWKDKx tS6qk0SWgkaP+N6slbXZHmtq87sZKxkXzVWltLkr7QaBznu0b/sWpkl5DFVynB9hDhwO4DP3ippD 0ADHzyJRxT0GqQy12mWsvd8x1+wd3CdmjjaBysgfv7fEu/Qs+A+tiIiwPVcXsrb54z8J8BkRkE3+ qwKTJhV897j7UrArzpILfNG2C3C6kFpScJVq57SHFmogdKy4NldjcvbzSomzbMfyDzsE/TLnMozv mDAwwSnmUoO8wfG+ONMY58kQwI5FNQojC45Ap4CmngiWDuHhkZUwp1L+favR5GqxH5Sn+hpH/uwi XPf69vHiJJcZL+RyOiY46TrBHE40t+T4PRbT+G1N9b9vhrjfOmRNvChrCvmDtR4FBmXhMb++JBls 4WRsxNHaE+rRVwJLphk4HYPHJobNYo7Tq9sPeeS073VUHa3GezwSnJxhs5EJ3HczyPQhpBvOtI84 sOPo6APMg0EOGioOkyytLxArQxdLn1CWql5Qox7Y/3YFh02MHtEGtQrkcR5NAL0F/+lRbDTLGqkP cHmLjcU4097ArPfqa2cb66goxrAwUoMIjv/oesSS332Tp2UHWT7rNLcVqWL3hHS227ZNdsb3kkWD lHIWoqNFw1Iq8Pe+l0NRvcP/Mj8PR9Z9USk/A3I+xvaxdyZ4Vq0jt/C+YuMLbcv6FnQbMoXnjEi7 KO+0e2PQchNJ08mzTsWcj1Bq0xQYRApWrgfyJRYubMJ2I69O89F1kib3Zf+CMhbd18bAK3atMK6h X0/OUwa0EW6C/OAXIeO5WFkBjpjXxM4ffco97k3QHnjunJcipRelc/FrsgAi/mGn0xigNRY5aCXu oVa8dxoy8ZEVeiUyfp6U/bXES1+77Rqwdb3fe+iGtsGqopulHhsA15zxn7x9C7kkk3bJHqY9sVYK R4AmbtXChDgju7Wq2rViDHaquq80w2DoDWgasIq8cYvnXJvEGw13AuBhjz7ZwhWLztcljfysseX3 BPDnQk5mjdL8YeIn9CVlw++fMX6AGVHRywu1h26wvuRNypoChssMlUhKE3ZUeVHHDtXOPvYIHb5Y PSbuNKuvMDrpFBpkWPzrKIH6XqYYM5QyA9wvI64nR9FusV3H9m5EBTJPuXvRBslnRY9NhSOrV+oH 7yJkRoXMTYXrZIHJCzygYd/QCSIYcq6xIgDrdovQjWJoayUUFicPUlhiv8PkVwZWAPBRxwC/aceG 1zJpOuCyE5S7uUuLFoPXqp/BAZMmf7I7Qm+68RaMxiIw9+LH5w9q0mn34SajIyxfMNpTKJc46JKV UI2QtTIW7+FYVVZjraBZrmPYOWgtq3BeY+00RMto8g+5B0qjgaclAm/ZXAvlAr4BL7L4jlOryKNH qpC3W2olF0F+ZkwbZ+RjEd+SIohq6fCEoxl+00scD5XmEmAUou0gSq4yep+fkjo+176mmGuxsLJY fgSss1kV6El3/xMqRyXLEkiLiZGZtHsnHy0FTktQmCcupmrB6C1s9e1U131Xmha64ggpXh/UrgsQ ZeB0DFokdxwRb53YP/Hrq/5wQbK3tXN/oO4kYS+if77M3Ykxxe5cva1TGBLMvRAjDwmquIuFP9Cu CPwVR7w9OlCcK9S+3uEgyKRg3sYMZ6+dv8oqwgclzawLWcNfUfKZo7R8JTBpsbsa9qrE8UA9jEo+ j4GNQN66JtpbBwWR1PRdlutI7q0BPiF+6IHAPso5+Ew6j0HefQi2ZFuV7Wr7XkV0hVbljrs5ANeL 7eCbxqiLfFCPvjuKRnbe3Kzm5UQgbYaABAzN2KWixDrvO2eh4n8PtVAe5PXNOVEUbgugUxKHdCm1 rNWziuVJHy3HadvTo7APz2nxeHvS6kS63WJrl5kQbc8j9XB8FMmL7cU1g8k8KgwjSAzfvsBORNnr 5a3OQErimBs/o/8fEtIvH8Dz3EICm6CQsMa1WTkahI0HYPLmmd1FHi/EAbjClFNF1VTqBSh3rBN3 ZFAMTaaf1z0swdjEXnonQhnwXJ+BOLTTME9331c4lbNrPZHQxzS86lQKF0RB6gbFmSWRZjayPLsA WilG7ywCTX1/gG+dJwv2CMS4H2edQx4kxBHnUIw+70J4h+UjI1ICsRJqkvrSnXQL6O442vlKPJTr 85Nb4+6/HP+bQboMiqxFScCX8T+WMP+WWMVhjk8/8zstCUhInX+fRKnwghCs03/+eL1l6ZzkBIJ0 a5FTkDak9Q89hkuA5SZ5L0skGXFdesD1ryoVhb5JkbOjUHK8uPiweOXMBg4N5cvU0qZxFHHezUzn /YsA34V/oxwvqaT8xPUYBjGpVokLZVfbwFUoXZ5zV/35ayyuPs8Wcr5S73/ktEZSKvv+s/eOMqUU Ar+g7YfwB+IEJndzbUDsdCtdPTsYzjVaorKC2In9Jxdm6k3swghMhGdWqeuExt7vWRYX02R6Ymk4 IWWYfd5yvbhRqT5TBGeVdU/QlhemNsrhZZfmDHpki1HDl/Y0MJU84HjBeEKxm0XWrDqb2YaSv9J8 ofaj6rafYs25hbgaXIBP+Rxncp0wNiHrcGF6yek7/yxBK1UbTCjP5ZcxUiOPbvrRw5cAlKbP8MFV KBwOONRefAn8aHezYwBOF3VvzIno1I4J5ejG4OF4HoVs9paANwIM9RyQV68hPfhQcb7IdClaoCe9 +6eZ0b+O/X97ZRiaOrYGrpNlL5mL7BCdXdSeDQ1Il5NSmVTNrZDEO302hhgAZSReoP1wRZdKb/Eu fU2OZgXm9kMUZi3OngRVUXN3FBAiYWNY8SwkL0oyA48oWI3HBh3uHRbwpAB5uoLKD7BTXIAguTyo 5qZpBq5GmJn1CLOKTlVmGQtgS/hM+0AXM6i/5YgaSJMp39Co94sX6b+X1kJc6XV8uSCFOkw5SvfW LGBgIy/yLBTX/Bio6V4/SqbGHuDBAWNji49L9aaHqKczDvKC2Q3eF3gOfcEdFrhHO8ilBXeXSDK7 mr5KzZUs/oja+zBwdvAxVXWJxJnQOTMrQg3ow/KKr1mexfLhdA/PahwdtNjeKNC5sBGE0rIrioy9 iiMwBs6Whr8n2/dBNcMMmYj1JCH6C4wqxFrVzgk+5AP2LNG90p35wfHoZ1shABTpMfhKQsJykn71 yks8fzmA9YBSu6RAJjzKeDhG8NpMpYpBVAtsk47lKtzxV1mu3OJy/ZhFEQ+OjOQ98cpM8pIDI4LU anEf00Ohzt1WHPEVdhE70IJ8QQLpFQCbvVZzZwHq4Iqa4hKhg20qn8TTBbwUCw8OYTrq1Q2QAqSl a+iNuyBysCdznBkMMIPaGeyBYzNgJhtwn5ac2BWJdbScLWe7ejOVMYu/DyaHf7YEqzmmRZOZ0VSz ALSZTG4iXWNRApT2cwJqYXYzX+ABAlfp2rrtd1Kco9TRt5Til99F18YfUz2lTyEKuHLQu9+RNJX3 PdjjQXxsUpXRRzXyiFcYxYh77ndmFTZXP03dck0XyQLztTV+Xs2ADM6EETeUrkZq/hZSjABrmkhR VwowXKhgmt2zRNpkQE9BeDMY2qmPmSlqf+zKDfFGT61yh8UOHH+mVpWOwFKIot3P6Sf5W6nll6Yc MdrOT8MeJjFb6MPxOjKzgpDmnelbNIfFMFUbMgX2oytC2UIJsf4T7ouIVvSw+ymDFB545yOPSyY3 9ZxV0YvyNKtXP096rlLl7X+ueweiam7v6qhEJltk7zp2gLqMowK/6bHsQkosNAaacLNDJiNAlv+z SA32w/PGKkmUh8AJITj7vArPRHV7YciVjrNreyxrYm1T1cmIt7ohVUN9zb6G4CdiH2tq7ggfYKOq Qhn5baLgRuDoamAqlpf6LL1GpoDxm6VhFg/LnKmyP+CYzVVB7k6Cf/632LNGbIAHcc9sLhIMoigA jrHZ+P7dKwqmsbchLmNv5edwIgpdjg5ypyIr6in5+XFnPgGj1QyAToZE2ant/BFH8r/iW/FNvhXx QUTkjK8nw3Wy6rFzbBYHk225Cw6s+V2dsnKKmhoxuiAZnF4a8fzzK0iUBfJqVbafr1xIRYONCr+W xO7Db1Vzwq7w/yUTFSRM2T0A/YPvY5CwGZft/jn9+kBPZ1OAV1qoFmp9/ogrhTgQTaDmvOLwDO4v 1nlwIFlDuCSgZwrtT07TpyNhsTcXGO6I08Q7PGuaeuRWbUiL6vJudDVH8VZffzjWAiJe1C4D1xdY qGnmIzQ7E4nNCMhDKMI9+08iO/muwz0EaXwVK4v9m5HpSUYz2crU73G9xL9qSkQBimT7aiLZ3PGx +lTgZ7lnLjcvXev89s2Cn99oWD6sOf/HO2E6vrxm1w/beO97AAOH4pxL6h+H8C6eMLoPUk9PonIM 8jL0kBfUnaqT7nPBCW7Hxh5vJuBVE3rou3ejwIZLRSM4STMhOMhhPJmE+jcX28QTtEpGt23t2ThR ATquQfjSshdCuDP/ph7RL7CzWhoWXNvTHfIRx8BHDBL4uRnZzeR9UZOyFyHI1lwcFF6FQPrqHNGQ b/OYkAsYvfZX63ei/RZ1eJl7/MtlnguCs2LD3AwJGrRxJxjkV/FBnom3kAPKf47VZ4emT2lA5ryF 99lIOzeWvZ3hNG7b+b1tQvD4VaeWMTXisrPYMONsikeLeAHATwGqRGlAkT/HzXhWrlVGkRdkQuxC C3f2sJ4lXDBxFzTzz90BsZ4vqazcm5TRq2JZB/+T2xrITRtxzrS/f3t99liKeuNo6Tyim/P7J0eI /fVase40jLbrZZWTzfh3i67A/o0j22PcgQSHcGG9ktWpLcfmQV1kakt6Y/mMwA4Zh7TDFzPrDwH/ RzuV2th+8nX96gpgTg+RZBo3vUq5h2ZUsE4YF5DSIm8e98rN7OS8nL/8+NqUX8BuYlsQMOE61/p1 BAMXWeKCgVUjq9d9TLNZ00ozMPizsOM59Ima6Wa8uZLqj2iaAkxy4Pn0wdsh+FFeCQT/grOqX+53 LE6nGpB4FermEuGbUBqPJKaJ6xqC20oYumRLeATg8AqEBgeV0hULp1ojfNr2rMEQQKhtLsT1qOtK eQeZomJE/tCqPcNc0HhZRmMS/e2k7OlcW4r9EnEANhi4ma1NNzO3CMydz64d5YETEKAL0BIrldxc /3O6bBhSwaK3FPJReK0IB6ppljRMardcz54FqmQ2fJqJDQDBygtnYUJzYn2ovNbaUGDSB24qiwsz eMWrcmAftClLqQSp5iMcaijQTU+GNB9+wIYjXG59E5LVHqAqCnkWfR3DPV/oG6QBJPi3BjZU8gLX UnKYkoKqCCQwcN9LZ4kaOUEzFvGuQHLE+df44kfCpHG46AIMLyBBsFb1Fq7SIGV5qZ+xeCg5sxHg 8wNunVl9LIEl/rh6NZIWMHDhez50WWnyPAG866dOhUBR3Zk/+FwmenTVcTIHcVQWIKtmr6TY2TWX RXYqET6wTYrrdfrZ8uDCc/JnJWv0+jCbPhkotvr/2Fp42bScivrWpd/vQIELJ1tO2zCcIhJuU8iC WHTyBFEiDH7JOMzbmuQ6r4FbWeVw3wZz96qI6YQCaJsoKcs0eFHht85ivNbs2JfAHR/kyLx2gJ4b Zo+YdrMyLV543Ws8QSJ0OJ9uH+7bs34MvryTaRPmDBfe5ryTj9PaH1LieqBfiGD3BuZJcsDnR3dj xuPCZ6sUNFA+5sTCZ9o7CZj6HWbRufHZxMrkudvCwoOFFBHq/1F3leylUQldrxBFBIWvLboD9kym H0e6rSc40+Ecw7R8f+xzyRq3MzFmx3aSK+NurrQ+pgwqYIVWxHmtX+NglG+XGUw+fkwTmAzkSCkJ cEO4/L7NHo0oX1NRv8YtrJUja/OY9q9J+1+gsEVPlLO8x6phEWx+zEoEpR4AA8PYI1oU35CHFHhw dtcX/dAnWaHcJ3swgh0jmfMjDYtOF+uoJkfMSI75BYHANtimwbTqfFDE6BRDkKE6g2VZnWXvIQ6y fFE2RKSqDPQXr4laULn21VCdlJAziO72a24L0qItVqkrwxhzW1eFBTOs6LD9ctQ+2q9K7JTMS4Ip VgBB2MP4SnVoMR+4vcxoaN9ftcwuC6UlfgaT0+euVwV1SbzCejTH4s7JQXxjbyWxQqulxfFsSTJs y5v6PjJpzj7k3EecTTQfMQenMQYvUzTT06jtJbz7eYZeGtdWmhbHRdBGx5EszGgekpBF4R4TrQfR TjE7CKxt+uiicsYTtRbno3nOSNujWDYlZDCG86P+qDNkkHrCPIOgCbgywV5Cw7zISJZAqReD7fEX MnQTVv86vOTYaOmdNgLo758jMmn3J2cfKFjdU8VDqNBOwMWEcwTQgMWIeCxt9R5hw8RBzmfXLy8f X15dCqSxKf/eCv6ccDZOD8ItgGemrv27In0yVD/yq0d1GCp38Ly3N7My5k+mWmt0T7VL+y3yPB1K lpNth3fG3KSoYhema+UgbAIoN20SoEjCsX9gYrnlvBJz5GuSV5CBIc5NkckD8uEs/ajuIO3qVh5A EHu797gePgIefr+WnSolSmN7VAyzqYtJ+o2/MNyizCA2chF14Ihr08+IPBLwhwHJVA1DnmI1z98X MiTAM80jMhUeroxH7yL3mbKhBKiA0b5EQWzA2bPBqhhxOb43CEcg0lg7ZwS2oIGFIGrsDNp+I4mf xvkLI4Lj7+QrLohN58iOY97FX3Om17yzI0Jq8SG1GoMlMlcr6b7GB0qvyrJD49Tr3KsQv5QBWpot TwT0EdS8QKTE9OqTlflRe+f6hQd87e/0qQsTxzQ36xiuvLgEL8h3jOYFZ7vLRbSUMTYIyF7aRK2e JkVB79i1aB41YyKMdUq+roJfkXBy4UQh5jUH1pcFC3S/kVpbR0xYVaUK15ysD0yTnms+3/5fOoEM eMzKYeA7jvgx17AdLZgQgZq5wXzPIGdgi8lydo/9YjMP2ktlCfM3Q/j7wGFQLEEIqKCXzMWf42ql X9BMfGAKRotiagMEv+LEljqwX/lQfVdHxIKhYBXc4A9t8Wzo6YLC/MpkeNBXLm6YFl+l1bdaq+zx EdT4MRB+oX6TpcEUHSYfiGLcYxpA3PlkIl2xiljwegXGsA0l4f0EIp1M7HG4OGu6sD06MD/bYmMM oLwV+k+yutwpIXbbOMfo/QxFvXFH4ZLYaSdul0tsxWzrUcy8/cKMT9iFwoijEzG7PDp8o/m8cDbw yR6BZN6X0TnCoFdq2DjBmgMu4m93jQED4XYN3kclpYy5BznMO/wxiuh9ocz9g2C0bb4WZGEMVkS7 c7NGUAd/BPiJt3+EiTdCePsYq3MdtswCdFrozVUx27xJV9LoRs0Hhnz2AIhtzAOjrdE1nCGWLEyR LtOFQVlTNlbJdqUQRQFIA9IohFJVtkq0KgGXY99z/QkimdPfAWse4ZXLIRt1ENXLCZBej6T/26dA XtSjWnYIfMiCYsqMv6goDe4w/CXaSLC7h4VWjkxz1L+/NVGUzrtnqLaSTB7VAd2pVHxIfIgV/GFV 9+R7T3ytoyyvq3+yFcgXyG/ZJ0gUHHcxZmdFvDON0eBQP4ZvSF6qsP3QGF0TnG1Uar905ezJdBU7 6b9VIP59vcTcYa5uimUUTK3d1kbwgN48rv+LchbYQ4S4TQpnI4Bw9iMwKc3FyIWasXMsMKTYBk/W M2AKqSMwl7S1lBdT+KGhkwJyXESUNTjFlFHBDnHnCw6Uf/l4LhIL30kke7Ek3V9AYmEp8UnmZKew M1Mb5IsGFAUGANYckrrpxRSJwpaZ1XqbFlJs8OdCMo2kUkJX2qHHVe65eO8hAeKAnhqSs8wzGDUP gI1fNrMLTUgudOSNzzxQEXRN8dsPoGA/5wAWBMTnxuqGkYJ5wAO6100I5uQf34/FzTVdgVgaWnzG +ZUH2cEq5mCpsLPmv514wuXPJ73L/xDgZQf+pK1+F0ozyqClwO8z4KJH8xa/P+W+d4I5VwNq+67v CGvPVr8zAUJsdbhA5Zflkhvt0irB+1vruQ3KfxxdkLYeqtKjfJrCBkpJ3HBt2nLHRbLF2Jr3F9WB SEhFaE6L6YKGSvv3XxSA+YHjiEO2tTg1pJtv04jrMnHF349+8Sz16203cbUSYdGAKkQGKdQMoOdE 24BXvVPzSzbCJpUmR5on2QAdGQLkn2i9GgAVVlO8HWMSLyvNmfMeRsP4V8+GpUYsfPGhMPUGAYpU 6dCCaF6Sd5YmE/OsH32XbL0qASL15Vvur5yaVG07DB1TaUnkDp0q+adTGBfzgObvravPNwUzbW8i ILX75naIkzHra4T3FIUvOBh26HYbhehi+PYVhWaiIyHL+taZx+xjGy0W8IX8JCX/ANCYhBxnOasx AwnvfjbUQA6ehsWll3tzERo8W3NsBYsUTijH11LqJo3hNC+ArGPV329Z7jcjE+/3hKByW2irWQWZ 3eS3zRqdP2JshqwjYlGiAbKa8RPEJhzRMyPjG6W6l3Xrp1LyDQnNBuCQEpNcQ0oOk5s1nDueyKr2 mib40lZ7tXlncIsVn1VQlqYSXCwcWcrfqjq6X/CToRInahcXD7lnC0I2lfYUpfOdTeb3dBDJFc4f d9E4Eau727crkDs+FE7JwFXr/Ofh20Y1FpYGlLHAYV8I4OU9jiOkkKgaAFnN4uQ7pUUYCVscPVbl yyKzcHuJWpVPxlVdWEDrszWk9W4l29OLnucswBMRJu30VHJ1z7Pt0O5QFlWKNnpEmx6tmJoKIs85 qRQqp7AjpJfNtT3Ar0yU5GHhUV4prYMOrmkhwLQd7HFEfasEmxTjCrKBdkJKsVJ5JVs4ooNRp6W5 uSgzfLOZl+JS+Zziq0Ljt5BgAt2xdlr/gTc4pVgTsFRWM91+0r9f7maJOIffurNZhSV9TthweAjq zsiU0mTk1A0s75RuE7HO0vPf1xcW3JhQTotY0v1OxLdtSyxo1EWhxXB98GrlOhHOw3cidsIwTmR2 yM7kHViWpv7gCRmC2HrzBr4+k5GgDICk12BA+hO/l/XWcWo4NYg8sBuLV1qFPSgQNFTsGNn3IehS 3zgHZutYYpKnM7Oznp9PlxAroq4Dkupnk/Ipnb4MrNSOGXsrUFPeBPVJia9XwnNPrSXqMeB8+eyL Zxblt/kNNTfbqkkfpuapBzN1+NCzcwCMJS/GK2QaiKrVUYl/j6lZPMntW4eSLUuM83Z5YBhsDvyI HB2ENoSIFAi2jnIfjUE2On33NHYHDgQDGr05Ndf2AtvRQ4UcvKqz2FTAnHOua4SPd1vL/66anJzf ehLhzFFmmvF0ITrlwLKnE2ICou3FVd3YGWUBLySYvbHlF6Rk33z7cni400avnTHpVL4p4s0fgKhZ 4PyqRaYOLyfGAITGxjFyvfSNpNRZkaFnYwgN9s3fRqpD86Awy4rlWhHKaNQHTRtqs0YMlckeZoav G2RuqJVSrC0P07B2F96eoUqAz2HNk1KLKrxAZd19xsgWMszmj2FIVMgJYzMQLvIzSE4ir/+RtyCL OHc8F5ZCZBrmDuhKBrG4DqwyoxzRt5wUweNxkPZcLJPBG091l43qpfD9inBrziCJdsoRNuXyg07h S6uxKVQVI9i2UROmFp22xj44pBOP2x6/Lopso3YUdUTXZor1GhXbRIby3r96ELlEBh+DhWm+OPX5 gpCyHQAu3sO0x8x1xNlPodU/tgbQWCXDHjLJgdjBRVoTEmMvPq0E9/s2vAi0afliQ+cdDP6AD3SI K5+5fUaxEY0LnmmgBgJcYiCGsFaM5nJ40PpLF1ultpoSENXCLWO0fNLsLHsKXParfowOgiz0Ew7S K74+5Z7LCn/u7eoVBaBxyTteHZVGj4d1s7KEIIRI8ry2Lnjw4cih8rIvhOe4mx3ESUL+98jxNo99 Bxc+Heb+OPY48bl+CWWb41lniQ8ELwSHqrMf9HMxijAQJW1vBveENkstg6KjppefiXs53PnJcuem YvOdnCoZk9cvVVGmEnrgHB+tOzhfTHWgAvKhyrdib271yzku6svqkQunLoZ+uChCrr/BJ3LVp8K4 QnSLHYFmbItjZ5S6GtSEDlgSskSBBrN0Nhu3y3YYeDlfSkyIzde/fdQrCaM8oHaTp09HOZcAT0Oq 9JVRREMVxoSMJGmPYWP//wuVI4B98uCtJF8GaYgnGdu6GmlNEaDFZmLdKzb/b/gLNRqDlv3YXxHp aMwfYWFoK6T/OZZsVqdGcNoOneQAgHYY23xz9r8H14LiDXk39BorFvQDm0mnoYfZoA6PePt1xE38 M1KCddDtRZ/mxWyeuXHPbKJjJkHEUWddY6hnQLOre7nU/Wnjmxl4rOTXgx087zFxaav4OfP5m4lO lhxW1of3WIheTR6ooL5imfdCU3Owl6xqrTFdqtMnd0grdHHuZi9jpZ6CoeC7qANGaBxiIVAb+Hez OKq6QkBGEPpB3avJrwTSzkaYrO3mTcyOlgKgH2t/pAi87Ukj2rUJWh0G48tzL6JedgfKeFAjXalN 2JAsQ85dAi0OuMrBCjHWjGoFzMNJ0w+2RKGznHiutqKasGKifIbsVQYguINh1+0PvrKcr5INx81K VP4a66KBvXtr+vf+c8ZAuaJxObf1ZcEzGM7KSpqGOQd448y22qz51idrspbvq18FXonJfJVImg9r DnZeUZPIErraAumy9Iai8ePGSn0iAAJPScyX1INRxlMitKdUXxDdHv6Njjb2zpvAT5JKCHvGYi1K hd2n0gvfjemBQUqoz0BPLUztJGStKV6vJImAGCol51wIhfgym/r+OzC1UwDOKx1QGDSIw3MOHpYA J+dtdOz+sfxnyL/FCVuTmSXJwDQEDspA6n/gDlwZ0UKoXoz/zAvTqIhPmykPcp2mwo5YGvnroZAt 09E4ri+uWePB63Mi0YlNgpq6hBOPhNqAzOOXvTcRSdi2aJnUdslWNGPy5lMylfDHH+KN4O2/HdC4 hvk/csajOmXGKgV33Qf8aqKO0elIxdgh2VBl5E+Sdk6omRC+4Dp1HbO9uFqcG2my8ByCeFyAbx76 EC7OY+9r2SY41Yqza8K1GtA0RtCQaOFL/tQA5cS9HcwMVpeU9N9Apd/vw0/ks1a1gDYR9dCwu09b HeptUgc+9+IXb1aqG+p11vSjgHPcwhA1CfTFokVqH+/OPp9JhVTdn2fBGQRQ1Prd0k2vexQhrHCp LRO8diR1DMFg+DYND0wzQOBfBmOZrdVwkCxAhq7mIExFLY49PtyP4MwgNGBs94+NzPfbPVtJ7ccw uCdQkfl/shD1R4TcxroKtS/ZomR/RJBTy3ZlJUnvBbWJcaCuLl1IHn2Ot72cvlccvonrrGkbeRiU gOE/Fz8Vc9SK9W2mFhy2zm7GKO68GZH9ZglLRV5oFPOfbREJqBF0NS7uCc3Z5N87MdmFqmTVJmUW jExY9tZ0CE3eR/ELUWSWyZioQ/hI0UDgwMF7dWOyNKXnrpP5Hrp38oC2r07TzrwcZ/26PWxHVZaB NMdtLOiDpmpyL3uW4qv73SMo2QsdZW6Ebu0mKMaoMoer9liRmffPKQMq8hJKVYZObLWpvSRf5Ab+ ZXtu5lb2zgPhVAayvWm2oLOd3TUkN2Me5OMEcqoW6hzUJguCOgd3773vGOW4Vjb69ZZgKyk7pbvy CrCssVKS+TD4uDGmzdDVxPcQWVjB4JohywWWqjsrSaSQDJOHkdORgBxAJHmL0sjEKmd0wUGbp0iU X4Jr161ZL0m83tLs1ILcG/zKN/qk+H6G4XCHJojyN7T0cdQHjN6e39YB7LtLizwmVLM+Zw4pmkeT ju4zgwn8TRYyF5MCJGxuT+YLMe7Bd0oYInTvC6z8BFwjVFSyd5uVp5VtwMp3ZSbX1qxoc7Nhb0G8 p525C0DGFIrB6YjLtMY2esr6TCMbEIJ0T0JNAro7pMqvE2WN8wadhH0v4Angio04UEr3CppyWlzd lHUy78Pxz+SkW1b/LKsJSKQCFfoJtsG0UTD2RAxq9A5quYpg9PzC6SgscIKsojYk4X9Am9u5mVNP 1547+L6H/qbytidX/S4CUoFLEQw+Aq7svI93wu35l9kRhZNMoXyP4kbMnb8YsVcUwInNIHmgROxp dwRdptRG2ZCx6YwEO4/AxFU/mEYRJnAETv1pdRX4VS+bASLXZcHrtOdfSHR2TegkXOL05jJOD4Ez 0EF4RGvyYOAVNOVixSvkrdpBOfR1P/7Ed7zntimeT1RlwLCmAXWMFxLQaqn0xd9SzkGql2PV8+6H IDXoz9VOEItFRxWI7lYzKVLAUiG6YhYe7uaEj/nIw9tSb5CU7j5ibu9xSNbM+hRwZNnKI/6RF8Fy PySIcrahGtWBRPal9bbc0QGk90IWz9fwnjwc4mogD3OOsSpqQt+hCspjWjq2vInn3cOktc2Cfb0h CAX/j8XwzUl9J9/UVx7xMZd0C+thALy1Ya4aCVVYB8cGwTqUQGZE8Ts3RZ+oFrRmol6+w7UUu8CO MiK9kZiwGdjSB9ia8I+vGT2O9t31U9lE5QpKLPU+VBFZ1wR2Rnqqy+oBqeRSVGVhnhK5S8EGt8Qv KIv5odbXMHzag3jaR4u3oV9ROr1yC3DEdAZKVlme2l2FiMB6yeY4uuNvECGo/QyU0bha6LWL3uqV Nt9NlTMtkvtLUg3fVcjGi79hRILUSJU3i/XoLBNNfGUcy+jlnt5VQZbsfBl3f4Z8Kyn8Mp4Tya7v pscY/ZcKktX1juh8OWPBw9B/BAk753UU1IxfECe71MQPgn2jZqIm7Z6UCPWtrf3MrgKR/9+Dwsov uhzHOqXksWojJkhEQbY7HSTKvEeYUX5CZbE3+KJhfv+IMcB93N4iud6L31zvIkLlVtwGy7qEjMHu qlmQ8/KVLrqxPd2BxBg3it5SNUekNucS86RFUWgoGW4OV7YH1+tV9WUFuqUNrtKwsjOF5rQNh3Lc memX3S84XavB9CaxQqQrL8G4IDXmLjCzmc1/GZSV/Ur8EchyNwTYlc/s5gkzg+KCeiTkG8nyCCf9 nYsGZ+w8cgmcwS6q0Gqn9AjfqY16+uQPJva8sJEmizUskY96JPAxeFLnNIM1+vgZFCcnE2oW09ZT k2HAvf2tRreMrVKs/KFn3rPiYnG5Vp+SoyNmIL76pI7Kqfqm23/t3RvvhfS5nwzNaNfmxOga/eld G5GhVZbv24qBgut6eMyD/k/aI8I47HNOE61l3Jr10s8DVSu3P/zjWURAZI2EEn1b6R9bwH6bcJip IC+lIQsaDMwbIyLGpOGMG0kryJmSu/dVfeY/R4rHQ4WbdA4lNsu9BtsgVuVE8+Z9LTb9u1Ev9NIJ J0y6YMQab/VCVaMU1nvVj3pHQ2/jVsPCUI9jCCFu4leE0zZoBmA6W0V2mc5+8MGjDHrXwiUVOODs zPNKbJOJHd4gPb2Cdyeb5Y1eUKJ2S0dXL+6L9+OM9ctgL1hgdY0QDLpE1QcDXKl/s5biOg8YS26p UoCa6GdITyqWkq6weKz5UltPIXYn9V/QuP399ZhXpXy1IAvv+oDa/AFtLUQlk5dy5753zCC8OzsM weaXhEF/cX1TL1GtM9wyLB5zCTlBa3YxvLTKcyyeNDqzcWNvy9Dws1gm2I/X7a1jKlXyXymV5yWr wJ+gk97BtSanCX3op5NBmJwFPsW0W3GRRjxVjnBUfE2jLH0PymTNnlphsXlXuhsdlVDetI0SDo+U OIB758+gbX1A/kyDvBuOVFk6G1ibTXRS+w4AOKpJFySzMhLLaurvAymFoS9dBqi75bcozHnNcaUV QNB1JkRxAppkCQuT86ovXWjzqDXt02YHhK1YO+FNemGNSZfHspqXus1S3pHATn5Dl83JV+8xlfFZ BBFbip5lIvZ9dr0Lzpjwfbc82xYn2s6U5uWYOWYDIRMRLAlhGVvW2bouI07UtDcffT/PwvPNzUwn yD+Pl1AOeuESdKESoMKVb3noSm65gJUdKrB4QzHOD8ihahT0+UkTYRzehNRfrgoHK0ZF+tppJTzF 2hPAfgclcLVcefPX0R0jRP7M62xc9QLNld3qUy6AOGjpYBl3Nhj68VwSU+UnROvRzRWcr8CjoLe9 aXy3fcleIMQmDjLv6asGhzquubJBzIpLrn0IJiLIJubSpdSpwcFib8Iq/ijbMmQAmBlHEz6gpgC4 htE5AxvFWdehAd5ckcM+soZzHTMcPGpQvE7CcUPK6GoES6o8PAUCM5bmM19yodzb7nsE32F19/vs N3UToI5AjniEpdRBw5gZA9TaViH5nUK2rNbPapgcaFaNzX9tgDLBF7Ktf3AaTfxXBVeiaWEKZ3Rh gjwcLcmDDAVFYG6dDAyZExRPgbMgCVuxHxrKRFslqReIsNxL0Pxf8/DLoXehnYFeOK92Y6OeI+r3 4cPJU36/PZLleeKEN7BhnZlPbRwE8toA5UvuGaZhpXtC1Vb2EiPoZQogNpEMUliFmlNJ64qBWvy3 8hm6sZuKk4vQSREWYtN0jIs5bkyyM3qAx+dIIhqRFq/amjEhO8m3NPgEAhoitcqWUTeVHBzFTVRg AMsVJCzUOVcV1IGQMfcoFVMzNa1IMUc4p5pGnKT5fBu3ts8KAcOtXq65zgzYCgRFgbl6xTEBAFKS VrXYcg6wcwtWYlW8wV5J+7lHQtMSdB9By5p3jenHQYIa46jxlJLFzRJSzRaGl4LLX+OVYnCEFx9E h8hNqN3mnak08u5+E+8D/WqemLFkoFKSE/gNWREridPQZqEfi5vrbxSx6C0Y7p2/We0FPPP6djot H6sbJcsCWTPoeEFAZTGcNd6LTcZa/ChmBQq/wLbN2SGJkcRiV9bnaj/AxAe2eb61+FKiiVPATjaB R5tC3SGAOln34Ob2DWWY6VS1Y/80AhhoLgnwSoL8kmp/ANtacT7ntViIcxPCll3NVc+8cEBzsH9u dCTTextN7TKQLe5nV+HzC9vWN1qQKrre8kLLLsrun9znIf9c6rqZibpgMxg7/HB/TiguzkSbnfJW /y4Y9tdQTuCcb7vXvl4EBZpKnaz8D/5kRNsXmpBhkdQh1h3XKpVgZ7yAVbpU+xF60OfAFHQOwant jPjbC4bplNBy+iye4QvxGD2ED6Xz/hv6Ty0dN+Hq/jj5Sze41Kr+KSmXOZi0J7rbLxT9G3pef9mx QyZJZhV5EV95HzlO1IYRfJHWOUMZDagR+0bfW/XAPZSB7XXUtkdkjMnb3z69y+WfvoLO78Ub/2Ps IoKC0qeUOyTbRQIjoREiqXfLIg2ux9yqIrvbFjqe4G9zLE2nfeMKYjJliaWJrBa4lxppOnyrUpxY CSikBfQa6EMzS34F5ko+kXQrDAIi6WwF9vhf173+4MeEB3c+u09qiJJeeFVdsLpNgqOAWR1RGj32 caIoYpAmDATMACLK/rrfkbdbGwo/Bp8NzAvtmxVcNvOk0ZCxG9DHhr2Qa/wV1NLhB+GBby+3oAJG AGUhicq1W2UUAC2q4XNAAfEu6OotFwwSzE7bbr+GiNB5bmu7GJXNITHCpLQM3uzE3AejQyiJC0dC MghQYei2leYFHButczDJFMnEgDFS5C7mxkiupo80IKgcVQYI5GeluYQdVY2vCUJ+ucVHULmTIrho 7sKSuYnMMpsvxcUNZ3VnJcghU1RKW47BdyyPNRYlnbJYlpyKBBfTGFjyMJWk2It+UyPh4KXMNyc6 ofiTCB02V59t4KkGbyz3z+J+Hxd5SNqsbGRFReHZ6UlJbnKGnpSCNADdRaT+8oe7RGuNN1/oLjw7 ceXCrTwTFquVZFso5VIUhmXYTKQW5PuwCLktur0O6v62rnjdezx9yx74Q2LibLF09VfT9WtUD4/u cvwlHz0s6kyjHJ0eMXt4FlClry6oCpcCyDi87A96TwIwddrfCO52zLc/CvKgFpZRFVg0fIAS9hF2 7AL1bVll3hYRfwCWDbi+Ln7iLjlKmfXUNtYSuuk/lfp0kDI8etFuV4Nr0jXxizcvRcfBDqXGIkRW 4lMztdt8VCgTiwcGU4iUwMpE7Xm/ydwM1pKWrrMx848hYQ9Ak55DDg+8dd7D744JyZRb6MpDrssy +R3vMqBh9ujhVKHVvagUQAOxjzMlj8TYk2pOZrdlL5eWIbZ4wZHvqRt5izr3o50hCrSAsyazAYL2 C2GEp2hOUNgc7HpMxyPPuJIUluWr1K8vBsDb0i7UXyca3rJ8kDk/Mz9zuue0ZpupoFnkWdFk1DnU VnBYYwLKN6SXlRVZ7maOF90vYsk0eZ5Eo6N3bM2x/mCi/aXEOz7YoYZLOhdo/0SYA+5ZB4bHaH3U KMHiYySkXT64CaqbkypRpi9AtP749TZsc4AdA6sXQQ76IEAEdWW/jG0J5l42lepb64dFkbgVX5r5 gzLL3sxy5v+Ytj77O9PkGkFSN6qlI3fIz+7H4XMPOShZlggzdDKQl4PTHiR4O8GUGXHhUsSpDNkj 3fSN/fmTDlvH4COB3IpVFfRLalD7gzAqgtzlPd+Ainhm49nY9HORmywk40Ajb/uNNHLk099acbI7 tFxmMvHOwDNihNLj6eF/J/BEr0K4EwuvkqGfkmzBbcgCHHjUUkkfFav9uq0tXKEL6orWpwAknRbK VRWbezYA8Ls1C/dnOdIELoteLBaiOlbiLYHspYiT/udS+u7Tfowh0/hkgYPgnV/kKIYGBkTEoYfr 2v4lACtvtRdB/J/1IhpozhpiVb2muMj/xMTLkoks0RWZAdmPyXuYF6E8FtJ6yqRvtTY7yxHAU5s8 zchw8kRUdtphBFxPtgnU1fxKeT2SQOdN2NC7ul1uTUfc0V5aFaRDJhZWJx99zoiYLfgoOVrmOJSn UYXKFVLHSjDS9fLM83kqpaDnCjHkcpqdaAgo6tovSx0rqxmf6HdF8VPJBGnQ05j5r4Lq1sRVudf0 b2MxU1zqxNP4/7OJJWwd05CQpn1iwZyY1VNRipzY0/lHKeFtMy8Tz3K2EcQZsb7GIbeizd5fTprw BnzpILnNb90z2w9IQCHIP3skWMfqrm7mwRkUj3kgrxFfov/mxy67noTYrTFJw1lWpmckryPR07zQ BuSroK7prhGilx+AbFM6xDH0rJ6tkD+uytYMjTuHt4U78CoWbwQayEaVimBYyiJyqDxgwNQPHqXg DpaP2e0OioYPBgkw1f1rPTPXFcqMe3K/D9UoX8FON6C5jPBIDPidyqfglXo36tR8AELUQc/fxv1i Uel2tjMfCWierVrSyoGmDYi3Kh4NE42OmAr88eOPLzzTtalnFgX2LhYlMEVcVRhL/7wAoSkhi3nU NqaZZorYghM73FmI0SniPdWclU1Llk8JuuMW6CYkISphWKIbx67BIhR5IPGOcEZwQ4nwmqL2loEW EqaGCHGrX5kjcyPnMSbBNnKqKjkbMyfpOQTGjMrjBumHrrd3EcLONX6yaMy4Umfk6rcZBpR9bCeV dT614B/bICdOxAa1EnXOe2GR4W/nMEWnBkD38YsEaoU2CA4YPml8CSRD4d/eulUzcyK1eLP5c9c2 EOLgebOl3I9VYu9BapXBOIcgVknAh95TUwyhNX2+wqwhsQOnArw8vb71J0DylAC3RgBkHpkHmEG8 cNPwh2Vh11lKtWoDWsb0j1cmsMbV5Uazd50hkeGA9AtSl9MeVtBlDu7vs2xy/QdBPxyDdc8umBcj DvAYAOl1+1GIohtuuOKxAtwqa7SVdNy3ovi2PsxI1sJsKo0cUKb8YckZWhxXmkG/J30bKEBxdT+T TDiEnbFbGbKv4MPwJKzWSesaGjspCsZtjcpKdQOOOkH0yGYun3qZ8rYHoeqkQ9KKxfoXSeCcaOcD Z57wy/ZXJAU69K4NsjmCCxZGr9s1LhvRZPHMv9UyCCAenJuDVk7u37NkXJqctbwWNvFGJpoNXV01 ThZ3ez49eSXEk1YOajO055Q9tsjmeQkHBYYS9UYJcNMeFwKBeK00JaaB+uq2Vc4/YIMFIeaL6pxd FnPw09/pIzrzmyMEmY5SuMh7Tyj7vhot1hA8vysbDZwInwbNLPcrfkfwO4ax6wnmtwoZ87Icf04k ED7F2Ych4eMwc5JFn7rZv7Vb7eOLzpAONYoOVxebEfC74rm18wXkXciWY4eJwg89YwiJyfTNE9pd 5PnSFhawAf9SiyTGhwApJRdv4U96YqHz0NO/Xwn1H4aepUazFH5Zjc5KlSKiOVULleN4CxACtbc6 CeoF1XI1qbUjaPylQaFL0VxmEWwBA57mXqZqV+fvuZxD8K2EucPQ0uILtDT0cCgGc+zJbfunD84o r6NdW860NGzndOfu3I34NFSShsnaQklpO0SEjYbr+eyFQLaPVni3REEXBuzEouA0y7AtN/5F0svi Ntn8Yy1DNu5tYcr3fmNf9hGRz3RLzC4cPv6JqTP9jFc/AgXjpGAI/RwSbzaRFnjcYeVi+O2/6hX8 ZUGTEH9EwMrAIfZUspAag+hIMZ5bZfilyjIuedbyq7ECmWoajEh3eq3TdAW4g3NhtX6InspzANUj nddao/I54RTUd01S9O6OXQAgRbtdy4q2HEjx8aEcUFSiRrJiM2x+R2VKLQjbB94xg9kt/+AP0LBo /9JLwimKc/UuIv+NMfHYHsg0+IGwEs9lsx1RXyValgqmYs3rc7AB2KkPYfE4IrrFagopc6qKa6yp Mh6gcYcQ/JNAkKltymhjVO2ilDd7Ngwe8ydEFwqn/J85WnV4mTbvu3PpWIRv+3pfOrwu22zK6YNB WNR7yvjv6t5IS/cYvqHugncEUR2lVWlAcSEf9VF/uw0w8PGcz/p8zGIz1rNav1WofNxBjX22jMYg 7UYk4BdzuuwbPgwPUcaBrD/c5DgOWCKSSR8tjkRLVd+i47qxdH4Ex1KO3w5shHnNnJ2vUyP53NUx yhcy11lW9Kdo6GRnFcjsl8T6Z42Nat7PRC3nf0/vtICMVxxYypI6IBzEiq/z5oQQJTbm/a82HzUo jweKgshVygC2DPoWn5cIb0FH6FpId3jiznknQw/4tah634VyVOY0a7OYqkdGo0C7nQ3+eKeix94m sDGnEb8A2ZPkcD8P2woy+nyQReoIhzlI7OM54WxXUSEiP9mn9q6o6re2Zic0FwMfG0sF57SXR4N8 ZVv/gbENpqpVcbUICidlR9W1Ep9XJJcsfPn8/ZzdXCNd24LUADfI0GLifTwJcOI8XlpZbkp8gKKu RbkAQ03HQdLseOOyBvo8/zuHBODXqRxUFFf6u1JZTSk4eb6NKh+aLjxrX1LUoF7JSm2545XqFG4f rvi8dFyPyJFinPs3nANysegUfaPrwmAXhZGdhxUeAzEgRIWi1lRymulv9UmDxIVvjfThbpozGU4a rQiP5RorSStk3SijnvCElbs6QTNr9zyals36P0+GTXIDSKxcK/CRTmLRhgEz78KVMPnDh7RGSwLB a8K3zMmBU+Xh/1h2yD55TMk47E8CwxkyXd4o+UU026R22vHxjVvic7LccAXjB68GwHAP4im3wIn9 x0aTFAfbdTm+tUMBjJHcF7J4WWb6/wfF5jJhgG/XbkcpfdoYT6yhArzd0QKmSO2VNKRlcUca01bL Svtz4Hf9VKMYCMw+lUXJOOcKCz69P+e72cAL60e0vrkUPJI7iNLArxjogW8HHMcaNMgSukL6CVSk RnKQxuQ7vrH+fVTkj0RPtmVfyR0FFMQdXCLifV1bLgUQ1OiknC13I3H6MH7ou10mdwwpYdy2ye5b Tx37dpf0ySXsfKNJZIF8sbtPzoPmB8kJkG/ALhHbNG4m9zVkuR2fQSVH1PXuffYWhuL08WY/6Ml1 xjtTZYdtZi7uZd0C32ZsxQI99iCfodWXL9UiOhYPZwpbCug2F+8eq0Te0DxDUe4jxF57CVF0eQ3Q EZGs6fNJ+5GYFviIwIdtDDOXaqR6a2eiARDDDE1iP0fUZm/qPoc0Im1RVV/jp2U5FnMv4eL0WPn0 i04jVFi1uTvUl+YftcSYQi/roLq+HEMyhshBF4zXCmDFNZ1UTydfPsifVnM15U1UKUdIeMPfdAsR PQdbRnmFQaaUgk/VA8fzz99l9wkJ6kqDG4RNRlLEQ6Q38IkmyEHYfOGeXiK+tGLzRk2i1ZEtB2JB sRWWpXe2BkjJ0MUGR38fES5AW1Ip3T3UmQMRm9g9ow/ErWdcHMOC2a2FyiKBkB6SkfOqDmQ7Rbk9 LLiJIDbLmusampYwceIt/LKwYfrzywcH+RNXW8p8kTbHLlRezZycFBPuZVr52ZKqceV0ixoxjFRt o84z2icxqHCUa3C80hWabbxT8igT4X1N7gDRZkjSusEPr3DjmpuBP4vU7RiAzIhwqrd+BsTv+UET 1rkad+k3OqZsqL+Bijsuu746Ybdhv37K6HnzM0agBYr3HWcbOyf55K+9ikY9bOOh5azCZWxbaRb/ +WNthdrJ3Sssi96rdJA5vEZgR/4YdSOar7sWCAsG+s+I7T8i7IGGwlEwR5Kp7kDhE0Tq8gq/FL7u Du7KX0Dy1X7EnmrAvb4TyIpTJbp1gM/5XrslHSPHuEYT+fl68Wo/7aTd95qoP3QsSc88BVQzlBh1 88IMbi8odFiolHPvcuQhRLLartxH0/5VpLxuequv8G/O4RXcqNv2AC4AZ2jPlAgof2iJh61vBCgE PhgtMjVqRl4XJ5AmzExFrhg8vsnQ7JGNWlnPXLxLYWy2uXius3OarmvzO4mgg8kJeUYRb7IWVAUD kehDw0aPWWVppnWOU41IuML5O75xK4hr65O+rkJyGU9yZ4K/fuG1R8sf2PhTWl+FrMTK6cWDfdsf J5WT3LzV00fiM36cUpfFYhL/U4Qu3fo49QL7ttASbn+UTu/y9V8Vgd1EIRzyltYQRrCzlBMOPmUe aJD1kphhWXprvWfuIMzAEjYiPnl1NNRSwea8jkHluLbJ0JW4R0cMSe0k4Q6qICQh08ckojLINfjo QAMdM2Un7nTQSCkjb7azHT9oY23kaHfp9zXjtsk/EJG/dpE1K8BZOysJGM8MMuIlQkSf5lyS+bdq L4teh0GhPp38cFp73nuREBD2I/EquvujqCjS4fkECViu9WCXLnvSALdJT5J4xS2TA1Z4HZgWQoXN Zv2t4HgaT1wknOaNXemWQGZ+r+OT8GxGxtM+AqZEkCc+IaLAhf04VjaaXAjiFkVJzL9QpkjxFhuq 8DE3djtO9pk5jem56ghZ6ofux04P8wmZGxI8sZDQQOBKO8yEjC67cUrXwe/7h6z7W0o0AlSAx700 TKMNCgx6lFHKCA7abzMLYkGF7vzyhbnOg4ydxo/F64WaOUPL+R7g7uPgCVpkGz0t0nht++QamstB KQ02+JUWzIZbhcRFIfJdon61s9dqWWRt9/fNd6GecDIsq/cxr8qTbGOEvhZmuWW7LrkpH35RdpsJ 8rSk0Zq0PoSiU8i+kawT40iPReuwFIiGwzOonuNcwXaNHWAiOUYM8I9D5Khev05jWwudczaif6ru D74bgU1mt79nGKg2OmTBwN6EfIw1e4BLbIvKGXSEmhvpUB+lq+stPh5pmbD2D3oRvLwC/+wtvf8a TTvw8e9sn++68Q4IiW5ZDU76Giliji5UTdf/T4ayqNtURsEFAwXkvWBiL7+She6Owhc5TzIv0VDj ONyESLo0RF92IUDLa9Nr3QxaW5W05HOFTuU2mGvJTcJQyms39sVjHOz8DIl6JY15KE7giJ7Mgmo6 s9UoCqsjLpWFPGyxCmNXmVxusCOsk8ZB9YSh481WdC5f3yO9PXt9n02J+H0MDu37s3QgHVOizn/l MbD3PSnshhOV8ANAsfyPceQCDqKDUKh+agLy7OmgFa+E/37kEvy4+J4bdDstLatF+DP6hCpKJmlj 70NE2BvEwaUJr2PzUt9ioLhr/kcknlGdS5iMhw48B7bS4VbVspGJy2biH5mtICQZz9vixTji95/i Zcq8k/lwBTtTXyfP/BSrzZq/0iLAflHTGvT+FLrGKmecDDfSIeceq1ZTfRLl8XQxc0/HgrPFAe/A Fd/kIMy56eOLLM1cJGLXGEMCC/NBrED0HGq84T3J53kvENGILeB6PEy767P5ZNf/F9wNb/GXOzbx oXROCiGhCvya3+T6Rdw2tuTIznN0QlqaixSreY0d5SBhqo1McksVER6nbdPByNEOvqoiXFWNfn9A oMxHoyli0O0ixnix09hgWk4Stg9b+Xyc3Ive46EteLQzWbBVmpQAh9orU06ybLU0jsiJOhwS5cjf 7DkbsowjWIOvn1QA6CGRqWPEuVlYlEv1AmT4uyDM08+LyDl1tno1y4roujOZ4aCMwV3cQVUghbud gsv5lzTIf7kX+hkFcnYQTlkCESz0ftnJa+YNNV3iY0OiKcqwiRXcDiBzfNn86qTMGBZN45b/CRJO OAlm8hD5LqYBm05bRqwL/j5XFPzwLUO7ljz/dIvSoG8Uog/biabu+vhwg5/VSbWh4kHYgBht7JUK 7/2z0EjeE7ACon5FcYsAtHxNYL6FWI4Zg3fZnJE5J74F0tl1Nq+S3ftE1/y3I7EAahid9162AQZS 5ElwHQYCqwEP1bfCOcm3sp4OdHN9v4MDyT/CpvgRUcpAYKUtfUyiq5IqIEKDrd+ZsOQYMKMNoJdz XxmtfIfG21WG0ZYdfMiZzeiPw7gbtkZMEd9l05Y1eyVk3CL5MOZZ3StCKmMEByxEUYM0mosNJxoE vzujMvj2NH2i/5dDi+WQmjCQ43+n3SFQhRHLaQleK1/rhGQRCWdnB1JtfSXGHx/wcvJb68ggyl78 vBgOgTZIRRR/hyDfTyrinc9Qvw65AxeG53mGgtdnNUHnL+AqLgfqccmjyepkl4ERKYEB7T4t3UhD dNpYJHRzc39G5XCQq4WGiBK79Op6GkpZ/b6h/BFaLRdZb9Lvbdit0fNEzhbKc1TpdE9qZgE6s1al E+xI7YU3Dn3MVNBa4hGxDQk6PYzLN5rMnSpIpKFl/7q2OjH7Di/MAaPK+taoIQWgO4bmOC/ur+Mq nh/OsVH8JmtmwyzYDZzuraQFzFFZjnXAzyfRL6942QdPHodYrmXcgslCJuetF2sFgjZ8SysrxsUe MdZuIiGM7SDvHy4BwB61ot6U8szfJWRxquLglG+A2wHJzhM3Rt7+juq4qsEWcXzNTdWXm9LE2a03 fS5j9RKoRLd/OPWYq6EuO2v6RGW78u4KtnQI5y0zKaGZ5PGcFXg+lM0xZWE0pxkvWTvaV0N+FECo Xw7FMAr6yrsNvunlG4N78NXOk8vD9Imhee0VF60TefChJZIHIJn6VjqxAPVZsdktJX69Leukf86e oqI4McebKl3QKccQ+NfNE0ShDtr7+diirX+4lw2DNc0Ris9NPjxKcL1O5pWoTxkXv82kTXI/L34O ZOMetRasoIyqumzHpelSJMXlNSD0G9NqnMlKhPlWpc74hfZEA+ivyY3bPvZF+a3D1+RLQU95poKq ton0/VR/0L5Td0MCxOwMEayOQEyigN6G9fA7XoR3YbW20fXzqB9a5cbqCZZiyRQlDyuNxMwhu9Y+ ZGHS+jZiVRvtbBYX4HJQ+GnE8GWzohygL3lB9VydrdZ+q9857YNdPJa9To1dDGi3+umsD5z4xKpb MwObR+SsXAHNqUutUGzBJXokArvhW1Z7zkQy3TVZerV0M0dbpjlwmyCVn9YkNH9OD1HbS3l1MuUq nv00PpKcDN0SJN1kEJ7EO6eTbwRTDtXSN0CFLOPiMlezgTJxKNpA5WqFRzQowo2EWz1u+D5fmdhy toIG4/t6bgTnRhUPQUufkMNDY4GeT2u5HOBEUqxioAlVrSxR14TrdbhRZUZJNwAZR+63Y81CWCt3 ub4zKw+BdsKEsd/e7S9FihzyjwW9TX/M/+KnD9og3jl0KFp3FfzSHFk5I/YoQTEYYis/hKyDFoc9 IPB0EyOK46K1pY5iSgI7rvCXEAHOhpbS0wlFKT5mSDeM3sUfSU19Rs7Ubtm0FVv82jxCoIyQ6B7p PakcfyORfagk5LNKX4aAp/WZTEssEgObmjoJAWAxhVgyDTGnLd73c2yAz31eLxQJvbBSZlb5F26M J6mpT6KRc8lAsqURO3rxX90aO4b4bYbl1NzI5cwOzZ46tTHB+HKuwG0ElRB9PerWQFHsVj9hmNaD MQcJC/Yc0biHrIOU9WEG1oyinE03BRQozF0uqQxg6G9FoyRQBFW3kJ+AxB0gZZVNo1aWr1e7UrQd t+NAFJEkzE59+9zw0Kq7Pj8K2WVh7TD7PacP85ktv4X9K+brkjzMXWnxpR8C0cBhZ0iaYZ3AjXE5 pVWUssK92p5MF0mk/zuDHIkrqvttyKZb4JM9Io20W1PcSqKwYjl3GY2dOrrzqORowZmWLfd7Juih ED+3veLL49Y3EXhr/IGyhUCwU+sDFldL7KF/oA53cyzYWmTlVnetdmTWQjdpJlHD0xAqMQhPIqnT K7OKOk0nXPdh5YdsN3brjp+Qa80AXK9lClMt6JWA3nkKTypN7mpYl3C80yizRJPIylQxc77sA4yz t8GXcoORHU7tT68TPEhDgCViTUAw2+REPWolpQlo25ML9p01wYLb8aN8XfHxctVxcA/vJKN35QGp keF33xd3/ibFeMkCjHvKCVM6eR7KfajmLvBBma+EKUAcceEwWcBdUXoEhAa9wKRnrMyI9MI8o738 HdhQb4iII4TwUqzwoyReJPrrnBSMmm5ecL/UsPH/ZsZBauNxtj/1Alcea7JOuCBEBEEqFoSF3hWL OtcxTQ3OKiEd0kfb38FPJvgT2ZC7CjiE1Qs6Wm+28TUdgA4aVxV++OFlNTVX0RnWS4lpe5S+3pAQ X7wS7XmU4ViJGGOJr6sgEDJYkHXV4ya+dfrRkZNAeiGNW18XeXMAlLH6BmDIeYCmPIwrpt34dm2R 0REW6FtfPF7mnrX1eZv5hEq3PJRnfgpv5VRWZph/8h2epio0irOKoYDRY7f65j7iU24o5VBkl2iI UBZkubpUnctcaGl0ihAZidg8Gg8UFj1Icdoo4cQsBrmRIxIgoKdVyxA8iz82uPSocxBXfaV29B8b s54xp68w1GEAfHr87729RIkbWUQuwMHViO8xAXprxczKa4PgvGFTRdaeJPgZ0GpYIJri5YsliMxF IiGC3FGjFAkW4m0fzYV3RWJieCsn0L7EgdEwMeI3nqmknCXclRoH/jFsFUdJa9zRDwxWQbx+Rn3d TCeRBpde8sp69EfQw7FuzOQKigxj/ulRFhBllMN64feWVe/Gi9JIunkalEdjyTjg1gK5MCfybWBB Jooz/qpMuwYslIr9XSWHaABRrdmEvgWbSjhSQnwHV4EHNbmYIzMC+2G8k3781eHROH/NyAmKQ0vA ppkAJ6apOvgN27PN47WyQEsEi4BY40etnrgj05BG50dVRY7Bz/zHfPtqVLBxQv5+hayI4dIOBuGl angB0RS2s+9j0KjYY8bOMyyYm32nmk3MCJFFfnHU5sq1Iu78VpWBHKcdrgffOea8AWX+x9D0PAOE TZmTHM+UG+s1da4MMhu2KShQ6DU26XN0zo4kYBe+HFMgdw5OCAjIFt3a89j2pDkDoJG935aKeA2P 0NHzMfs3HirjTz7x2vdMJnkdlObGVoiwJg6XRAjovkidrJ0fmNo1owyLl9qKzHkHY7UZ7aGGmO/Y g+OF8qSsHz9OE+tOc5Xxrh4OV/xuGYqO13O6iVoKcZtdj1+EkuaKSC3bDL/qIAuFYzY776OoGqz2 WduxWQQ9EujGu9aXRXjwKXWzusDoQ4F0Q+l8q5lCfaAbN+yMjN/ztBBTaLCjqHHpoJ6Tq6tDzMZ/ sRiqekx2aTaeOm7gW6uYFSh2lL5KGdn/iP4naV2oPPeJJaWhgDi2rN9b1t5p2jNEiEwudMgH+Zn9 dwf3p1sQYVGyv4cA+jBRcnMIDZhL3oiz/C50VxK9j3SRXXtGytfGXd9qpAlxsJJMekUdc/mAUQJZ PstUokE4Xy0aBXmQnPfbmwraOy6vuQOf5rywj1YMoI3OHpVRpy8QWheUgC6GVPMx8bMvXHxa77wP iJQITSHz+bqYzji4lXg1BrD1FZoBYbhzcsiraOjoMncfPGTKisWWGhb7PCVQECeEDck2USpBMAiR fSf6QE6TCL7t0NN7+mqxW2OVKhRoiZm9CLzwq5atettIR7Kcfem+XVRMR0Dc333N6YNITX1Ass2A wmQ95YDUpmOwA7Jsd5dhSNfh66TxbVaDVeBLenU8d/8Ge+pLaIC+rDkw7AAkTqntCP+gIidpsO4T kukwbxkTxLjWq8OuvbpySEObhaQPL/W1efiingQMHcei0y7PeSngU33ZyCx/lQ9vzGQIC+uO10jk Zm+lu4DRMPfrjtQHJpfvDseUQHsodJJSZ1a9+f2D0orz867LLuRQPfW+3qs+T83As+ayFunRPDdR mZxvC6UDDxGK9wM+7BJEQDsLfUNpYQPz+BE0Rirz65AUhyWM5ATN8K2O8HqMN1ZwUiu89Ort2YDZ 18QVa7RN6p7/aEhIKqDHJOJbi10Nabrl1DqYiatL374Qke7QPt4ajbEHlU+aUD44C0+MnrcLUVgd 7zGU4E/6+vjuyULrT4S/KZ9mUrBzv+PPig1Z+ACWndISZg2tPl1BU9kcu49yx1VyyR2DvpMXL8L5 B/NltB92fNK6zgq4Xkm2qM6DkkhjK8gXJgnKG/B7LBAHtNgqQzzcQNEumF0XEoQxROjMTTnyB2EN avE8TIhPNzXzYdmKZe7+dzj3oY5blX/mrrqy7kAo9Pkvpeci2EpoRWJmEH2vE+Eeu9qr+O5nHDfW MR3m+BY7T3IQIVTVi0bn06YjzMZp1pGKG2sVeipmWzUjNCw9F00CDP083YdVXMNx77m9rPOVCe7a e5Q0kZ2+MhR8wOjLyfw+wH3ZYMng2vRN7kp2FDI9vBZZNmHTyiqFQNYJe9nw3+cyf0Y/WDU++hCL binA4jeVBkqwyoDcQKjRHjkhrZHnKdzefXtkFXzfUtZ77Pruw8xQKkrDYDnIUgU48PA6en9yAPQu fUDuOnSLABlSDiHnn5yGhj/Lw8SiUY9vFitOsuwv7R5ZGkW9UjcxKyRQGuCvLMitYJvNrnf4wBGp 8IEJdObwHqxER/gFXi9F7o/vjtI3ceRX0FIvA6M6ZsPWeJaBPxi6JhNMCV+e0OJnAKaMxK1K1hVa jIrAnm5xEfCHcKssn0ZJRgzH6z0cebzvy5YIFMP6k4ByzdpzsVOLukED60P4ULd1DdoPkUW7GJx5 GDXGrXIXVfTxb8rPrw0UY/U2+FzizcBS+haA09gor9nTlAiQnJf4wM9a0vWAZAzLDNfe9PgIkE93 rd/r8t78h0PC4rpVIbJHFfW2YduSlm4N5qpDD5R3e/zXFjlE8VFc3cQUWgXtJmogXYsLoltSQlfp zNXu5OqM3y5m0ai6R6lmexBcwp2OFLyltCWIGXmJvqFluj3jP2mpcpCCuInH0TrzkRD37+B5KEEf U+rR3qo+2dbsXy/jEt3iQzN9PeDWqbezDbZMW2ZXHJvUdpB3uyQ6UevEt6d2+BW064DZQgDN0dFW 02FVyiSpJC0M7VInIbuUHHZ88UEEohQ+P5rcEXLErQSZpwAhWtIrfUp8ZLCXD9vCDHNrdy89rtTe naxX+09HkEeB9XgSGDr9nQ1UzFc9cVmkakJYImTD6dntHmIZpzvsOCDk66wSgM0qDRKA+R6kAeFx JXv2v6mAVBtqBalXkFsMdQTz3UwcYoI6eEu2w7DaImYoJxGjOQyM9epijA2hLfDrothG3TgsXVcr h2tGlx+oK2h7mUCrEqVMf05EceWMiCq1Rqr4U8hecpZKwe14uCX0gh8UK664mOjnCulZ5aaohRBG RqI5e7iify/l5wbDNcfOIT4LbANlGhIsQWRjCqwBRe8n8bsq+g1JV6C25YqRbxBoIJgDEObngT6I QeI5sMREX7/+CztPlfP+h9GTVGINeZGP8I9MXayTT5s5zoPp51D3CGAjwTTUhDcrquvRLaMwbP+o 7TSVlZCQ7+e5AHW/r06bixSV5YZBuufgviOnV1JgUW11URvPRzGsACOTUAJDb18/pMVw3+Ne5rJW kBQWYXfKQR65RKqcnQMTpNCfD/ci+aLsKwr8dFh47OTOqCFc6vI4j+LVHspwDTv2haVb5eAJ5L+Q s9UkjZ51tXybT7yCuyXZAYhzFto4DF8bIdFppipexrmIOL/UW5ueDBlXQgM+4cV1fH1q6Q5bYoHs vqHUVw3CyUOqoCimDB7l4nzdK++744yCChWCWE0XriSoN3fK9IoXFR5BeiCqQiMQB1X8aL2u5yGK 6AeUqkUf+Vd0RIslsGzNh6cWqnXKJHZ7UYt6yZgjedVbl9w7kMIgiP3dG/y97l/xCeNhVLEA3mfv kbcpuk6nAM4LGakE81PjCxmyyJT+2mxF/ivp/NJArHLJkfiFEgSDMDJ9bNWjMVOjjJJIDtHAqhh/ GVulFnY8Ds+Xpcb5WVcgiXdr847SRjnwfy6fEMRiX6VHI2oX4IMDccb8yy43b6m/R2gpDmGrqDmK aCEmIt8aTa0WCjXOGCbD9R3AzYrsTDnbGjdOheTrjt27I+KolVY30ynUEYiSSVFhdD+9s8BE0Vj/ CwW99ce+E+G9OZCxuRJ16ZZWj22PdY/fXq7jJThK42yB0nai4ePfNRS48wQBV4/LAMTm6AbPxu2x Y5WaR+Sk7ELkiyQdg2iN1YaQsnlQIHLQW1qKSkHh2TUfbkJzRUESlNBsiLdCZSKJMF/oXm7FZ1bm nMzCOgdYP8T0KxiNqsy5GiBbcu/0gEkmaUXS3p+fO3QuPEuT2wRoAQ7h6k8Pbd4nzIziZWJqlwam H0R0FjUDD797Oo7FELDe6dVzkemIuOWaSA/J/Nan8B+cPZFMWNJiYlQSEVNaLfuxRzjhADl6F0Hi 6Gk88Ay8hHGjySdmcYZz2gjQQCYDo1ABHOzv170H0jrWSHwwhwdo57qZYahASxUcAg1hNQQSNVE2 FlQOoU17B+vPlaXtx5496tNW1W+QQRJtOaV4dcnIn+3eMXfTmeqm/tITxePLPg+E8Gyr1nMFNS59 5Vk1Nx1vFeBDBQdG+zr5A66Z/DYcMCTShLl0NBLOxJGk+KN1L5d5hISqzjP12pDCMi2ATvT71Zhv jnvfVyFWvD4bZkhBQUwtVyr0o/4iCG0a4oG8kISk+Jxa6YT/1qvAUpV4J0ZcBXhm+qpobJ/yIX2z ddEtqHoraEiHj5evtJqr0d9YqSQ48RECfPLJt75B3g8xuNiLmfZZzhEggSkjBUrKjfq+1tqs84WF yiif06LUOhrHT6PuQyGQNUQAggMe9o/SgyYr74+Zj3XShAU+JBuvUlg2K1T410Mt8BgFTBaiS/mS 6nh4VqNkUMpTK5ceklg8e6SPtP6nGtczeLclpVj3MV8QH9MltmBsaAQa/ORu+/sC6a7beV/yeKPm 93HjEFBOW0/VInMrDpmD1XNo2KEMrwu3OFPIf3l/rxAKqQ/DWnMT0cI8HK59ul92K9ggMcEXIAkx tTiN8Ffwcd0MWEdffuzj71wInMqVkmlgUycINDf/SRXV0lHg1LTypzatNfyr7JsHDtoYnmJtS5bR l5Rc+LNVtprl0hJ7Z6qDpSGAiEX62TE3uHaAcQd3KbLYJ2j8ZM3+L/xwHmq2swnGRtO/LejggwWK 6L2hj9UDNP/uSduIbJM32qpYVtzreoctbyl1s0ydFA44SHb26OC/PFvUujyQI9oC88TtNcpmKT84 K+1gC00+IbZhVceoiuaGIR6v5dqUi7zlZVTZin50l9Zf35ZmCgBXoWW0BrGoOoNxiVpptQ4iKTIE 70xljmMvvpLJYL4Q6Xf4W4xxqVNOsozZDuuqA0Pdthww1wnUuvcO1vzGRo2in4e/u8SeeBn9Cx4a 1ydw/tuAmPhZcDzai91lK+N8thAlLtXHStx/hTauAlmLhV79nCwvEBqSrp66rkqqQBiFUZfSnJOJ gsvZCsSNh7NNPDHm6mOyRcvisiD6CCYPgt3PstdZvUdWyM9kdVWG05NPRrid9JCzH8tCqL0ieA8X sKhjiAVPsZpWEaAkt8gozfCqEYKkdWAh/t//SPmkpfbkcEuvfKF7ukOKdzvlawR7ck+TTz1Gight QX/UrEAeAf54dlU0KJpuaKnHv9s7ZyuvRUmxTzLKIB+Nch7g0CoK6GAeKR1rJEjhpQwT/S3e0hI2 Wg49MHqSrNVXMyyeWkzsKnpyrxMKaqMf3kO2c50nCzOlT34G10/A8zn6q8w8OOZcEBgRjyMC1jWW tTUOn1VVuVGdROPhTKE7qbUYXnkRvQCVaqWTlhRbXEm3R93c1zYPwQagarJAtTIcuw7QtbgGwnml aDFemCvqYdHTqq9TT0omPOukoVPVTuVRxibVFL8Hj5ezIRNg13qYc8g0IFl9BpxBfnb5UAS3qOZl SA1PmM96CpT2ojP4yWPW9VC6lYoXE7eNAx8FLyjNW8NUtG8gAs0hf4/VKxH/58rdDD2fREb6WcRl x+3NP7SJW+snlDeOat/9HtSsSRxzCClxSZmwKJsB2iT3gRuudtDeS498rUuiRpspWAq4fXlZamOd iLXhZiVk92Y4xao3nybb5Rc6U166wzMsdCjX6xOIpvLaXhaY6TIbPtaeXycRYdjhkunhgwDJyyTc cSZMbqITkyYBFcOYa3FqsKCL0HtSFtzM5dzKbs2hMdatghwsprHopa6YagmOZsCqzxuRu+95UWtd MR/AN2fD+GIzzJPTteiciPf/ed2fJSWldtGICLzCkkcmB40ttsRSb7fBg+xzcgFcsK16IWGq7LTw 82DsSQGnzJDWDdQnW4nR+2QTsxkRx4TvJudtCqI1nFJa7X3x1+YfhGHVt28sIJwY+8vx7uE+LwCW ++Hk2hHK9EGQ9jJ/1PmI7GaUEYk1Y3Q6wC+CYaUTPdNKjsMQ/j3IPorL2/lzdvEfjTDH5enObgXF Kp2hIgIUZ5JRDO1vt1g6Y05Wwkrf5aIDEoFiDwSdWd271EFnFUChlR4zI6/IUG4OfN7WX9RDXwAV SJp+JDzCEiP7sDwL723n/Go1pxmnVBNnZNW52OFKryRlN6ThgK6ltqdHaYEF1ygGbAwuoLYEILtg nmFvgkCb143f6cyRsBmqmFxD6aHtd0MmIJLsqpQ2uqYQ/OgEvOyTY2ij06HO/nNWWD+Lba2xUauk BK4U2TZb6B7QLxP0SkfEBWzWMSqk0LS+9z0PdB90TViW2jxcXE71l1jmX3ry2xFYNW6hk4/PQ9uv SeUvAaVn01KNb9DPswpkqr+arleH095i8AgvzKITdTx6omKQwWQDEHjEjZ100vbHEXRBUbdyT6MT dBPC2rBqPgjKo0G+0PlB8+NiXg9bKWHFf14MTh2SU+NEl1nXDYsCrdZNlth1yfDJECuxfmSlwU/l 0d+bq54URpNcSu5M+Vptrr5iX9c8kEuE6KO4XqPfZiEkHLx2T562/W1RrKpU8i+6+FnvkIfxkd7/ zo1BchZqzkXwrc0ydvGz919ojeSjdnnDxM1szX96/d23Y/Kp8RXQXumT9nY5r1cEXMnD7tm6u2sz N0mRr/B/Pyyzl5QGSq6WLzcVwe7v+tcT4kc646Zl7vw1pTB6Dk1WbPBwbo5WQ4fehWKwMZnStkmv prYySVGl7PWHpwWnmfUMGI1DOwxki6Ny5ZvRKDq2v3VBrwcuh1MudzvRN0l0cB1wQ30I+WlCtmSN fsfD1lAMq9t+es0rSVLQ3LthmfD1JrQDl5OiVRkr+PLPY3B6VSlLLFvtwUS7jTl9rh5wgrEav6Tt UrS5z97FEGDvX8Fv1DrjPHVdDyauiNZ7tN7wYVNmGpxf5kKG5csXNGzoURIgs3NbzXBPFoPlAUhl 0xDCiOBMbifpfi3UsRf2DURuttQ5mOmKDOfdqJlKzHn50uxekqZv39NjJOBiZwQ97uTEXwXi9tPx xBX+7ctbjludzOdumvhZ0u9cBli/50KyTDukNcpJMZrbvQsxm8ZDWxTWM/xplAQaVw/iqWZU4jkD zocm1DHdBbbGzn7OmXjUZBgQA4ubAwPZnMm78xBfULJ4RX7c9c5ryWhsyEv9IDOoEe8xcRHnSKT7 AAT/PSKQaG/rwiS9zmGpPAQaetGhxgNbEIPaiDXbSys3dQqE+edLo4wdwSRFN3j7o+uWGbsDTufk gHG1gDwEvfgIqH7ZrsOWuB0C8wmeWRvFgXSY3XUr61eSggiE38IKs8I8g5SRNrgtK00qMW/p8pW8 GcdyQRAsw5Fz532rfw4t5vcHTglGuhYVIAcSMlc22DE03/i/3PFFYZWWZCky004CP7uhJov2ttpa 7sqTmgtYUXv05jXIYDbh+eCOcyCSO5A5NrQ6qkoSwbF1dGVmvIRhqpoSwTXbwU7OCEqEQ13xC/IM A9NcILTFdm/ItbJcafFtFX/L9tN8MO2JbY60DHB73txq92l/NlZ9SuYbCFCYtwyzz/eUSFQ71Fhl Vn7x6h3HDTDgt1r6nj1kRDmrWDcNDousK8r+VP9lFqu3BAOtueDx0ytELdKdch7HsjJBnrmBDG44 qc4UrdGQAgdhlIl4jPWob6aHyqdeh1WmGXWeXIM8v3rPCpmb9fcPFV4TjG7b65lZpoyisUa13hpy sVJ456YlmKt9Wlwym8hneZEUOjMGKifOWTFpqRERl/p/w8BEqYvCCtXgr/uSBaCWBd1lOTXQFbDB eUj7uD5wq7bp0c2dh9iUxnB6zRep/VXLJhSSqmpmYxcZRMn061wIiuzRPMq9Ze3v8GG2k2VQnQ5b 7V1eJxYPWENHXFCW1AaSaKi8QchxbguzpgFJ+5CLArOhPUUb01mPbW7c8Nb9F5tDp4aBpi40zQ/P EOun78WQORAAqo6scN/J5K3vtF3v5VQ468+xULf+SSKHrx2nAhx7Q4oDRj/nkbmKPUUuUwg7CVWl fjnUe5qeekr+3VFAixuw6TeY4X5LVck4iHAKidP+3Xk/CAv+fhsseh1aEdwmq6Tvzbma5KLkaLlf 2IF3QqS1TsRaQOL7OsrNXQBE8O481rfhJpc5h8eZKA4wAf72d/j8uIrbKC2SvrI6P7k08G11ireM 5FzDfR1Eya/SlYVoqhVKN4gPP74sFmqrZK0Nn97eY9FjCgivz2Wx7+aUAec67TG67+9TeuQJc0/k 3WsT6kvM2DK5WougFv1Xx9voVqc4iVSFfmbSgGneF2BLjAMR2MznrsuE+qk4EPsMM0TBWZk4OS3o Vsovs5orpb8v6DX9aLcu5f94PUfFj0X3J1waIDwqUeeRB4YBeyVPrfOTR+P9jH51J91tFyrFED8v dsT1DT8XtWfIK1cLKrFHB9ZzLyXOUoYQawKtZ/NC0GivbdAo1wBw4dQwQt9gue/sprntGgc3fJuD Ti02lazHgHLTkjRS/x96K51PT0XpRNjykFPWv1ak2mPmod/WE0oDVUA+lXYHBF2dk++PxqUu3bm1 SPP6g4hKe37se2MZP3bJKWaEeGlmCxcmC9/AmVG6kVxlTxMBbBfc2+kOgJ4IYdk+BQOgYzWiSeWx 2hQ5Whqi6Cb0HPTsx1KQcNxDd+4L2VGPcGW0LcbfiyYITtljG92VeQOTIHTJ4yowNNVGo+sVo9GW tsL5gb7v4+QoMHtUtjT8xWnBFQZsrbhcJol7zVL4SS5QQObiJC3YX0PY3yF+w+DpNHdz89RqyTAO nsxMdwTu2cdbnsvk9O/1bKS0I9jjFcze4CyEyzkLW6BrgIiNi6g64spfpA3qJMIxx4SwdtCmlKrf zyMuN1BPjnOywCqM7jqx0E2t1rBFdfCL8/1fX66ZF5m9BGG7Ty4vsQtgIOkl6p+SL/Q+uxouoh1W tmXk8EYtSjYun0lEwBpEEdNGzYxMskmW9bjQUk7gS4vKdRpAxl2eEOJ7JVUYjYrkIBZPZbznBBzY 6XV5oxi5bYUWXTVoH6JDOeD2ZV82bktwVItlsioT2B5Bb55Ubr3Dp7FfdDO2hVgu8/KmhEt/qNbR rjivkDH9UyBXWbE2Vy8yOj1/LbPDlFkocGfSR33FVCK4WjBklETIlhXXY1w0cZj2Bu8/WzfEBSTk S6taws7UT1Ud4cUnKHx9+UJraIxJBbvCimrsrl1ntFT5voNykO5E/Wt8XdCpgx2id3zDW1QYyfNF DWtwyZtWi2542rELJX1+9dgQePuwILQptHSMjOSL4cCAUgmzX8oCucp3IE0xSA0/UWCl4jPy3heH XA0UNAJCN/VtCVi+8klfx8hMWDf3S6+nVYkV7BudI65AN3uA2nWcixZTtAr+xvidQaXKP+U/rWYk MsF00iHyMrytIPU6YTVxZwDztbn802rSouSeIA35ufBJdlnSDQKiQlTr1s5gJomKfg1K2my6sLf9 bexFWPXwT6nbDSkJD0kU8qD+zf+7rpDu4uTks1xsGxLzJzo0pHvo0pUBDYUQJxM6b/8kWkzmUDve YV+azrpdfFagDJOKn5rxwlz2bNHAV3R+9LT5Dp6ATMPZUBAiYhjVKW7KKn2NSh28wWPBLAbAbqp6 PibD8TVrGFWVhFsCf4lnPfQX9QCi7C9I4AsWyxEu45HEZ7DDJ56SJDcCao6BR+wDHPcG30cp9kvC YfawlLWtaoec0CDq2iWtXsrEAgUx2xzWdeLnO9zp0ByzXTrlz/G0+QdPTBbr1jTpvubmO9FX6Cza J0jH1wZiA9WxGY9cCgrVGfL9+NRMWTy07wDYR6WA9Xj0V12aPusGT2w5mAcLJuFVejebJY+Gp/w3 UYD+1Ek77qF0BcpeKGb24Y3GVgLIXym+0952VmHjjkQj/D77tftw6SPxM/YcqJWnhak6PVZpunAu QltrbeJV3gmeFAnBG0KG18nx/ekTLC1LgZiw9/wlm070M3C/yUk58k8novo9VsEpv3bOW3mh2Ldm GLmFgPVtQi2eF/SGdHPUZ4WjfaSlz5TWO6UUcV/o/vJ9F0HdzJJjz5cX7J3wqDDn/RI+EFh3JdFd m9InEBIsivB/4W4qcvWtzT99O6SP47gEeGOFheW4l1OIUYcTQ50aPl9TnuctRNWCFwyoF8ZsAy6X KEZCI+JTzKqQeKB8cBX/xiBbMfRew/3xlStol9tq5Oy/pjnaI9p5z5Fcj23cFibgfpPHjflOwr0/ NbXExLLI4uT05G4i/6l/Jav7GmPn6bEg3/XUjNFg2fL8Tjmv6xrMORzd0d5kZ862YqfJnCcmklXx UfXkg9WGvg/GWhTpQHQ1FMGea18KbueIOfrfvMawJ/JAbw3ud7WFfxN55ibEMteq7U/XXIKPPMoD kdqJm8RyA52FhRTxDvuNkA/HXxbJzmqrBlS0xQOid0fEqH/eCTTwyB0rFu6Br5DCZumnOkwiF4kX PaIWSxj2Y3oOPBF1K2JmgLM7XhKe4kkmOHxJmjoVym23K+VfC3dZBMJd2SQ5dqWPrLWscx6W20jT 48x4tMrPJPVgNoEhFHKrF/6UGJTj3WsarWiXLp3F3HJPW2i+mlSVkbrVN84DlHwRuE3HV/v018fQ xX2mamJqXdbjKx19yTe/8odxVCwFOduiuMSQN81QCzWghTNdeXT6k47T7RWEKxDvEUyKWZrnILqE 26gQ4+SJiLB3bwzoLMsjTTdKP/bLc1SebdgavMCrGYD+HFmHRDWU8Blwvc+d4TCTEuOl17C9cJyc lVvaKU9IEVniQ3aE8sfh9PrqzzlB2mN0zS8E4OPf4yHRkUL67KTWSZD/hAsfhvlydjzR+moO95Df 0QvlCEbxWdOLX+NVu6blfmyWW6w9yqewTf+JdADJACBe4RZ5mISxgeY0diuczA9zgduUOZyE27A8 QJPSDn4cTcFPqqpQZROBSB2RMWgBoF1dJJXjQfRqUCTNST3YBOilzOZMFH2r6oKG0Hy+TNeYgKy8 nHAJ8i9WpEjXqgDhgH2Y2pln5gEomx06hNlSh+gcE1uPsmit+dMneMFPo30wSKlOPB50I1OFsWh9 Xgyh8voCXSYyT5CffT8CgbBD6olL3GXaC66ZKwrfMF/CWcZ9r4j1ANUlonRib7q4mb/veSPpUtT4 OUe1PQMgytVdnaxqxEGCZdc4h9LttewsoOz7El8WivrgnLfgq1++87kd8bKnT1RCq3Alls+rCtMg cglVa3eKRDBFhH1xawyOkcRP6Y01U3WfEldYSYG/oyIllMX110ZcxAwC+mKOBMLR+z18ufjcVLCy Y31wirD60YZa3MSMOlL9VSItrYE7gQjSV+6MkEH+81yqJuyklCHTfrAx8b0uEWffLeA0BpdpiXNS Fcr9euFBo0vyDAHb3plOKwS4RnRCNp4zzDlBWMRMX5vtZCEJY3zr0ZeoEyTdS64+46TTr+bu6+fL ygeBn/APjAezalw587fECo2kzTOltofa5Mn3+tdDynbzj4AEyTDJHeEAe5qizzWrDQUvNjK0v+IY A8S56GNbGF9hptzJh9YPBSlZvm+DqKXQaVuZCuLnFd0hEGsFmI1j0Q2dEH4hx9LTLa/FuNIZuyOU V2zvSD2tJk52q5EnZEaAfmBLLbpODEbgZDoAt7hY3U4Tk1bLToigia7SAI0rBg+WOXy7YXbjQVeE 94YO9DnnKm1e2QIaMWDfhKVJGIk+puswn0Vu+lHiaUn/kOlOE1RIb+nov624W1V1GnjembAd5RVf 2As6mPVRND64iOg8Z8wgef69PW2V5iHS5F7Mi5UHQEFQaLpsawDdmu5nkwo9ydzDZ0hkYtMQXacE qFUjixQrhJonzJ7Q1rT+qXDcHcTmmc80CPK70TfDk18vEs9UteJQc7Lm2RzB8K93o9gkF25OQ2au I2fugHDc13CAa/pL5Ry4iyTrR562kjJdJMsvNoSH+OrVuG14lF6EsG663ODTwk9Y/TnE/A68KSmK Ems0SW11RUz5X1euvDZvFOEuPq0XGLggSU244YAvX4eU9VButK1MQHxc39gqS/XwQulikiZ1i0Xn CZoe5nfEdMtuTkbmxVIkJ1lHe4Adya2VrTlWlGZSDG7CUJDeGe33dLXhZGTz9bdvAFfRpLANHevl qHk3KHfnyjmZbc4wz4hJ1ltHz0ngrGJeFiVmfWMOL/NrTNMJdhkFnTRAOuJTITwsMtR1pSQUtjEE RIzXnVytwy/x9JtBbNjAOWFVcrk0X9Yn5hyQjrKCS6wiupHiNFNcw3WuK6G8Mn4+5qJz5jg0w4Q9 7AjQn2cbeNEPMrEaQyA22hAq8fNMKGNsUqhL/IsOnVR9EVv120QxE5hSLl3cNdxooFK+fK8i0loq BHTNtKBSkHUhQTrckykT1UsSL4MZU3jrtdTBSZbf506fHSTPwmnjG9v+e1BTlcyGv3GDo1ZLIE9r Ke6SwmuRGo9DvnSylmiiX3SI9/swjoz6+VyMOKP4XHesm7iyASU1pky+mahkVjQ3keBiqVBWX/Qj CwSo16i53TIWPNBKQ7GOJv7kuCcXGs5AzeCQ49I6FI8r0K6GO4qbMrY1BSlwtepcCYffkhZ2cn7+ ZnsBADkvuG17pikGvhKEyEagTDyNBnKjSnh9Vcg1DabSts7/Ia1MrRUKEJDo2vyfiUmvPdJxVnvu 3qh+MO7+NMqofhT8emm9bgG/LKzVSLyvvpGRlCb81oJPkAjejviarjUdghFvnc7f2imZBnY35ylA qICeZH1bsFz8E/jojpXpHw6/sEJBFOPtMq5pFGKjv8kzOLo7SzTq1Wd/Omfe+MEfOo+pB9+N/GsK jd4rZlrEYVJzexmbtRORwNqI3WwEvZlqdqHrG9Rr/B26E+IPpTats3s9nqe2xcBfaL8E7RXN4evW pWF1iiiuvfRMIvpm/8Bz2upB3DxtcvGI10Da0LUmt1T/PE/hZUfoAglKMfSCwb6WRgZ0QkA5PXJK 5mPjjgcGjc7cvkwDJAfyLndUL/b5nM3+r18Vk+ztX7YSf0/kQYApmdz2zAzN6IPeOqSYkt6kPU5L oMQ/ZP8xnblsYlLJQdBgTfJBg9Fn61r887pwTjF54HwNcEdV91HcXvLWJKGD7eF00p+SvZU8V00e 9c039Lv+hqjubO9rZD2j2zkGZt/Jo6qxCksMvDRQgYeAB35W6SCPQmz1A989IUjyTT5ayRruAs0K SWJLUxHKs5ehZZmHe4XgUm//6Yvl32S2ncDRIMNA9vtBD44njwAYI3+F4yQwNxaDeU+6pfx6gskv rYgbY5HYcMSrRP/mFIwIVHfrhv8G/Nvw50NkrsIBwvrrTQJyxZlSwmXWuOw9nT+znURMKUy2+V6J MQtsxOtkIPgeQoxM9A0Xi4kZ+aRKyQQ7fEvZEsz1un6NoaTeonBvIion4Sexbr/fg2viDuLdwDVe DuihwdbZPi9dpjeknByDGeDxpgYz0bUv3/sdU5V8f0iC3A+uHymwufrAyTD7GmUOODbGNIhg6TBf mD9GmhAAPfEHVCrx7FE9B5ZrbO6Nc4vbrzqfoniD+/FuDeQLArSFqD52WyGwi+Yb7XPVejZV+NwY ZW4/UCcCJOt6olXMoIL31psOKp90Y2qxFEnXR9cacu0o3jDUJREavPqMIXFME5UQI5r1kHSeF4E5 E6lEwJ4CYqi7WPKQo5e0bwGVQpkShY3O40xkns1Mi2zPTtqb/jXI0bLjzIAgx2N3KmcV0JYEP+4+ FoDAfnFGwXY8+7y2d/3BN8IbtS6EyI0Nfg/Yg0F26oc4M3Bb9QNRqyIiUzMMufRhnVsyaakV6SSU dJZJ/wvjwteFI3WaPxf/AeGKrmNf9bLHsWI7s7FgBJuuurLnVi3uIbEDH0AZHsS+7pOP26xdsJ0F 4yJWGLGq5WnW+Zu/M42xwD14JeonBBzmeTCkhy1xMWK0I3FISEZ7HdbNWZNckXCSvOrsUKouSI8r Ruh7snMMl4FwyT9yV2X6S3Pn6Y6kdYiQF2E/o5mTGchK531R/D2WAfLwsnm8GUxt3ubYWJmzzIgW pirqobPngzLPD+M9+TLm0vIm9EANuELscJ0NaFPV78u7xVJaIpTHoJU6vK2gcCRnfK81OhabTA/Q Z/EE3hUt4lAySNg3eBywO/X9+J54+l7NTOK+ys9yAE4Qqx4yLGkybl692UEDrPG+J5SDH1WWFzsl FiuPOR9oT3VJOLOYwdNNjsAe8xeMiKM+kVCPMRF9Mjqrq+HlBNRRj/VbH9CNYeoJaaxXA3TWc6gG gjScsDhAuJ/TAevzVNgM5HqJ9Lx9nOSOnnpIfldDOm5+ayv9miJ4Nw63fO0sOuSTwxFTHS5Iakdu Zrq2dNEcSC8Utw4HyrLB4XrKfrcZOsBy4qE7WAyg7e2YmlVR5ihHGUIUZPfw5+GFbNK6oiFgmn9X Y0JqC0v3c3zzh8+9cso73CSl3O8W18OXE499g7flRjl4Qxjj1+BPDjF1WA/9PXMSTBCZskGAQCdz HSf2EN5gXYgSjJ5tCFEHOkHF/hvhoaDD5PNWmXW5WZbjEJrmd4qxwy5AmZIo/NYAe45qsYf4Mo1j PtHRPLYk8tmIK/AIQLMdb8e129Ey3Kmm9y3Ke+VYlZGjexgTi0Ne7DafjlcDcV9xu1uM7KILIJIx PakGz6mSH6Ur0qCkfh+n9D5oDHO8oX9HGNqLiwjfZ7CGA3qN8rmZIWMEMmW5k+y1TYY8j4jTeUtu gbWcpiuhzsb8Dzxj9Q+qndGF2dNJBq0HIsr5vq+6ijyPHgfpSJSTKWn4VLF8ohIrUo0V0J2yAAlO SxH//rjLx3lrcZzNd5Dcj06tuyFnc5KxclYIMH1YJQYKGQn6mhX5LlI0OP8NZ5yccS00ui9ujoBH Y2yazTLt7V0lGwAGZB4CAOxL8AfgDCvYaQfKbMimAw7w+ppJ351lyR5e0hHeFyMj8OoR3orOyjtv nLo6sGJ7efOHH1vxHrQS8FZu+g1yeHEvY+Zq7TRETo6KQ/GVBog6NJMfZWi9PrtGHoS3yE0r1FEO QCrWxI3nasoBOD/6+Nm+gf2Osc5OwEp/T99L0rWlXakDgKhJK27eIWW0WgxzZIBaIFwccdcxeLPz PeCdjjPiFLmoI0Ym10qRwUayASTBGcHzlwkazSKnOt9f4SEUbshpeQWza41MSCz9vEm4oKe9898T /T86uVJtpYIbqfPHO+f+LtbBpLQJRLn2DPbBcCDfXldXOqj4ZcgxjH9h6al865Y4hEsuu5S4+/zS rRf3MsH4cSD9WGD58rkcDCLVrHqdCrQXmMPJ4jX1nfAVP8X7K0uOQuiDe3viAtrEsI5QAvW55lv0 ZeyNfZG+LMqcZyREwN6oDY8y6A1PjPVLTXSx82Rn5uhi8a/v09NLGQKZsFvKf1iZdTMGQV3B4iiX qM7148WkLSjbiqOkUy1CmDCnJMzkGQkIeEPCXaa4NvhUbft7KIN2x+VW/bEsJlmXULRiX+fiHpSh zBk0X0BIAQCDxaz1lV4QBYhph+xQcfV8oqJsmUh/aP3MhHEvNs9IcX9mD4S8Cx75MhpSQrfGFX2T qw6Iw2q+18Vb5Y3RCF/10R1yV8YgaQVACGQ0JWIPuSh1beGp8+MIxpYEO0X6sJ8RLCGc+xMLxq+N 1hsLL8nYdq+rxliuzXH96KLWXwuUgyK4BJEtYnT+Z+uzvxV9t3fBVUi3VW1SvEla+C9GwA9yAehO TmqXvp6bKx5FP4u3GDKcWjKjYhAhZNbc8/XIh39beWzpIabGs1mA4PvmH7XSys84QscF1HNCGwwv KT+Xj20ufon4x2+5V4ueUupFY2u7BvpfOatGWdlk/JgI4glVpSmVdfZR1gcxR1qGbgNYW7iUnfjM 7EU3JjSgfmhe7SN85tlYOSJkInPcpEIFNE+DRzYTxhl5RZ0pDquQ+Ua/2K9BqSHV9nXCfbnQwMu/ z19HSOewlA3dc3k3BcumRXsFuBK//fIVYL3+6T8/jRqVQa3p9niPMEZ7EhoKyPgxJG8ACVHIZQ3e oUvvl7lR5yDTz7eqA58lrOJenFQlj1iVa13vnoA3GB1ooCcyKaBbTVz/D7rgoXl+ItKjP1w2RpMa shRQqhAG1CQxfoK796Qceq4uNTPDEvl4TPzY5icktyVTtW+gm9izGBeDHaT6F+LnK2s8FJ9oMGSB QZuAR5lx4oITxR7hlKVBy96gKisbveN2/41c/hLbFPu26KeAgvhK6fkJuijNOJ1ImTKTU1tl533P dktw/wEJSS2llDM8iSNRbamkc5zlnszaJb2IcsJRUsokmEXzQk1/kZ93BUz3R7GbWnv17uSvQRbT 7vV1J+qo+R1dVEzF5qIR2V/ir1SALgy3oRRr9GsZYSxklVoxmxT/cIecYyfImEcIT84AGWo77f38 zVh68lSS1pOXMLAYU+K/SgR4q1PqPZRskHef8vp6tEvlShKjL96hjzur/eLKL0m4gj+cDkCpFhJ5 WBLONL1MbrK9XEMgNmpdRb7vjPkK5FHywi/EBMOxOabIszKVUIB4KsFx3nbNfa49Y1v+KiwbNpr1 ST/zePH9TMpx+YyCbkiI759VErFyXrBAP3eTW4LVOkLByEglvyV2CdT4vYMcfi+BbYkzpuTYaRAA NnKZ5V3wxnO+zgV/ANxP1IUShE4lu29QVa/8LTPtyMdQzGB3/JYtLU+UMZT+ERN2j4Cz8DP86P2r cu9GTxonCCKcd8qpgs0qxNBzJz5PUtSY0hXu+P+xxUXHiQ8aYm66IgD4Eeqp0VhjltGqbCuPGHqb 6ZZIfRtk2pUu5ei2yRH4QFk9mRNwQy+ghlTVwbEbgN/YMZVLS9GkK16Zf82BKKApOw7IaVdT5a6R pFQUELB/S65KcUNeOnwZ3NF6UEq++n3jRS18YswOZPXNefgwEd1mEo0nHhgYE0bynBm3HVOFroOm eZOn0EQz2NSEAJmql9gkQC2v4uDun+6rg9M8kNqVwR4aCAH09nJd/Zar4IDYXORet6eSbOZgnQiD qiYSKuDTf8BcV2hkuPXMJTjWNZ80KrgrmaPGFVbwA6McPYLVQSvDreOKsbya1CjnP2csDK5zTVAO FSLIKYIz2W1G2WpfQ2EviwLBBBR80s3utHEBbOr/B8RE4rB7XFpwlIrNTfytDPA+WUbGBUCArs2/ XN1kCHyZo5ujao3rPrqlyVfwq95Nk5mq+tcLqOmQRfzDDe2XldF0n5A5u5IipL7FnUP1I+RlQxQ8 X+BazcohEYDvASNxh+zzVsuvo6ktoo2mdNOVlxtM5jl/EiOc8SLjlGiG9PGLrb/yfK0tu+c2+TKd PcfSd4Gb3JH4Lt9bZJWQ5/6wFzvtzSuoX0HW4PfS+TF/ginuz46SkumtBWp+MKC3H8zQhsUvXGXE Kd0SOVtNFQDs0H3TA9/vpa2JDZyOOiba+WU8057jsMp6kVexFgzgh85dNwrkP7cBaos/FG+kowkP apoZW/V/4fLMBaQkuu6tQ40Ak8JQqOYYxSWRzFV+GtmJ7ICjQBItDbqIuUbkd3TA0eFWpGqzOb85 8dZ+V2qrBozJj9Ns7yH+rgOLAeCsYNH2VJNCLNcE0F5+md60X20oWYe+bnN8dcytb0/rv1QNXLit Hi4j77L4oN1rDXrfHrSX8EscL3po3L6DAf+Ctcmk/ddJiJ9oFqXlB/Ja7ZPtY51qIJ02olQWQIRN ZkaDP8v3w7qLLzQBZMkEmm1V0FOnDzVdXV3gXkeyT2txrtVszqoaxg/6sNWo6ZaHq7kR4CL+IU8y 0l4qHx2YP/OsElrtSk+AgcsFQPsRde6CnoqgCKoDqkH/bGvO0RG67Ehx0GB67XQ7hpIOzr2rYAje Jg75CzbSsQ0X9sEbNEOyI1CPMBCHZakTYmgrhIiaop/NV7OLjAj5EyS5wcxGGluP0SE7mQjOMTc2 TFkNZCC6vpjHs/TLsioiUw/ffJacZUFIQT5tp+kfHhpGgOHvB2kJOlhXeVglnA3hpHXEtIN4mbQA AOiy+95c7o9WtEyvM5/dJS0jOwKWEyc9lx158DhFpMyXBxlW7RNWbSH10ADIHfTwrjFEDD8JuZfy Rch05QJnDO6EimALBS3e2ittPMOzIsJFcOYuY5BkS0rwmb5i33JTpO38twrAd2w/Qc3gB02PzExy vNr/h1C+oujhCkvcGRIYzZKqzEnj/aJN38jrHkmVHcXazo5Td2zbENhj8Xze8TsHdw+vXGBJUZn1 SL2YOtMqMe+feIgZmk/oi4vG1ndBykZbCTlBx+YRhZrcT91kNuLbjNXnASYrmyCdselbl4Bs+ZAb BVYx6FqMV1nPuxVBnxwZi17FMJ20Gl/PeBPvkG70/du48N87xoGexU1kUBw41H9woBQRqtktEwWY PsBLLmH8OdooOuW6VfcNhqslPAaDtypoCxNAPGQlzk5TEzS6UCZzOPBbBTEQZfyf+z8Wkfx7M1Ae C+aMMVLfKSulG1gA9tSQbPvKf1hCNuREgEhQZxlImz9tHm3pvEH2RUOYhRGBtN228nSBuEjha6Tx xKkUqI622lRHOysKzJ6qZCxcSe7gwNv+jTzWlpY7TASg4Axh1mHPNIMdLooXD1JYEJ0N0imfGEWR FxRR+H90M74zE2RLFPDBSFuUUe+8d2lhsiNfhfIbahCScv9aRj7PlZ3hREgL5138b8PuWIP85sSQ 85fD+UcuIYmZhTN/Q78xo9Yobo3niEZmPWIhhTZAzMWzo3g/rHMjdBcTixsRjM1GhkNW3BPQHSQf BvXtBKQwwAhyDKkp//qaXNiHTVVJ3wpdRhd8z4FXWOxNi+PHBAXanmKa4nmaqtrkYo0QKMLDa/QN BJF6zUo1xDoI9JNBoXDk7lAlGvce/f0sF4iKt0ysddok4eOimCg/5R00RnJEGGrbpyB4bwnlOdbQ rsJtFR0CdgY5/wT5euHgqDMFxulKbXvkCuEpQk4uive98jnbS98sBZLapr8W9//h/U3+mHVOF6RW iYcXZr2PYMUeSjEYY2WL8YV8Rfxe/xP+fGVzuF94vydzVlDsqbxfuNkxmByRHfASO8KQVH5AnOSx bLjeGoDnCHDLhXNoXwY0qVxV5ElorQTT5Py8Uez5+PHTiscjT+4qMOFJE/Q17kFI+N3igsW0ZxN4 YzxP+3TBi/wwEqyQE8Hdgw94OG3a7TOXawphiUsZOO5FgMRk6sy1xnhLIcHja1UrmOe7DWcya0tZ OTwaWyMOu5RTiqs4I9lQ1i8j8wjWcOwqS04Jz/CuJgivOGLfOosA6zyKa7TPDZEnD/auJ6evmpa/ DFr3iSDDxDOCmmVR6p7nSzKBkE4Z/emj7wSLV2+wa7vcgziEO2Iz+yTmjNEBy/r+V930KaEjU/Rc gY2KJVSuEqnsoPFOyDlB5fcmPSCWBQmeg9oCg3Sq9Iz8g+Z+Xh0dlaDFJ3pbMbFAWjMF7iPmNHU8 jb87gQIPuAK4sSDuhZh54l1JM/pc3m6nBrng0Eg7vH2Y/hyjOH3yeewN5XK7g0A0l2Q3WdkMsIkw 1PMgtFjgd1gPo1YuKSutw6wH3lGx0WNba5zACVi8Mbq15Q2H3IJcPA4V2kxiNv2CaLu1f0CznUO7 4s8gtV8G32vBWqUK4gvLrhFq2AeUFDIXSG7jv5LH2qQGylRlG0YZM+4v3TYxNSo7CPsWT/s9W/Bi NqiTsJBsv1KVTivqhGgeyqmVi+Sw7DyvANXuXYDHf2f7jM+yYYt1jx4BVDBPSEPOL1mX8/3jgnzW dBMMXi/O7tJ+DnlRKM8RHBFLyUlj5rc187QoOMt06+haT6SQy86iQh/mIEkkwh2Q0BO6TG6Troyn eQl76gHvA+hV1oa4c51ctiAukLMT2GVrATM1GdDZolPQVmzVFooM/OzT/Hp9sHpXFHHXpTEzR/Vj wQKpHw/dq2Q4WUbzmalO5GaIZWY/NqhK5qJUj7w2BowyuEzO5c2r8C7urjf+ozvOR6DmniPKj1AD QVkATd4onMG9F/7xDyXlNN4/8wWs6WVVjqIZBoGJLVpbzFOPM0IeyxsgeVOqmrZDC0meybYp7+yV MSt4kpiC88pHhfHoOdYJ/dD0t84ISxrsfuAkb0euDM5QXjTQLq/geo2n+ftpCKJXi2OkRyYBlHvf IpoexLGE6ar3NT5vT++bBGz7GDbIUfMLd2/4rQSXdnF5GO/3XVfqdEkClwcp3/iPyBYlcF+p8li5 Zl7LEWF95OYBGLwrqmWP9QeiICHnufJgXLtLQX0w9XZKwzm/hEaj6kTQXPTM/ExN4regSn2e9NMB sMuFCBSflmR4uIoE6ox7LI+gF3v+/BO+AsZZe3h5F10/FS/kkVIQ/fwWZ1B+93jrLD5KPP5WoO+Z 0rrS+C0GBt7hEMnnf3V5S5lJIsgq0wj7y0cgHao6pRGtT20miPlhMDtTSz3gGCdRL2wLInaU+Dhf OSJuwTiJOt5MO32Mhst0XSydxaLqMpAdnyq9cf3y+zcpCDGPv0+UFk6eU5bDy1F+Xm9RA6wqSEvR yE8sS2taggmyahQI+swSmzgpgtO2F4eImiZb2YstH+23aw+LLTQsmFoumlCFotdvxv4e2k8aVRIc o2mfX1eNnft1+TWjkozAklq70GPCnaEDunNqZ4bEXVuQxr7zqwvNJVqiZYvzLr6iK0zEL4e08tzy 0oojRyG5xUNs9sXms6VEj36d9WnwEIV2dBWy1vxltd+uwxkM7vpoJ9DfGIwGajA+gESjGokKbamT uzd3t3nHqNOHjpyV+Os/V2Dq3FrT1XLhUh1r7CYYg01L2AwjsZEYGrdRZG0H/D+dNFfyUUD1k2ck OSwYC4tuZJFtUccQyxs5mkKyCM8FxV3daj44nh/w8JVJZ4ghucSxTIp+AkdL3crcuDwO7oWeys/A jb5a8zqEq2LE6ge2G4/Qg7TqaEgxMM29ehcFO+WdFOtCnjPVDFPkGA1VVWLfkl0MrvlmqeonT89o +vgD5ZI4/MHlkeUpMhL52uw46lDQquZaHTY7PGMkqkyA7vKKrszgXY9UH5///KDUy6fllPeNzuuO 2YpQjLKhAMgWu8CPN/kh7HgL8KKojcT4yRTb2+tsj2YS57P39zcSQlR5PHuL8T2qqtcJfwYPHa6o tF14kVIeTqq11+2MacuUuspcY8XmdaHDuDVH3rvl0DHBArPZDnILGZaM9KaqeYmjkkAHmRg0iZG2 9ulTe3gJweOFKdnwUe9pPx7r0jQ7P2IHMWkkegRPwZBARSIqia3rwB8xQOTCQMmRHFsKjSRrOprt uxeHO6rZpPZwK6bmRbJ0w3X9DB/UDKCE3JT5INrnlYn27k3EUlPlE3YEPVaKcEKYDXy7/dSA+BZ9 kRqxDD8Q1FKjCGjd5EsSoQ6TvFo764LG3b8Hr/9mzt1Ps+5Kvilt1GeuAkZ/AwT1WmUArfI1jKbq eVfy/KTscy/oZW84mXsVdcCbGZ9u8T6Ee9FjKhc7dLujO/WomhQxDc6SqTMXvJL30poNYUzuuxHS /mQpt9O79AAc3FvgdUzlXgmOYMzciBKDWMyHA85ARlDBqVCJmxFfi2tXgDd3DzPEgp4horAF3q+F ziJvH9idp4eCiu7euHPLYCHYoHf1P4Wt3kot5vFAOcVG/k41MfSDsmzmk7flNBP9Y2uwKkPkgQx+ EwlOlKtTeSSgO5KR7sehLWRJAPdeTr8UiM7oIc8qFjsO3Ni+uXiRrhZlxr8Y+1YsHTI03y+RWcDh sMKWyieFhDNYtJ1x2JbyujnJB1uPd2txA+ZgAe7nRT9AsWi7432uIpEAM5wzqaPsk+fNbFqcTQS+ UNEhiWFSvf87zDCQKG0HFzb1V5EvrN147KvnOZsZQ0u4/UQDig3/by5yg/Na8Aphx14oPIAIso7Z fqEc6ZZI249XfppZDrkR1IuJmgs8EsXtvKaRpbxLLAQd35NIJhokB2R2DZTobEu4gUc3A1XYeRK1 D3UgQ5nX/cto2hVZAIhZmM1aEqgQQ+VP0/ml1Z/7OUoqJhXg4PPDCkBzASY9nvOQesGfPKstMcms 9iwk3tsl99hoGWpgVCx8no2AkLrGpg4fFsuSup0puUlC9EZNETNjMAmr4A0aP6lpO0ci6c0LnADL if+qOcxMnuk76p4g7zJrsRff8vlJgtPBo2Q3783nd1JSKd7pnhjNBUiKWzgNad1GvlqGuKRb2b/8 P9s+sz24vIfXR0mWtd3nZk4e5u47YWJJTbiHt8H8erNenyTPm0UrjSWtkPDvqOzK7bl/KxbaXIPQ lpGAJvSn5l3p6GSJlmdMpwdX0I/ALRLxIi+IsXbkuZvObgmyOVcwqYvO8AoGYSIg0LgXcXD+LeW+ mZK825dNVHiKx/hs6hSyzigP2ylIs/6Go6d13sajA4OOX/XCOgo34vNhe2w8P92COcRfVISrkrC3 AcH/kSl+2egwPrUdP+k/iLi+0Rta0S6dPF1h59M4z5gDWZ1xyWayytSdo7GI6euy4GRe8Mzg3GZ1 e77Vx7VAOLK/inSChkdHPDD0JskPvTa2dcaJVeCAtJQbzlSLjiBeIML3YxI0zhOvq2que7wOP9Nj KuYgT7daI08PrvuDdcMMNPD9A6B1HgTDqzDLeDO6kH3VINuS65qTuRF0Za1H7RlrAIGx8x1WOCq2 kQIymtsxlu5aBIoWBLqGZ3mWqE/7aoti8ULZUp7tE/GcDjnM+CUMtjmJxJqLGMQT5GZ2Hdced+Jd m2YXPavXVkbEwDrYeB1X9TsXSExhH2fpA0C2R2FfFBfiLifpT8YpcSqNHYF7ZRqLFS1h3BafaXqv lRrutAHR/ARyjHrJRNNKSmJ+wG4BT4RGAXL3DaznQlx9ucVs6jQKqFwIYVEsHWt0MRE01C5wRP0j p5HklZsmmBSoUMc8WAFHwq0Bj2ooFjTmr0wlkmCdMvJVInIEGWSnoY9gO08DdX1BT9swvn2v2YUH zdgX1UrHzEWaZb30UN97u0da7oF1ppWwTUBBQGh10UmlE0/vw6vZSHthmHmK9SmM+cYEksbYY4zN Go5vn67Aa6Nsi6++n/bI+7XiSXklgkh53wx7yHuZWgefVOfJ9POKN1s0Hp67Nz7JU1OSpP60fL9D YVMGBGs/NfLNQMJ+Vr458rwF9a1GcgnPfRXYTjQYUyNfRy0zvXjczVrtS+LWeaH+KzEx+3j92wLW Lxiv+WfWZ1WRLcOqn3PNc7nFsp1pMiEWmuytUR7Bjm9umal54iMP0jMyim0AM6YpSoytcCwYeK3Y dYDcnYaGBWOV0eVFYXuno2+umCRRsJLzIfhLjRt9H8GzXTfh0fHfjWHyztibEMiU8ljcsvt5Fwey jCHnQ4hFk7JJBVmU566Yw1/w0hoK0Q5n8m7ao7Gzn7dVsiW/8ExoCHrmk9eGtTiaWK4lwcGogt/V 4BY2/dPr6pmOcOq6RnHNvxka4orrFpiGJA7rJGM42N7BQHo8e++dByCWm5aWUYvuQ70SB6Wzu087 UqrBXJZW39P+oBHWQc37OHmzUyh0PObGt30vOMCDJgVSBB1BdA7QFYs3/+Zr0IMymefDJdMA9AY0 2hrGMMyiu7i33TU0Yxyuah6kTE9V63RRuYZQzecko8eCkl5YgzsvQQQ8r9WkjbSJ5eSGRW3DPC+t G/SqdJMu8cgTctW5lC3PeW/CduO7t+GtRFe7u8XLfECVDc/YrnTEc5rENhqFhS/8RjRGEk5T/jJT EEHJY/RQz2Q0MpzPqdgSCBiZI8uLg79LSfh1idS+KJpIqRrga9txWGGo9LgeqhNSd5ZBPDsMIf8D VRqkFgT/QAdlLfYhfBeIEMut3+l3C6wUPugwCLzjCX9d2zOCr6xvC+vPKD6wW3JJ9vA/3KpiS7ge Gz6OR5fEDDZ9kb2A05HM63kRTpxnMEq7Bu489MtMCQ4q74SbdMAHp06dvZNjX+V1lmjDbxFyfBRM rQDazJKh93orQoIq0++jjxDC/Rz03cwbgBA2p2IIa5f+w54DzpjCyrNmfM5piSe/SFacLLHA7lVJ ReTRzn9zP8gpAljoUZDgd2LA9nNllowYyjcobD6LspqPxaNriT4cHbtVeGDhRXo1F7dPPVGfQ2SX QxQJVWnXoS0v8XpCoPfhqMgt0mTZZRFF4rn2N9iHLGkSHWA3k1bPJvrfbRM5ailKPTe8BbfKAjFa nnRVv/VUPDtNO5Zw4ezLrJ05VrGM+FmGedEsRnDTgw1JyPJiD2KdNdCmiy3Y/hMg7xLjMACIu+Me 5Ss/T/g4C9Y1Mv1S4ev6bYroBfvRQfWPoQeK7eG8T/NSDruJGALOgc8sFcqBUl16yv7ps+b3x0dh T+uz5hvT8atH4oRBfRNAD9Dffj2ZqEH6yg+80DBUIPfvRco7rKBqHVu1rGDQ8sW41sSz6Af6wN6P Cj1o9XlgRwQCCZrU+vgaFVG2WFUeamj+ZjGYRMpfO5r8uahz+zu29mn7Jh2rEAGiurzGfgo3G+i5 km2WKubR/WJOhWZwOBR/d14AHGt6sdMKDVfu3Bbr0YKhUd8h4OCXlt5DZGsb9ifl+GPwE3cS4il1 n9YMsYM1dbfxo7XQJ0L+oMvWysWNYCyqAxkZHareNIMoIKTD3gYWU4alKio7YBEt/azwtm5G9di6 DtQ5UvU1uJMDBkzP+WfiyOq46YspteQgWo8MDmIB2iAFrc+EZ9op49CMu21VpRG9wivzMLUvHnE6 vLmOdwMkx5rzupwridOX4+okVt0+RpwaC3qn5kdgfvVIF7PN5Op6ekH+qMltfu/IzzdQzNevVO2r 1mbXQM3MVG40XiwV8HteDhHsKRzxCr27w1lwkyeN6gperE172cRa4tjYwlzNDErXvWwHrNpC52BE E3kJfMeBK+H2EJsd+pI8/lhUt1xhrCozyncrw2OC+iQtHJL03MmPZowwyscJFm7KWFmHmf26Nz36 7J7KnygDqchtdLMuLzaqPPoYB3qs/lSV/ovY4wkiWgeKt6pX7UMsPpkTYpzc0PlW2E7hj2tEpduJ R1YL1IqVGFu8wlZ4QwzeErzvu3RT6nURBMVlxPAPkZxE2HYWR9KFTdHhwoaW/vv2DC1LIfqyibxE frFM3yZcdFt+FlEQK+HpUXnAl6d9FHzd0peSh2oyki11KwnXUFw0aum53nir8DB2LYhKDl8PiJk4 pPsYpG+ep2YKcbjDIqZ+k7hs2i5qPyQBmiPISuj3EJxESw59FEdG1DhFh22UxyHuh2TSmMmwlnv3 iH52J8i297RTrMBwiZOHB124wa2vIP/Lq4hmNJdb0t57lsLuWFIdjRGTrudXrcQhMTG9j8qEL12C NsT6opz4esSZ8Np+0TOXGRjT+suQJsgOdysaTj2UFItxsLOikMvtRCweBeCySis4kPeI2C0Xj/hm CyWirXxwML++1QofrSFc74kSYBWo3cbz/xXxG2JWmoT9T6lhnDsV9LAyCd99Gb5UzPzCE8Mpxwyl l1jhMVL72+Ti1JltioWX+DA6ZXBed/6mhHh4Nrj6o0LQeprfLMWF7B8X+hrpwcAf2zsd+yi0wDH6 FTzTS0SpFq+J9LnvITQ9xy0alSqjcWBNC1kL4fAKc1kEFK76igXBGfPlWuiP3FmLH8hAd6wbBvfW MGHtA5SeDLPbt+frhNAORaK//vAy1uiUrZqe6atcB92pipQ/YGG1xWXsWEzyW5ca1h3as5tZesOq xm9GnjxOYfnJLa6aMDRM+mlcT1bwNdn4risWK5XXmBlpz+hTh22lAZyb8WSmUZOR2zNtiTha719h iUUIjmkzLywyJDZMmqcsp63KgX11PiUh8Ab6y1FwPpuGl6VvwX/QCXg4JGvmnbkxwEAC7xrmzYjP sLg6Ad1RTgKmS/VEVMu9EQF+HjSsCKDZDcG3gaIlvBog1ohBROO3wO8nVU3Rp3W3lJQmE1zJ+Bah N5J8fb/M40Tl3B3+uoG28ajQzS6LQ56JkwYBHGifE2obKmi4xvW4S/KaZOR4A0sgNhFyB2arO5Qx ZwJj6aIF7f0xIptOHGVP0OT/ocvWy1ADWgJSyU04GYC3TUsizjWUo6+KHceOYVLpU9w3tNl738vs VgULHYiblINDIqgzN4w9uszM9R7xcOXJzX7m6nWXPMYQAKkpyn0Jj4lQSWR/opyTDbw4UIwOW/yX 1yBKwELj4FkvPi/FqRpO0wnjNYMjG3wc/9jBZQisaQ67VkJ5Mnc7r8H/Nv0OjdtlGugVn7//lIhH 3Dglj44MfaY2dAQgoTCfqbQtewJ63NsO6k7SfYdscieX4Qo5Zk9lLjaN+lFTI2b/sSUmI3Ec6oWi Ix7zFuijMsD6CvjkgxyWK9MFrUjEMuiM3/5xNwn/psfDte6GK2gT3deYOoeAVoR79zmKCOfgLzBe gwTYtYpwvjJUw2pgr41KsY/kHJN43B1Qj5RmR5ujA/2fseu1TP91sfXRnFhUvFfQSt7EKI8o6YLs dTzWhbiSD2veAwzJZAiZKC1RI1t7zTTVhT8mPksJ0xsL8OQkUQpnwVBxpeTw0XJkmfy+mBFPcV0W YqvHrllAcXB17F9fjGC83XDkvhcoYdNy5pE6CtkqscAsyIuduArYRJqFie3nrSm53ic8hg9rpZ0U wUNbjhcFOJf1bIx0o0mGd7pHd7LbNe0TsIlzoWwl1jDcF9hX2xUe3pJ3DwvPvoA9ERh2tCuGzBlV LhAqBh3hthV5LRmccX3seX95GKjUDQLhos+f/U4qlD998YDUr5Sb+SpoGHuDNp1xmjuzNlJXHI8F jIMQ6ViCJdiWqpWWll35/haDaWxdWc9XWu4If2O8dSUHmywFq+4gzM8VqaYAzn76DLhsWauitfr6 7Oz2SlCLlWt8aouZhZqLoGPxcgELQgyg45OuWPu8vYmkUHLDqg8D04MgiXqp+neryvax+FNxVAxs rn6A2JgzfLwqeuqLgto+87h92CxnwnOMPRkIc+YFhqQuSRXaoJn6O6Tm41XKc94xwbZoYmlwWuhx 8nNXZkRJEN0XcpHzNITa7xfXpGtH0/WPKgNPf/McsgZFBMEjS/qReCn/Xy70gwxyrQM3S0A+tG6h 0I8zwtOlB0AHnHSO6qlDYwfJmKVb4x2Ke8YDmbjFYUPOxMgnraGf8tjidYfJbMzXOATGo/It3mOt 44EpDPdnsVJOfKMz9WvzbERV+AXerkSnqiSBTYJgqNsdO2iquSXjopOH91aWXlO+UQci7/bYLOMD DHLOF2dvLgOVp0bAF4cEPqx5Oae3a1NtZ0grLnhOfNEVRuFphTgVCUbQHqV2AyMPTV+TiJQmMWVU vvf896YmK1RbChZHb4KMTTu0XD3ebm0sBZ5R2BJwPlPM4IcuDfDP/KOKetkhZ22rzx3EOYgOwN05 x6dkq7jX1/dbIXYE2/Yn3gZ6TDFGp3Pu8L/xYTb25rVxGoynvXydGlUbMjEU7yP5HDWdi9FUm+Qw 6F74sSFtYJa6SO7erItKKpofzKhXSdsA1Hbx51BIazJQZJD2IIwPXOX90AafhTIn91cfxfd4sk2E pH2K4yuxsaYJqbUBa84vBGLCgVfnMyRHkP0cVlBLeFr3NZYyDUa9FQQ0gHg8MnCts+/wLOO72sNI 0E9I8yvipZdPeEyd9o0ZmPSxTXFCw3n0cuixOKcAeSZbv9k9I7wz1zYqPy+KMTmXyKwHVrYKMTLj nuxG50NEPw6EM6jZwDLqUJCfh80M/50zpuVz5t4szmt4mkZELLl+YUEwz720/CdSmdZQg60ITn55 MbYVyj7C1FHIMMYU7Q+LYD2JkJyEsiOil/L8XR2AVH2+2PSYpXu4WTecUH1UhN/W4DZYTohlLmii Xd/fBoek1MYoMZ8uHZT7RSCJgWK5C+UwMQfL1TvMx/IPiGbsCXHKuh1xRmaxWMyzolIIQjPZCBGF lUn+3mJHQcrZH7+5dTui1TMN+OO+akp7ii7DidIQYXKmX6KtfOI/1tdPyCR/WQriIQe6J88eUzO0 FBvKGrBwKHHiPCciYiqDDHqNv2WKpYKJ+KzNHoNzjwepia20ak6K0noE5fSe0IjBU2T6K2GTOJhq T2PjpACaIsWJMcmB38yoT4n5GVmnd/KNnxfVAUswpjWoYB1FyI46hqiSHnyV/tL5E2+BiegRwx3Q IGUeNiWZvttPI0G0NICSw2Xogn8S+bctyC/IfhjRuzfGYBvqWkPzzFTcIvK/pMwThll3+q6DitnQ o1ju5dSbZVzBxVybey1Nx7TGgdmRK0Af61upcKHmnyT4S9EXSgNyH1nvLbADIq1JiH7M8cRz3GzY 7Zy/F9yk2jLYylVSDipdmggjiQMDiPTApEhZDXSWhYYigx0aaYAselIw8fWJA58bpN1Si1SkwFlI Ch55o6h+/bMPsHITaks/VFjw5tx2JjgDSjqvFCs5tE+LSlNCLLidZt0ai3kZCjcJxe3VIe/dVu0i yVrDgGEAAQACfrl1SFtjO/p68ZntKZYqy2MZuvjHWO5YkONx4Is7xf3G1wU1AzIoGcbmb8nseF+C AcNysRGol0Vlzzam5F7n3mmOR6HwrELCj34yGzvTyJjABE4i3ycd0HTbMXSAhGePuRgnM79vjC1K 6lj05XJ74Ot2KqSvmJUkaKBaUEIa/GvOfM+VhSq0OG+emGAR+v8vLNJg6d/hHD+sQucBPOxg6mim LG9BX5Bq2JqMVaSh73I5zA10kq8V20CW9WWnxyusBtDlevCXPIM8xn4Z1w6UiaXDr+I+QBxVXME8 jUyBizHMIEEkSRWEG8E7pGMM+880IabKCcpyZWbk403HExuc5WiZ4LAOLPPnl2yI2IRINyze9cAL 8IjHLP94Is0EVlR+4cncJ1pK0b7hRbbc7nztqmim0gv1zuLC/ckV+je7l13cIEsUAGsBEDtYIyie t8kwc6avYSoUaRS45EGoCiT2EmJEhBB7bI8PVobdb2JLbT8MGBAPg5O+57YrBmGE3B4JHeG92iyW Y+mFYzR3QUKGuJKVCSSvdf4p4ThvQXUAFFgd5+UDuWewfnvQtvUTkEiRLw8ibh9UxKRUdnDVkBpS ohfr7moi9h5eSRPvrc5DLeYegKjWsm3C28B6d2OJsrCo1ezWq9HuouwVw9ZC4gw6DfEpPXKbXUz7 BePSleb23OA7UZJ5lbMgFwShOFAZQn2WgG323YzDHjT5gayFu1bMdvmjixNx/tM8Oai6Zs9b/jkO GFctMZU8ez0uycz29rrVRsKSxSGc6F4HnFBoJP4ky3ZhzfAxId89a2WTgBjR+oAXubnr7xaURq+m nY1js8fuO/vYaElgi+DpK4eYyb4PpMWlWUVgwI8L9DczxSALjTykkvZthyhOq0zKRinxNJRjYL8c jwSUWOYYTO7a/TPBfDzHvPtdAcrqX3k3JzeFaxinG1YyQ+8h3/HDM/WyY2paDQMCUES4Qp9h4DwR 0RzA3jtklByBHqsvcYHVXupUqmA9o7yI6D76NBVkKkWve+7S79rZ9KmJlx6DDbzu2BtP7Eur2rwR Am4b3BKOI3vo9X8fp+VTTY5nU3uUjbtEMNk25HOH/piie03VOdvXtlMFtvG9o+hA8A5kpNcrDiE8 eF9QFN4IYpy6yVDV09u936E2uYMTKCgbzeBd/6vRj7imIOUggNzyEzMVCr1Mht8lcWCiwLvQ/FRl ATbFvjdlWJ19pkYT1/vApXQgwhyE7Q09bQ0JFulMt0I1+/tuOY1eGb2q8f4PJeeu4JSb1f0uPdx7 V1Cy4Bs/S4iRMlMJ1n0ne/B6Zw/Q246N5hcu7OY8LlGKls1qAXN/uPYR9UyWylAxl1uahGZ2UIIx rOQV4DC+2rAsfWjL6PVbq+8KMBeBjFqzvrcVpslkga3zNJmLS/HRmNrCXc97Zlv1WsXoMZpwqpZu ZD9LKWdenPScGoJZom3P1fyFzL/RgxtOT0XSbq5FdegsJguOBwaNmbkOTWXvLDifhZt3NI6v5nr/ IOjQoYZg0M23QpYQActv8TbG4b1Pii3g5ErN8Jg+GewAD5sQs7/6CW4Kmg5btAYJ7FhNrrYcLC4I qEqq8KHvfT8iN9GsiziX+D95TfmKSTrntv7VtXYobCrBCEYLasd2TYf+4/slpuWAXP2RMx2g+mGJ B/f8SQ4ktp+n9CBOE2Ss1szBYdd19cZrxTyVYw4suHoTWfmHUbAQq6cxe0TmXgfCj2NVIe3H5orU g8d8Hz72WZYEkppQEZ0EwhcuC88OpKDBRTm9UT0KTZXOqRE0bI+9arGZOzZuJ4YD9eVr2lSoCJpq qoLUlnoJ0wHj5YfRO62jRfhyT+n2yVixpYPASvznJ13JDjMMc9jzynRCpCrId04qrC+t3RoVD6cJ QXQ/+8CmOnZxpWQgY2Qvo9KCeGqCKsu8MTbAVZXSX1rlU75q2TdMr8HDmmA/5wuSXzg8RBIwznuH Thk0Y3msd6LdLFHrMsuf/6MbWoj1hV5WO7tUlEcfXhC7e9sE4CZK2NLVT5tyv1ZZ6OCX/wIhme9p liVvUsu4elYceb5nYahkXrBkWwpMNR4i9NX+gRnO59+teMBXiyq/RDcfGePoWG8xjutEiCyve6XA Mqau/ooEE9WyIz66cPaajPuunaZC0ns1uitOkDwHtLMb0gYXs/HJq3n89f6T9s3Ux5SpC8o7Raa2 QSG8rQZbFYi6YEYY+P0noRmV+QVwk9zacDOintApn+RBoCvhWAEJRekPrK8Zwo84ABYNm442Sut7 CpbUzmhltN27Q5qRT9EqQIGkJY51RjVpFAvMyevcIScPrQTsDyxcsKNBDQCL3OGsExwtrz0v8h5P 9FSw4EmnwqFMbNggHK+q+qsaX0aDiPhsJ8fAHUznDdU3iYBhai9orzKTlX7rG56rBLKBfJb3QU2G 1zr1f2MihufnE2p9XPUAlWgtqkfZAD/Vg6WJwQiDnEEMDBCe6lTJuNO05ijFT2Z0V6W1dXQupKg2 X98glncslqjuX72gUX3e5Nilw/Qq8PFBgIud/vfj5w+gVVADh3BwCdefYWdmkuT/kQsIC0rPz1OZ BNCtX8mN3MHjkQtEZj4htQ0MP+dbf7RP/c0ouUMyfhIhX3ZvGvtaY26+ZYdbr6B0drDeHt27Y63s fr4+z4U9DIbV9OZgnBZ6pwIk7ZyyoVRjalFeB4VKyvEn46cgQTuYD+PijdsPsJbvfkhQxVnjJSRc 09MejVznPlSvXVdMBDBGmq8/pFcx3WrZaDi8kzaPr+YTQf4mGycXTRiO68ua/kBG5Bdq4PKQxUeF LbZ+nPslpYnGovuvVQ0Fm0xeXWzUYJHlmzC2f9RWkZ89UMcN7cnRioFPhM40oqxOvhOeI0aogkuz +IeX81ZAhBaxB5FloagPI1KZACiYBOyR50SdE3lOW8URzfKFkEqr0zGCPtNgxisLxFWUsfHtHEBN 6UvnenzVO4n8Ta2TGg+SM0eYVXBXeIEvr7mOeXvWYOTnCTKbgkHd0VqUjkOOZ3L38qGhYN3IxG2Q JEXQb9iHBkm3DFyv5VYr1ixHJ6P9XgWs4vj8gcg4YkNGQVKUTDL33Kb+667szNflYGv8Iz0SmeoT vD3dV9VTRa4v5TkmMSCFi5wsxY3FU0q9aokcXuvI/RtHyAmd/hk5PPg9JwUSQzhu9ojcEaYdcNNQ Nw0ViTz3Mv7EEaQjo33BwhlWdNDwDdVfpmbcrkcq3S93k0Rjte0HgXgsTziM0QYNLwxHHn3Kn4VC OvlwfUFCVqiTymbqpWh+sKvNNyLRPTNaOhc2ERITqmwYJrZQWh31Ts04xObjMmRIoyO8nY5qwZey EuF4laE5q7zP+j+n8NugX9r6i4YjVgssmQsCAX/HOAtUp/1BCgwpOKMnZfjLL23s66NfKuLR96Dn pb/xfSt00eZlwYcFaSEAC76AM8a/AS+TVgpyl9525FNj0UwfsXTKhzBcfIOO0lhN6Yzga1/lTa1+ NTpZwppc2zgIAEa5+l++bWXcB2/UtD+L0APG5hXWOdhpt/FwcF6fJcvPX69va5UAdZUbSWcr4Jb/ 6SN1DAp8js6UE0WqbYLxDPUq3xqxDHK/rjaHFVZPDiAmf/1R/f8Jn6ZrGCA2B/WSms9rPvC0rWMX +WBAtsOR5VmZjMlCpf+kwm1Fop96MA1pHQSie34ySxUiuaKAAQdIVNbw1iCMvC+lHNOHZEekWFWv eupeWDgygxmks2IE/bJzMuUduQszt+Gg+P81exlpVFc/QUCw7SY6Ma8Mh72ADBO8HchkP9loUW/b 0i8KuwmGcRZ2/2Cr2NPno9E8DswfkjDfFnhmV0wTrILGNoLZXOUkyt9VOCvXgxUD2e3nH0DJ6Xl/ tFtYbxdogKHdwX4fHEIYGTS+fJUR8ThV2K3BaqfFXMg/vevSnXPrHrAidGWWx7ii+BvGpujF3KB/ 0cBluu2qd52QYWmmwApjDyDJFJW7c8heZ2mECO0tsTgrnY3Xv9Eu1LZnu38R2jgSL3cOTDWZteio ySR3fUlCYaD81GaN+5ZG0mPfAPm80M1s15XikjaRRF8yA9IuNxxKYMJfgbRmiUWaaOS2yfH0RF/b KWT+koi/MR0d1CLJA9mzrIWkwa2aJ0RsJadapG6TuW3H30hSkAA2WxkOmI3YSHXubtWu9T5An+5B /FGqRbfnc7xoC4YZhyI6Ha5fZ45SPkCzO1k0N5ei8AZzOMdmNhrOT+6AjTdUevcfUotTHHFvNHc2 5Hpnv9pOIby7fLDjEhuL/jF0/y8hnnIFlc1lvPdZKor0zkHxjHdJrlArxUQUEnkbKLdK6dUXekD/ /qrT1+nVa7pzjUkhXD7cwM1yD+NTEfCrYIr9ViYJjGCNOa4SVD19/MFWZYnLJWcHZS6u3qFDviVh evnr5MRHlT0q9+zT3MNOYDHPm7cm38UD4PM2UVqVyqMUPvdl0OgjvwVRpO5A30bBlmIJYCr20SmH KhX9i/5j1YtzmSuR+sbxj7Oh4MhRNRtrprnHQ0CvfFrBayvgRyqAxWvcJJbXjxTR7rQRK5Il+dNj BOMKSgM+rZehzmiVb0ujzEImU2ZmKSrBttgoJdke3cS6Uxd6Iy/klk49yCJWRfEI8MLWU91WBPY3 XKQQWmNc5qPlok0CYYoTtPCdoEKl5svsCUmbYyvTSfg056E42GYmXF3LjDAa2hNEXoaAzljq+Z1A hsXJic+NC21EeCOuJ4x9MM3XqilFrkcR31hvEM0AlA7lLXR0nOo0j+2MD4JSfPOX9jK9fIuRy1iQ D3+51eSnYSOUULTndYzipAWRu7IsRc0zD3sagJgDnC+WJ5Cp6m6W3JQcvJQkfwr+KrbagEj81iMR pj06Of0F+9qCpBjVzoo3s6j540p/zjOlhEGDVig5BengMhR4CoawYJ1otVQ0iqrARdgsKNCs9J6k RIDuH5f90v778sLdWTrEehKAC6awVRmlUin9QQjyLodXwtBv3fsB6p8OBUEymdPmF3Yn6MJuY+hy 7wDX8iYLPO/6T/rG3fYLUhp2hD2iK9i9705Hb5RvoRZxtGlICYGtZiDH1g+k73gl/iY+KK3inR7X /IU4zbCAcT53uXRnvLN8RYwk0nCy1wEqMdCzGv4V9U6AtPk0FCOoW7WNdFN+FPvIdrBlQrynwLav 5s+J4QqPtzV5sY5TKk8JVFiTUQ5fjU1MXnuBxOqGu9RWh82R6U5r1eRrYMQjMATOl1Sxn+69D4bC LUS/TfyUdFBgptntxzC55fXSA6J0W8eztp/xtlaIOwzJnjY5S3QtEu0TIUZllkP43zVXmkUkl23/ Ybh2T0DjypXVNpTttDAeBNwIP6FL2bOCp8ntunnRLgCzrGDGm6DekFzswSemrKafUR08jLVhVSnS q+Ey6+FEZGkXqcQAdRKdgIIl/eQaLOM3L34Q1fhhQVQaBHuyEt+5mwe9MgTrkwEErRqdwnC+yhup 3CwuljeEujaJTIYVQtOJvvZagKLUBUrgq0m7/6uOoUKs8iQCMTPPKlfwr0iE/4+3MxxQnlz6e+RT nTE8wL0gEQ90vZ3NJ5kqmcBUYMGv7qzn3MLD21pW+vEInAP2NKCOlGgRVgHZb1qqHN5lAqgUvNFZ yzIs4mGhIFGYTVqrd4c2ju0+mSV6drwlxOiM58xwxDFUmyKwU+9qN8QBwPoHPcntSL4dMiP0QZBd Sq6+JGRdAOt5FnxS26gLpvKDgpoXptZAzsfbzx/wyy76sKPCBRw4qfMBZtyzZ1a9tfzSYT66LwdQ SjVTg9AqdebixX7oFW75DB24vgZiZ/Gz92hHSWY8A9UrivY/17E8Isg7PQO0T3F+qcK3+d5szPwb uKmSmBiAiKPwGbQDuZiKqLRzps12rmhFd3CUa+trcBu/hbE2AjWkSIw1JxDtD4ojsWMH8zP54GCL T1Qk4lx4mJZGzZjqVpCdPhQjrBDa0nrf/SrrzLcfzCwLxz6OYxlpaz0C2IJSomorjE4E5hsZ7+/o TSLDyZS7Ne9Cr409h6ZK9q/OPVidv/rhKAgDJjoqsgWzXz2x+N3L3rs4S91mPBn5wtWiNmhcqMft Ck9NMFt82YdK8fRx7j4TtmKKE5cceAMuJy47Q513MWIf1IGA7W8IczL0ssUqEx0UBfHPS+Psqm9M rnHltghSNGuOsiUgy4UImxegEE76KijZr8agXN3GjILUQxop/uO3UMPVi9Z0cbdo0gK4F6VqN3m0 iOg7e4O7m08hVEMjMiZLcp6/mKHJKB/1yqj7GjxTrjf6rtm9jLmgeNvpCVFoyvjxMkRBMITg72bo 3goVLfOo+3lu4YcCL7gSN/NCVwYOVXqAMNnTQ7DgyzxARvu0xmYTT6iGfsMKTy47eW1RyX2DfzIY 9REB6DUuDqrmNQpGoGubvRkwMY/M5yXPY2IeSLVLqb1vuLuHw6zyBk2qMt0xQ84UvN/P4QSPb+Ko g64zEhUI80kohgjEHPQoJiwGpZbIoXaQBKH7qGmQI+gy2CdU/Y3FHirXCpz8RwxqHRv6VaDxi604 31X4OhfBvu/BP1j+QRNq027oRgG0HvKQJOP9ieTyuRvDZXSpZtdxX3Z/xBk82xPDCrWtqqzX0XBx J39mi0RZUiZOQMoFlhy3C+aVOOHjsVppAAmG6fsP93nDkPENlcRxPJeZEq8N/QyYFWaQb+T0Jljj SS2s3MIEAD4Ufarf5yKjshVsvrFnfEV2mr1023BRGTwmkCl/VjtzgoNIuLuhAX3lgRvaqy2nzTm9 N+tqE5O9wzfOU9NTb0Ku7RIgkIowwt70WC16lXSkYl2p1y1BX5Fwvh2OZH0p1ADySp+qGQodkVV6 3PzhGZrcr/gtwR9da2sX0yiCj0B6wC0z/UMZGMRUZnC0IIChleS3wrUWvkciRKG9RzE/yrDhoZml qWe4/3VJpLkJpQHv7BDBN/mkD5s1L1deHtWJdf0wKaSKf7jT9wBvknj1Nuy5KPrROReexcjjOI2v nsxldFOfg9pqRjrI9TsNCHO9PD7xnlxzXKPlBRdphz/ijp7tH4VTRDyGsMjj0PJxqO2BeSKmTC78 /7oykWAgNfWz2sTlqKbXRNPtfBQrHHihqba6T8QjlsTT++nEX8DNOGuAX3rbfW1m20+uOwyCZnu4 GMa4tvA8xwjsUcHYLivyqKbqkTzF8P9UZt/k1prrfjjl+1EwBqToMcwgIxMpH+iBNkXc3L1DGk5V Q7OJfaayGawzIFRkzaE3oZjQ6mUYEbx8RGT8NiXboRPPjbMycuMfsHHj9c3CoFMymwLou/hmzS7d J2N0d2knHpk/eqehkliA4KBgIFSVN6TO8JfoO/mnhKAaF4AZBoOvBdnDhdN/dbexff1r3MYh9o1X MrwDZ5vii6sv1LAW+y3vCSU6G4S9eD/dM569nnyaYCq+x3GG8aKjTeTRY5C9bZPxMLsaS4qzc6fO JtQUwvDExxVRYy7P326sOd9QQzBZTx52VpIstZZHk4g0OGAIz2VPhMHMe9BXC8JLP7KOA7l20bxp UbmUc/SMLCgEs7ghJsH+VJ+64Fnonk1GZpNvkWXU8XDC06pbxxPTC28o5KsPGjHzw/pqOEklM4wK zvcpLDw/cFFxC7A3rbjzxgKPx8xjhOPK3qlkgDBvbWL0vfA4yqK5uvkGD7AEw4SlV/kotF7bjO4g 8u58ufPQXsuU4xKbvi4OgljeqJDGSK8okXvWEXYn/c6x0K7qYT1DHIY5YRvC/5KG5Ky4FT+4ZvWJ iLps6bQcCTPd+sthj1N5vJb+rEHGDUoN7kpdH+su5K0pwDzAtfQTb5OCNrbuV5cMSF7P2QHRfsNH 1MJDdibw1rpxMfSxp88JjwM3O6f5XNYdzFWJr2MD6Yy3Re+7LKCbQcgQvuzQ+3nbgAX14DozhV27 sHNNJnfbdeIOSmjOshWcF0RYx48lul3k26bEDGdnHlgf5bJbzNfOthKFAvxiZXwJakbd+6Ylz85O /KdgVL3h2eTHGwtXgJHV67I+o1OVOyeVK9FudyqR6uJ48zIbySgfwt7m9xSUAOQaoIaymGFsbCR6 XwNfohAnOvjVGQUXD7/eFbTOva1IWsQNV6V88pP4mrlkmliIZ3wrssWEJ5oO3nzF3cvJUnveKyHI v6VZZoswY7eYo59jUnFr1wcajxcg4IpjT1gRK+5h9UXy/oP4GiCUvqEWDOpPSATbTkpC9FQwQslu fvkp9H8gKO9Ua6u315wa+hc+zZZAZY2BNXxclH2zSIXrNB+kjBfkhLFhElUBpjIs/cZwsmtTk3fX wSeU2LLeB1Pu2MRIqZO8rg07Gt1kRXWwTCNH66g0L1ksxB4SuC4QDaL86psWH4TUpv3c7z/r0ulf 1AtAzNXTa0fIjM0jSgfouQ8EwDD341DDFvsdnctAhkGOKbB/kOQwIUPAmCPkw+7NtZCKYWEm1BGm QPGVhmLH7zmcUtAtTwK3K775ERc9xUHGUpcgmuNKLQEe3tap6J+mJuWhtiYRKBA3Sm45UglEysZZ h6vnySoRVO7sy37BJyPt2cD9r3RDb/4gMQAbDA2ibMLsInTn/ATWj5xvAzv2fv4/nPBQiwU+u1YB PSIzCluzU+KbFfssi2e04tCV4xYZ7+9OVPoasI/XltiZ1CXnL0x+PTI4EHxxMCbJJXmgpJmFg15+ gX/gWbo1M8Vd0zg0iuZVDF3qlIrLceFn9tlKA3GjqUcK+NevJVQE+voRVNzynn3diCq3UGLeYlCU z3KtVKWLLvjK4I9XinckisdUQZNT3T0aqF6aIpIvTn6xAw3ytE5F6WD3XIDL4diNHGcMPVa2Dx1d VrgY7Wxo7dZd0xTGfu0DrTOEQAI8tH8auSuH+PAhXo0M4RRYY4tGOZoU9PXx77w9E56NVGwezFHF HuXoboFOzacFI20bDUX21hOWRNRY8oRJLKWNtET5yjZbl+ATHOU/CFMukfLh3U+lq5/TxomKHVUY jUDeWNSwLjqmjJIZcgc7jORNKYyomwVNgE8HbP69WbGnY7UpFW7YBExhb2LyfL6kDteINp7m0uBG xKrbC3nUHnqRN4TvSY2dmswvWXzh4cT0KxC1YXrYGeC1lrQPG5yHd1IOn30tDezS8oRLOGrh2b8z dGhemcC1+G+nBj6HSFoq3pfH9WXcRGTehzgpdPEpI7zcItJW8jBzv4YPX7N9GYWCSU34dPvYnOGS hittcIB96leUiuE++9Ohtyc+zrrS4oyfDUxF1TZditnRnito9+94TB093Loj2BxTzPc5js7xZQaJ jMlKGvCwjxozQa5AH6Vu5VAAKNpDi1Q+j7lZTDToyCiIKzViQgAgEJX2yGS2zsQWLGIK4VLYjM74 SG2OkYGR/2ULUMovWRKvyxgHIafjv9KCnEK4DniwCxRcY9GUTjza/4fALARaO5nEMz/9fwa4FXjg Xg/x6sdhUC6LFC+Lj1h628C7HFOyxawkRWih0f8lnj++9U+Y01inWtk5oxnunWb2Jw9KbI7nS+De 8/ZISG8QH3nSylJYP8fFITdfzY2ToFjJAuWcLedUJ+6FB535VMnvuiwwx3UsGqWAtvchmIB6NMPZ FgDdFzgMG7UGdPP7A37526Ki5oCAH5pdvh00qk+0jh7H4tb8hXJS6AzqZUusYFpBZqJbBNzO/DAY mOG1ki7vm24nAbF5pEt6dBiasU/FLiXFgXCJShmaSS15yvLwjFK1tBmDu1DapEh2XyeXaPasXrLo o8VO2grLFy/owR8p8JArVUBI082wlRjMD8wdTJKJXNTHRouUSQYIy3q7s+iKmsWXcarvOaOt7Wb9 0Jlndb8XHnI9eTPP2WG/6htCWMStwy9ltmZh2KihNyx1wa8/Tn4kWMlsqrTm2cxMBj35eTp6WG3J A8CnaiVy4PwJPgy8nVWd7fL+JJIsCdsp8PNfvW3xVam3w89gQ/zlRDeBqXqvxJXiF6PJmtxLUgse 3dGGgAhO8C19/F5FTktBHyfyKUXsI0+MaZsjP/jfYN9PpfbqAGJy2J7z7NN/X6bMnHqA0k9tfACd gjky2uYQlzlB59Cm1WhYULNO8+Gr/14r3JWpGqNCaat+tE1uzfCAy8+rGYzvQ3J2gYM1RR18/ahp QGY5/m3NdGdN6z+DKLW0kOwQwDJVLFD6L+19CcBdynioSTEZ1+y+qINuboG0ulraKu/louk0hK2S RV3GgzSaQEVr55V78QFlYnVwLeGw6ZuT3t8INCmLEx7BHofoMpuhe4EEgv65x9mGAQKWVCgPjwwH sU0mhHZo5b+0h1weyczIOGzyd9/ivwJodgn38bEps+9at5NuTRJ3PK4XTf/k2fYgxXqFu8x0xodQ ktkDiazlf86vtIAr1GwaQyXRlmdNCh6+5Q11Q0idrTIxeAkjB4hQpwDeeIP1iyTWBRj8TOQX3Hco PXaB0dIoosYq0jYk3el5h1ytYPrsFWEQhVP/ByNrj8qlmDZHFq3Vz58lINzIELw7uTvIxGaw+vdH m/pxb7GP7IepsG9PsG+yws6o5lHhdJxNvdD9hRmLHfXtNLWjR3SF8yrBWormrpJLBqAxayTnUG6i 3H+K4BOWRdeeC/ow2IK3uc9uaexGn7s55xK7rLmOTrKKqEKKJWqPAegYCyoTrSzXsfFK0C40xYh6 VcJZhg/stWgaUMXW/mCUmTz68Zabz20Ci8+ktWkg9Gd5/IrwTowTIcs4YatQb3B9K8/qaCY2hoY6 iWhFs6Vf1yW9ZcUntC6OyY7S1ijfTNbQ7ciqfAeB2d/BpmOZYo+9ibRqC9f2JjSfvtaWY02dhA9h xq6g52Rn6IEVAexUc2cV7DfOcjCj0kgQZ3mnHEQobd+F5A5vMYsu2rwh25rkA9xWC7BOHzUKYNIc nJ6pAczTkdzKxLqtvY0opAZBedcstzgXj+5ygAoIT/g+bxck3c14Mn2brDEXY2pMuC5iqJMp4zd2 L+KfwATzwVSZ6gRHIyZVmIkwDf2tCV5vfpotLRj+CFcFpc51x4hAQReVSiGGw7yoIZNniP36410r POvD2tw7QkMoP204mIM0dXFggYwp7owXO6UUgp1b9oU9xOo9j9Lz08+sTSXK1WsP64ThqLl2ehkS Cuf/tP+vbmelclDzcSQvBDDFkhf3AiWltmaa9Towqlq/Qx0H4vNtqYqzJ+cuBMjUinzIGWM1U6Ut skE/2QqjHxpJFfWmfro+ZP1D5y2bdWiD7gfd9vyFD1jg7AdasJa0AYAQgR8qV9qZna+1UndCc/ZY bqNy/7/t4hHn1U+0LGz+v/v27anqEthLqfIqA4VXlY25Nh8yfBSZXrtXxvXBaDbxoEzvoZcEPVYx Zz4NuBXaTyQoOj+I2giIFlEDb7gViurYLhdGimJ62iMTiPxjLpyDRhq1UZj0wuGZpYhIfJJmJtfG YoaTnqtyyequC99UOPFD8l2CpiFG9/RMv6+1zezE5tbt+duz/Tt1OMQdx6B/7r2nTqUvXWStyHzB qE1YOwgdAdeFXcatEGyqggWLOvSGnfW+v9WIduw7Q3J/plq2gZpAo1zGVLhjeaMS9OEWKTGnCjoh eg3hxNHi+UPkddkeAeZJcqF8XSOX74Cv5hHbg/UfOSwTWKbyTCb9HW2UCSYL2l/Zh9/VeHsJgDth P/9lNZxFko6kzUfOC4eJTbYBQkziQM+ce7U+LmusJBd7vRdsc8Mu46XecQ4ZHHKVphjEBMiHZq4M qAbXDXYUpLFEk+emF6GL5x8f7e3Zmdf80VAJuW9i8/0vBxT9qcneAljz2g/dXP7AQS8cpeJXzJM4 KzH1tQf1zfmhKk1aJEsdSwp1WPx0PdkjXgcPvkg0tfI6sEV7+gWFHSRx99cranj8r+LreC7vxylJ Ad1w6X79eCsmjwHV7JrXWGwIfxkoyz+El6p/FnCafH7InbR3rf6wjXomznzKola+me52d9VQ4kzz 9DpXG36o8Jg9uhhycl4syfPRwuy82qSR25HRZEuZdGHNlERAXII6hYCii6EgCWF/jW6FyU2UXvj0 9VVRySqVAkSaxddGkv7RfzhYAtBIYfntQyBZPu9mVOxvNF/o29eP8thBDIc1bRghKbhrrOMUgNcf GtnngAt5OpiMW6cR6QM/7pebYHvNa6G5pdRBzwwR+lh7ihf3GmXaA0BxkRimrEkIF6cQdq1ZYahK kzb77k5z/MpAoX7jUarDjw4vGeOyNylD48uPfDEUgFbEwXp2+8uS4kHuAOsU8A8lvohnJaNETiPk Nf9pFfW/HKQemjejmHG/azStzBPpkKjRAgxd87p1YbK8VLneOXxvcLqcvurw+brUTJiWNIl3WpUL f5pO/EDpqxWcD1JJjulHRhrCaK3kG3qIlnaZ0IuklFU3Molt3Pf3pcoHr5f9/T6dU7ZFg+o7dFWO BX0QhCPuKuozLArCODYc5q+0HW4VaBitokhd71/6YU0udaAXb9DWiorB91iIkdD+uTx/LlVJLpmH W6GWRZC4SQ4hu9jwFUqVxUDJKFg2KdlUU2fYp94wyPKLIbbwMk+ji4fdQD8R92fgaw3aTeV58Hpl h9MxvTBzQHP7qH1cMEW3qcgyCI0g48RipXImLRn+e2zdf87V+6VUlgWVhFZTJBBQDeeSnXHhWXzu uljmg+aQO+IH2EHIdABbCiYCtxDgFrhv/+pO4wK4OuP7Lq0X/eKPIWoFtPG4oox1Od7CFp1y8bDq HJ4rY4HfRZHduCmSjxEGtzLuBF+wj6+cfosqywIVdlQraYDzrPbLMU/B34wbuDWwpodPdsGodB/V dhDVZZZnAXz3cTZoep1DNpGwgoCfx9NRaA/+hzFtFCFTiYQEjGPLzMrC/xLcw7xSnoIAyppJX61V AOgRRZlb+hm0hLcP8xwDDRIx40MjfRoK6mZihiEV9/e0LJYUiZxH9iXGNIfflHIel7TazVW5YdJ/ x0SOS6Bd6RioR2RyrkrghhFs5Xh6rh2F1evnbMx8IxABY0ZQk6AMKDuwmjwI8msgBQd9zf5iX5Iu UzN5E4GnEcV2y96MOqaxhGLpv5qfVV1Cp1+b2oKKy9HF/AvfZb7MZzBIeWWVpZ5r8J/O/8uHcgeZ 5/v0aZD5ngliQb7JHt64L3pyYnLAMmElcUSRrcEcUmp45M7cn8WNr+HqL30g9tDJbbqaor1audWR uyLh56zPpJdf3DW+yD7dHUJFLrUZNJ4qNNBJrDKq5QodP0yi/HEPr0CwpJrM6q7jwItwDVhd1WPH a04zKGPiVDvocDzvgrZlwuP2rHbWVfTUIKIK3EGh3tNtUd6mfjnu19BlTWxBLHUaAdIzozH9tJxs 6qO6G3Q0l4lQk1tXIM8jJEicHC/kg6WoSjt0UD3uCzzPHDHa6PpRDCuAOsU3ceDoe5g/T0GKH+lZ /7ol7DB3SimbA+Vr0kJiyhT/g2U7UcrNHPdBDbzSAmYmdPmPmct34+rx9sW/RV4YiqTqYvvS2rzz EaZeSQSNNfzPxa1pfnC6Wx5e8+5JvlPmN8tTgQphjcjdoeCsm/rhPzfXnMumxTDf3voEf+clMacd ua1CWE7AzGp6DT6/20aA5k9OtNd91S1vKdCu4fonsBT9vOq/EqveqfL/6vSeIiPzzhNH1Oq70swT pW6riKt3PLI/NHIleJvrjGBMnM4cGfnqFSJ+zXMgnW220WTpdcpngxZn0bcBxiUg97Ol96pq9yd4 BlVXxoz0i1/aHYoQJdgsEjTRPgKKR8du9ySU3bq6jTOqMU35C9cYcqlVA9VpBCnHYLFhCWG6/4Bx A9qBe5hZZrSCX437r8qei9us69Rjgh55e3FlBuVO/nmHPHl9ixoJM/XUO5cn0xRb35QCj5JYkVoT XuTLeBUDdFgjXC0HlP4Bsv50YI35pcvnogVOdElV3y5mScowMBwTcnLc0rDcWR4QLrOsq6us1kKR n42TZbkH56obwTPIhMqpvykeYrxC70fUBhspiqZxYtwkUbeW0y/ktfzInvFfrajLZjr2svwrk0qg luOQWxQpBNZEH27BnUzzFbIgV2zd4r/XRUnrsW3VplbRYYOJKmcsJze7jVTWMjn9qMC7im1ZsE7z NAypYIBrBZq7Wh8r4yQfuDCHqFXYwQsd/7ehzvvPaNIfO0j/dot19JJf6quQD57/2EzjOm86VR68 8IQnU7iCwga3CvHzvJr+aKGbrgbrXAgWTSO/QtC+/v4UY0iUw70jot/1S7U4uETRaxB3OLqWLNkg kCwjRZsI/2xMcpRMZjXu82I47KgmhUSgQKhMLLNXVxQ42Z4+7z1xSk+SVu5zSXLlKKqWdh87VeQ5 LBrxGPcJsiRz6Q5TIvu1nH6bU6Ytvr6DeBZLuOsTa4g99z025kuvnt8Hz+Vsxv2SQ68X9VyBrtxP TcnNkYP0yiSNLyfUgzvefHTxgMY2xdcQ+66Mld9NSLms+B1+66o4qMhoDTL/YfsYuu5CgZpRuXUo 1LP5AfczkVhS57GeJ/0QL50OGFTrcbhrg9tnI4xDYDRQ2TG23RFTHvIvZNJ+X1VaeQbExJg+VeU7 Xm4Bcc3UlIB+Jlgp2TR5L871SV4WraMhQbBuQzKCxWDvzfKWfXuidMrlSjDZbYCaUzbc3sTHzTHE 8snPIX9PQQ1BWFl5IzQER3Xun9OtzPlz6TJBXkzAFi4MmQBNQPuY/4f8kBOsJQtEiSU2t1mBIKTN 3tExA2fZPKIcU3z9umB0v0KU88ZzPEW1JHB4LcgKmx4OmoYSCl/W2dby57Y4b7CrQSql34aT59yu oI9NXKTnKe/J2AdganGtjCs0YQ9S+JQFih8ALK3KnswthkkmwaI1VMBnI/1ijoLOy7BhutSBk7x5 bW2E5H9ctTcQz3Eai02MC/w5nCbR1B3yHSxiROPdCNFu30EIylokdq+K/18YAAdocAktSoDpF2SE J/2Md25EojIoKJvMkGE7SMklRKVzwqmAdVgiTtsaw97CRqBGJGcsDoFeSI6rrQktMzhKuO4UXezX z4qwZNInyA8bul8lAmuMAICV/WimsUCItmduqrUIxvs9QcMKCPzeoNlTsTv+yqWystm5qLgdkdVA qqX+b4Xh3Ftg8so+HNNIs8/G9wpKwyOMBrkVqcON7sAxtM5ku1TYG1B3RtLgDFKw07QOCt6Tb2cf MvVdPyvHs6HHP4aZWRH3aiMiwclgvf3/z/DJJVjqm1nNZ7qj2MOlCO5bCcUmRm2fwZv53qS73+LF N2Um/1NYKzE+UtaZwhaXN6nEUkPEg7CbgoOd1Isdbhz6sRYSUU2rmKyL+v3Fq2Z6u7Fh8KPGg1nN wxOsW6s2onfq3k0Ta7PQ7aK1sRoBcBMhdKUNWvhwU1EXBqGYhg6G8DEoj02muH7z+1C69Cr64/KL tHau0+9wq9b9ghB/wFcPO0MsVE4dpzDfTlukSYfCfsqFuHbU4IpSa1Gi8KGP4NY4djGbz9+M3dNH lSG4avCI7T9AMZrZ8ijl4I/IabYFvDn7BcanvnJBT1QNACcL7hhkBkCgozI8nnTXr3TTldRRNgxD tFQ6sEC2e6lRTRs5v0sVR2Ks5i3IQuBZ57BRh9xTat4g7fBcHT0qPryUzQwTTbnI11/twHc5ImJw CuAayXNHwzJ7lqY3mYuksFPnpNLkRFQKuD2RCvwfZHL8f01tERHMQ3yHoetF2+/W7ZCtI2iBi/LY TewJX3LyTCDxEhahRgyP2Kxf1qn8ZQ5tmlVL68PhAbmAeQsjmQutLvEcuFXgj/GQVCuewj+FPXkp dvM4ZuSFcM1oQr45AFgEoAWqCEW7YGP8LKok1wSwGicorzdIT2o6BvI5bEYzdxmRxwAvltnwJXWe 7DfGnF96AKS4RLdGbpZ1qSDa85AgHE8QOy1HYPEZgC7hDPGOY3pvOv00D+O0dvYFR6fVo/NlnUH2 /nMnZbs3UoHcnTP+AFdRf57aHvkXbQODT+WfpVIwplV7aQJkwaPitJoMGH/5n9/I+9xINSKmRcbQ QsM9Hlun9EYG0aBdsFlHvquEu1Nuv/AhMEktV1s5NuYC1vtsCUA4+82SHZjQH7TivH+HnlT4AWne WKHEBqrywe3Ux+mswZDeo/l1JqK5WuWLTxpUN81mReDf/ipidL9j8I6hlAjjCCtacqhOO3m9NeM7 bT7Z0pGb/Zm8a+rg0uJlIGw5YHf8Yz4QmrJAETL1axXawVPCwjnHVBTlSJmX7ET9Qb/NQC9wrvMv 9aorfUp5G6jAJfFCLNoaJ8UWe5VwbxkQHUUo9xGfL4j/RMsjzu7AzFn6PUutWj3X3fN3mKGOu966 h9vwEIaWdEUbHFLEPUoH2YUoYTe+HNbE/tJz77P7f8Ex1vq3PJFq4BtS0GkFkRm4SCjF/yc3bP4y eKhpNZo8KT8FwP+2SOAPyrdDAuQnGh0MATU7HVvMnp9pvai484nhpIbDTOSO3kYkVMtx32d0SDJv nncJFLM2GTbJ7wLGhiRVS1e3dmDiNHP5n2o+wOFmSYeESWIY1+9Yhx0bHJ33PA9Y0yPWXLevQGJ7 5w+yez0kfNlPGc5rzUBuWsx2L3oybQVQ4Zoro5IOfhk4y6OfVYHrFJnn9iaQ6jdrmlmI+GkED36d Og4HY0jNLT3krYVgzX7Ec4aA7Idj+ivF1JB6Hg8U8wDKOyMQXNBNuxH7kLYC5ENvQRZSkbE9kse8 KDPW5A/rEj7bSpPa1wI74A3xud1lEGTHA7LVRXhehSAHzs3F1aP1qDgyYZc9bc5FtjC1PjFv/le/ IThGkiML6WYNE0Okt9uIuv0xmIv1/q/DT+duCGoQ34IfaTxGk/f+NPY2XYjXh0VIYlhzsL830xeB 76VKSSTjaUf7/K96ACzyJ5ZTjkNvPqxQrctLRJBhIoIwSBK7qaXBMKHGf3srRDSvHhPkEQRJzKSg WFHIKOKEBRSzlUTtMpI1XArOBB+aAswn4A4kUZphu2Q2fuP6flpFpKNWB/P+m1piGxtNmsC4CrQd Fjj/1jkgdJPoiuQ2PcVr0CuIRo2X+g4zLIrNdOIKT9U6EbqXDYT7vG5kYOz08AcZfoZxsZ0Eq7h4 An+QeaeaVhxjnIUuqiOiqyw+YZyzsWwfHUbGiNS7rBx0DKMNvHnZExMZX9g/dVQiXRI/Reifo6uf kVHZ6JMdZ4kLHqEYYjUns5gonbBZ40odNiBM+iAq6EQIiQi1xS6HaQcG8EBSCqtyLucpTRYCUGsL k1JBwUTauTQah68ndjjnYs42BJp40BO7cYyA7Dc6yhrDAoJlAQIXlaLbEj6RFS7EXwcB5sRSnksQ DmwTWJ0um0BxgbzoXIpvEdBNSW38Izmaq306OpibtdTUWIuVhOLLfDlrS6gkN0IgRwvgflgCVtEK 5P61gd8swZfRAhDyAAgfqS2Tqx6GET7hqsuO0/jRdNiee2LyuWaS7Fzj52K1GIk8A4Iu69bSXQfn G5XhM2fp4WDLImeAZlG7xv5GqYVOEGIHjx7/wjYwFOAp9kLG1kf++Jvb2wSjin0ywZLtFO8/98q/ MYAn+asDWPhAlx5qUa1bOWTk1jl/DUYVtnMulyC7bJUP9hgcduWjbs4XVbt75ejzCAlG1MUsjxR/ KS2ZL1LDUFcnyHh8n5VRUXK2PlNs7l0qyRAUEUAq1o0GxCpFa6OctP6ZIZt1oxk6vUt4jRZQRWEm x4fTA0MuouAfu3sqtFAV+Ydc1fGHBP/ezdDt9eH2lnteW35RXbduoFG1nMU8QjTcQvK88MJl9Tv4 /QS7QWSYvS7vXV0gQGd1Pf5x6TEBArphAXVvQUn+qIfKnvdRzsS1t7FFCJRvq/npX0zMcXt0Dq9C fKOLXtRrkr/kMKaC3kZ91fTj12FKGT+YNF/vmDh/ix5zjXjhM+3jMGRuaM3MyNABz1fO7iycR6aY tYvJ8D5v5ssSkhNn1kVJ2zbU1MSXwC4A2rN0nimO9SEyuVpL8K3FNEuwzVRynlJ02tezUdO9+gJm YLfSXGB8jvdU7Ydoi5rrqBzexImYEb0lRXjr5Iq6gOZ7NoPQA4n1A9N163EFh2D+p9Lfg1U0MX7V 2CbCmDVTjkqytEJi/L+teNdMebWlyMu0vaa85elEEF+/lTbKzehzlt1Lzl6GK6ELWksJ/Iteb5Ks r8E5ZTzJ2uVCIZuX9aoYKThCjZLwyrFkHSISRMdVkgxE59EicyE+Qo2mxaZM08/3csQmXNfHnSn/ Dufwn3cL4PZgpgFwJEhSgGUOtuIKfTAJApFvbm/gHviCQXw+oxmRah0iqrM+WIu6KPEU7IZ+ch9C J7ky9fVXPYPwZQ3Rrj3UX0i9B/9YYOsGCoCpHDzeDW7dDye0p6Hexa9C8dIm/HxnnjJyVyvu5aX6 5+qscobnWxZpO4fqesM/W3vgCt9Fk2yvo9m5hev04pzZAWcvHOXQCLy0XMPreC38MYIWNifMAbDg jhpbVrV8rhTIwbz7T+aSa70C1OwJzebWf1mEz/50J9T6z4ene04seUZw3sVSxqAPDxcqYSHPcG0B atfVRl6nlf2VJk9rsMWQi7IREPeaPnr1XXRG4rDp0bU5KWnKbNe9iWETlikT759ny/KWQHzj7XlI qPWVkrWPoSfYS7Ktt5Db+aWA8L9fLgMhDbmtgBhgRkmxDtSQJFMlbI57PM/SWdSZEba7YjqN5QmF EG6RgC+Uu5xwFunA0bdzioyplRwacoUUQqsb2PyXAHYUnjEgLQyQdfB7D7lLjE5xeEY1DBGYJreT auCjSd+Jm7l1wJN5NTHfgY0yu4SnSogQtXatsvgcczhRXwun3L6pfVh7105l2dc0YD0UyQmJrZC/ rDqMDeRtOTtYFbE6r+9ySOBDXgthhi3ZtH3sXo3GY04KKEU6rn5e7+cz5qeTjmtKSzvEVa+9EYTr iQRCE5+qcAYnb8bSadPv9IOnVLfZEpy9dAo664waWbD24GQBbY9A/Ra4b8VvqUgvjNyd3sCruxdy LLTflNZdDIaD3z06rvPjWeQuwsRkZbqiJ5n0kucUe9FaxtdOCtoixL0cC6NzBhF89YjRFEL0rqcn YhfzpKmGIH9RZvNFjSbcLvIaiXSbyLS+dRd4TtBEVQRV4j411zw1xWl0wn8fFMMmU5QqDCG2vTYh tcW3qiyUjvnjm30AjIVHUR9RXVO/QK0uX/EJUMjryj4j7XCh0BmzW7SS/wcKzfDIp1eWNzgXe/uT xb0cFLVmcV/cWdgL36uPCWybEp03FNJAtJJTybhp8hZjWvOczyhVltY0axtpS/jswX1rO0S2Cs1F Wkuu6rBjG6NU1ix229KYs3B5/35Gs/7Xn5j0mCvLSKl4HYMAXs5VvtpT7vLm03mOyiwyYXQKFa5A q9f89XLNx/2BJBsJlu4mInXOKSDy+0b7Juuz50ulsj7BNMvHh62dcH0uf/D8+eBIJtpVqNvknrQn 53YUSaW2lg4WD/4UesNPp/dDpfuz7C/qIQ4vXfKxFuu89nklb5Phf46ERQPZPByiPC78sr+EEEHV U2EH4aBaHJVyYUEMjRpJET852y4du1RUdflydeKjOr1WwPXQXr5zXv+a9saR7TtFL+WOxN5h0b3c pyRdayNRsgQOfnrDADDEm5aLChomU1+EfDxFOyBnkamWRWyKr5WA+LXRekiYuuGA+kg/FhXgvMS/ oq/tr5CXf7EA7lRxH6phU83WLpdQdyHqXkzpwDmMRtc5vXMtZxMrTJti0z/k2x5pzFjm5Aze6Cub lRVKVRmPp8Yb1Oz8Uw7pHq7cFNPeERQjLDZizjdciNP5Q9ba3RhzqFLj/8E5gjnYJA7sd2zOf7g2 HmUkG4+uIAs/azKfE6Y0NONVOPctVjhPJN3QlNfHdq7QNvP2VaZ2DxmLYQniD/0264Cno61/LiyK UQGWryiKHZ9uc8civ0lraCX0GYFhf++MXsls7X7EinucKEDpk7X0nNcljeF+0LJbPfxMZtZrRyHI nSgqvQ3X4Ki1CYOZI9n7gjqasv4aL5MFjt5eH/Au1MG5D4t5grBYPsehF2EekSmZUPnBwxpCjcxn aTcu7NUL0sec1Ow6H4N5EZwkm7jp9o18CSv5FMGQApwYh06JQDlM5uR/XQF2gB6z+7PK2Tw6x7E4 3JU3gIggLjPQVjbbF0oAS+vG51NRulN9lQyDYJ5qEAEFtWGE/yoDUVJ3VzcBACOqN6s+tLZcJVCW 1ngkIH/z7DOma3yaunYHsI47kI79b2eAh6Hu+AvsHCMaAMy1cXr8ijjrF/eoUEUNCpMea6/Re5Ro BgrJe3t6wDo/PtXiFwyJUa1wE5L01v7dOPVQPqOUtEvEvFgI3nbkGH+wHPvK4hp3BZKYTtdpdAK2 98TW5a6aSyBZyYY4deN9neDoiRYOnd1CwLBo2G01el5hjfsPUUw7dBY9bRmvXbG9SIpuzVT+wBSB oISjc45H7P86XEqobiL49SoagLL06QYVdpfAbeKdkT2GJZM2eQVGYQXfAO8NhAsZj+ktYYtjCxaV taljE6A18xXlCw9rozfZtjt8aeAzmOnDzcQH+9JL66ShhL64n1/ikTRbdkww/CGH9+q8VaSBM68B NQCHpwQ1lfDp+NCjW3s9yoqokMTtdu3ygSTA3qHc3xyQS/k2X0sPgTEK9G26cgaX+ckAUdSeyrbL XRRGuoBGxiO6ilJtSrDkppLWVRiNt179h+01c9PP9y9itwgcV/4RI4LqV8PxVnOZzZVFF+x2VV69 SZAI9UZJXEwY5jmKNBa1lbUa70xrTnIqpFFwgIUkVhQXV1tyOAhzY/8P8rsSa78/vKY5QJP70Lt7 xj+SQZ4ic0sZM+TVIGqzfu+UBlF6vZyfoCwnQCHf3Ml21WS4Ff5n/YU6oB4TZBt0hjAUC403gkxc GgS833v2xsdgQneawbvNE5Oa0ZFoGSGzJCjCLkYl8dh2BsVVx6uHeJTW8SzuWEF4TXmmL0A4IZgw n8XcCOB5ikL/as4sAhkdgBG7IrDueUV3MLUdFmbzkX3ADRI/QujVX3C/08uPFAgN2P9PSfZuk+nX el7N3JCbsdhjs9y2eMEs9UrBZCpII06iJuUFnsyT7wgZGezt5Mha8hwuzpXrM/CrmwwbJBkGdWRW BFJTnHG12Qprkc297dv3B0VvSflBOm7DrQ4piO7crICSchpmOlnHnxkDKMyI549ATKWH2v0y9sz4 6rAi1XArBlk9jAsIRMszzvOY/yhzXHPN69nRLbwX68LCxvqCi4pm1cYgbmGICvQ27MK9M/xHkJFm Tv7IdA3Dk8bP4pbJ/syYQBlOgyHpm80VhO5BiDF+PBcNsOU/6wGt38v9DE1RrdQ36zTLp5G9Yjm6 4jg00tSfoEz5aeB0bhTEkH5rRRXI3HEO00QRGa7EEsqY3PVbkNU/fEahkKqltfC4smMe0NDL/e/3 JsjmjbqKVRbrdIqrak1lNUf1L2VWqvvs6sfboT7Z4rKPIBq+oAjoLN+eTY0Vf6I1+PutJVB+crNk vjqUTb+aijPHklVUei6uA46FwdcJc8WIURUtHa0qc5zr+fthmbPeKHbywPHyj4cX1yl9NC3kAbBT Uk2dmfSXvq4bzd4/U9yqpERJsKyyQ0Zjx8y2lwtk7s+o/W9f2akqS1kOnW7uqk4/Tb/lWgSUAu72 qc+36PfKWFXT7E5nI653HGgXkGWnsMpNCtXSesxvaufaLTJj7/vzsWgmDnAPApUp2zQZgMfEEzse MiIJ1n6EvE9c9Xyh/pg/OU2nfD9FFupx/dSxleyB1UD/E2tcxBIvnqpH53IS46ewadZh12dworqA d2/ysHJz5qcoRc8V6XLaCFBZvAq51ttnglxUgQ3pm2LbprwCM5SRBf5rdNUuf6yPEL0c3942KdOJ UKjRddqh+0TjTGUldUS6m7SiMHsCqb5ATzIwLYFQ0eC4ywJgANAk/Q27KIsSHBHbd//Ynlu/cCFK nMI4wZFBtfZQ5Ab0p2Nh+PdvyYMaeory/XGEmsoLgrn3cRtM5FQ5HL3jlBpGab4bCg8XbnLh3Q+D K0oMFaZVcEsxD41+QRY+L6hsUaROQa937zJSPphWgBYZ0/FerJWayjxCQkig7RO7qI78RDMQwLJo wQKI0lBLTgYnxooRWRQIWVirHaVkB88nfY5JLwXx/TID+bbYuP/YdS31gBs8UtM+fg0GgRLOzfn1 6X1xuWgPfqCG2cUxwQ99ntm6ukL7JH2qtRP1Z6W1MYNa3jOWxp5chRBPJP2UgkA0i3qmUEr3Hf1C m91CpGe/IttEEI/IXGg/tVNS807NVUlNu9/ZLbEanPdO3S4wXjPMKz8xq7b70/9jiIKEXTQ7xI9X R3sD3Jmkm5UakklZ0nC/INoEWRz7HnnYiB9kUFMO1rR43drPU7zjiqN5sEx/eMhStTQxA4MFApu9 oRwvdixv89PP0JLZS/U5K3/oeQISels84o0X/Xw/l0Ct5dV3BhZeyAStaOzMlJAtncKU0Lom5Yrx JLun0c6SgmvYumbDexMWbDFrEJ64NPVQQ7HvKY+9LQVI86hWbGwWtcjk3k1rAb5PIT8YO3JyJcNz ZtrdJ0QTQgTMiXY42u8FsH+9ImDHzG9NctYDLP/uValwQXwNetz5Fl+o3Q5hW8Bm4QZPA+ss4w/p ODzF7pEbpaswdeyXJl2MoK5cHkcpuxpEi5tLnOpWO3TCdZZL5DFsBqASiBKnCfdjVoF6iUHxrzDP Qn5zF0DbtYOM+f0M7tYxviD8JZsjiWk+AtlIQ7+Mwk4vXpDKZFeF+vgPGPtkWFXCnWgfUTY37P+b y/LROZt1Zh9pyD3QUYwi8evzy8VKcccRoUSmrs0KSYmT2E3/wENPJB1BA/ra+gWDeL/4NWH4OEc9 2SwCm8b+lW/oaMwKFHTEMcsovNPIjSMFJNv5JoFJovf07y7YXDp34sG0V0FToUtqIJ5YQ0lbK6gL dngnDeKXN8Pbi7qfYfB9dnF3sSbt0aRU5HoBVMXbUSOjFdqJBIKm15j/W7yZ43K3/hnD2JhlDhZK 4SYoZfzSP56gmWI7wCp1ye5/Fuiu6sxj+aDH1m4O4g0DpcvS7554cv9lhggILlxE8kQegR46m/SH fShMlZlQZF0PvU3TCqYTnEK9xTRM+8jHT9NLBJVHdy3pmORpTBidj+Aes7sVxHI50e6q0u/U1pd8 kFi8yt3nctxssCaVAao6+xbgEKuxUAtHFhAkPgxx5GJLWITxqpUvhLqdxCfhJOcBWcIm1Xqj3bGq a1rnEeEij1TdJzThVVdwMWGs1Rx86ynwyzSb0vOq4zYivLedv6K4V3fWqeST+5YDb4fARByIHZ75 fqP9Hu2XAVKLf8LDhxjechywuRF379CkSXzuMaa8AS7GPNc7R+8+3c7Se2L/0cRYtUx7qNU0NUnb sDPllMYZu+KP8vh9B/Bww+LPCIpSg3SAQDgKUfVSiOG/1rHqpiUBSxCtWrTR6pt5cTHSYAgqE7H1 wvax2zUrVm6luczaIlEbWDo170peFIcz7eFlHcp6ihp0At32RDkOUErcL9DJO+qrTtqyDvjZtIjX 79V3bQGetLvnLeVgosE7xPyWGzjQgujVLaMCxc6IaO9htNviPVgOwZnGcWGtAPlD4fp4sDgC2nJC 0JxPsqeg2jvNkPgozoyvUL/U71iuyM2DnQgumyEtGxoKlP6znPmWgBmE6v9fYv+OClMHYXza5aNj Pz9McNJYaBwkN9YTmRIBWduK4hr5Ud+T7bMmGc/DiAV99qrQ/RIPKm7bzW3ucXACsU90uBetdv8N mHNr8EyK3rlYKUlsdP/CPZ7KIgSa6/tH/CGxsRS24893VIy37G6n63Wt9I7qpuVBuU3ozzswr6NN 0/kjf3unxexJq3zeaP3UlLn+OsIJqqkqSCgu/4x4aXXhRwW9XO5kLXBZmH/OC6Zmp7uAoZ8BxTOI 9eoMuPSaSIDyQCT/FuMjfgkB+fG0tqEWIgXzDNVFZUFPreDrEXjU2Mb1HW5QvJUKdn1I7KK4ZuCq 073peBMZc0b/UClfFOTGgg0WdRqUirUbQxYlT/AWIsmsoJMrXrNaaxcK3NxxG0Py0jykcecFN179 +I8vH4Mno0+8glHXCeFDCtNiw+RzVQn7AmUnnIGdPDSoFSsRRB8WSudFrOAiH8jQ0YsqqhPN1d9u s3e2X7OObwpxe96JqhAk5dTVn1QU3HbQiKdiVkn5OHNxXMA0SVaXBKLX1GXCT2C8y+HcaJ/Y13W5 AmKBupQTaNmQFUIkS5ErP1jUeb54V6l/HeByZwZG5TxxqkmHiLtbD/zr3P0HLIhIBgQcvIucIoNK hh4No9O5lFRCXc6MHJ1WzKbUCMspJVGqxuBr7GzW79y9RBfiGbW/LqxUquOesouxuZo6LObWa7Tc gO1YgeOPSmqFglQR6VRQIN0OlO9cxQNZ5MC2JuJh6NXgDBEKFEXw8SE2rWJfd/0VBuosD+u4o6jE 4deL3PZlVQEF21A4FqgED8yTST1xX/WHQmOXB63G+hhstsySOWzvww0x+MysOhNCZSXPRf/HlE94 pIyh5bT07rFq8LCOvwjK5vfOVuIkEy4Bpq8HIDC2PZvoQI+rDQYOWmJi5FIqaDnYQut2AXK7okdS NL9e9Js2ll65JFv7ElCMF+BIQ+F+MzICgvpXmpI7ahp9J75Rcd+oqoYjktxAGS0BLV7AMXaeSqc2 lRafI2LSERcF05EgLy3En8urL3BtRsN7k4YyQpyEvmpY3XTU0rThhQJLkYchJH44/PZI3uBKiaqZ 2CHEFLkEA5qmN/eULWOJkDxQWcgtIZB0qq+odlNsnM8Cg4/yVnsTF5f2OvTJYPai8P9qQkn1CDh6 86gLDwgTKVY6fqWLz93DpaMBSgH0oqxBChnksM/NJwM0PHm7i/gtFzDmvR9ok/beex5EllvZ8RdH seD26A3wY6+Yv1lEdJvHBm9jRpYnEwLSH7LQFhBmPR96XKrfBivD+8cWUMK6HcYejsyfw7VN9O3c JWZeWNbrwG/yeDGP3YAVbmff1purPIFU1l/KWamisxc5+GYgy0o8/clxBB+/pkrYd3m2iaLggUF+ Xe2OVcVKTTtIri8TLu8JawxHvONa+g2YUZkmPQZV5zRz34QuWo3ttN2pTQRBlDZ3mr4plQwQNb5N tm3H8NY8EEybMXDCyo4g7lIJXW+FrEiDQb+zOWssO+9FWkPIPxdNPTlw/jlLRUeOJRR0LUvcul4p l2dIZ/3wNLFJ9V82xQSUP3ti4T3hZv7nJJ3jpTQmIosDnQF2WQVH/vcb9wLycw7Ff/nLb8Xo/P80 KTjOSb7FJJyck3t8L6Q5S7O/iAd9Ahtky3cnBuWDyAZqySnaJkmFisQawMela0Da1VAX1jyIxKrr MJJy3XD3mNMZTG5JK5DzHx8masnVZWKTFh3LuMn+mvg/zsaNhoTNlHOZFdA+PQYPqt/775fNct+M 28e3dBvUw82YTkudh/HhuveQ8b5feQt1VJq6cvTIRBCTil/uTLnjTmWQK1ecWujGeJ4E9b4f1Y3h MyWCqa9xKt2mQ9zIBcaRzE7vUkyvKbufhGJm4/9hlKXYSOVtybAXk74b4vNa4pH1U2bIWxGMmfFN ps8ZHcqoGMoKGgfgJmnpOryGtFtLJU+1s4so+EzRC6FtH3BEU7946kXBJ9HVUTSp9DeDz+pZbQaT eRTSJzl1dOD12k5PrD7ZoB0UCwgzpMk+ENySIlqocO4/gv7My5gJw9t/op5ii/8ATX9Jinu1Pspq byFWro7oWLmjSQAr9PqDI5FCrsKQnBCz5e6iG4IEo0ycsqwDz4KmJpYjhMTJoYbcu1AMWc1Jl8/k po77rRlZKWSE0PJFexTDK3UwSYSkl0VO8aWRcs3RwkUP5LfGrHbJ3uPMqVczDxezI603B37J3WOY hyZvpSrbblZdgJK9F3QyicymJH6GTtx4mGDo+o72jYjh0Kg/K1akS6SCN1E7yT4NLClP2BaDmCqb UpbpiirSQSZe8bIei2wX/7OXwpAIaCSRPXhK3Ifn5hIfPgXMmAeCnx6BNMav8qZTNc1eOKAe4NKt iyPY+oIQepXb0tUOgFXonHSWfV3RF+G02D7xd2SalQRdh8o30w4Gwj4nnQBQ8FJ3Ttbsl5zjHfXt TuBTZnXMQYBrnE6zaw7kpdFxPTV0owTv9JJXXRE2WXy8f8ltMVgKRdZGhCZ2yOFWC6o723WAJCQP ETHQ344jaZ7zUDlAhJ+Rj9X+c8ezkEseETHW9FxopJ7sd7ckyQ/4MU/rL+JWhOMEHXVWzLd3W9Gp SIbphHaShT/liLR1DvyRD4/dVeEQc3lDRWhBocQ4JOGswWCgQyR2d5xmk7/4uAxaImqIcC7AxlmO PkKx+VhAC85oCK4Hi7KyGi4AicdtCLAiFEaGg/fgN3ebH2RHtd/0Va/JKt4bZzBV6I77h/jlrb4k rWye1EDg0lWPEVzgYEPfXRF6kFamLZhia00hnZ7NhJWwR7THn7vRqPSMw2xLUYgoAPXroSkRAclD y1cmntRCnA0BDiyAc9rhdU4xjOcvDGD0nrhe2CbYTjakCSCLdEQN/rBlSEHbtK9LSX0/hc+9UXvL fkx8qQfi3LI6xQ+hnNbdfMotWjyYAvTRlVoluanzFUHlZ7WbrlxGuWq+S/IFD7e+fz9MulFOCfkl fwmJ7TGzCMnX4mdY+g1RlQ7il3u2n6solUxvFh71vSw1Gk2Rd+K3VULTEvZKtEnAe7JiiBFc9tty BMP10whQ3qs+KsY42drRQfjt+AZ/C//NtLy8nu/X5eKmHsbTES6W8Xs0rS2kUpxJMPcVz+jUBn9/ yZG9jXN/vUxBgKLm2QrfGkDo66QobDNtR/kqz57/EW4BSNWELUvs3pBP/b3PA7AcrTHMY1Gz2sZn w0JImpixR17gfImCZ8m2ji6dyVaYSQb4OaFefdNRbFA5O3tQKsQDmYZ8feZhNgn41rK4DOSAanHm ay6X3psZg57fwU86HdiG7ONe3qMm5+Vj0sx6J+dTDcnb+nEFmGMfwN8V7yP3yavbuXmT6G5FqXOH V7h64ycUvRgddWpelR9XqRs/gCmYIR5Yp7jjQaufXL06wT5vn/dsB2aotzPmc1EKqKVneplsVGrq H0p08E/Md4dWFpG2eW5aZbIivRb1qm0Gjp8vJxeocsNAE8g60whhGLIJy/q8tPV5Dv+bInpWKGgx WobyfdHsiW0IMrkHhEyv5uP0p8BF0hUTpnHLMLDqu7yKNYM3naVebCAQ5rlDYfKOwzt4853i9PQx Holtg1HavZWY12R8RDGWaLvJvCT+yaJdyHSqBNFzxH1FvcvgJnb9Wa8gXD0QAvgMNnyz/4DZU5Ea LBNmnlu730IdpGHLC0Zv33TdQXYRLfynXRtJ+ZCOB8n8aLX9+rkJ1CneXPIex+p5AcLq6zU742qY AHRph0hllX8WxZDKjAlMhSblAqDPw2psB81HWcJxsWS8zyIlgZCRCF3sDHyzV13zRbhJxUF74NdM JbS1nEP/kOSRaPlAu5/wdUaD44lu9zJbdDnIPw5hBEOq9oIuTFMygGIrA/iobykCYVSNVFN3YxrF 3VvrF5QCDx0SMGKXOEn2qingnpCtAZrHWCfPFhuf8Z1ZsrMO84YclY9ttke0D8598BdnqgkaponX T++SzTBL2A7IWAMKncDgKtna5RfmzENx3IHdLoIInky+VwpObNCc27cUP7euml0kOl7/2oRzcE+J 8mpjI2z4dMTIU08tV2w1qGG9silqIufi2H+eN4kG/MmhsnXkXVJxkRyW4J/GU/C9pnqeLJTbvNlx C5c60EmX4N32yXX4aldk9tpCt3iMYas07+snfnHcmYd0XapQPJPBS8uPmwMVV4hwN/acmioCN5nl kqSx5TpaA+QudNSZ4J242JEmVaSewNmzRsaGXxw2RoJxIxyaqmLNGcbAQLPcpYJcE7PPh5vjDA/B dCMluZi2MhvzpltRbt/xseELU7ki0uBY1yon6a6xVmlzS/Dj2t3IYtmY1uMEqiBwgbjxIBESZ5+V JpbERVVfwWtu/aKlQtcBXk8TGSZNuFKZUlEp/y3J1eSs4PGuovZovxpnH6nnGXvvEDvCV7My1Rex cj5Ed2GxZQZK9pim9O4W+hXYuEoNMJz8Xvl8Z+msNU1+tHKLtot7EMiq6ecXg0uZXfVdNoSo0wJZ CI4mHZVbuuFP0uxAuWghOlm6eLXDZ4F9ThzMvHwmrQFrIjIWgoa1K3GJoRBHDNbDpdqqrgBc5Wqp 6dHOHBtGtZglnoZ/Jdb7BulQUVAlEkDmDxyVrrw/tWggWF9hRxozxKcG98wXPaKWjmCPaeL8CHxj oESwAiYpQS6mR7IXwwKIIIzgSJlpUVzhAUPoJBOqqsRqPXi9o9xSlscAbTwW1GPSu/zc60VW8SbV LMUIyWT/miws/2YoKVxKRWOYiaEtGcVQ9FfBRCqKA/piyyjm9WhHHV6bXDPCodzGufAeJwZTxVrz Mp/wA1IPADt0AqKvA4C8j4vBS6O5iWG4DGwPUqNkyMmAaXJ0VlI24Je5eVcp5cTBnqCa5xaOBU/H w7uKigRX3M+nqx4r0MWH9690sR75ChbEkM+voSWZ8ObaDF9L/urqiMfAzk6vb3ukg/gV1Q9pLFPx JT8OnQ0tcy7gdKE/vOfI7kFu3FlQfoBQ6zQXAEHttjzpcxn8AW9ToGAqNpIFPXtB6dvX1oY05SFr EVi+lMLN7HAYLtmKXzAaPOmiCkR/JaOzNsdnhLx1vb7lYm5ElZ/mDjqMp+pmyF+q6sHX6sUjHVbc Lua6AewuC1TADcCqg9/wfJWY1NasScI/L3lT9bnt9O4nJDr9Jz/teBAX+8aJMDdYqUUklilxYueV /ltVhH+z433M5YEBnJmRAC0A/93fKAR5dzJFxZi8fO2YPYV+mHl6GoulyefDlOLSKvA8hObdQGhO ZoBLFaSqz1V8rojqZmK6TH1m7gfFCAE6g6N2GEdNP066xX98d3rZuN9+cyuViPMaL/AuXS4zfWJj RW5cRtZZNZqVpPzP/1+lKVCcC3uiE966GEPT/7p5GP1tMSoklI6OWYKU6t6rLZx7DqVghPWPj8Cm LvT45YjY5uqHOHLxRNufe0tMZbBIvS4+McR0wxeDaCtxgd5+ZaUNRB8DEuH6uiZi/NDoU5QDeHha W/IzjFJK8a41rEXyDMtq7DHh33zK4hEEkBQREh5oafEzRCKuINmWqXcuzUPYOQV/kxse7+SYQ6m4 ix1gWcWf+vkekZ7sQxQ/8Hv/c37Uy6CvCRaO2A+oYPY1S94g3C9arHtekQpAvvHGEaHPD3g4IoXY d0DjO0ahQ9D5krhYWNgyj2sPn8nOGnzvrnMso2ImjTwMLEuirM7bkIqyDsMMjudf/C392T/5JJ6p 2bQzhOLjOLdeXsVQJeuwp5PldEWtN52vW9TlHzUplUsIfUD8/af0jVdVW/peBrDW+KvW8WX3+xsm wPnp0SGvaGPSycnqarv9iW+YrCZHIGJzxD7LKSv7QGA0lp4j4W3F+79PiI6do3OllQlx0XckeixY SObX0PfWKMuMSqlPKIl6LdqFEnoGoFR/LyKxwOUAeSwQiWgcBcOCSJETF0EiPIaFGsQwnjBH7VJV KbnB0aEs1kUg+RQCroBtb2mTD8dBjFZ6E2efCZAgXYAygiWXxLzKaz5v5UfnHpi7gynWRTc6doyq TEhCJz/pOf7RY/I90EpSHPv8rxGOXX11irdQImhJdQawTKHu/rTDoE6Ovjd8qnU8lWaOjiM8PpTx WKo2/8NYhGjXXed55+F9tx8YuOdfEJ0GkDpnKKZ/Np736nmPEpOSEXaTJtNQC+EExmjE9olEwAHO HClw1T9k/fPv1az+00r79IrMImMDKIazpq5I45EmeKXJ28syNZhBkZ6kFu8WoSxPc+GWhz5HMQFZ ZZhDDp5hNX5sDoUZ37p5aFD9dbjxbhO+78RB1jHDm4ceP2M8MbxDajlOFGqnEG7Fc2yut9kdsimH //LTOekytkgHUiqf2QD1ZELTj/9QhlutDTw1Tt/q/tOVhwjavnEna7jPths4hgkyizgrGhEsB6SU i39RkoB+RHQnHZ+y0lLDyYb+qfUpx9DTpktUe8SWiW8CgD0cm2YWd3vMC08h6aIVspxFF11xQYrG KXXVYsxWODgaID0MZvgrRUkXxuJmtEjZwJYQ7dNyU4OYrm5AeRwidQ0XntJYbe4makg/X1boZ8iM FJESeKgbz3+A/gmnc+ShbI/2wFf8NUni/hQ3gRrG00l0Bu9JTsm/nc934jJTSIRBqutaHBIUl9F6 a7Ifd0pK18Kc23GWlhWPcSVrzmmR+e6fRZnLfmfKuwLYSKTg8fEUTnkE/yT/2UPXQvHE6KD8yba9 qcizWTvgttqpciVpJ0CyIiGVgofnWWpR183AQe1ksV4agYxVoiT54mX+CV5bOWea2eJ/OUA/LkDb caesdfGyOeIVagYzey7myEcBP1phw4celeFLtn4bgMPXyZUzfqjSAtJoMi8yNHemW81mzBE/xKOw 5AcrWH2LY0pmJ+bf3UATWhsErLgce5sgG4QHyr5s/bW5cUufhDO0G2qLvBGsJeQA+hFn4fbbiJ1N EOapsYUYLUt49tYr3fxZsNa0fu6O34eYPToyisoy9l0A+K5gViHcWnIAaIWOpeN5skn44SMea/Q7 kL3yy5yCob1sQ2Paqy9UsPDWGrZjiE5ew+M6toebtqededHL6T7aeNG5/yfPWuHBCwkvk6jaGNf2 olBcGc+riSBvVEJjqS5DNF1WrXe0WuUmFsluNqFVnh1EssPqIQwKwz3W83CX+pkZ5N8S2GVxOWPY 2l4YuYj0TmtAOuCneGihZkY/BvX2cG6wZAyXVVIAaeiAirRYImOsKcvWPDRzmqvjjuK29F0AiDjx JJYkJUSpNn+yuAR4/1uS7xP9AgfE0CIA5HdVi6FBI3M6Tb1pSEyn08mVjZULdBQ7UNiKptjVCH84 26kRFJjoFJCa7Pa63g2493M+4WdmJFJU4mKsBvp/eBzoSshQonQGYzgIdY8Z5re16S56dLgKeh5s 0pco98sCbIwceT35OGcdPeDW5YvY6lugVGwqjqgKZQt/DBVsyr+/Dr4bVsFrn06UM5ayMrkAfzgH 8XwASUv2B/3G397HKV1EMALawDLknaghY5z/V0Fwt9YvqEBaW4Y/OPshUzhXrUYcYLf0NtD3Hqpl DyCHfevUoQ41Oq0U8laFBHg3X/6heYEuEo0HwsohQNT9NUi/+06ZwXT+kZZiJ/L0sRxSAMu59w2T kYCfpuUYmKdzZ7QcrSGgeazpI8U0JPm44EZBh3hY372dJ0rdtkdHYxd5LCBaY5Fe0rPEMAwv/Ejr 0Gb24jR7dIY8d6lO5Z3Uk5eLCVSBzejsO0yxGtDZHZFa40V4BLN9sUyPrB6sU4Nrmjr7EmUofyGf VhowoouZhOelDmf3HzvCR8DNlNh46wLy9MFfFFhXq+JIF3X1BdjmG0I/syoZq9LvNx2Vjwpvh1RQ T5X80/PdGJe+Ha6jIbQu0OUo/QgnWFe0G1Wbejcj8uNpOPp7g1/IHXJKcuyG/0Mr6PiFgrJN/UnJ Y0Mdf2n2fEhI+FP69l2gE2qy1XU1XEKlYbPXl0RjopOg4bnZEfqKdD2VnuBcNZ4KSfGIE6aqM7Ni bRhocV41Z2Qc1ZedBfC357OVgEbgA79/VMKbVuOoeZTrPoEqWpuuY6kUwa0jSnxihiTP5ox6H9Vp UATLbqQvqGtTJjtpwX4skFua7SaVj0L+eNmheBN5JhgsBiJ6h0EZA4PIrzlJYwhv25K6nXcDVWQ7 /x6C5Vl2GMnPCsAj34G7f7oiUbqkPXA0Ic1+4fEGNIJ9E7JFQDgrQxJFB6Z9m88UrpEyY1Lk07LV uBbAPk+UVS9FX/w+jN/6cFOsGbqyykrqa/F+30oCCJM2JF1wQplCmOtBIoLlRu6eFXJ7Nwq46RbW zA0H/AI8uCRkRhc5a/8+gY4Rvy8QROSweiPFcaCl9l/kq8TskzqKgwEw7fKRy9oIeNbLOv/iry3l 0b/tb0zuCW+HvVPwr4WtBza2QB2sDftBkEjmwimKwjN1Hkk3MnbCZTIom2N6Svba/0AZlVnQCx7B 7k0A9kuARrXJhw86yj1kaZC/uCMK1+6XM6Fc1qOWBEntQFxON15tVYOgv3HKrWOlsTSi+YndsJ3K XdiYTV+jo6rkOWrIKfMVyh+SyuoJOoHhUYjcrOYw2Hov0m6ocWAQegEJnlR9sfrtvvGDDrynG5bS kJ/8SU9f+8StenCj73s2Embri9X/7VvKJUbLFDjD+rqu8x95iRrRGPGYcVe2Ih9aBreKDB2EJkc9 /exWSBogWZHbVp5GIep/SNhKHJOAn7O54DVbmuEvDL5L/GmtuYfZ9vPojyRF6/qUpM2NRNGfrQwJ /zzSk67vWWTDaj/EbZyeFlKXbGmJtAZJ35+bDSZOcCZXovxkUPOPgoOsJty79x8mgJ5EEgrkQVCt /DyV7Sud3dGhJgg8BE1UzKbzlsT7J96CoN+coYOA3yfNiYnd0Rnc9oxZgynp9h+rMFH0OPwlzlr1 yZfx/i/6Ped6rh1/FsMfqgGjnVSB7FM8Qso7xJ3xHvZrJUSUK2DtMsHL6iSC9Qkcu7Tm8lINRDvr +250zFTXpjpIKcV1zo/rzk5XE0bun1/gRILae83aNDSvHA994Fa+U7FzYW7qU5ovO4rpIyexhg0k zAHLfJFD40LRarCwBCnU+LiXlDqdMXp7kYr/mcRd7r0MnWn2t5NgVefvqY5MeCvPPccjcDKzykGA p+s+0vvA3oNMYxymN0HpawmenmjgURsCf6a0RuI7i79ebpXaAu8UUvxry5nfMauc+fkfjtWbZqFv lp8jKKEeQ7emSItiY82qE1BBZboo80lFV+W/orhmu++xhcNlhB916IAjSu03y06zu5q7+sNI++r+ sMrfiSGsL/h1UfF8Z5lvC4w/A6MgaeDvJC/aB/6DBuIvGVOF3FwnBYzNmcsnmEcHIcEIxzSqTCEp DTaOajUNs3N2PBUcer3DHSgUAfHrzOKWUJbW4mn17v3U6c5n7f3td/7c8BbbrUEibtLTnJQQAm4a WixhLIHUiC8hmws54JMrDiihpixecb5JZpaPOGdMm8tX6iPyI/V9Bvba9ZH7cYS+FwXuFpaaa77V 3I2uS4LRHW21u9uMMJMtq758o8RD1WfaFk5a3bayLv25sqVFErpQIrHLgxLh8TpEjkswBxal34HP qSnGrC4oNgak1hAzn+aD69F5ffQyGCyO5Az1NyqSaLU0KBRSKDMkE8uFOfMZgkBwRkzGHRd+xhis 79YZI6aC4Pdla417atjXdnkQPwrUyG50j6gpGjClBt0SuS2PV4ThQyR7s+5DCnCeaYSV0EkZ0HQr A1oAw/dGWNrqVSb8uLJfS17sARCjeX0IQN6AJnZ12njokBu4wQYsNC3PYP5ePw0SOP28XOMw28cq w6cV5SO/oQUEZhsxiB6WEeJxQhLQx8lm2qR2wSm6fCR1sXLEqD2Kos3k61/N4YPutkDMDFJ1w5jM zagTEf+6PIvpdVUoRXUhTyxpAftBBoNwRxn2PWc8SSIxmmZV4yxLlBb/Wg7J1B5xBuz793TiYV7C Djhv4jvjhQ7tvH1R5mHqS/ADp1WjRxu6Em501IQ+lsztJk2yH4hrdEnPqp8tihW5gUFMndK3xLlc WwS+2uo9nTaORW0ZFEv4OpcULZpg5EWSTGutuEhot9Y+mXDUJLw4aaIcA7ubsZT4EEYpzUHAc9f/ cixKpIhRfl2F3sYRLJcYFyIttJcZtaMdttieQwsvbmJFqC6HwQdVnKDR+9p+qZTU5h1p8cECh1HL G/MyNfTNpKld2FrI4woqJKdkPumVXbKpiDiqxmjrKjC0YRQUiDVaWHmRy7HMGP0b78zOG19/g/TM 4WP/jiNYa8NLFLJMlIyiFxhBaGCxbX8aUXnq4R6cRCinnPtyT+RypdFmpszyRZ1r0biYDOMloVGN G6iK0qMDnxeMchkCxCsbk+KWG7Di9dUAYmMvu29WkXgFtaqilqZflJEIXYWVne1sv9AFoU/bEm+x 90/KoaHTtG0jnwXRDJXzDdjxtVvhEkdwniPEG39Jw5VL3A32/3MojoYkkgzr6cRW5qFZaCBA5t8S XX9EOKtzqg/ckeQf11nq7/bQPstKJbXvDDQRAMiv3+OLb9sBmvcDSdy7jOxKRA/breRAmV4kRJ+T BvkaGmiKle5CWhrEfOVT3B71O/2N7nDW+4PuazMpN8WBjdCA+6MMojocoJFy96LKoh5adDguZp79 Tct278AqnlY5ooXcUndHSVJz+hte2lnOQB7VOUWoahQeSniLz7WiHjYHByNQKmImRiV9evLavMQj ABQRT+/fHZUQZ+JeioHHPZO1SBT+LWVxIeFsXnvR4dQZRXl0Op0o47OTdCkfqM91ODeVj5ya+5ls BEI+rap3d5kX7Wxdjbczi0dc/0bQq8J2btp6jyM4z7bDJTOnfxjTPuWfWgd8AAOk7SrFSCagmv1h 45pktunHqtnzAGZbp1Owhs1v6+2Z+dIfvVWjrI9B8DkNDXNcgGeE19cqbNqXxI+rSEXvjWWadN33 e6YdnMMEogDwtYTZvAecyyLcPcSB+yyIVgFD0GTyZ3Alp/PdOYfREFW+Yrawr9By9mD4cHKouKVg VHUFPzw8qNKtuYNfWX+ZfL9wPXoPEj9DfafUz4XFAkpaMcHZ6tuEIjogneq1KYSskNauzxfCb5E7 c1+ggyv15Z78cvQ3hFYv9fdkK8ChedkeBmhtxYzk4naBg0ctgenjutprM1z6zOUcDBc3qap1gp8B K/bfZb/a+qUthKMdpoRXdZUkmT7pu69AN40jHcGhzLtNTHdTpKoNdoLT/41gRjm2IbClDML7gqiP R0V4lWxAXxF396DPXVAzMkfkahLtrokTwYXtSB1qtcD5byM5NuYZmq8WjUAVwBsen+GKJvQXefT1 GNYP8h9YEd5JEGs96xBbGQK410+TS1+nblC0TzVPENjC9pIZJzd+ErVp3McWm8VVL36N1di8PfME zS7M1wDY4GJjN5hSipifHmEbzHDQeAKUtJoJbxoK+ypyspUbMuNVuJqit8G0VCF51Ps2UMHtdn6H 9B3jXRHd48qvn3M+sXG6/8866dbkArFRHUuASHgJB7s8iyecGUQzMW9CzCpiDGNRnDw4fZqF/wmB SAPosvHHQYZub1yMNpCE3gKQe3mi3iQC/MBSA0uRSrXlXxUdbYdIF5/KhHEBH3uE9S9S6IvF6gZW yQy5cJQuekCAAKvadjNb2o1n/1LD+LkWx0Or44cXfcy7lklAUgCJi+dNDVzzh49I35qiLq1FJXM2 fskgHXabRZEZTecMMkpyMePG8+orxZ28T4sqJgBRULOXqOeA/thxL8Ks94nyzEsRZbz/rvJtNWv+ TIGNS5R4UhciOlBHoeArz/PZQ+gMhAZf5sCVVBER+TZeMoVO9XQ6oaP6Cf88xroldDK2aIfab1WH uIDl3D4J/LhpVoYF0OgpugpO1/eVnrLuSklYJWQjF5xAAJZAwL78X80V+HE9c+RkWe28TUexvX94 /9KsawVjdIWCNwYxW3GjHFO9A6ml/4hzOSX7nYXDmSzk64tbkvtyh2I5VhDZkapjIP7tQtTB9rIv /scUU09zX8yD/EA6yYYPdtzndORAhAl7GUOg/AFBCIdvw6qS19pkwri7cur1pJ35oJWRI8HcrwaP 6YrB+2N7y3sIv3b+Iv3ipIETR3yUAkedaLHljVNKAhJ73GpKEBvk56q4fytaEMgddPqLBqxpuG8b 9dYP8Kh6LIUCqyYfSHVnE6s6eKLgNd2vsx6Tyw4/QwrGsqUOd8DJADn4yenQUO0dhv26IjpVCCae y+vono3ocHwvE7LCfKhHJmRaBXe4At917+oec0UPd8PkmzFT4GSdF6RT6ku7cea8lBzMenb+oOgc tbEiZl6fPnuS/nzujzxt1AZMvFIisIBDGRBP4Pr7SgE7wdeK3w70YKgQYgQCihdKpehK4TY4ZOVt 5YX+jKheulR5EDdBbTA3xucHF3vAjPIWqINAj7TEnTQJDpMB7Fq7rtWu7bYSCm2300BKqhHadR/U 0H8fUH31hPv6h4viSEyLGBJiADQqG7qxWMpSe4hP+J+b36BMj1nrbJObKx0J2TAjJurRiNHhKQTy MlaTD2oJKLA7KvcBY0IUbET/LN8ftcUSIyZIH7z/LOb7B0EFXGFAToHSla4UxNhVCn5y8Ljmw/70 SDl0ASotOJyQzi88G+nFvf4G78crUKXqhLb9Fbwq+J/c8p5sbnT9lyLUaCTqJ1XwIF48bJd/EYnK ZkzJaCQgNFCBb710gyEbb3VXYFx245Loei15zsQG9RDAdOb/D0r8Z+goJRrX3Yh8uRHJMi3R6whw K4yTO/ijeaJh+fDtILxyB3XwPp4HznVv4v/N80smiUbiyMLGLT9AK0ODr0REzpR+/hccbScJ4YOU qXLiZmrc4/OJEFFEDWj8ZoT39xdJqk4pgw5TDGOD2EPqGWs2e5maDTvOxLJwJ73yjQuOCp5wVmMv HJXSIBBUnnHPVtTYu5BpGoWHOnZ/GOnUBDw3whV+4YKxGYDUNq1dBQN1N7RuGnKTnmbTBJD44nh4 VMBATR6vxCiwLy99qQmuDUFga+zi1NAFaWXd7R3jSVhqSYG8wulozBh3iPK3CtvQLSXCfTZaCML9 0ORZgyNQSINEea1foZcKwQyvmyumWlztNdOICKuOpTjcQRIy7pTJ8tIW+mAXjw5ugGYkXuHq2S7R oaYy6ua8ewsUnSToupl2NEXPWGyoXLtcbaByrEVL3vPOiAR8mxILvcwVy+95zPeP2XITfGOanmVU S4FIYMEpNKjMV/1iuDDpssF2UaV0MXRjkBJNarNvClvRwXHcllsxYZhUy93bb4FIydpAFlMHTRCz IRTdcdqU1skAkDltKFDjeh50SlSV6mNTbwZYKOwV5M0AOj5UBtxpmDW/dBOQxL3gGu+GNBPMrKOP OQcLJH1cAN7x9I1cR/w7b1vyYdlzH/uNkr0nGR1ArVVpefhP07akdd7/3QW5t1c0ZNrlZ1IyjXuA zuRybusN73X1ndshYGLaL4Dx62c9AIcWeRY3f2pXV9h1C3HvyQnuhLvGSUGVfFuL3MJYPjFEMUYs YF4j8FzZbd4oCi6NQqaAEDKQLJKz3gNCDjj5y7gOU3T8/Yzy9gGNShzeJU17+UIzBZ3TyvMdTPVO EEUT7Quq636yA+Bl5teDwIm2fk/4qTB1LaBAwGBj4EPdLWk84V3EWEf8S2yswmRcdKfw3Qt5Rxwh tLP0LqQzkypeMk29nqN5S9dajyM+3Ch/PK+F1E9yKSTwegkYoVo1J6KgwHAWxsdiZnBfHmDbdx+f VIRZ8obOshiAGA3FSLOV/crHhl+CZaoO89JFJg71Hvk3pjc9CNpJlOGBP9/mmGKgmjX0Fej6DI0G oo+k7FnYKQz9AZIHHYA1his0DEZTRDE6FU7B+E6G5nXyCPJIDjg5nix9HJd1nemaLIcWVgqvCoc3 Ys71G7e24h4KUslTiftjMJa2Z1Ypc2MIhuhXB6e1O3qLjZXp3sAAkBR/7f1tw2psBNkxvBtE+gCS I1Am1P0sD1ueZ+pzRi3OyXvKNdeDQdTsVZWsN5AuMuIre948njmSzYguBtrD7QYHJnmMNw2AMvi3 iDIg3ZUkLdVQ0/jAhClouCI5v6zY0bSBGQb6dKkRM8bcQSPIeSQ3LnYbYzJT8snV+a3k0tIsWwLH rgFbgZjBuLRSceDyO2ib5WR+JkRrc+bTaZOPIaaucU2zVX/DiToifChgb/KLoKTq0DXpuHm1jNK0 zShOv25WQv2yR5H3JkVSSb7sOZpu3giR9TpHaAI3MDuxGarCn2DpiayzYOwaGlVeRpP9YHvUWtKk I2s50DH7ksmCJm9J5ZGLwPkbiBTo8qH84C43ZLQLFKeYowHDEG2wG+PmHpk3jZ81rH+uLTxaSeUd XspD/YoBCol2ndxUAAyFt0OkbwGYdVfQCT0RXeFXuQVBgieykoZ7v0C5hrnzcpuHWpau5OS3Zldg xX2Jsk/RBTz4lz8ebMdvKGv0yCAZ1hAsPcG+uke9uS/qe/KvVIAO8Kq2h28Ll/ICoKT0ihhH9kt4 Fcbd6OFq0R8QDjiswNazy3eSo4doLQoB7qhQKtCpHi3upmtWXbHm+rDha7Qq8AelJZGtw2BKf51t TBFpBGETLcthLkGqEvSluYnO4LCjdRCYRFjijiYNBBbVfeI1jcQfY5lN42UTavKXq4sM7zzR0dO5 QZecYqdlSHU3rwGRdoYwVk8xY++KyeZQed6TasSMHV4OK1Pf+8FeFeGMmMzZIuTZshFxQSMZZvE1 QHXeDkAN0AkHja91xwXfuSSoILr2dqC3GLdR1RNOuFRTHJSKoKE5U/CLVz/Rfvk8LIq5nvht5ccq lnqIHyPRC7G+D6kh5OjcpYL0M2LJeZ3iHQRFGmh2BmwiB74lN4Jw57xgwcuulUi1KYrlhXKpd4Cb E8bsa/XrzPesOAvQOEHU0QGbmkiOzwL3eCFK/hR8eYRVOC9d/JHYVHbRsb7y7VQKm8Fa6v2ReNuc 5JewDE4EMUCjDrJpRP1ktuuSElOW8IRGbwE1iAhk89MKYkDyJ1+Ujz3JuR/m7oOzqoTJh+E9a1IE wHPEF2JqYf+CuY6pJg0v7BFQO+2EzC6RY4V/wP1eghhRGlAycZM8b3fTsSjTrPXxMzscsB+Q09Ve Q8QlHH4lXIhXWn6cN2tL5VE93JurCwzqCGhvimuZY0klQLlqS59R8gAshCnnORtjMH2/qFdF/9/t ciG4MO4F4vjI3t81kpM3pinUyOBSFMSJIaVQ8HVnqgZQ1o29CBoBO2SoDU38YwAS5St8S0wOYjey /qBe6qSui/Ywy548zCvTFlpp+gVsWnZehy9zMxFpVGr8ImEjDD3Xnjiux4Uq0BN4SbrULD0QhvzL gTAgyW1WHubtZ+L781oUEsChfZeWeLCV/zEvOptGoe7K7Df0B4O7Cv8sUpt811e46IhQwWmjWVoa yH9CLgAwfmtnDCpjnRfapjF9JOeXEAdeQ11wJMQx+v82Jlq/lX4DItmBRP0u8fBEwzFxHFtURc13 Bvyt47PchczOZl6PQSWnJacVNP3ZB2m+6uEwxDVjHccFmsCW9083bNAz+iZUdnrCOQr0mN71dm+U F/087NLi5NOq4POAEv0Lz2OqFlfGoXfSZ9JlCkf+JFk1KlMjvNJ9oWIwYsQLH6b95B1CYoBDr74a GPfR5ELBYibujAMAyIJywpKTrNpQ16nxFQhcNwV+ksF5qOgxUte0yssHHUG89jJmOroa2ApWD/fI ceyR0si9wcXrLUq45MKDKHgIXOMzgpqqAFAT7JW2HTl2FAI3yDVpKCQliTmeETKjB99fgXvgwSWN /VGLF4iDUtV/q17b+gwgl1O1jjrBtOxXT0Jj/FSHGhWz3Dy9PJ7fiCij6qMJd0di7wcxnQOYky92 79ll34POEQAga1akArvIfP/hoknuVqhgk9Vfo8Nc/GHc13B+0l+gKbK/NZB8COE1yCY9g4E073hJ uOiq2FHfR7HyqbgZf0Q0Ic2m6Gpgi1XzlfWudt32uRxA8+UIDf45FKswQSgeLo/2rnZHG5lSlqVi Es8ld7TR8JuTiJlI+tuq43ey1JkU9334PRStGZ5FzzANWE3Xxke8a/R6MexNkTlKSbc/xSHZun4H UsEIiNfAQLetWG39x+BBBCZLnEnfRGQ3tErTbzjIx8QeIbspHjj8PaIoiX2Dxmk2+R7hkoIwpVeZ Cr451lBeC6aIrtDiR0OjH0KP09at8N5T5ZETyoJap40C7t6IvtTJlpxkp+Ht2zGoILajlmxYKk4Y 97XLdzHUVMY1IGNeEyUhggEdj+ZkQaoS4ifO491MnLVNTL0tw82F6rt0s+idOd88oaV2yCzL9CmE 7wWpnOOLaGt2qMxdvN+Ua4CEbUtnhLIvH/7SL+mGtUkYRo57cpY+O4kxAkdas2La8EbT1+4E+m8I rYNlhFs4MVlaDplIK9ppEzKsuQemFu+X6yNsJcOwX5L/rwzOGj8/uXiN/DaROIA2Z8AhUwxZLZBY dGmRm1/BVsEeRR13/x0+A1tdDFJGGTqr1ZxGe8c95onK+sJp9VuZwebS2x0HR9Dik4gVv0LEevhr RN7Lx7wgiksTEAHJmTedw5DHtXoXM6G53NuG0KL+WFMYcJfuSixy1EuWHLEYCTwkWbmp2C8w+JcW 1bqm0YXsu37J2gFjgZwOXQUgZK7dYkoYAMy/6UobtmORc8ObJcU1rJKsPSn/ufOUYzUml3TCkSko 8BzVXRrqpRU5p0m4RoC79GUjY3MN4Cxy3UTH2En718kJavupEAGyZC7olvOZLxCn6/Nu+Vnnaxd9 NYgmrxRwMfLQ7lnfPf/h/87yPsEKSRnBqBN9z8exp3uzf49h93y2bs77W+vZUaIimP/ejBwBY6Ox ++inoTZnyPT7JQZj5N/JYPF5bL0o2IOdoJqT7ORQN5+4K9cjuUfEardWpy0wVyI1YBERQhhCkJO7 CBLQI2UlYsx4ovSuLp78ilXwpPqFr/xfwuClo+ZvnmOaEl6kcByyqdHgY4fQXfHGhq9kqpQ3usrR 4NGZuBx15RvZjxfgUGfnIvbzbSc/NlqND8sm5o2m0mMfPqu1ymNgVpW+bPfOiXHTNTn4zbEYH+lf YCckE1lNcmVRiuIG2ssN6w0RLriLP9eBIM5/JUZc0MNnI8LcvH8OnHSaGEkfk1/asQRKK+GuU7vN zKSDWga44397jiw/nwwNWmvHcOijQwDdv3SnG9q9dxeg7T98gm/tRc5klhHD7NoE4Wn9Nu81P5dO 8lbiiKi0w8npNwlmZlSUv1lBXREBm1BwrCKz89R593b+5IHZc1H6RjTiUMQR60+gMv/gN/JIacKg ufaDxga9E28bVOwADfU+wsPGMcZPmCti6SwBMiAX11iBdPy7w8mvTrCH895dQEWYebifl4BIjcF3 DK9MSsZvOeR+yVd3dG+oAukNmgcukn0NYpNBK5yGWiGxICJbYDwk37vLvha6pufhKHSU6A7+kno0 lw5jawHS2Ngc5PgXFCTXVNWCwKjF+z57Wbf2AIOLaI6zX4uIWwJdrXOJsnc1+Gx3HUPIbH8PJHjE nxS7B6Dq8KYNoUlKRWWOm9/yiK73oPqfXxeQmpTGNhopNSVaE2YOcmxRIX+M9WG0EkNTY4xvHFLA zEC1OTfLdUGP1G54gtZIZEA8zmHk89UqM6holLVTglbzJer54WN66aS/Ly48TH6AF5MTbMguvc25 GzAQ4XvO6rvc+unmuYfg8Q5oZRwiV34AG1pWKm7j8unbEOagkpFnYs1MdjST73uJb5ubtSQNCXiJ OfkMLUcilbs+IoZR/YSjFWrzINQFdPKV0uDuCCQE4RhvgSuxur5ZvfMwngxjL84nxVWulNmmkpSt n7fDkZeqPFeR5y3bLCRFhkySjVGuGzJfz61e+YHcUOQxEt5IPnZiegt8VcJ627RwOvXeogg4hWuN /72E56KZminfHelP3d8hyYbuItBsDkr35vTvyyPNZX9+aIlap+n+mAqYur7KdVIKCGzzUp9u94/h pUT+zrE30dn5PeOCR44sfGP1zlS6X3Ym99CrqEjKjYr30DmBNBMr+zvwdr1ybqjfJc9JPlOdVDSz zZ08XqhMWfZjoER95XH36/dNnxYispXMHk5xRrvSWu5heQmtYw0nqtw0qNqx3PwiQD+BQyQmECsJ FrHZLpfy2LTUupTOvfUySN5rWX1SyEUr+M02Stq69z4v3xYj9cJkEt8Y2vN3C3kznhlseVDwmx2U 0Wig8dXRtm9RxVMXGbbDn63hRkl0chkhwWqk02XAePSlXpDd2nRcWkpWz/6faTY8/31eDMzDyFrH 1IAC9z7b+TYTmoux33tmvzZcdjtq6+VxM34B2YQjosNnEzXhzsW65qE3KsMObgdO064ZaspVxrL0 437ODInqoRfCnIJyqu+zreH4D2oVvShRhhF4X+Xv30H2FglFDnKTRdgWdbmsW3HStu8KIQhqxlzv MlPUq4zE38YuwKP8sZnujCWcmarpLZPBoGzJn7o6MFDjwxX7dOJhfmNiXc/hm45ayd5jgL2/nO+r 7s6mfAERTMhUT6TiYbdJXKrzBeIV3myfscYuI1a3dY1o5/k428WjKgNZ+y7CbKo7s+xyZ3MBbGSm PErACIMw64IHnzdWhVugIIBdxNKkN/OoXHvr4a6PN9P+Dws6VeNhH/oro7GEB6dlk445d/9zqVES VRUdqpZa6CZlQSjP7wzgG3O+FAt60jb1H2+sQZ7qelaPOlheA+6cU6GolG6L3JzfWaFXSN+saPCR 7n9MrtrwooK0FjLCZ5g+PreUJMc0Am4IXYzvrBNbVP8AZVGKiVumfisPldgQzZ6mrYriFKf14ocF BcD24TDhSEz5fhYhJdhnx8N9I2aYNKv4cRRPk5Y3kWyPo7bQ6lxtQBp+J8TxU+o1IPCnA2LD4rnl 9QnSovY336ikuyZTN5bi9hZEbbRMHVJOTBaLfJJHHGmx4H8CpJcwJzSTLjYkzecAhDZSLR6qU3E2 fnOggivBU4rGbrsDBFYo1r9XG6WBAsyRadqVoU9Xl1qttSSWCbSVZwXOKBuoBpu72xQNVkqBOwCI tW9Udr2a07/ZAirVEqVFt+2YXkhpuBsAqr+T9W4aYE5Xc4jmv8fHROpLFiGjzhUoOPRLyzeGy4p7 +Py1b/XYwplKNiuLB2KIeq+c6MFATwG1Sv/INGHCAR6KHycWQ7tH4pCxPf+qoQljoXn8aGkP8mnz 1+ob0P5tRSm47DsVRUfg2wMnXlZDbzL21oeiuQ+bgCz1GBJHxhTPdkvla2mRMdAH6Pp7ejqbe3zN C2woA9cTZPfKa1mLewyiPgWJPKHLEbczRqHMY0olJatrZQegxddaa+DGVFJyM9Ca1K3BKAIfrCHD tafft67UebQ+5HohzjplSU+8XoqzRCEr+QHCpaLuP3IVR16RRMBSSnAGCJ9nf+oXRHcudO+LpH0Q mw1XmCj3puOWxYyyyE4+FRE5TbA7k1kvpdt/x5aSzEtkPOYgYwSaMydWz5gBgUDN/n9RIpwRLhYy XPbz3SIjQViRw1B+WQfzHpBUNzAVnwE8nNn2oX79SKsqf/3SchTz3SA+Qv+pXQ4efmcK+BnvkklR 6xwuKZb6uXXXs03iLS3b8YBLy331qsZtUaozC9LgGFRPI8UIurEheAl/O+2yurqeGmPeuWQXJr/V rUr5BWFA7AOBaTTGax7fWNa0jV003zcER97Mq75XA0okNI6rUCCHkm70fUcOZxaIob1GoRiZTXii 4MAd3WDHMVlPRHW3PnxVziB4KVFG7QSm/14BsdmecKmBptgPdCex1c/nsQkpfJcywvi1VDC0G+S1 2vnCG1ZaLEbPjprd7EqMY7iog4kMoxI+p8uAtT7zvjihaoAB9agmQxu8p3K7/SUW9HDSAau+DpJo Vxd9hE5qS+zAzkOTcXRI3/VM8zVC33cuJDECjvGiliYwA5aeE0V5GAAE1i61Kj0ggdJPkwNIHDX5 wwI9ETXlncWf64Z3qCrBMQbFHOhbvIE+WO2aHlVxZ9fCu15VDjMSTZBu5X54+5mWLHhSgEALcI1j a30UHUeif60QWS1M56RcIZAqfLH83FviXdRYoJ7L+wbCL8VvAimF49NAF/ma5pZgmxSBJdt2j6dT TX093IAKQZWHS9slGX1n5ZvJmkhMJzQZyEmVzOHu3IgDEsBnjcg0j6Fsc0fC2DmSGfqYwCP4zJ3f d2c+Z0n85feWrWdQ+hlvCXMaOuaUR2YPU/2JuWKY9Y1UdTEk3yYVCrZCrlDSCoIHCcJTiu5F37gD FVfIvv+v6vDsEnZG9uTDmhVH7leYBFUv0w3QVjunPpO/PyOMTr/i61htGxf7dkjrWkVifyS1FD9S cfdNznbZszkPjc9ULsQzEamk4M0I2Ies/DIpOAlAfsTVkehy4WPAqc35q2g9GXm61c070pBv9Hmk szw6QnNkim9THy86b/6RdEws6OZY+VgjpdX9ArFKQOS8xWNTq4hIIZCL9DBxG+iRzGw4QtpW1e1K kSc0v58EnoEGNIM2Cmxu7aHPQGU9OM5cpxxKC28rmUDrBA6UjvgZomZmmYmrYfFLEwMKbab672OL qX8rS0sJcmKajD+H2OKPyWq/0APHRIW/uQwj9YyBlyAtPJzb4xeebEKM4nO294D4f67H+m2sgGod rMzRH8m7UUs+7ETWk5M3O1GGszj5Mw8IQoCWfEWlNZFfwjreyverqBYBh8eYEjxActF54Zum3h+K hxfOe92tmbO3KPfYvg7isitpQkFi6dk8B6Xd+wfjN4bXFp2c9SuI4NjoWQbzwKdtQgfYxSw6/l3G dv6G21tglyPZzrBN/9zIcpxQ+nfATdkO8eMJQAz5DZZBAla3fc4f7hAq10oF0gL5clHV8SwF4zpf LJ11mgqaQOGgeWRaF6nvOfwMEzcgecMDl1s3CGktGvjTOLDlv9o9PMu+jhnZV0FkmZ00NtW6HQ+v hyCdwrUgoEOJXvagDp834lpHtzEbor4fMCQor+e0+EKWezk6QtOyXddeQlq/bu2nPpUJAAceimM6 iRrToBFsIg6Gke/oRVBjRjIrqGKGjCveoi5TIAXkmU6G+neOUxxOtmngzXmoZtsQuuF8um25ldbE TiJImr5Zeky7hPPSqvMmrPlBq/tuG1x4h/F5TA7OkU6wnskwmSKi8jup0qYqnY96aHhuj9nrFQLA t63TSKMxbw++Jctb7Jjscy+k5Liy0J7Fm0w44q4OchcA52Oj6dipgocYEIBm96LOH/6JS2+IPwCK liTsnU6fos8RBoU5x2CS8MYJLtAjCEjrvO+wEtP7KGnRMUeADI4G4CcPVZO4DgnMcHOiCfX3CDFZ GAJaw1pg+CGH5ARKzQrbszSp/8BqUkToeoiYJ3tS5EuDkgE9VrDMjGS+zZL9DSkj8Ljoo+4zBf5W q+jGhYi/E5q/dTJahEPN+n8S2/bmJLvaXJ1zVSnSvr0+HnTx+jm1ZQz+mI7BrTF9Eqdm+o5mMpAQ kWPpp73sWyxBwnLIPW3USuoHY8coXM/LQBC+GYqnQeIyduefK73gITc7yRH3azHB5U+HW4LWYzoz //jJYSrPHkrmqMR9K/RR8TLLAhnZauw3paFOf65Ib1u1hBZwYb4qUtUB9atQqWbvI+duTUyKS6FE B09k8S3o2fxiTeV3WYzDRRUw9Ikw1GjQw6wLafumBMgvNq2pU0+67qdmbRdOugY2BdLBs9KECEU3 9s1OI4ywWlfDWbADpTtoAkcFOXjjsjXK+22jSOg39/i5tfmLv+UK4k9amlG+NAHkgF+dqKQdzYdN MztkrVXIfY0X75Kf00R1AQXNf0D/NxCeVvpGUotFsjSylmZ3x9CkNZrDx/4uNckM/0pfq1dSkrDs ISwdXqqVnANdybJvnR7zInN6Er6ZwEgox63kxSBWS9j3zWeffplOSDYbHbHZgfqCKr3YM3OZmfxo xSvYP7rbUcdjx1De/+7oy4XE1GsOlnfDPNw2hjBxt2kZpxinOj+iFq7lNf7u0q7s0o0cDUJ1nM7w NSa+SEwKG9TS9bED5nufq8s/Vp//5J9ycphXEUTAxbbLt+b2lPlzJy+i9ZPbYySVp0xznuyRpvhN rAewbLLrz+nVYUsujGdX6PQT4jABym6TYJ1QDOZ3xBj4Iyoz9aSzpN5J1Lm/yWQIfQdb9DBDrz+X lm8YBvyt2ZAlPZhRQgtI0eCctUcbNflsy1LioZ4FHnpFmNR6Lup4LJj909NMeOesMl4WqN9XnmVO sVCgap56Yvu39z+eHJoPb9R7acZ3rlwli7zfg5wXVMHvVoZWo53TdPgTD7lJdge3I72PyN6A7GqI zHjQ/V9HHfNd4VJHicoG/d0PDsH46AbB1svR7WHXTL8SlFQcSqMQLPwD8JzaVC+v86dWWKZ7HZIe tl40qwd/YxMFcl9qXR1gGpadbROL8Z9faaeu5nXeMp/U1No9CE9PKzSIUwEjNIDUrnZEkpYE3YYD 2F0qByWSAldaZfNVeCBYBeMO6tIa9tuG3VlTogxiexKE+1XsByhg/D/9jvPveVtypoo5Kaer4IoL n2VhCzLyJvLQ4+jywUTR0i0jOF/xpibPmzYFr8IY33STZANqPvkefi80NbnDvyXxpoL3u2rFe3eu YMMjZL1DwXasfDNemRe/GuKwufgFxvjnWQcK0boptR0DA4AohbFDsNtRfgmcZZQ2c9Vlbusgt3CD duWsI/3bMUGcda38MzdZt8oNDtq9H3QyynddAZ2oE9bAvf3IEJiE+2Z8N8CbPCpupTPrUVbUE2qx 8EeO/ISNnzEh+HX2H/6CG2100E9g/4v/oZ1PH92kjVHi9UZKIBvnFE+eEDk3oJ5CYGvA+OeqRE4M GmNuNQJvJIZa+1mfyb8EJ4GbvfC4pa00yPidy7Z8+7H65Zavo7F3mcPpjJewcocj91DjUmAWKEZ2 +q3LAOgk6rIZ0G0THTDC9f931x2Sixz9ZLS2g5lMUmqWmJpVn+A3mWE0Po4/+GD48GAyrUp5x0a6 O+w1NlY2AWdmPHIl48Xpym3ytYOz2PfEgi32lRynEZEIKNwPHl26YIIjaOtuRgppdNET133RhdgR Ykw50nB3hwHsL6Jbe6qr4iMA4h8gjLjBG2JUOohvZA98SDRDOk6p26IxrzAVgliKrNSYCXLKD3bC Mkx1Xlona+eYJTMD71Ilb09HOQM0r/bWAvel+Qc3v+7kyy10kvtOJaIY0UHxcEeWDZfLgHysxFCe NmnYFbmugzuSY2TS+KoIHBT0NbuMAfudQX11xeP3XU3fvOeHpkZ6KIby002PHJmkimdvDtWAr/43 0JhGPyqhC8CDBFGQw5v9Umif9l2tsYYQfQeC8MS581ZAo4/h+XPC2tQnebPVtQJStBgLD0WufHUT G9CfS3XM8cniR6T36Z8GNsGSrdzGjAuLq3VdKAF/9QKdLvqIrpcoQVXDxdguhqavH1SDvNjbrU11 fSbvxh4TNBN1uMOo/Soh55c5za9wC1l8BLxliUA4YG0GNre9JA10vUYS+APJ640Brb35gaNtGjVi RBeHNN6HqaFnac75I5B3iZfO8f944vqXAS0hSlt1hd2niCJRJNp9pQJ6lGdmPjmwKwmniZFjY067 xvwml/GW/UxwU73z3PqkXfeqtb+R1dAKSfhE3aZ9mzlnjyuqLfNUEFgPO4LEnXR2N5hQZfKnckkN JXvFYhUJmT1x5OugBmBqeab8NtHvmiYOOjXJMIhsPfkIuZDJuhEgH3JHT/kYeddw+5w/rfUb49EF 8WC7ywRdMztjb6Yd0KWsLPohF6cwcWoVbeuhwYhapIZmFjwMPmoN7vfJkRoXva6oSmfY46nzy3hj jPJViApk9FuDl5mY1HZfOZlxhbUnhHYbS5BKfYxhN+glYrzHiYyyVHk5lKHXeeV6cxkrbF8tvMNx PbeR1Y+gfM6FgBxm41WXl+xRdrraP/lKONN0rL4uIgrBvEmEAws3GSn9mk0iHKAZansOliAqkLZF 5oLEOHynpkDJLfh1htigIaMGrRrZudsvSwq0nOSWhKx7z7/WuBvZOwqq9FdtpLkyfMY3C/o4F6xN c16zijkGC09eInCoNq37UMZaAPDwAg7wbvaMc3pruUjGUyNTWtzYxRj64nv06a4Lv+zjLYHVcwJ+ b1OLrzAliAK6qrVdIh7uyhiXDDma64cAwYRPladjRawcg8bKKr27Lw7sVPxHoujJdZngV1qJUEvH VCfrvx3jFOJ7iQnimKw6SQ+TEY3ph+2KiJ9dPlpibvnF7ShEVZHYD3IFAdERPlHYMo+61Itjattb Nvqq2Yl9O3mCDoMA7EKeYO2N+BNi2xeIfY5QSW4jfXnOYostLIqVQZUQx5nFCsYR+KTGUKA//47u j9/hKuIvlGtnoymw9PQp336q9HQ2aTbIyHMDt1YeQviNmOHN1+r0kzHVHPb5HFBS8d3xKNhVcVFJ Ioyq0pMxUm9Ff3lrRxdH01hZUQFPXCi/sy12aRG6rb1P5Ba4YI8l+hOwMFwO6LgGT9l/UluvxDu7 BgeR65Sjk2DJhB3PBu+RHX7F2L9fWFC4kc6KtiLfHBICBdpBT88gSrkozqN/4RlG3CIYUgYCuUBn as8i+esQpK2JivPzmE+/LFWiOjhi2QBs8FqPmSstRT0RPffyllqRlXJCXfWLcOhkacJF81x7eLgi taau/2b0bRrj2n0NSUpa49gV8SnjUSH+ZRok4CLcMHtFIn9RiV9o0mPtjjqLloDcLJQ+yTYJVsdQ oAU4vD7GURW1QAxJ4803gNUtsItwR28Z4fpgGur7XDjsLoGgx0ICq4YRExpSfy+iQWKRrw6L4Yf8 sVghOQ3lkuYhcaPSftFAkdSrFEV/ShvdAZORmsEPO/yBEv/Fdl+XUGzcA8GNKIVaZSt/KKCVkT8X aYhI2wkOEorRLeaBukO0G2pfH7xsLY2R5BBHg3JHjq7K7nUMWA3sX0k6AX/kRSglwWEYgTXBXo8o LIcTzaQf+JQAfXdUelec6Haq5xBjXVh8ZKP+ueJMUzkIp8X1dRmDb5liQvWP4W7pbw0/C69oq/HT sjI/DVwg8tlj6LVN4/t69jP20mkSE2oB9E0sgbsSyZWjj+mrndPjQnfSgNg2BlDMcqZHp0I+x8g3 BhaRIxOEkG+X8epytXWrtjHVr7gKP5SrxBBiMl6RQQnUsJvyyn+VJ2JO2maczOGopEiEjtE+soOG GsVyzGS+H724/4sv+444OczAqPXo2w0EfWiSQQt1Rp8bpWq1AOf5cjmr5MGuq6Jr5VsWLJvRmgRj c07aWUSmiNaT9PO3d43tDEgHutfpfY7Yywje5fGKUEeX2h6gYBSZl1hQuFbLQeYlYFE52UTHbgqB 1wyGRa5DOeze8km71rZUb2ndzSPeX/apq+0+OxRD6sedNnKIapEHLteWyAaPDp98FBKWss863+HN N71Rw3bWYnKU4yoid+teUUnTWj7ltWvqvnM5lSNKHSDjzs9MYULOe216ieqBI8Ggf+/hDwjx8Ogu cO+HFh+swy6TZf/kc8u1fsrH7UOPlkvECZsf+9e/4lpkmpKE9ei/QfJSd9HDh9RZP/l11yUPt3AJ Fzwhv4bkS/nVEIiUnwdpqxRtS+yaSVwbL6u4AoLPQzD/drgclt2QgySuDrYL8aULz/fxXxKMXPue OmxJ82vJfmpKGRjTFCYXaJsBO2SF3GYmUWCaJvfKw9SGhnPSzMD1N7IzPd3PWy/FQJ/tqlUJohWu 4YLww4E1Zs1UOwkYYpc3rN8cAWnvmw6lXpH3Z0gTmzm4F9cCokNxYYvE3wW5PQ9jU2umb9ovrMta It2GI6LBbnxdpm2D/B+HhgHNTVXv9U+hrs2pdjgTNpNsbM/cqkOkN3r99B+NanCVdoIaBjL0/yni w4vMe8Rh5eLjgcMbIhHZo7O66U8yYvxXeizoOA4j93ZdBTssxeYkGxslo8urWMwGiEBOilc3FlCC hK0dT5UewZ5ntwDwGfEbeXY4xssIJ5QYhsdFpRZAvpzzZw2FIiwG91cFO9b2kgazxqz3B5kCcxEo AfcifvV7nKafeRIywVDHYa00R7o+BPsEl9jtGd/1neWjmHYcKwpaEHNwpQkhFa23MI9bJfr4dkue m/XUb29cks1nv+g5f58jc0OkWZf3qC2gPPh47NkYhs37TfiP9RqEplRFtjKLXF4Bz3TiqaH52qbz hz4yYSrKg3qVwDicb7Vm/tGUH0bviePE0PKLfzyIHUS5zKfES+v9HGJOAAcmD0K2DDaUnd2W9CRQ 0wiO7mJzGJTEw9EOOs57oqm4sSfCioVN9EIFo/m+SFHjO6fGa8BofUn/PF7mRSm4rijHzGpudKiQ UoAtc+8fLdagOSJOSTnkVkAG1b45pmcR/In3ZVa9jot7Nosv/LT+FgT3kzGkHq95R+7NgSBSA/7g EoIWv6OhKYjB6F/JJch3rMWSNv0FJlTjpS3ecIc7YstHsf4+KOywyAItYMfFrpHVtDCBElDi7nmE 86Jr/D/2RNltE5I0YSkikLyxu+VUprHIJxMdAucV8ky/uNjruZNixpvOEZiKcF5YKklW/jM7DXRi tU2VtTLRSXd7cGNO0ssS4CgiCjBRu1W581tFaFxyf8qi9e9xGINJ3rd2c9vmrIzyHN2LqfyyqATm GUPIf99R951IAXHGkNnM59Fzg0/QjuzEQ0asXtUUrIGA5y85BhuXj39fsJ+wLl8eopGayihJV0Ug c1PEZutVte11GPExGBgVkbRSATN6y+pHMv1DNRePI4gvDIc6DMYgUV9zWWi/5GDtP9tRLg7KZrbR 87d6mpVyTZCFiXl2J1GJK8manqlhxtQ2vROPHHXYlUkFgdjd/WBRHGdJbUAI+j5v0b6AG4UVE2GP FL+328tXebAGox4qp+aQW6mhQzNlf4geTjnGEljr6tPIje3fqVVEq5ADVdIWo6vqcCZMKUa225K4 6ESFPPMcxZVSxZgY7X1LbYsVxRm8jmO5XY8oPoSE+KxnJwjthDe6qv31+Z1x/LR+rgTDEmshPoUb blhNEnUAfcMr703UOXMcfzGrpuXqme11rk8itmOA2QBkNXpV+Qb9D97gHfnQYJo2GEQntOBgRHUm seRK3ye1T7sBeDyzpwuM849wU7XFRvS4iO4gcIBLjcy15e3Pp2tLoffL3uK+j8z0HE3lwxyKZmXF 7Lml6EIPsHrcwA8bSzHNiFn7D4d5yL9yj/I8BrWnJ5U+EYkfeHc0VMIGBAHT+bbHg6ely1nIDW7n TNLgJXWRbm0fKZF+z9OgMlKpbNMSLDfpaY4VhGXirYOlDIvq9Vqjgso+y0RPigb5i59S40x+6WOI eaiIoN+uUUWNyocsjAKVNyc1hoh8fcQm05u95mmOVhelmPbtYfYnYwwqYdU2WBPqAaE+mP1/jNFx Jj29c3MZaorOBEXEmSBSC8qoNN1xcrFMyx+LsnNarCwtq6o4+Rfsw2Ak9tIG29wSNaDrFustpm9H /lBPF+DAPGzlVWvwgObPFQz0ltzh1mt6ZuvyjBhAaWcg1doDDidcFTwESrEehT34kfniB5XFbNzb 61888FYH0AoqanBSkHrRLoYIbB5ip3t0FwWgtm5sxsVVwYppp2VB/xYr8Kg6VxxD0SEfN4AhaX3o ToWrTnWRnXco8amu23cYfyxeNcj+miz09MfU/fCwpB43/zH9hMnRaY/d4NazDtQaBRRop9ALlr33 CaWwT/Fw1yzizvDDrpRd8kzOenegdxcweOr9LZUSeFxSkQCrOzb0AswDa2YNc8VFh61tcRTrbqG/ eomij21PpVRVQ2QGvHRJJHsP+gMiEYs65mcP2E+2zDBoIhD6aLknc+OF2UgWx36mbaEilNfDG2dJ Fl3CgZqlzL0gdM2FNu4FRPQGNi+HNbyAznoVkzFawRHkS6rWk3EpS+06/IP3bO5CK+QMRErZ46Af +qAU0Doz5ceKrqecIBQT0bmrzab8MHTZH5G2eGHNtp09/Q0zGG0xNhF0ejov3DyvkozkHYgl1Ehk F4yBERRneFFlfwTNGGDdCbVMg+TJCTb20fpbDEezmiRgFx7zWLZ3d9iDnXjOxsPlYrd4mwpPQZBP 01DpNNuEIoetMNkbtH3zcv1tvH2bEWkRytHX9aDAox6U1JsewQ+71efmcnI84HpEwzFavl5kCgQS 548Z5IlifMXkJ7J+YbEcKaI1BpN4kcKjk3naYnyxbCdEIbUZPcSwnH33AbgPBTSiYPS/xOizLgBg lBx6aC58uQ4JOXSrqRmLTkEzrfG+0dvwkhcqrYBg+kMleqVjcaWKkTco2uT+9Ol1Esl3D1i1U+lO u1JnQ3pAp4Slz3aUNBz1piLXYwDG1GhXvBEttCWlHIiLs7tSomAV/JJ+v/5u8j6e6S4hPXBMpcj5 42Wj/PEval7WzgoDiqACMrX5pzoGB62VtUxl3oc3m9AyaPkO/nvZW92A31HHF1/etEtc9M5uc3xd 1mz0SyqDo8N9Vi2zDPcLAn46n4hUAP7V0ZtCUXDBPDqkCG0f5BLB5w1DJd6FbGv0mX0Rj98+Cef2 ScRxjlwFM6D4xjG8aWxGVVFOMOxkafgrqS0u38U3pWPq4wvBoSsNsnYk5C16fZZ58NLyMnz5lj6+ aoD5Mh9fVbpobtmgswKYLy4q6AsUYeGVgGaCEYLyYookUy/n+DKSe3nIZ4R5zVMG2uv/k6lGYkdA ZpNIMsmV4P0NSGeKsQT4q4vWaj8ML76u63ZGnpf/OgjV1nmO0x4kw3uu8m5B1RoiQ6jSZ0vyjsRg TEbvvfvzHyFwZyG6Qm4L7K1v7Da5pvfmSwdv8iwXLDY8VQ0NqVarpwlkaNXMWlAV1+acySk/IXkL TvS2AQKxhFycvqT3w0u3pxZwHuBsDviMVKK1RBGqCGHd7W31tMbH+5sR2OlH1Ehbd0Ztyj1SIeZP bNj4DUc+/objdvEbt14D3Ap90fSy9QNA+Y8MHt7GpZB0pMUYRKNJC/k27Aa+hXAk9dUDAsu408Vc 65SO0hxpR7OoZy4XN8g0QnrZ+kXUerGt75AtbjvoO5aIKTZxfyf6udKq4/vnsDM44tS2Ya4Tib9s MFs3/1MYoOFPcN7YBsqJqs38eVCr2BV2HWCkYSBQrlIwpYb7FtFxGghaNPqjWt56IhNWA0+A3YcB oqpZIAIiNzoIzqaGs9p1DHj3npULVa4pU9EyjqXhhQuDfWThmS4BEGaWgdIa0UuNOzU01RMz76M2 Zx9dOA3F873btZa3O6Eeqg81gJ7eJlvh1upDyoAvA8e4v5ddanGsMtVD6iNyN4tlHkPsFpYelVFi jCVVZuV5WklsF9MmwgpXGmOiOy4mGhGAZrLeWZAc7S+oBZ+z/pA31XedUCIkqfW0cc9RlRsgJ0Mv TovYJRGhCqav/oD/Um/RTypl+SjopTEiy+25r2sjJcia97sASdMTSH0ejHZGJoNUITXGhjihoqXT J3GzGRkX7wZ4CMQKA2YTzoC8Oc6XslYErLfkYdYVZf8sDb279WdCPvXz/sld3SeU6cAvg+kRzFgy lTsE438/3uShCt8Dlun2ePTTdyJ2bN7rqiETNrwxK8AXuvFy7kt7hQgdcvD+kOw6omv5B/aOBLBZ RHW8/PM8IQ2jWYE1xaEXYEjefLdBsDotwVt5YcKdNv6ynmXryYRqEYR7iXTPkPngknKoAk+ULy0y s1I8ohdOrVlXHzgdL7+ez7sUb2Csc09B+h/44Ma4aCcCfd3fEoRrqPnyLZiTbpIhm6yHmrbbpViL 8pfK1ZPyyP2s2vz9n/9UBaa4f3MYr7tdH9xMDvnKMDpLsBPzI6aqmkimSuEtDP4TuDtwcsCoRnWg FKmyVuhBI+D9Rr1cAf4Ry35jOOsS++3QSPZoRU7ALzv0rM8paf15KvNvtH+LaL/yHZ4+V55HrYE7 dABZFJWxNzoyWRn9ml/jyzQrWp1HwppHVtvyATtGaCJljnFnadKw/cfgpeqG2hzWlmV01iWKP0Yq nbt8ROCbz0Ajr11jZrKK81OYm1G77IBcFKY/Defp0oENdrac6QZzrkkeKpCCUJal1EYjLJkzVjyW cHg/tFOa7JqB5mYM7401YQuj296TCwulSHTxq7x7hhufXk5SDHpXnK5VrLKI+BYoPoFRgiQMV1yd WJQ2mVzVqTcHOn+glBPL1OCqXRaSY9Y79v/CGUA8XzhrfuYNULkvNxWK68PHlp9dgPTs2PSDYslN WcPYtbN7DMvkYjy0wBk3FO6fzXLP7hu23shhhueiw9l0PBVGWVZPi7i9KDqV2zb7SeiQS/Fp2+mJ aCXlXfu0IB3Uvz/QhYJ/7Ul1a/CarRAanepP4fz+YLWMEzsDgUauu+FTLzzP5LJHvSga7EiHe93G xr8uE8kf8Z4TJF5A2oWhU7HJwro/tfC32Q4e+iA/XCdPhZ0Q4tnOFHpo8vjr6iftCA5Lm59aOx28 TzKrNkaOayCO8oaY/euiS9bpYfGpD+VXEWSWBwfteLJyi52mqVYFvj0swGjv4L/UQutYC1XtExMk +A05fcHFE6xDl6bhv4rIPBnLb6vUWOPr9KOguQGjjILonlTCSJ4vFzINpHriORMq85SVTZjTw8xS N5O3JJwZVr2W4hRcjQwIEvWMcN+vKa2woO33LYmaD6+5vB+psviojaEuO0D+bviXjW6SastblkEt 0aN5zkbtN6TWAZ3AG3ivqwdmgGWScFHgzpHle5Tp+0jvND4OrgzUOhAD8FwHA3UJCfKEm14NLwlu GadYv0vKxlf7imlm88xSo61BsEVwLGYXjDU0mhjBzDr1+HV+u7PWpbow3ERlx6rnTbPMpByjQziz Q0cT+LktVYqenADyOr4aXdMUZgLF9Gp8xtzVB/nUerP26Fbr37aoxFW4UDqogGwh5nrvcTR9zUFj AiaN4S5wrjydTYY1LvIhHKSrdW4C08vtxBUCTo37yzw9QjjfXbc3wcG6g2Dt0rO+85x/pH++y93D dRjoI+ZZzxmwDhT4yUTkqRxgcitE0wF/JK/BP9AUuUy7gxlW78wJQH50LUpjdryevlNg0WRMOEOS Dlge1Sp9TOka0Zw7H/GMeh21qAZgONODZNjKaoLHHZxuF9wrI5X39CsJooHUWtcwef9OGvaRs78E tjHC/tYkSfF/VkJdKS+PXHW/NrICEdbrFzUe8bExxjzk7yy+WIDSYUrlCbLM829/+4K6Uz1ExSuO 2XiyNBldPv0GkQDRB5mF0jXBC6oYXXmeK3DSrRGC6ytbqwN3qUDuD5IQYqsj7Q5CX3Q0tDC/v3gF jxiBXKLOzErd2X+lWy+3gQ1Vn+NUKjT/HO4hpo4FmhuMfBv+TTk7t/Jynv9Y4PwFxja0s1H8I3HO YGlyXbPBlP7zjI2tgMQtZ40G9Y5xvWGItOUqim4L2QPjj83Qqm9RQjOeRrAPHccmg03S6yf7SdHt O1/bN3MwtVmxXdg8z+KGdFL5SsTupuh5P7crLKbIpkLx8BPTiOnwMl10rkpOZCLG73kddvfdWLrG pPtElP3pcXEOrjrp3I1UwQIHB5mDvYMp5E9kH+K7XRg7C01/Av37nlJSRxus87BQpi9EOkyfWdhc rF3auXCUX3sbuDXKgwoyukqxaeUo07BN4Nw4HFEnRf0VJBmYqfkFXfnGu5Ugv1CkUmx+wQ/2Fk7b 9ftTJjd7wkPReDOf0pA/EDo5G8LiXbgKcmGJZW0LzqEBH7cm8EtyxpifgDivZJNQm7mLJiHgdFDV O9v3vsXoAbcKCF4Q4Byhw4FTvOkfOQ8APOL5D8D4y1yAPV2MJx5llHM0JBHjL7sz1aTba8pt0RDD YSsJ5EoB0TjaCMPpFr2Omsuusxoca8AZyrHPaN2V/ndUUpJ7KNXRhsZ4fueWZ8oTukfZAvsIkQp7 dcfetSCr4uSJtyfG0phMZArsZC7izaDxHSzpez+0WJVRCMq2p3O8KaJsO8MRqypfOaBUqS3/+q8p YDaUFlZhc7BwsLq7zs3LxRRWdbECHU5JluySygBV4S759RfkAB/To1gK1wL8ISqZGT/Pd2X6wInm N7P4UcFjaNybzFrsA5Joj65KIrSk1lYdVOWtQk1+M1ml55W7x/9GYiYCn6A8VH6NaITaBDN2SQEP OX0l9zacaO1h7oGCNXOYzUyUUoIF0bE9ZvUQd+61z/+O/sBeCjvZ25XqhpIdh9Ck5Ilgc2QVdrOJ llT4NQB3EeXG3B7r8maGx5DO9IoB0EUV6uS24zzjVomeLJnrI/EZpb3aTus1zBCy7VCs9Fh7MLIm UdBYJWKdByGrU4YXEWJj6mDperBzHACvnJwY4QoXrMO4Q9NOpAatnUkssb7kmb5pEAJLFP9UmBDh JsGVrpBKiRPqlndCUAk8zXg6VQpLX+qHBVRb4sSf6hJpFxzUD/oeVv3jys79yne6Q9Ag2l61uNGv kX2XWbtKZS2slu2l6mO8qKUZsJ27gnO5X/qOHM1d1DAYItqOAUoT6/LyXS4qcxziaZ9qvnReJqeC zQpZWmXFiEo3i90/81PTX/8BQzR7cPrzCg4CS7aSFAhOHwdN59re696V18JB79OTlNzjRVd5zutb z6QptjwWgGLgU4kNGG4RSXx0VXBk87C4gDRkRj2Bx59oLdAL6BgjGBLpXR4h55KWC9RPigWeU3aB e5dMjjaPhLgYQpxWl+lgBPTFwvcaSGkZ5jRX9Kl6jTb3JRkuZxTkvrrdhKpegXK0B0gCrQGoXBj9 MIe0Fn5DqzWlDfY6n+S5gw+QBzLJl3VQweUsnxEzkHLbXZDtrxZP3dY4KBjLGg7uWMRUrJrUNieB gOCqQfAgvIFLHoP4FAEyoQJeZweyJj60h6bCBrVfBFo+i1uCdlLdR8lXXpQrg4EQuM0BXQXA0z8w Dyr4yMLJ5AcqHW117+/0jZE6uRxVvxbO7ghkiu0/d+G0cm4GzkMxaOGkTXb4gSLd/vXk/n1yH4KU TY6DmgIVn66MFXT0/8hm7JvNWndC0atfvniDDjG2W4IRU7U0KXAE6Mm2Q+D+L3D1IS7bihamsiJ+ NVPUI2pi0TLDJ7i4xyZ+PANfTW52cdrTmjKKaV/We627t6u8aex1VY0V/hfnXHSVG27eunB0iQYi KODZ23Mi1rZ8APsEiVun9dBqw/3o0y4JozBXpU8BWS5wZ4O3gjr0QuYx0zTptChZ43XEHJVZos/o O3mBx5shEB+8eIkPFqwWoOqhSj5oMmy1jikV09giJgzFKkP2/bWnSpqRXYD4+3lPJprAIzFhmAb3 j+Ll4rCFRK7E/MXNeLgnv7SFMTUQTyJp/iAmce8wu+Jfc+5i2WceE6LIRRyj2333JFNZwBUgBK3D ER/aOFnmd+WwgWYY0/yv0Xmifm0RICpmz/yoo96wTm13SclgGePdF6NxzdGtF58FTcCSO+nCguBD 1qi4aNRGrktqO3APMNc+102mwmOefl2aD8v+g70quiHUebceFpoNsu7R9823LPqldrq0/9j48Xvk ZGyNQukJSsOVyDcd2mokfPk2+Hxw8IO/c1JtvTWY2Nyx0/4w8/yi2Rc3+2SABCWTPWi8QMRHHENo 9cHxBHI1FaAL6fBbEauj89CHzOPV+9BCGrsLSeM2lHjp0KpOVJFD7wVMb65kzO1ibMhrKrXD9gb7 7L1bJaRP0q9EMWvWNiuWKJPB4sFc1nT/bwWp0gIua03yOYD9COtJ8d8TzV11y6At7Ruy/z6387jM 2V27JhercksEzezi+fySYcSiClqdJLE6PLC3OEvp3Mbyha0dvR8zLyE8oSpG+dIqjvrj4Y/XP0c/ Wk1KryIfZIgUiDsMrIjEIjMfsv6scvzr+RlrS/N9jvsvSikGCSV6lnxpWJmO7gkLcHsc5Zx5SBHx chyk1EPqkZXYi3nBnQUTOYRxf4gWYPRVXJrDZOinfVHu8iqEON+yBGt0kurUXZvNL9ImjuEC86mK REQ2GLs6RKMYyUF2HhWVTi3mJBUnFK+NGkRnU6HwszFjaQwXHipUNkEva/eHco3ybTjmqmJd/aGg /6qWjE/JQkeMxd4Mes2ePHMc9xGJHWoZJvnEaV1XunKIiPLYlpQ4QRpOkYLqUwfq+4w0lasJoJ2t GtUj7rHoHAy3M6qcZhgEGfj/Q9+11RRaEeGW6uRZjaZYIwb1WnsKBtSjBikH6Lvq7QOw3rFhqsrq OSufDvQeyQ/r1tkkUkH6AD9m82FyFD/icQKoF4oSDCrS2uIm45F3OV6Bx2imIS1ogyHWiky1Yy0n kcokXQ5bTQ2OfuE6lEf/pegVmF4MfA3L8ZXtHhNG1h8l4dJv5AX9XOgJnbdzG1Gyjd9vF9PubyeX WVstTZfIBHwVHl2CE4LR6s9xJjfwsZa/FeAhrAeVMes9Ty5dri0zKfJzlCgu5sktyeB1TvohQ6iD i29qBikVgw6NhjOmE/dP9pNLCZ2hI3LDJtY2g/F/JoVKvYJn6hxvqhWPS1BNcqZRyMQ22xcs+va+ 4bR0g1MpKggzcXvCYCMeUzc0fTzyQ8+j9gJofnUiy1sSuOLrNuVhBFIvIaj0ZfwippW5Hdcr6KWc zI5urKSqDHQoS8DShdvWno62Zy9B/CE6Y+j0fW6u4B9WbWzYjA9PNk5VhiiYPW4svIXOfOcZEpR9 WIOCkG1ikyMlccmii66hDzenLLpKispIMZVnW4aRVmZrPZ594ox4/YLoO7fWxtQ0Wrw5XdRD9ND/ DvLrd+Jwn1VlWDpjnSX05nlvDa0Zp4pYXBpwGAKHkah2NLu6wK+jpkKZk3mbASC1Z8F4Y7d9c0/d RXn0CsBg77Q7fTkRTXR90VLLeSLWfa7iTq36hohZL+EYzN3gNg3P0mwQWWxDsVmlrODBupW3mRwF FfParIO3AGWGVCbYiy/QEuZwYmB4EnOdTgevAxS+Q4JVr7i59moOWpQf9QytVutBR3DxSj/tOMAy cOj6pA7Nz/81TYqFI1RrJLcy3clkVxjwtglsqpNms1ZXLnLl7JNer0r923TEzip9d9cctUqK8Ytn u3jU3exdk0+J4YZ5yQ7I0HpgL4i8bCNjXHMwobQ19lwAkQh+jlGaAJw98/cRom9wgaFEe2CDAFBG kTGw6Zc+d6tOK0U6dlmYhB267pzYMJIhv/VhQq3ZrIxUCUf3E77z2ChdxmLxjDM6M9blo299XcD7 +9QwjEKsWINtURNP+7e2FLvi4f3/U1X6TriH1GpRDqlkDZ9ANJdozzZkh2aicgJFrC6m8pbjPag/ YnuURIdhkOml6hY8AyBSWZy484MF1KV8eg8g2rC4YPsWc4qe4GEBUeXEv88c/PKGn+mDLsGctsbO W3LNc5ELtUOlFFtWCzzxMZDz1V1STy2yovOzm8qsp2fOTDE6FhIJ7CYuBYSIRXYJBzbyh8HEvgOa 1aD6K7PPgxi80uj2psCMT9iVWIEssKQreK3DHxsJ8keMrsxBNRBNFNsX+Fbd4IbzINM7bxOnHidi 8dk9IMibtA/In2nndt27xGQHHn4+ILACNzDzKrRcdEij989LsEpsSp4T0gNwQuI9qaFJf7/h6tPN 7p99opMw53Dub5XRVf7ByWDl05jOULs/sz9DchfuqAyF2liXeD4/aTFi3h4tdJfk6RYPe11LLTzW LRJcIyJMJ4ooHD0qlP3f9kQZg5PBKjUtYLEilj9kiI13ZSkQcGvtPGyuElsmv/g/RY7gprdeTLii 2RzgEkm2oqOnmGw1jFW5wXznCm8xwD8wdRTxo1NgeQf9adPON4VxdUTQ2WP98vNFfkkXbXk/A9wU zy6TWO5pcjjVfwgdobCXqE5cxqSlVjHQV9int+aCJgtMaZhhMhc6dfm7H9G4R2qwa/7O5DOSpNbB AfxAB2PGBZiil7akxOy/0t8rslEgedEkKy2Qxp2i77qxkZx4tbDWMMYPK9q+1iRF7vK5rxvnIpMe QLS3WH/87GfXbCViiJRIQEc1z+XesiAUvdpf0rtu0C6skdFS632dkEo+IpY+JxY5h8fIAopkDu+m EeHx446vV2yOiKnjHyFFOEw1i3dBCsoxLK4bHge0jUYhLOtk28eSgTBGlL2IL7zChAHxvkRwjtsG PE3+dTqjXf4emjzDf0x7nbhiyztwnXDi5/37YyP6nJdTIcprtgDeW+C7wjSrH0IWA5aDti4UCCPf 1CAUwgxrjRC8iycQB9E6DtK36S98CC2aBn/S585xJPYaD3dGj7d9FjJfkOmeetnm62PkXToyF/Bd 3qwEGTmiVtER4ekhjrJlsFKFXtQz4FuwLoXmZNh5Um74FFjBAsJ7pxikKj1RA1kuqgTVFQFp6MBK Smw+SjG5rKWwi40mUbJffYNF/F1ZuT44Anlm7xkgfjyzp/q/56nh9+clz369q/y7meZWPw5L5fxN /q1wIa6WHpbOckzpklP3VcR4tJ+qfLB31NuR9tcV4AB+F1P2cZDVxIKdh1tlXpK83VJ/ZZ55LXT7 Zez7Z1UpiFP4LDF3R6Drq4ujubaCta1Hnk1aD0pY7nNzVHJurjgWU0HqOdHYIbw7iJcV5db/8KCG wEMJ7Pmbwz/oWPFqJta3ZDMoX8L7S/7nyX0/+NBuMcf3qv7RwsaFmAeGv2DFNHiG3rNxTULcL64z ukYMmHdnnNkd4Zzuit+9lrKsOLeiGxt2e5LOseAHxMNNopbs8zLroiZqJsQ9k/6wICB0kidY8nqF FOYZRm4nXLPvPQAfgyJXQT65ISkyArbJXaNx+3+VyVnhUkJc8ABYh+KteHsQMFCfb1UOjQInhFxY BfmRK2yk/b72vOpawuz9d1+Xgiu9ODM6FlsWh8C9UCc899o5xDrN02VshYTty/Lc1v7kyII/tc81 5MEG5rNxHZNPLePSS1Q05EIBFQYroV6T64+nTUvCJguL/O7rEJrhNbRLva8c3vYC+xMxKWJCDbNq z+PVl+ScXGvVBqj1cg/Sk2PKhexGrSpyfqeszQur86CrPzyokidGXHiuYnrbx6r15gY6KUYHGqHK GoVSL7glUmKC7mJU7zjlEHGdZTPImK/L2APHctwse2AmofBuHIALrWlmnToSZSYLxSgXtrREWBq6 KgotbR3MD57EccnOSm7JVoluB239438STTvTGHyGSPnUj08BYzrmiaGK9Yk/hsd5/XOWBCYHfgKX tr9V4Senxif21b0ldFgO5IJsX1wPuLgvxCAW5Vklums67WeAtK+AtyGYfjWBawvzxqUpXvV2HyZ0 K7e79n7jDH0fCtahVjes5oydLoVAAbSOlTTlXxqGCfvoQqtWMpfh/LLaQRGdDHHTtVkeNpIUBRr/ npmdDDPKgruvgfwBVxMFyxFsDWnfKHu55XNpCbZuJDH1dUw4yj78/Jyp70udzYz3fAmAFZNyqeYO 21s+P1Af1VrVgBj1mWczhB55HOjI3zvEdK+jhRDKRC4mV5xn7yCHGie5JuFvL/LjUPKM4dl1CAnC ydIxBrMh/I1c4JD4+0iGdAQUxxRD+tKjPL2v0ZNObo6J3ykeEZAgH0NnERq521hfwXcOG61dBBDb uSGlYUcpZy7tUTdIwY6aMFfYV9Cx7QTpTPZVuTdNYIzSO0a+ecwUnmcWSUGpw+SDsbvIAc+oUSQ9 9Ief8aj78uMZoXge5Y2vy0SE5TNGftZ1IVKi4LLS80XtcfAfV3/hRJ8iG8AeRH/v1RxjnV85ZNlr 1zT1rqjhSdH1gfnLEJgeKFxfNprOPrrMXTTlctZ+JqrTXcr+KnKsmQsZAJQqVLQT63Md0r2Von8K ptbQNUXiPI+9L3E3dbv5a7LTpD5aPM7xlITyJTnH0WU6DDNT69eCZx8BB6ok3JeBHNsL1abJ8kmZ Ibw+F5CFNe7y9ofBY8+TVttYMjQZGWcuEsnjfKTWTsVVZbTWcpxAgGKxBkjmfz/vi/aLAZcZhJ9N 14NOPvZXuEkRguCS7mlUe0TQ+lKZz+NFEotuMe7pDh3ldkvGOWj4R3iySpjg98oyi9fe62xwl4cn 91CWKJ749TMWr3dyGvkcUwDtPvYt2wa3DR/uthuGn9o8W5xvk2EMtFWozWvehKovJ052ACtJkpnh lOUIq83GC4XiSKbJM9sNUcMVL1qI7wJ0w2lbMXnqlL7Vw1K6ZXAeq9oT6i39kt/jzOgtH/39yMGW WPOPS61fO22qE05NHrP2WzhWOWn1iYwRjO3iUD9XcUFYV3d3+bkn0SOp/fhCe1R3ofH20LP0b8+R PlNBUdXYJkL1rsmoA6fmMMnxMtaLHD4QRI9ExSSJ4ZbYZwyIQMOlFsfM6qd9QFugnnPNSEvJ7zlZ BBicGZINM1nAgqVimGj4UcO0I49RqRO9L9csoa+rQnZkBVL00qEJQLrmOpowr4uv0WHCqg/zSZlG DW1v+5agc/mr23AHs6ZNeBPhYtquj9KqgH1C8QA3ULr9XBZ71neEdFUQaxhBevr7jSOEqcrzzybo uFHSTNUAwYdRPXMBxMpIcb78AWbBGbWtVAhNMRXRlQyYafP+da0iYUOHZG2F8XK8bSnsrfuaJ+TC lEiM3lIfcHuDTiUCsNp5EImkENqQ7xImUp3sOYxeu1iO7A3IS0yC/vcFB+PuE6FmJxMhV4iESgH3 opy3TLGTL2XYltGyPwSGsb4uP/q4zR/2uCnpdFDEFmBfyeuajWZLbJ0AiN4kSgMiEnUedTWPh4Yr 0tubjp40zGwxnMnBnlecMRHUoqEew2tcBrTtvfk1KDcOlT9HI/5KzMtJPk5RZPlM5lrxy1EI+dvn Di02ZD1FXKjCh+oCeoJOSZL4ZM1uG1iYQe5m8kj6KNXE3h6r4AYHnQWbVERoNpu+XTR1vfEwoi6u SgsT4DsPvnPXfRQwExCk+a1k43tbn8LZQ5b96PdBW7GpsXgiYrSgId7fnVIUwcYxHqemLbdfHvur TtS8B/+I0Di4hcRo3+He+x/P58aGzVVsuikv4uiEhjoWX1UOYewSbxgoYHxjSxt+zupZ9Z5tukGe QYbF/DUz0Cxd63x1pguB9XFkjdNfCxBZYoFUvy6L7gXmrZExQI0n036cpaVkOBqUjgkfIupR6pFW WrYml4S06Y9saRtBYkvIGJBSbUL7XSDJEEjOYMYh7lTBIW9olYTl9POo8p/SCMWVBS3ZV+J5v+HT TZ/M1AKZ3ty2KJp0MajTLrg9G5N6TYG1oWmi+fNmoCE4Gjf3M1JIiw9j+wpGwW3OxUnAdEndz8iS MJMGUFcpuv73EAmLT1J86rdMKETBEsGmVE3s2AEROtiZ/mW+SP6eEHpwPX0E7kL2Nvs+rsV79yFw VfIFLNEexvAvCBW3itHkgbezigqhSSRqhvfsqc7ERcfFSiYbWHUgo3E8J4K/jWP1ePq95buiAMVc u+zl0+gn1t/4oewPsI68dzzwFtWsqIexbbw8NCfp6hbL7zzLYb0Om7L1QNykVHPDx7NLYGI5+GPR hcVcmMdZ8TrlLDvaw3FdYNco1IxNOjSFex5IxDtf+UmIS7ikMhWT4hrvaWWKmf9cuSuklEYHIqeK lXiBdRGjXGAFPSX0VxYXRUmE9LBpb1RSpDdzB/jJJpS9p+wwQ+PMiVnGrqzac/OCS/PS82YXnQHW yJ/dR3ARKY5CZrpNlV+aoo4Ne8a/Fy0gZ7FPX/M21s3wPtjg5BKBMfyodbaBgjAW+IXD7wkUeUk4 62a5dt6Y++k936KrlEnQlKdBT9XFiOpuafzHzvOu0SzsyXOvPAu5/6nkHyKOO5bLjkCdyEh6v4AJ W4BM08N6q3MTMNp2JNohUmjXZ+25E+f9k4RhXnFQ9LAcAVcIH7sQeVDPZe2DA0HPEWtCPB5AdbbI L0YxbXqqChzr3cF2oL8zyfDxcRrm6dogYtoo4WyXYaHiThpQk6V/JFdvtl1iR9+tqc5O5qOnHMlu OODqf0UaTQociamMof0NYPjPggpSt8yrSJK/3rEh01h4FHMc1WjNviJR25NObto+doMnEnd7wlr4 VeWlOKEpUqVHCWCFQD+FjUbfrWYfcERGeUHO/usC5rmmBghUhkiw0QcBzcp4qRYGJa0hHz5m5g9o HlDevOMuUp/AYWmiVBrBrRBhldncDA0pXJCnEI3dUg7DrHySPTdJDh+isLLKNj6Y0DAH6qD5yEJ9 ivjTtC/wlGmKG4ujWbm5ryfSH3iQ5G5LPkq+oiPAGQE/Xb77fcA82BhXgAtes+sy/N1XB9TWzHvs xTt7u/bNdvpqsn7HluSzIgIABAOnd3Nr64/gyt33L566nQgFywz4vL5oo6bpGJv6FQgUxFTYJYzK lz8NX88QbujsXU8J6BuQ94Z+FvKzvdENQhB4JanFNal2Qcy3Lvhxy2sDXMOf1nWFUV5drWGp41NN xnmmDZe4/ssOAeq2DyFEs4/jz813uxf5r80o+9vPWlxrXpHgwU6MPJGFisYhVBYO+edViCoL6t9V D/aF7ql7oEWjSVAVLheD7ii47RyyTzkTZ7ohb8a3BCdyA7A8FTMy15Ysn9DIloZZ4bzJ4dhMO9Sb TqygtJE8HMfxXltJptZBbSy7mO5ev3ukkd5yK9LYludItsWMBIGyCqlaWoeaBYFqQ8GAF0rVrymw oVv0GYIOrTf9znfeDB/eUH4udco34ThIxhVav+LndNp8xh9M1JtdKZMgjSrEEWS11d8m8edNehHQ K+Z17FuREpWEvAi8g3dhNRlycT6PYBIvyVN2Y0iX0UC/Jg7AoJxbaagSrZWR4aCpK4VdO3vsgTTN uj3XNmMvf0qOZkmj2TGpP6rFTk01i166A+Mq/iafSwxFvgr5pMni2Z2GrxYDrw6FyMiYUYp8zD2N mfO7PZqlr48/bV8Emf3jCh0Ugf2tcqi/Tjxu7sXjY8zXd4wEz0cjIw89NxpxwExl9I1u68931210 Hvfca7KKQFwtL6k5GDbrdkaT1YrVQhTmTBJZDEu4M3URTO/PJcvYDgJdsraLPwrkLVxX6uRMU6BM txjjkyQ+RT6lqHnazl0wqET8lx+pkjqawmmQg7nLnkDvaOKTP+C0UiyQL2lxis5V7SMPYPLoBhEg 5aWFk0fURE/VXp/WgHJGOnELzy1/tnjFN9MUMh72Lh+rl8dm25dslV7FCfTo9ahl0b40T6tD493z QJqc7v6It5M6utpS1L5kEHDysRw0Wn7uIKmn+rYYwRzet4FcIY0WHXlDcnsMNMnIHCELKKamRUTj UzsOTcbwSxfjEYVocPylc3MKWUNuNXjuBN2f2g0uksPzpeox3/eI/5Na9I4RAAhRS0g/qsUMlrDm TeA/f2eE4SLvAJnJ7ddyyE9Vl73oAWM0hFQ1YlJlw5Yw4iPQEUOwfZDBeLPf9pmpSsoEsUuF6t4v RfE+BBnBmx00DvaKCvRbx//TjRAqUAx8dtFggt1LpFOlk08hLhf2zS+zYwLeuP4E9qvp36eg1H5W xSWj4paYZ7kQfSRWuQgelqQyyvtEk5as4ghaSM267eUiSw7OFX58Lk7l2NaK1UmVoeOLI8oadDRe 8SAKVdOTG/RZW3mqPiPTfr2tbpbGUYrgXnkMQJW+EZvvMg/jALNVftAvJsd2glhy88gsrzFekEdB 5EagHdLyxpUr5FRpcfIudeDrA02PQ5eDWfxFN6Spk6yP0OmFgeb7fbXccPNGtR0j5UPGQvBAwIbQ rA6XQToOXGvLmBlDOeGX7IYY5Ae1CE511IZYtdNI8a84fKl0zRXvCOD3CncYe2dLB+Mp/bhkn384 HOFPgk++jLO6R8aWJmAJm76kWWzq8GLd70cLy366hUlnezRM9kHMPTadoidWpvTAPZX7R6TL/iQn VxCOL2K7+pY7fSX/Bz3Wuoxj8TRrRSrfrtBrPC51xyeHlHR03ylfflt61OwwWD7efIhMuIy5NzY/ NhqnGUt5gjL/wfivs91l2DSVW1YHZQXNMLi4wGnNZJ1T1DlTJ+tROYRz+hXTt76rEbETY/V2e+lP tg0CUgfdt41bhxEDjcnYYvALRnTWqIYjsAztU0/hWqDU1E7zJQ2qd8whtomolqc8gR/qtLfPrlOq gP4iG7sSo+3gsbHTjMPP7zUJyoWxJQEyfvyTdDANhbD5itIwWoR6e0kyeD4N8sW9XUOfbOc/AQsj 7XzmWcZNyx3vWvuyYTB7Zq7B5tPBWU9mn5h2JuOltnjUFpvmblcV/mO/SBwqdlj9JKuO0KSNBPM6 V89hKgTI2W+geLylyzgtYvpn/L25V87PxoqkCOfUTA0aoirEwFPuFjBE90hQkSC29yRP6VUSyykB HhZ7RtTLGuNhyU73VFPVAGrppKf6VUcA43xZ5Cp1ye0p407He749MmHcQBPFXC5IuQ9b140gxnaa tSYXK3wvysfl8vxAm7U4hyl0ht0d/32AGkVBw6gxu2GqUSKihQh3gdIzu0Bai/9Cy61f5ClO5GOF IAYX7KxzWreIvmzIc2a5IjfiqZZsY5v2PXy4p1SqAFSlPE8gWI5mTKYNV/vuuAr+PX3yh2P8aKp3 AuD754vPBGs87LZlcxS/ij04X1MGm1O6+WoxRgMNtklkbNNpUDeQ/VGtmPAwlxHOBOlx/kkk6z9X l+Q5j0CyzpX+vl7s5DHV3lLGgCv6kvBFw/9CjEK7yq0tTPsam4/X6HHxR0Uo2e6k2Bhe3AtpW67B 5CLGwScN7wnjSWIP3wurhdkywpbecR0LrmGFE13O1iGu1TBYo4OlR/Lej9MU1p89ltOhWQVXcleM TNPJhJxTpaQWQ/cJduHa9vKsO+knrTSow9TL4ya56Z+n8xBClXuXsl7Bcc6cboraXHZgixspioIS zjMkTSIwNZMiFykSvfAD6+vqlyfOhGm/JVTxs1yOxqC7U8DaWDX/ScqJrZawq3KGdwPmUdKLlRDg wjchWMceAMMjatfIaoBBdk+XEnteVUBLElfpuIPyADaBfvLjCbLWmSRgHU6aQwvFpQzPjEIryi96 aARUIqVDKFr6c7W0rujaXzFl5Vo3IDgswwW1iZd4nLE5OKudpdkK/zuxp9G3pEGhsWgNxcZCiuR1 PjPRNAhJM1AwrhSP0TlxY848ms7ZShypF2eYp1U+lmme2JIjgLFKVaJLQSe5dBMER+/z8Asv8l7F l4IaFxtqTF3bB93aAT0Y1MoLaI+WRKmfvOw0rbZZtRdC1CIyxv5ilixmJp8kVd8Ug51KEk91YjGF AseBrFb3JHiREEawOD0FUUjv9MHIh7CcpCObgBz/HxMvK/rgspob2pCn+f4uP9wEyL9m4xcKUHbq PufTO4kMumxkIu2QrCPLNE+t8WKJk8LWinuLdd+Qj/FEQupN06dRdZks/K/mKXYEnyKGl6IvXtD3 4Qf5f6B7H8FvIuv1SyvsHs67ciCJQ/+qLdnHr/4cel6xwf0FbjhmE5sLYJ5xGjRykNFN68bF8yoK lw8jzswq6BR7SVi1j+m9aXQ7QAZWCYXCaDyEWwjluuT+mAoffceZhchHbXCmmtcUKkT/3KLMN4uC faBoh7MO/d56zsUtgaLg8ci6GZ9OPcGZfPyaiZrvTkdmG1wsVTEmtmS/yTzZjNx10GO5pM7V7lz5 y9o6lWnyZ46QByJ7ZcvZ+h827GMOhWmoVsvKTIGv5pSGNmrgvujAItAeCybqJM9GqL4rsyML9GYZ L8DubNoAScBBfgwv1YKJI3X5cKDE0s8qPkWCnkr3HdGC7sBZ+EVjSFqVQaxfkCbHo0rKmc00bG3B wlSWdozP6ZtJoQk7lvM3MuYzv6/wy6wobgvA590Ii3XUsc0oCk++fB3esI73WFJ/LffEWP6SD6fu YTtk3l0Ti6+kxd6WDcTPPZZw9jf56VojfR3vznBrg4oud9FcZe8oUM2CKo7kgrY1E7FiDU+od5Vc tZAkqjbmOxZLVydw7csRhxaZWYWnj4gHNlPd7s3SJ7xWSj6FZv+Gq3lhRp/wXnmcIxVeDm4BUb73 QLQed5wc1y4Gd8bawqYlFPTNhPyNYu5Iv9M+NLo7FAjMrZxZRG7MZh3zFaZf6c8jhBNNBIkEkp7C DIJSIO6bpxcdotSeRIhFKRysIYfgHoYFx/NSCaoEQU8sVN7SvkyvhsGwnPdsO5/z2OgwBbfDWcDi r/jqRSvXMe5WfKhXrN/zRpwYmGDe0rwiwSjG3r/NuXKr2Fud/dqDLp7IYO2KeBT7NQ7F5Pr8XvpM Q38lPOvTjvELquWxN5yPcUsqqa1DSFODynnoyMyGs++w/GwTnZoc8MurrcH+1lIOW0kjiJxJwXjE KvURnFbNvZuzr/ca3/0oM0Lep5M/9Ly6c24cErLCI+7veXke23TQysOQdTS/dNTBb5wrY3G8xqv7 LLCdMCOIEJhhY2KR/P1MJItcYkiBSPDburNjQRQgFd2GUWK9hYhfxNQA9B1WbY8/I4YHjc9liVks BRUUbIS8EMikbDIW3iceCLWGEW0a4lgVw0KWl+pLCfi/sAatRGYSA8n5cOFYL/oE9oy7ciuGYoyq tjdBrtzeuvnnbrB62b8oRRtq2Aq47QvIOqa5aBsfyzBQt2VqzGbE65nhNO/b+fGmrodfn9LvWRo+ oqv+9pjOetThjlRIxHeft5OE846QVud+ST1LxnPyq6QfK3AJpammHO33IJp4aJ5+QlQSkew8cR+n 5wSeDUkWqedP/pfHdGACpeck20lO468za0Ov31SN934TWp6Em/weJE0D1nYjayCQTe/xI8AQuj7v fPOyYdy1PYPJvLxAoOSnLzoYOEynlaJbIDQcohTEnxjxkWfCxMzMVfWQyDE+Qw7D7nW0oK/egrVK g7OTxgSAJnvif7hWL2mbqFB5Hkl23sdnf754z3TUHVha3UKBnncQ9QhzLFxmcpzlE491EEHHJb/Z pZJy2I75DzZDvkpZjcmtBiaQ10ErapFvKS3emkbCgun4R9jZ0NPTzv5tYRlI8YUsn7VSts6Jl9rA rkPz0YkquWDMrO33J5KkgtG1pn7yvfBRSnkiedoTx/h+AG1U5nqj4feij7p6sSYrppY1OXOZAfiy sjQfB/qsaYtSV38bASz491aQt3lcMXl19ZkA5IDyvQMegCW96vpAvpLC0kvFpaqb+O0HWXHKmxyS 7iCqKv1oDlAGu2ce1hbx5wr9MUh2e4hzt/bQnzWHa79ed6d1jtAhDkV/QypgBvg+1AcrS97Yrhsl DdUQ6TyYRqZ8dWqzyNflFAcRMnsh+MrZ8q98Fk5PzdDtG9Cg+sBK5QEmZ93m0t6pHyhmK+4YFJVV 1/PZ+1USDcU7KG2SytLWcpQdPfkVhrJtn3tlFqtnFp/qUyEkc2tIOh1AR60kGVAvNdkdbaWIQOeQ K+GqQXql4r9JFcJBsiz7+GTguEwNvRsAJ7FAjXW2rNazWB5C9uj0o75yk14B1fzkNJ5JmTO8FQ0X +pt/9wJ4no4HW5SRaKadXRis5aer4laeLXvo6EdoV5f00qY1iQDhu1yA0JWf+TAJ5ZIbH2b4lKJ+ 1ll3n4MHtnIBSb4hxiiySKPQq9ogzdcvSS45TviV5DKZLhydD2Wzw6bitBj2jCuN9Zhs1+6rgDtr yhNQbDyFV/4Lauuf0ZHRl90vSIhh8SuArxB6qFLneLNnS9kycyDcOe/vW6yiAs9fVjZ0norLspW5 IxOP74daNY0ziPbOQPl8W35ZNFmJjPy0mHE6imyM4zCjEVx8scM3cOeD+X1wNxd/ocptwQxEDOqB FIHav47Km3gzCMFqg0/qwTtmqyeIcgS0TjXJ7AiSopUZiW87dw/Pa7e8JaZF7MaAypeVtA5wW8f4 1JIdbzrBHf3Bqjeyy+hTILy9U71D/0IYc0GohRyEJOcNbyUqxu3fIR8PEPaBZ6PhDtephf/cG/SL iqgF1d5nKqdytiDzl+Ef0koMQg56yK+1Y3r/AXG10n3m877ys4OYHz4sI1KO/txXmo9McB4TMy2h loivmyBLDnTTvrfnXrtSqzmnyWPZ6lLQXHjMDg9yv3X+MuAxVmRuPxqndzq6eBSc7aejzpH3ZD51 rNKo2ywFf81tVVpTkpH9Q7YQzLprU/5hWq8H870wz2NAuFJujzVApV4JqFFs56oLc4TTkR9y4nSf cuG3Llny42OMAaHKGsnrf5qoleUx8DQP7LuQY9OsbjqhCOCiAmnDRqy63XQr1O7uCvyDSsO+JDG9 3ObHUfp0XKgP17vfNY05e/F9pw4eZ/WtsrST+XUkIiZqH49VKUeTc6P/9sJpBDQlJBvh4oRtWOYr xAk8rrQ5vrRL1NZQQGYpGxnJ36xM3R2PbbC+YgL4f3Tvnu8Vzp5lT8og7+DloBHAE0tN6AgKAvdj XuR1tlVtQsybvIkUXq+s7Mrn2rWJzswwPTVcSjM69FOv69GtoeFDYygjoG3Lz0aCE/daIAYyYMTq mnsVx3JH8KLCpx8cKIJolF5OjCU6YbVaUrtfJxXx6rMJdo9hgss1ItrI9xf/dt+qdVKUMcwaHMbm ubFQ/rSO6rhszCuQK8jKJE+BF566e5AIRYGQN4RpWRiUforJus4yDPOf1ZWkuO+M8ngL9orlBeDO C827mGMJdaLk6ap6+I1WRT7H7yJmdcu/+HRZki3+2i8XpQAbNea4IU+mAahKYPqAxuBIq9NkCB6Q qEkzN6fa0qsG6140CYjxYteaenwaXidgTKNiiJUKk5nrXreNgkuRUkoeVAY7du96GRTE2dI2URjN QCNzrHxP4BKlyY6+9iNkFzn1WQ5Eb85gY9HwnfykW6Z9Q9RJgFyWC16dfeis5IngKI1fG0l72slB rwhEOANlHsdh2rhL3480UDxKLVcJwK93usf2R+M5Yugf94U8FmBYxsU3t/7xQvUBe/g0jT/yWUS/ lWSBuAaJYJamz85aWLDvp+S4Lc8kjSZz90Quo/vTu+uzDxDmR3oeje2GBxFF35+iVvbaqqYW6C1f 2uGPuvYSuMdZcqOfQlBveCvx+HjiYJKnOcAaZa/iFlR0M9UMesTk6JsCTRQ/7buRiiqjz2CIkhig SoD6qTBLF4YtVN6sC5ugcpGiLaTcdTLH5LVhWN+S1P2kkPUe1i+zBr6iRPjpjbzjPoxV4Sd2hUQM +cOrCiH4MvEwtHk2/8AKi9MPke5DJSew6RmvOyd7xSNa5vFTGxXHbFnNC3eA2do269LIzlQkdhyk y/0avKvwi0Lf/7VLmkIJ3QAlifrNK20QqHFlm1ZbeB6OqcWksrDlkgdAEllcypE/GUzMZyfhUskK PbLWVWwPPfcHhvPRuqIC2i28qcrMEeYRjndSJPOS+NjhhEUEUfhUm6qdS62TeHjc+bY8Ile7fKQ1 1ZS1fiF5GlvaTvBFx02pWDzglKTa8D0uOQcO7ziLu0SJnEqjFPDKMOcNDFuzoDqux3/NX4nq6ngy /US6tbEUPfjPo418hTrdCmL83Rutmvtb0jFXz39jcdldYMXyjzI5IRVj49YiHG/wxbI1Awo1Bpm/ uySidAeDmV2S6Ck3vKy9VrQ8a5us5XzzYfQt+7iU35nUJr2FPK/bFBGy9ZwUfOndzXeedqi9Oa/+ myLTCRJZmgp5nbuKivDxMEsUZH+3x5jrqcF3agfN5nu9o++kR7jgPo9nXp/5dJbKG9PsEyZrm7q9 2yDGqY3stmRW/nLuqnUdYm9GtOo2gyz259AW6B7s02bEvfQjpuF2YmWapxjDUARM57bCV16a06WE fqHxjKrXpGRVD8Wubu+U2el4lJ7U1d4T+9OWxb62FtwqR7c0Mynajl4Snd26rWu2k4CdF6m9s9ID QlsvL94//ybDmu3ShefwpaYmLig3R5GTSPWqfKGo7QqYq6MtRI39EEVyrdJBbwskLkweF86zB4WA WcHKoOtQc6uwULKxicdsIvyQuxPpCdOyboVM0ZhfIrhsvcQSqMyhqO7ROYdW8kB02K8GTXWapk6a gT5hN1A6qXvYw43p7rlCbWYEGFQ8GLKWhlehU0nDjW9UN8t4QLun0hBQ+/i8izjnm+tyINp+iJtp Hj6xZFztZKUR3bh7g9x9YxuFgbP7aw5iwQ6aLaPlSdjslsLNQXoxc/b+UFrotnvoFBvu8SEbZg9P eTUSXw5KUlTcez8fb2ioREDYQdwCkRQJaSINWjqBcQ3exZvO+FIAVpIjmQugoQp6sVLCXJ+qqRDi BP5jCbPOQoJ7o3cG9LowtwYkcF6RzZUsLBoiE4L5UgPTbTTFttgS5RIan86xAbU+tql9mon8cuy/ 2o8TFJZ81K4egieQs9rlsZUC4t3ix4fTyUGAEFUVLGACncAaebM7BSpCXr7C6Ea6mXOEnT1I1S2u Bx8cCD7rpaQ4i18tO26tLa1NSNTjf4FbV4cCEHASH1w/Mi9Q1FHU1tvl42BEXI2BfMdROx/v1n01 wzKlVGx6HZXW0rPfoFJ9rpI0ezF96mYXiwRTTkl6j0HoCB1/17x4D50QaUtKkRK7yyrqoKi5noW1 iWlAKaph41vD0gtEFgMuoaPtSzmyJeGdCxNtgy9ZIhapUF5F/scE4n757y5MiYuuypM1i/nhQvqy GEAMbN7Ru0o22U6cL+mx7vpsakzRyr7jVcj6crzlvTukkWd3xDAb3t95MoyGhxLLYHViD+5xcnbm yh7EIQHuiJWkBLJGwbYmeT4UGZ3MY0KgylRqOr9Po+DuysXkowkvTOxZZxBY1+nEUf1aJesvG3FS bFIp6uXBLP6ha4Gfo3eslasL7+VCl4KTAo7Mdj/gE7zeCWX4oZSI9P5NZllWqPYI0TbQih1XdqGH SWkZRMReHlXnTZABmipIarvGkAYibSj5J6CJh3Wnii/lggnM2TTpfXqk1CdPi1vg7qPELOT6tgIu WLl7LyDys706JasDXQiWDZXXdNXZjMF8OZ5FZNy3KvNxz9a9frTivVHnRZFinCE+6a2hMueBBz0Y s5fcHyoOfU4Sm/fajWJq/VLeEBGtXeDC11CmdtKL6tZ5h1rrnV122LP3mO+WlIFAPoRVA7r/9gB+ UzA78/YBJqGjkFIoPJ9qLwH0ble2yeAnVGLdiqK+O4NIyusQoCD06xuSwjJHiqH1kAyYmfHuOuNW UcAN20dszpnzmfN0tGkgKc0Uh13xTczM4y2KvVihH2k/dPakxbi0mIgPjmrqBsQlmgX5xnLIFiuf sCSTAdDjHbpwpAfxlvWHDUx81mfOmgWbqK8LbBO7T5sUsDjEYUMGUkGs+6NyCrp5kFoDMP5MAxkF Ii6xck1mEfoV0pWtuaRq1kEzLGvvVNp1wrNYlV/+8QR0wRbja7bcLhrub+zqnC49xRmzfzCLPkgV GyyoQ37PsOurYy1tpIHFOG7CTU1hFkQBKAsiC1o/9Qd5Ad4C28JLJE6qzeFAnCDDHlJqkaEBlePO 4TCx8j9S3T18c8z70Rmo1OaJCxhZUFz7h0+/yS73RYqjqapzIZITSUwi7panxChWLU5y6INJTy0/ hXgjDmMCJmFflHk93DmBc/NtD56pHmks9kGq4AEqMDrlkR4odS2q9LIL6OveGzu1/ijHN7RBkb9a IVehBDwbRIGoDRWCIi5DZsEiScYW7az1h6XFPzfr1hsRe4+6EzXe/iPQTAR0HvRyyo5+j8MoDq9B qeYIg1aEJ/fh8+tm/paWtTbZLY9vstl1l+1ElAUjRv6pKL7XgngrJ5HFvCeGigDsPwCBc9blDzjj bvRtHJDxmNml7waLksaP0NNAmTbnxsZnYhEOGgv81p8594hAANbOJHDV/r+cVLPShlL7oFEfqOLj AZmRqGbkdsdVKsdG1oU+cYvs/R/NBTHjfj+OjmZH/rIsU48yRpsHV4foRACDcPBfmtrorONB/GWW fvbmi3al/UimWBcVysqlgE7Tbtw+/Wgr7VZVDP95O1x9Ug+dGI8Zz7ghfpBcoARVuft/Gq1xT/ZW Cb1jvPoJNSszerzhL1+bWDqU4X0o3lsCS4Li96O+iMDufFVQMoS0B71uYmgMtbPuAPDvbyLvyLqQ kUKZecHDcEv0CQywsRf7nHG3DV6yytKl9V2ib7A1vCd/Hi8BzJdd8nvVbvPdAIMgHnmJZPER73iq ihR4JIFi0qg3jnjOq3KnkOfsNnR1HcIDOsNAzQYQUGkAqTTrzv/8JuJOD3+kGeoo0KnROXL2jJeg wZv3KSNUOJ2J0bTxjyaCgP/TRRtftSUGZUh09Ri0jd1IVHEDL22O6BBVMkQaLFbqsFwa0a2BYrMK BZJHlYeOnX4RqLrzZlUx8obSO2xv0Obm7YXNhWad3oI8ZFxeOzdbVhSHVqOw6SYKjJ3dVKEYP1jx vSUtQW/URkOk/Mt+jo6CRg0IDddRUwhGWgCCIPtUsaTdC15xpmnYJm7eVbbXhZLaC5ekOnPCndZn anQNKYG7XfJTFSiBe3quUep4IpY8H8N/RFA4Ei2hSYnBxHRjt4NnfTzaXh30icLNzLhj/ORiGYUW Fva0Kdd0Xw7HoteyDlUJMKmdkLkGL19a/c26nx8htuK1Y0syK1gDYsWa1wvLQ6co2Q23G/tDSows EbrL6WCyS1xHHGA5+rLkYjIGHpH6GGGEC26vwD02tyqso4XoMZcegVoCbs2JB6eDe041Qb7YgI2p vvskD+Y4xgxhg5uxhB5zJV8aroubOriwRemOka9eUkAJRKwoWdW9JqDrYGbEOL2UaLjG/yQri1e2 KutD/RM/ULY5tW5BGJdFhmeDK/P9xFnfsOWnxzM/8u8Z+ymrtZb+x7hIgMztoitePL68Na8oKM3M yyKUGEtCyxcvccrTJXJ0D2GyI5XSmTAsgcsfTm06mJWODUjhQP5GppXYP4oFmaUZktEzdtHylkfc sxJHWsMvfiZcfr6b5P0WJSRAbDJq5l5MtZ0cdIx8U7B2qwreeorCigkMwuMBAJeemfGqmsGnZzu1 WSZdG8unGwh1B/UKrXpW69gRQ7tREQPwBybavRY/r+2JQDUiLmlAtodVEa6lE6Fk8XR92OOOALYU kZPjGELrQrNiZDqAY25ATec6O9ymSsTrIZlNF52X5AfRpGhSRr7PrVovplcv0zzIIuosP1m3Fu4M aRh4jstCRYeJbjOC0alc7pPNz/Tg9wh1KFmryHfddXenYgwk6n22JPTIFiaQ4ncOIS7ljxEziFm5 aX/hr4ULlia3D4rkYii9fW2r7MLVGZuIPoBIx5tayJr6+NHZO403lCKMfqzl/4dFDgfItAiSVhul 8oVdbXui4fJK8UkSNLKuuFlYgMUxrOO6XD5U7yMEgnWpxr/m+MZW2wxR8Ot/aWSKsFQGi6NSN+vx AI0/2IcQgIe55rYCPIp3yENTCW7niF2W1ckG5pUuXdVvzI/J0HXoySHZfh/ITrBC7tfVsDd0ZvDN nH9loYAb5Sy6agv7pgTEOc9mstq2nFskQY/XpSiatr1y0zIcZp9S8MgYCe28NDKB2RDRrmaZrFFp 5+7lj24V3jbmW5H/T208iqn2AHxb3ftN7zNk9J9qRNBE+awE4GZ/3zIRBzlziGFVYeSrOkIsmkly IRtEyZlk/4ZA9tHRTb+eH3bRzYFnV6z9q9vLnVqlTqbrCO9J+HzTolkW/2hYXf1DhBgkzJXT04hg hNJRUTAmLxvqeY+BqBEeBZYqu4Rc1CyhMDc2wvegdjagYSAKYc9PDjTqKUsiXN9brQO7E0bX8Vt0 22iWkH+IJpcUJr3pFRq1qyfvAdoAqFsHLgHslEceDjVWo9yPbeizSR1QAjQHl5cg9JmmeC7Nottf oe39EDsPuMKyWc68V8SJE/vPP8RaE5UdZ65pM9uwDcNivf35EN0IBX5vVUcfJNsEZHdwDI0ac42b zV8jlqbMNJr+qeEpj3+BTn5hHRCMDtPd23DYU/WlK0rysxhN/YvmHf24bgYazA/8FL1ujsm4FcFM 0dGUSfds5e2joTHxiSa1OtQcsO1fO6SehLzIsG740UiuwXrc1UsveH6iBvR09qAcadFlFTMMYmJ2 34nTcUtoZG3h2C7kQDs78sDqwIZlugsUJIhOlhc+Ec8d+eGOI/2dLq5bxIbY49sod+Y5Ym6BeDtR fJ6KlQTYJK+twRZaOSF2xhpQxZWcW4HXnYgB5bzC+PzQ552obg6tRpoIvZgowoJoM+8AKULjWJdV yNJIbHo5IB6JKtYsUfXOr1H+HEQWwehAkf6mnwFjbSxw2DQa+74rNHMD15BVKIQELI/nvo5V/B5R BuyUvD7EmkH9kiktnlXPNrW7lPD3o5oyhmkmnnI9EXrfATHPtUFruiXctm+YczUSLYxbqlKx5jE/ SCViHn+4PUsiF6s+Vi8c0lrFRQXAZX2W6NaMaKPauXUCOORYd4YVKBWhdm64iixy5aZGgRP6QR7u XtgwztUFy4smDD8AM0zVMP6/folNCjL6F0LwWKJhSS0zt5QW1DSGKdThboLyoF67PO1NUp4VY/7N cQ0Y47kEKWO9OKYbSocON8i+iOR++D278+epuFW+/9MJf9xaTpml6VmVEw6oypEC05d+9UeCCT0M onb9Pcsb5FkgfPYnNJVMCRHIgJQ+FEN2FP6lHZeiRC7HaQt41pmxPyAHaLksehseKa1EDCofKQSr aCJywvTKeB6BzE/jQFJ9l0jWKLu4ooNniIGhuK3xEs1JFiznO4wtQBKzsURzpwGZFpGFyLQVjcLL hlkUjRyh4HU14xqnXIY7zdsbF5Kj4aTBFtnCmVkqmu5ZF6B+BLcKw5q+nrCblETqfAf03PqTAwUE 7D4dOiW9U2YCJbirRehulbP1DXoKpJN1UyL/Z0JuqXwyGhim5ycSNUj2l96YlXN0HzaTIxMhB4b1 Kd6XkDBxB129WsxGmRO2Hak9MxIhKcehGLkWM9wVWys2toqkVqbQgqO+vcBg9y8aPCFmxPNwByu/ dOMxpf08pbmHONrk3JwDmIm9oLfDyxiAry06G9wjAHHBGXeaYhNW1vOtJ2G+MuGJ41Jx1YrqDERd E0W/CGI9NuZHWellxEK4q2llyuYuFWNIqJ4EbhvvmgioATA0roERZMM7TTzeE2uqEy1EP+YoD1mv u6ewEPjaGbu1JXmKVfEdXyNo3Dj3EkHjCOAo7ca2EsperUvr6M/whhF9F8p5OudOd4IxZrOe68E8 /i83ELFwUVmKIUTo0dyKNGWdRt1YM+OueDz4M1TYKvHAsEHi07afYZkBwcNuklH2aXPszlit29WT fGJsdVh51ESvLtYX/AHOR0wqrHt96UKX5uvhbNvH7LO8eDmks284tFRc4ec/08j+3b284rOu3a2g nSLpIM8iMDKtK42/utm2tiNW6w3ubN37SxWNZ2ke3X2M8eiHdHvnSO8altc6r23g7IIZKVn4h7BR ILVgpyyIvGFkLX4ytIMcJNGTw24hlobfFecoNZ4ReVzQEtFd2hj56sRMGZDj+FWjuS31cUPD5L49 O4aVKHgJgGSiH2wLvjvintfgSDI3/2qFvQuXTAZMr1fiPo+So9gFPJxQ1m0mWPZTlx5Lx4tl/6zT SXqDFtM1sKCNmnxj52FLZ8YKtc2Jv0N0QChmqty469PWvOtDKgsL4ydeS0OYr05iSqHzFkEy5VoJ Tt2W+OM4nNUkuNBnxQ8Fm+UDlcloGaAmhBwLIhd+n+gdDlQBma1NfcNvLsFDiMIgAY4woq5R+Jzh REspW1zbbYXkGktkLxD53u6VWOKHd/tXgftPZny1D0F/ThwNk4/gN8gDsFnJrM/AFQVVESCkg04Y tlBxKjuGoMN6e70vxUTPXEi5SaZ7fYiiDTVdg3MP1hrXhfF6XXsDSvI3LihUWFViT9VX4YfwRyFk 3xuy3VUr5IKVXYQcqS9x3k4x2HxCMC1b+Gb7Y7vDKJXbEmw93rsQflJLFkP5IIYUOgSBX2flqRAu e4sDSIa9N4yfFSjwf8CaERcCVDtbIaLFfIeLcgXNSTKppQtPqR53lAOCzmtVfTtr/kt/Cau7plrC WpNeyN2g0kXbuJL1PqxCU6e/R1iKPBf3rZmdptJOToUY4IB2h351KhlbBJVeTvOsZF1ham3M2wtW I66VGS/Z2r9nLBIH2Vqqrw9BleN1DzbsxBF/NL/3daS6IwPaHi++4nAYXzv7awtO5LDAXktGTOYF goY1n1Cdz3MRbdhKSRDX2sI0z2JJ6iAknyfFL+m9zWjTpPnFaf9S63n5OJ5p0MhPPKo92NxZcHEo B/6k81uzMO/2Y3s6wZ9OAUORKbS12h+AO7ja7gWLCxjr1KM667u+fJk2IbupYcm4zFXu2qypdEdf dCO+M7rb+6ZkXvcRrXE+uCSiSNPsZ4hBI5nlyU0lBsSv/orm97jvQmLBmxGg3NMzsGUukRbRXhRc GFp3zbxMsNXzpEGI8o0fXZeyY4jS2ui1knxingZrWZLtY0lkk5/sVa62xghHKo3yr79Fr2fB9dNI nBo3wmpRGUGDfN+1ecmFh8Cj4kXXbTzvMVStKw3NH/V5+ZlPgbD5h5DRuWLTzL716aQKoKb97KF0 jZQfFGQT0qyY2A63U5+NHeQucv216yxGX1n7RHt57w7A01VvneMph7bva7+JB2c8pv8NEJ186DJ2 gh+yVRcHsYCoVh7dFZM/j9NQ3WcKPxw3KYP9PrgQXsPuTP6511iJgWktY0ndSnA23Qa5Iei7EbDP yosKrYMsCnxWdsP2dw+trZDBk9EBxq8Dc3snUyx8L3TT9nAkqwnqppAiezES+AL1r4lvBNjFZBoD EGCWb9ug4TvR2Lq/CV2m1zwlJEKlqrMXrLMsMHrvfdoxB3b5XEzObDs9lgr9DoJj6XWkd/qedrWg 55tV5YOvBA/H4KsyzvYs5NWUuPXnRcQuLq5ToW5uriBxKzRCWeBkt0x5tKXp3vtG+XKPERbJ6DJS 8usYDLpM4OlyOeONRMsZ03nFDpLC7cWnKVWQAZw4SX+z0T3swXt2hpF5xZF04JXU6ZtgLEcyBwDP T57t+Sbi9YCuGAXGKY3V7uQFPx9dlsLcFoqlM1Lbh4VbxFfWQli1Yqdd67pzvXFoy2THc0Lh1v0z In7WGAR7/owDwv3e3K0oFSCo4AKZ+GEFA+x2Q/YppACt/Th4QDBodjAZpkytTZG9+2tYWAoLlxck KCOzh0iaAAnxNP0dKqB7k9I0KIK7PTQGPOavjzvvMZIZaLRnumhk/1PnHq0sNBwOJ6cwM3ZComKO dQ7ZwEE6y9yi3zU5UA2mPmBQJs8oYjC5YRuoqI4O/qxE83R5oJMNnNwBZJM0RcITHaFyDnbmGbJX 5ujntnfbCL/na149pDkOqwBAGwhCYdIo243oZ48W2GpTZ0qDiaz/RLcWclMxyUuCFPRdrvoz9Xx9 LA7TWxdA7ZMu5LvU4F3MUKPu2KEyBWuF4EVHXCPQy3NZnpRb3ZIuUNdr3hKeFAMlcphIu2b8M1N4 6vnrpPhQaQL1m0hm6ahuoUmouWuf/ZvbbQ7yLBuGpFtha3Eort9bc9IoGAX2s/0epbf3/1DM00DN /HXw5GkLNXmbBA0WsCWSPaP0Gn2zKdaaAyGFuE90BeQW3e5+4bfAdYQGMg4QNCmxN7kDlixR+P5t EtZzj3E/EXTnJC2B9kSwrSrDj4NgIFK9mqztBF4bRNAxL2Vedn5uClsg+ogQw2l2OamJxe5I7aq8 VGMj3d+lvbK+O0faI4REceTSMBWNip2fEdI2+Gp02VMh6VcUg04afMD02Hrbs65O9R+fCRhY8yfL fUhVPEIhm0b1UX7Kg2l+xE4RCs5a9wLmt4440l8hWNX86x6qI7x1knSMmxNkmC0X4zmtOZggA6c3 Jn2o5/hqToMwwcOuG1quffkoIfOHNSleatPNYv6baovF/oMbsJGQOMFeo10on57jVBB5IP0domiM w/RM80OzrPaHszx86inGwwI97e1NQmtqV1j+BXPW+Y5k1vVw2NLW+vLy0VH6n6BcDiCkJcwABzdN b29rM/NWbDkc+dVh7zh4R/q+GPnGDy5WFTLcN+bRlmqiRRZpDzbVWC7iJsbmRgPDGoMW5bGmpLDb h1kDMNNNXtxpuv7ChBta+5hqRwMiysZq7Q/ldxIq/uR2ruM3ULckrEnba1epMISV76NeUO3ZLe/C xXNmPslb4RJfnlRlUHh9AIF62iEktfYqMSoLikgC4lH3gnq8a5tZ3hJRrKLUOylYyIhZj1XoAbAJ Px4e8aqO+r8Zl3afkMsA1yGIrzoQ3D2OCSnxN2g0RbMrs2yFfEDGdwr0Hiofkr++W5U8+l/FdJsc ZAQ0fP907s0B50pXCKIzUkBBwUzRxmzLnAd/AtMo+VXSLc+H0c1mGFEeeOjOEx+cJ1dWzE3wnV3Y 72NQoysgQd3YLdMPHzUlj3/3CZs3zoj7O//6Oqpa7RRly7sNV1LbHWeEhnCs0Qug89TVw9PI+eGy lx6oH7zFmJePZnTiQE1UoGtZbepeKI8nQyKUCLyZ6zdVvJ+KzrAdzPemhgYj+eiXir5iMD//Rn2R 8X9uD2ycNd/2I5hkgOq6B7Y1B3c0MrFyGiCM4eVmMlBu98qfKORCqP5zi1/1qxmPVX8Zo0g0HLRT f7vommyFSU9qLGL3ZwJ1Roga6q7WQePEEVlTV1dG9vR5c+8lqjOvKrXtqpSg15eLHJM5wasIKe3F WjhFgKFC/9RRDCuRrU854/Jj8X4161ev2JHIlt62gK0T9U4ZNcL2xezRAe1ACIKu1qOUa2xbocn/ yhMSC/Qpvo/AFVAvrYCoJnpGMRuSfxZxfn/kOHlJnDG/bgUncGXx0CyJx4VBa/UErbGzlVHVeWE9 dzeFFk9qJ+ZewGlRwc2CQn7cOGS62cyoEO2PkjHDf4FONswV+VOpGp0aDxIdPE6kKcS0USr94/IM 5pqqecZaL2LbddIwoZHMXKEHRMPp9kusJ7Tg/D/Wz4EU4JgxgCVxhBs1TvIA5EKmEk1UM8dnawie Bv3512hG/woYR5wCnEWeNRlAD4MZ03rNBlVePTBnev0Rmk7b+18nooghskIv+PZdGW3B6ivG74An PbtlsBboTWC/L+TWYPR6odzYB9XJxK5LKVUF1pZNzXsAXIyPxS2393VKQSlLA/skO44esnmMyxHp BmWiRwSZI+4/b3HuLeU0QpaW5PqMqQlq4bapQtrdDqEdpvXN/JbEd4wnNrWxCBAQr9lEENUEZKip 8ug11Hx+X2/1sHidMPIJksewQ8YebYWXiTySQOmrOi9IEldvV2LgrGpHSw3W2RaCnITGTvKTlKMT wOm7Mal1OtUT20g0Y1wIv+5bdnnl6TbYFODna/B9luXjY03UwVT57yZoUt8bQ6J7sqaOUEw+ReaJ UtWYWj+DZiZtewVGu71ahZKjdZh8mUdGgQtgj/68P/rLX0dvF07QeCmi6qpi55HGxsKs5gax9VnD EPsRgVeDGhlk1PTWv5RKFypY4Q4UHI18LOAV8uVpHngbDn+hKdsza8Jam1Ht0s/g0BgqXZSmit9V nyjqz9EoT8q4YXpcbVeZhZXxAlz+k+0m3coAkHpXPeb1j/09vlGlhkGfHgDBmFBzObtaNhlXHKC/ s6L6BRscgtNe9kaE7dGdWD6ZU12guKPtDFXLNfNhqR4UyH92vi+KJfXg4+8fesVXdElOw7NYNrVd d+2cHukGUJ+NH7kafIuUyp3Od56bPPnS3V7HMy8dBPsFTprogIdfMDTwLdECAw6X696cZIRJHh5V RwKE7BKiiQCwGP9oUJajSk2/BUG9VigZecGAAYyJqwcMlc93ekOiElg949uQdl/9DvCEhUdDvPjN 6Uzi3tq03XvDbNs8yYdooSOMoK0vhf54LQAw0eBKNzl7G17QjLnK9NtwdEpJDi3h/F43SL9aJYuL zjmuyrv6gCsiLdbmk/mqrOp6mQoQm9GfjfG4fSRH5qQiJMmKANFni/qR6bqCH6Wk4y9EiAVQAfeS 0Jojp8AXOTaU9X5GiPEn8DFx5CO8axhDssmPdz6SJOIi102Sg2w2tZrDAdu3X12oqu/hHtVIgfcb IqVUYhbfzv0JVqb20QrneFtFxVN2XHe5i1GXqeEJ0hydQtxz4BVOvqoueKBk3ynhgFovgvbcRslu sGw4tr8kxpDhc0Oc85q49HMxzdpBZsWIKNI0k5SARk4SoOWlbqGyT4Lqj1H1Vg/xazzSV6FjPb5d sx5FSEbKQcQUmePev0OPo8qgWR1fGpsYg/ZDnmtJFmciNU8qFlbQ3vYM37xKVL2mSm5SOmFa01bU 4tSFQXYF3cDhVhUPwfPbaIy/gRzga/zS7UXMLBFTDFh7AYFYw1sQC0Cm/vFlcY+V9m8y7HJFuNAU ZZUKLsuRAz18IXJF4baK0ihUazpWpNKImtBYEYtnvIM3PZlBq1k2bU957EO28gR1EncgFvOrDEUW 0QUtNCljEYOffy3eH5eAVngB5JEGIufGxY1cU0gLQnP94ybCC6+F8DXn8gAi2tpPQaGiqKS8wRQQ IJfDimJ3MZvwjFkJ8p/Lj3azjZwFTfd2CxBpYZKxU/E2Llyca6m/J+7JASKnBasEQzk5zNpTtcat hdGjvTTUgKq/Y+dE8kXeGV030N3f8ToutEP1s6jl4Auv9e7/xdWZnPKjs7Cx84LPrT7AqYNFp+h2 bFTFRcOivHS/UYp6TSZ308KnB0RayE6BaHUqwqS9sz2DNm7uBRWM91k5ddHflbP7MlHXv7sIIZYR r5adt5XOh2AHxXVTX7qESkiQYoZudNB2+RHLlp3RBPTjnOwzfSad9uc9JcP3bB3KzvQhWuCQiup9 mJxjNXZomBPlZj+1VtlVm1nvXrSIUFzh9rkXfQ2oboKh6orz4vuF8v0OvobPe0HstXJ9vA6Z5z0N 1N91XJ5KGvfSlt65uoIf4ERT1I2C8B6OLkY3hsB56pr+02XfGwQgF4cA7E0O0oWuJdXrZIhIa7n1 v6q9C+MBqUSNh7bItKKIfx8+/tCm0G9jxqq6DReUg4u7sFY/vcfswKCrkWDO9PcKUKt/98SUZpFo MglJVXjWQ8zi1v+LvCThp+G+LUdPgeYKg6cFeuFZRLJ4hb4phKp3bxYfed0uETLkiF3bUGgXBfaF lkd+SAGGi7cnTnpC8Zll23wKiIuWZZNWDKxP6AOVamqx3dUeYu+BguEIaTNXWN/thtDuCvVGLp0q QcOQGge7VAf4+IsdmLkFU2wbe+lYMDOAIUFuNShQlxnTH7dhjjAvwtScW/SJh9lxpY8Tu6CWJNAT i9YIOdk7sjoovQmtn5Hj/dxPxFXSEPqRkm8boO2kdOTuP5kY3sRsSu54Auo8AhXuaA+BSIxisWnr 1+leMA8Dr76/OEBhwq7y6gJL3bQ/Oywep34wHYdAWNAu2DBaHiu3DGjrJN9176rViPXy25HbsRoq CZUtHpR4kTscxW8o/F2rjiy0kh0DYBQVj+AHgD8OaPYlpRSYDdPKg90vZcthya0JDfbdG/bN5TqS QfdISq8XOAikDebnG8hmHqlSr+9Vl8M/QOJe+3OMY6CZpHCx+uVasOazlyT4tNAOkAHperZPSDxv qrtdavhGGUjrosdk2NWE9jhJ/If1wgvhFGdLWyx05u8x2RPXTal2PLlwJRHgk0mQVz/6Rldm0Ist qGUI/a9EBGi05SIS+dTmUWkiAVGM+Ti5SU8n5OZ8NJc8b/HC/D+z6NCTwdK2ZlAyzXzJnMoEXVMP HWlvYo6AE24RcyYxMJYOeuJHsmIZq0SjbebFqyC0/JQ8MmHVh/jphdvgdsSemQ2SEeDyxQsBE4JN c2rasnryia06KP9f3UrUIy+hpYkAIo8jnjn7jD8kWN2DxsgwkFAMpZ6Kk09dKo587hvFK2YfgfVv OqnVMvebSjAKy60MzoWF64SoM54vdMbE9F+kfWrs87cbHWE08wKM4kjbWcOT+37/1W1g0LNPNdTe Z4mEIyNhtJnV9aN5Q0hVE19R123KqQkI/zuqT9THuI4vj8zDTsHSfn9PFyo9cWYeExDpBQFvIBK9 vbGMS+PVueYZx52+iZafhWxdXoMh3yJ7+3aNyFN05G9PJGwRMXYUlrQVlKHnxNvXZIjBNL1vP2l+ CXcXF+y7fj2BMht9nG1T67jfpeCG3wJ+VBD2i9FNfu05tR93Y5VMr3nGRjc+abOeMb432yo3u4fh S0GmD2wpHFj86pNRMi9NeQZeay+rMfUYmZc4b/MsYyC5BJeDR+vCA/5ogyNNTKdqJXxwMZwSWXMY PPK38RrC0r+dhtM86xF0GSyYb2BsVUrG1VNrzbbHzLzSQWDGIXLq3XCH169GLQzCkAovjFnI/toj gSH6hdD7+9Rr8NR4PHgWCKaJjf033RnJ+U8VzH5vy15Q+W/jZYivrlcjchvQ18JPpoPSTIqZEgTq ZHFR/tdG9eBQsnjFnutaMtwNXGoiS3xAJdzHHPcqYOtYJ9E9rOSnuwF30Ui5Dl643ag76srx3pd9 pPLfZXaamNzWDdbFLoiUHsw/b+KK1JePT5DktMznGfjbfSZBWkaoaIexVvrHFc5lAP5KJLXEmkzB VlqESQsyTGkYEOb+wS3BEELy0XxZYYG3Cf+BZBdP6sv5SK+CcaH3V+SXLfpD59VC+XujJOyUPU5j lQgq12l4jhCYStVo+V7PdIO3LSefxnPYVVTSOxP9dsmHvqjbmlsJWrlGmYqLx3oCSG9iD17OzmvJ /rJlJNAM/NfUYrdCGW7JLJGTNI3X3W7jNvecx+jIomt8Wc0ZvjqluSLs6WnpU3xz4cbxs++KdzKx 5f/XtS+ElExlxUt8/W1XZ/+Hx5+H7kyVyvcFQGBPz++FyK2nhDdzTBKiLHwlhiSURt+rRJ0xLxBH R+b3lj/linU/R106w/Czo0dFToPJ918iQv5BW40a4G3khUvp5oWsAW0ZcSFoJltECFt021FZcIJ1 FUnFOEmKpJHIhAgJFvydNgLikyJ9qcuUAGzpSCeP3GHBAE4PhMUgb6aWHcmIkjQ9xxXCAWedBNcB ykbTTgwvrz9IHaGQVPMjs3v2n+pua/37TiwBm2CUQcOeXj+x/KuSY4BkfpII4lDM/kMZtIwlHBrR VA8nbN1GZaDFI1aWQ9/SugSJV6D5R9aQbhLxeszYltCJpUVT0ymGqrAuob/UWwnvo7eIuY/sd5vO m3dz8EngBzkpU0cX/jf3w70eWZ+O6Lph66lvIhWNGYo0JDfjJEh5LJUQJgo6rIpkSmlQUGT4YmsL 4hOo3Fl/Ut657OGz9h/vmnSbhbmTqO0kNeiLUKs4X1M+J/OKzkh58wR1RVKFLE2G9Xk5b5G1UmpS HYXrzCF4+Tn5WyWIvtJDcjPVeNmYHEWywv8PuYNlOuwTMhHkfJC6YzvxHesTSiZ1CTG7quL2wAYm PejR9nXWvFCiNl+SVF57GkX3gIZnQJLfb42xrMVAxfXG+sqa9WR8xpVgST+zn8DemdH2xpxmpHgY 8sPrxWNYTYgcUoBaBhx2Pq/0CZ7AnhqNaNRMrOARTfdsHPisx7cTk7blOGTxDtCnmJqWeH2kCsW3 6gz8XCtewzXL3LYpKE58l4E1cveaRZVtYaX23kWJjeiG8stb/eh0/bLkObeuX+1F59FfpKibOG2A Rj3frepU67+ZIiBm76VpVZSAfFC6xv/vo524s/iwaSUM0FlV8f0YchLVodHtQw5WRfoCL/yo2ER0 gqDYIVG7PL951qCcWvmRU+YI+squjn18aC12Fz/9rjS/gawv7fi21X04+cZfX1wiKepHgUpVMR3P Zc6ce58vjAQccwBhp0ctWs61p3cxxQ== `protect end_protected
gpl-2.0
3e0643d0e82a0dfbfa50a26253511646
0.955513
1.825712
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_delay_GNNBTO2F3L.vhd
4
1,062
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_delay_GNNBTO2F3L is generic ( ClockPhase : string := "1"; delay : positive := 1; use_init : natural := 0; BitPattern : string := "000000000000000000000010"; width : positive := 24); port( aclr : in std_logic; clock : in std_logic; ena : in std_logic; input : in std_logic_vector((width)-1 downto 0); output : out std_logic_vector((width)-1 downto 0); sclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_delay_GNNBTO2F3L is Begin -- Delay Element Delay1i : alt_dspbuilder_SDelay generic map ( LPM_WIDTH => 24, LPM_DELAY => 1, SequenceLength => 1, SequenceValue => "1") port map ( dataa => input, clock => clock, ena => ena, sclr => sclr, aclr => aclr, user_aclr => '0', result => output); end architecture;
mit
1905daed9ec8ee4f77cc741f898939ca
0.635593
2.958217
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/a25_tb.vhd
1
36,338
--------------------------------------------------------------- -- Title : Testbench A25 -- Project : --------------------------------------------------------------- -- File : a25_tb.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 31/01/12 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE work.fpga_pkg_2.ALL; USE work.vme_sim_pack.all; USE work.terminal_pkg.all; use work.pcie_sim_pkg.all; ENTITY a25_tb IS generic( BFM_LANE_WIDTH : integer range 8 downto 0 := 1 -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 ); END a25_tb; ARCHITECTURE a25_tb_arch OF a25_tb IS COMPONENT A25_top GENERIC ( SIMULATION : boolean := FALSE; FPGA_FAMILY : family_type := CYCLONE4; BFM_LANE_WIDTH : integer range 8 downto 0 := 1; -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 sets : std_logic_vector(3 DOWNTO 0) := "1110"; timeout : integer := 5000 ); PORT ( clk_16mhz : IN std_logic; led_green_n : OUT std_logic; led_red_n : OUT std_logic; hreset_n : IN std_logic; -- reset v2p_rstn : OUT std_logic; -- connected to hreset_req1_n fpga_test : INOUT std_logic_vector(5 DOWNTO 1); -- pcie refclk : IN std_logic; -- 100 MHz pcie clock pcie_rx : IN std_logic_vector(3 DOWNTO 0); -- PCIe receive line pcie_tx : OUT std_logic_vector(3 DOWNTO 0); -- PCIe transmit line -- sram bus sr_clk : OUT std_logic; sr_a : OUT std_logic_vector(18 DOWNTO 0); sr_d : INOUT std_logic_vector(15 DOWNTO 0); sr_bwa_n : OUT std_logic; sr_bwb_n : OUT std_logic; sr_bw_n : OUT std_logic; sr_cs1_n : OUT std_logic; sr_adsc_n : OUT std_logic; sr_oe_n : OUT std_logic; -- vmebus vme_ga : IN std_logic_vector(4 DOWNTO 0); -- geographical addresses vme_gap : IN std_logic; -- geographical addresses vme_a : INOUT std_logic_vector(31 DOWNTO 0); vme_a_dir : OUT std_logic; vme_a_oe_n : OUT std_logic; vme_d : INOUT std_logic_vector(31 DOWNTO 0); vme_d_dir : OUT std_logic; vme_d_oe_n : OUT std_logic; vme_am_dir : OUT std_logic; vme_am : INOUT std_logic_vector(5 DOWNTO 0); vme_am_oe_n : OUT std_logic; vme_write_n : INOUT std_logic; vme_iack_n : INOUT std_logic; vme_irq_i_n : IN std_logic_vector(7 DOWNTO 1); vme_irq_o : OUT std_logic_vector(7 DOWNTO 1); -- high active on A25 vme_as_i_n : IN std_logic; vme_as_o_n : OUT std_logic; vme_as_oe : OUT std_logic; -- high active on A25 vme_retry_o_n : OUT std_logic; vme_retry_oe : OUT std_logic; -- high active on A25 vme_retry_i_n : IN std_logic; vme_sysres_i_n : IN std_logic; vme_sysres_o : OUT std_logic; -- high active on A25 vme_ds_i_n : IN std_logic_vector(1 DOWNTO 0); vme_ds_o_n : OUT std_logic_vector(1 DOWNTO 0); vme_ds_oe : OUT std_logic; -- high active on A25 vme_berr_i_n : IN std_logic; vme_berr_o : OUT std_logic; -- high active on A25 vme_dtack_i_n : IN std_logic; vme_dtack_o : OUT std_logic; -- high active on A25 vme_scon : OUT std_logic; -- high active on A25 vme_sysfail_i_n : IN std_logic; vme_sysfail_o : OUT std_logic; -- high active on A25 vme_bbsy_i_n : IN std_logic; vme_bbsy_o : OUT std_logic; -- high active on A25 vme_bclr_i_n : IN std_logic; -- bus clear input vme_bclr_o_n : OUT std_logic; -- bus clear output vme_br_i_n : IN std_logic_vector(3 DOWNTO 0); vme_br_o : OUT std_logic_vector(3 DOWNTO 0); -- high active on A25 vme_iack_i_n : IN std_logic; vme_iack_o_n : OUT std_logic; vme_acfail_i_n : IN std_logic; vme_sysclk : OUT std_logic; vme_bg_i_n : IN std_logic_vector(3 DOWNTO 0); vme_bg_o_n : OUT std_logic_vector(3 DOWNTO 0); -- Hard IP BFM connections ep_rxvalid_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxstatus_i : in std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); -- 3bits per lane, [2:0]=lane0, [5:3]=lane1 etc. ep_rxdatak_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bits per lane, [0]=lane0, [1]=lane1 etc. ep_rxdata_i : in std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_rxelecidle_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_phystatus_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_clk250_o : out std_logic; -- endpoint SERDES 250MHz clk output ep_clk500_o : out std_logic; -- endpoint SERDES 500MHz clk output ep_rate_ext_o : out std_logic; -- endpoint rate_ext ep_powerdown_ext_o : out std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); -- 2bits per lane, [1:0]=lane0, [3:2]=lane1 etc. ep_txdatak_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdata_o : out std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_txcompl_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txelecidle_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdetectrx_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxpolarity_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_ltssm_o : out std_logic_vector(4 downto 0) ); END COMPONENT; COMPONENT MT58L512L18F GENERIC ( -- Clock tKC : TIME := 8.0 ns; -- Timing are for -6.8 tKH : TIME := 1.8 ns; tKL : TIME := 1.8 ns; -- Output Times tKQHZ : TIME := 3.8 ns; -- Setup Times tAS : TIME := 1.8 ns; tADSS : TIME := 1.8 ns; tAAS : TIME := 1.8 ns; tWS : TIME := 1.8 ns; tDS : TIME := 1.8 ns; tCES : TIME := 1.8 ns; -- Hold Times tAH : TIME := 0.5 ns; tADSH : TIME := 0.5 ns; tAAH : TIME := 0.5 ns; tWH : TIME := 0.5 ns; tDH : TIME := 0.5 ns; tCEH : TIME := 0.5 ns; -- Bus Width and Data Bus addr_bits : INTEGER := 19; data_bits : INTEGER := 18 ); PORT ( Dq : INOUT STD_LOGIC_VECTOR (data_bits - 1 DOWNTO 0) := (OTHERS => 'Z'); Addr : IN STD_LOGIC_VECTOR (addr_bits - 1 DOWNTO 0); Mode : IN STD_LOGIC; Adv_n : IN STD_LOGIC; Clk : IN STD_LOGIC; Adsc_n : IN STD_LOGIC; Adsp_n : IN STD_LOGIC; Bwa_n : IN STD_LOGIC; Bwb_n : IN STD_LOGIC; Bwe_n : IN STD_LOGIC; Gw_n : IN STD_LOGIC; Ce_n : IN STD_LOGIC; Ce2 : IN STD_LOGIC; Ce2_n : IN STD_LOGIC; Oe_n : IN STD_LOGIC; Zz : IN STD_LOGIC ); END COMPONENT; COMPONENT vmebus PORT ( slot1 : IN boolean:=TRUE; -- if true dut is in slot1 vme_slv_in : IN vme_slv_in_type; vme_slv_out : OUT vme_slv_out_type; vme_mon_out : OUT vme_mon_out_type; terminal_in_x : OUT terminal_in_type; terminal_out_x : IN terminal_out_type; -- the VME signals: vb_am : INOUT std_logic_vector(5 DOWNTO 0); vb_data : INOUT std_logic_vector(31 DOWNTO 0); vb_adr : INOUT std_logic_vector(31 DOWNTO 0); vb_writen : INOUT std_logic; vb_iackn : INOUT std_logic; vb_asn : INOUT std_logic; vb_dsan : INOUT std_logic; vb_dsbn : INOUT std_logic; vb_bbsyn : INOUT std_logic; vb_berrn : INOUT std_logic; vb_brn : INOUT std_logic_vector(3 DOWNTO 0); vb_dtackn : INOUT std_logic; vb_sysresn : INOUT std_logic; vb_irq1n : INOUT std_logic; vb_irq2n : INOUT std_logic; vb_irq3n : INOUT std_logic; vb_irq4n : INOUT std_logic; vb_irq5n : INOUT std_logic; vb_irq6n : INOUT std_logic; vb_irq7n : INOUT std_logic; vb_bgin : OUT std_logic_vector(3 DOWNTO 0); vb_bgout : IN std_logic_vector(3 DOWNTO 0); vb_iackin : OUT std_logic; vb_iackout : IN std_logic; vb_acfailn : INOUT std_logic ); END COMPONENT; COMPONENT SN74LVTH245 GENERIC ( OP_COND : integer:=1; -- 0=min, 1=typ, 2=max WIDTH : integer:=8 ); PORT ( dir : IN std_logic; -- direction: 0= B data to A, 1= A data to B oe_n : IN std_logic; -- output enable: 0= driver is active, 1= tri-state a : INOUT std_logic_vector(WIDTH-1 DOWNTO 0); -- port A b : INOUT std_logic_vector(WIDTH-1 DOWNTO 0) -- port B ); END COMPONENT; COMPONENT SN74ABT125 GENERIC ( OP_COND : integer:=1; -- 0=min, 1=typ, 2=max WIDTH : integer:=8 ); PORT ( oe_n : IN std_logic_vector(WIDTH-1 DOWNTO 0); -- output enable: 0= driver is active, 1= tri-state a : IN std_logic_vector(WIDTH-1 DOWNTO 0); -- port A b : OUT std_logic_vector(WIDTH-1 DOWNTO 0) -- port B ); END COMPONENT; COMPONENT terminal PORT ( hreset_n : OUT std_logic; slot1 : OUT boolean:=TRUE; -- if true dut is in slot1 en_clk : OUT boolean; terminal_in_0 : IN terminal_in_type; terminal_out_0 : OUT terminal_out_type; terminal_in_1 : IN terminal_in_type; terminal_out_1 : OUT terminal_out_type; v2p_rstn : IN std_logic; -- connected to hreset_req1_n vme_slv_in : OUT vme_slv_in_type; vme_slv_out : IN vme_slv_out_type; vme_mon_out : IN vme_mon_out_type; vme_ga : OUT std_logic_vector(4 DOWNTO 0); -- geographical addresses vme_gap : OUT std_logic -- geographical addresses ); END COMPONENT; component pcie_sim generic( BFM_LANE_WIDTH : integer range 8 downto 0 := 1 -- set configuration: 1=x1, 2=x2, 4=x4 and 8=x8 ); port( rst_i : in std_logic; pcie_rstn_i : in std_logic; clk_i : in std_logic; ep_clk250_i : in std_logic; -- endpoint SERDES 250MHz clk output ep_clk500_i : in std_logic; -- endpoint SERDES 500MHz clk output -- PCIe lanes bfm_tx_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); bfm_rx_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- PCIe SERDES connection, in/out references are BFM view ep_rate_ext_i : in std_logic; -- endpoint rate_ext ep_powerdown_ext_i : in std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); -- 2bits per lane, [1:0]=lane0, [3:2]=lane1 etc. ep_txdatak_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdata_i : in std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_txcompl_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txelecidle_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_txdetectrx_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxpolarity_i : in std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_ltssm_i : in std_logic_vector(4 downto 0); ep_rxvalid_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_rxstatus_o : out std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); -- 3bits per lane, [2:0]=lane0, [5:3]=lane1 etc. ep_rxdatak_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bits per lane, [0]=lane0, [1]=lane1 etc. ep_rxdata_o : out std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); -- 8bits per lane, [7:0]=lane0, [15:8]=lane1 etc. ep_rxelecidle_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. ep_phystatus_o : out std_logic_vector(BFM_LANE_WIDTH -1 downto 0); -- 1bit per lane, [0]=lane0, [1]=lane1 etc. -- MEN terminal connection, in/out references are terminal view term_out : in terminal_out_type; term_in : out terminal_in_type ); end component; CONSTANT T_FPGA_TO_SRAM : time := 0 ns; SIGNAL clk_125 : std_logic:='1'; SIGNAL clk_250 : std_logic:='0'; SIGNAL clk_16mhz_int : std_logic:='0'; SIGNAL clk_16mhz : std_logic:='0'; SIGNAL en_clk : boolean; SIGNAL led_green_n : std_logic; SIGNAL led_red_n : std_logic; SIGNAL hreset_n : std_logic; -- reset SIGNAL hreset : std_logic; -- reset SIGNAL v2p_rstn : std_logic; -- connected to hreset_req1_n SIGNAL refclk : std_logic:='0'; -- 100 MHz pcie clock SIGNAL pcie_rx : std_logic_vector(3 DOWNTO 0); -- PCIe receive line SIGNAL pcie_tx : std_logic_vector(3 DOWNTO 0); -- PCIe transmit line SIGNAL sr_clk : std_logic; SIGNAL trans_sr_clk : std_logic; SIGNAL sr_a : std_logic_vector(18 DOWNTO 0); SIGNAL sr_d : std_logic_vector(15 DOWNTO 0); SIGNAL sr_bwa_n : std_logic; SIGNAL sr_bwb_n : std_logic; SIGNAL sr_bw_n : std_logic; SIGNAL sr_cs1_n : std_logic; SIGNAL sr_adsc_n : std_logic; SIGNAL sr_oe_n : std_logic; SIGNAL vme_ga : std_logic_vector(4 DOWNTO 0); SIGNAL vme_gap : std_logic; SIGNAL vme_a : std_logic_vector(31 DOWNTO 0); SIGNAL vme_a_dir : std_logic; SIGNAL vme_a_oe_n : std_logic; SIGNAL vme_d : std_logic_vector(31 DOWNTO 0); SIGNAL vme_d_dir : std_logic; SIGNAL vme_d_oe_n : std_logic; SIGNAL vme_am_dir : std_logic; SIGNAL vme_am : std_logic_vector(5 DOWNTO 0); SIGNAL vme_am_oe_n : std_logic; SIGNAL vme_write_n : std_logic; SIGNAL vme_iack_n : std_logic; SIGNAL vme_irq_i_n : std_logic_vector(7 DOWNTO 1); SIGNAL vme_irq_o_n : std_logic_vector(7 DOWNTO 1); SIGNAL vme_as_i_n : std_logic; SIGNAL vme_as_o_n : std_logic; SIGNAL vme_as_oe : std_logic; SIGNAL vme_as_oe_n : std_logic; SIGNAL vme_retry_o_n : std_logic; SIGNAL vme_retry_oe_n : std_logic; SIGNAL vme_retry_i_n : std_logic; SIGNAL vme_sysres_i_n : std_logic; SIGNAL vme_sysres_o_n : std_logic; SIGNAL vme_ds_i_n : std_logic_vector(1 DOWNTO 0); SIGNAL vme_ds_o_n : std_logic_vector(1 DOWNTO 0); SIGNAL vme_ds_oe_n : std_logic; SIGNAL vme_berr_i_n : std_logic; SIGNAL vme_berr_o_n : std_logic; SIGNAL vme_berr_o : std_logic; SIGNAL vme_dtack_i_n : std_logic; SIGNAL vme_dtack_o_n : std_logic; SIGNAL vme_dtack_o : std_logic; SIGNAL vme_scon_n : std_logic; SIGNAL vme_sysfail_i_n : std_logic; SIGNAL vme_sysfail_o_n : std_logic; SIGNAL vme_bbsy_i_n : std_logic; SIGNAL vme_bbsy_o_n : std_logic; SIGNAL vme_bclr_i_n : std_logic; -- bus clear input SIGNAL vme_bclr_o_n : std_logic; -- bus clear output SIGNAL vme_br_i_n : std_logic_vector(3 DOWNTO 0); SIGNAL vme_br_o_n : std_logic_vector(3 DOWNTO 0); SIGNAL vme_iack_i_n : std_logic; SIGNAL vme_iack_o_n : std_logic; SIGNAL vme_acfail_i_n : std_logic; SIGNAL vme_sysclk : std_logic; SIGNAL vme_bg_i_n : std_logic_vector(3 DOWNTO 0); SIGNAL vme_bg_o_n : std_logic_vector(3 DOWNTO 0); -- high active signals on A25 SIGNAL vme_irq_o : std_logic_vector(7 DOWNTO 1); SIGNAL vme_retry_oe : std_logic; SIGNAL vme_sysres_o : std_logic; SIGNAL vme_ds_oe : std_logic; SIGNAL vme_scon : std_logic; SIGNAL vme_sysfail_o : std_logic; SIGNAL vme_bbsy_o : std_logic; SIGNAL vme_br_o : std_logic_vector(3 DOWNTO 0); SIGNAL terminal_in_0 : terminal_in_type; SIGNAL terminal_out_0 : terminal_out_type; SIGNAL terminal_in_1 : terminal_in_type; SIGNAL terminal_out_1 : terminal_out_type; SIGNAL vme_slv_in : vme_slv_in_type; SIGNAL vme_slv_out : vme_slv_out_type; SIGNAL vme_mon_out : vme_mon_out_type; SIGNAL Addr : std_logic_vector(18 DOWNTO 0); SIGNAL Adsc_n : std_logic; SIGNAL Bwa_n : std_logic; SIGNAL Bwb_n : std_logic; SIGNAL Bwe_n : std_logic; SIGNAL Oe_n : std_logic; SIGNAL ce_n : std_logic; SIGNAL vb_am : std_logic_vector(5 DOWNTO 0); SIGNAL vb_data : std_logic_vector(31 DOWNTO 0); SIGNAL vb_adr : std_logic_vector(31 DOWNTO 0); SIGNAL vb_writen : std_logic; SIGNAL vb_iackn : std_logic; SIGNAL vb_asn : std_logic; SIGNAL vb_dsan : std_logic; SIGNAL vb_dsbn : std_logic; SIGNAL vb_bbsyn : std_logic; SIGNAL vb_berrn : std_logic; SIGNAL vb_brn : std_logic_vector(3 DOWNTO 0); SIGNAL vb_dtackn : std_logic; SIGNAL vb_sysresn : std_logic; SIGNAL vb_irq1n : std_logic; SIGNAL vb_irq2n : std_logic; SIGNAL vb_irq3n : std_logic; SIGNAL vb_irq4n : std_logic; SIGNAL vb_irq5n : std_logic; SIGNAL vb_irq6n : std_logic; SIGNAL vb_irq7n : std_logic; SIGNAL vb_bgin : std_logic_vector(3 DOWNTO 0); SIGNAL vb_bgout : std_logic_vector(3 DOWNTO 0); SIGNAL vb_iackin : std_logic; SIGNAL vb_iackout : std_logic; SIGNAL vb_acfailn : std_logic; SIGNAL vb_sysclk : std_logic; SIGNAL vb_sysfailn : std_logic; SIGNAL dummy : std_logic:='1'; SIGNAL slot1 : boolean; -- Hard IP BFM connections signal ep_rxvalid_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_rxstatus_int : std_logic_vector(3*BFM_LANE_WIDTH -1 downto 0); signal ep_rxdatak_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_rxdata_int : std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); signal ep_rxelecidle_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_phystatus_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_clk250_int : std_logic; signal ep_clk500_int : std_logic; signal ep_rate_ext_int : std_logic; signal ep_powerdown_ext_int : std_logic_vector(2*BFM_LANE_WIDTH -1 downto 0); signal ep_txdatak_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_txdata_int : std_logic_vector(8*BFM_LANE_WIDTH -1 downto 0); signal ep_txcompl_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_txelecidle_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_txdetectrx_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_rxpolarity_int : std_logic_vector(BFM_LANE_WIDTH -1 downto 0); signal ep_ltssm_int : std_logic_vector(4 downto 0); BEGIN -- high active signals on A25 vme_irq_o_n <= NOT vme_irq_o ; vme_retry_oe_n <= NOT vme_retry_oe ; vme_sysres_o_n <= NOT vme_sysres_o ; vme_ds_oe_n <= NOT vme_ds_oe ; vme_scon_n <= NOT vme_scon ; vme_sysfail_o_n <= NOT vme_sysfail_o ; vme_bbsy_o_n <= NOT vme_bbsy_o ; vme_br_o_n <= NOT vme_br_o ; vme_as_oe_n <= NOT vme_as_oe; vme_dtack_o_n <= NOT vme_dtack_o; vme_berr_o_n <= NOT vme_berr_o; a25: A25_top GENERIC MAP ( SIMULATION => TRUE, FPGA_FAMILY => CYCLONE4, BFM_LANE_WIDTH => BFM_LANE_WIDTH, sets => "1110", timeout => 5000 ) PORT MAP ( clk_16mhz => clk_16mhz , led_green_n => led_green_n , led_red_n => led_red_n , hreset_n => hreset_n , v2p_rstn => v2p_rstn , fpga_test => open, refclk => refclk , pcie_rx => pcie_rx , pcie_tx => pcie_tx , sr_clk => sr_clk , sr_a => sr_a , sr_d => sr_d , sr_bwa_n => sr_bwa_n , sr_bwb_n => sr_bwb_n , sr_bw_n => sr_bw_n , sr_cs1_n => sr_cs1_n , sr_adsc_n => sr_adsc_n , sr_oe_n => sr_oe_n , vme_ga => vme_ga, vme_gap => vme_gap, vme_a => vme_a , vme_a_dir => vme_a_dir , vme_a_oe_n => vme_a_oe_n , vme_d => vme_d , vme_d_dir => vme_d_dir , vme_d_oe_n => vme_d_oe_n , vme_am_dir => vme_am_dir , vme_am => vme_am , vme_am_oe_n => vme_am_oe_n , vme_write_n => vme_write_n , vme_iack_n => vme_iack_n , vme_irq_i_n => vme_irq_i_n , vme_irq_o => vme_irq_o , vme_as_i_n => vme_as_i_n , vme_as_o_n => vme_as_o_n , vme_as_oe => vme_as_oe , vme_retry_o_n => vme_retry_o_n , vme_retry_oe => vme_retry_oe , vme_retry_i_n => vme_retry_i_n , vme_sysres_i_n => vme_sysres_i_n , vme_sysres_o => vme_sysres_o , vme_ds_i_n => vme_ds_i_n , vme_ds_o_n => vme_ds_o_n , vme_ds_oe => vme_ds_oe , vme_berr_i_n => vme_berr_i_n , vme_berr_o => vme_berr_o , vme_dtack_i_n => vme_dtack_i_n , vme_dtack_o => vme_dtack_o , vme_scon => vme_scon , vme_sysfail_i_n => vme_sysfail_i_n , vme_sysfail_o => vme_sysfail_o , vme_bbsy_i_n => vme_bbsy_i_n , vme_bbsy_o => vme_bbsy_o , vme_bclr_i_n => vme_bclr_i_n , vme_bclr_o_n => vme_bclr_o_n , vme_br_i_n => vme_br_i_n , vme_br_o => vme_br_o , vme_iack_i_n => vme_iack_i_n , vme_iack_o_n => vme_iack_o_n , vme_acfail_i_n => vme_acfail_i_n , vme_sysclk => vme_sysclk , vme_bg_i_n => vme_bg_i_n , vme_bg_o_n => vme_bg_o_n, -- Hard IP BFM connections ep_rxvalid_i => ep_rxvalid_int, ep_rxstatus_i => ep_rxstatus_int, ep_rxdatak_i => ep_rxdatak_int, ep_rxdata_i => ep_rxdata_int, ep_rxelecidle_i => ep_rxelecidle_int, ep_phystatus_i => ep_phystatus_int, ep_clk250_o => ep_clk250_int, ep_clk500_o => ep_clk500_int, ep_rate_ext_o => ep_rate_ext_int, ep_powerdown_ext_o => ep_powerdown_ext_int, ep_txdatak_o => ep_txdatak_int, ep_txdata_o => ep_txdata_int, ep_txcompl_o => ep_txcompl_int, ep_txelecidle_o => ep_txelecidle_int, ep_txdetectrx_o => ep_txdetectrx_int, ep_rxpolarity_o => ep_rxpolarity_int, ep_ltssm_o => ep_ltssm_int ); clk_16mhz_int <= NOT clk_16mhz_int AFTER 31.25 ns; clk_16mhz <= clk_16mhz_int WHEN en_clk ELSE '0'; refclk <= NOT refclk AFTER 5 ns; clk_125 <= NOT clk_125 AFTER 4 ns; -- 125 MHz clk_250 <= NOT clk_250 AFTER 2 ns; -- 250 MHz hreset <= NOT hreset_n; pcie_sim_inst: pcie_sim generic map( BFM_LANE_WIDTH => BFM_LANE_WIDTH ) port map( rst_i => hreset, pcie_rstn_i => hreset_n, clk_i => refclk, ep_clk250_i => ep_clk250_int, ep_clk500_i => ep_clk500_int, -- PCIe lanes bfm_tx_i => pcie_tx(BFM_LANE_WIDTH -1 downto 0), bfm_rx_o => pcie_rx(BFM_LANE_WIDTH -1 downto 0), -- PCIe SERDES connection, in/out references are BFM view ep_rate_ext_i => ep_rate_ext_int, ep_powerdown_ext_i => ep_powerdown_ext_int, ep_txdatak_i => ep_txdatak_int, ep_txdata_i => ep_txdata_int, ep_txcompl_i => ep_txcompl_int, ep_txelecidle_i => ep_txelecidle_int, ep_txdetectrx_i => ep_txdetectrx_int, ep_rxpolarity_i => ep_rxpolarity_int, ep_ltssm_i => ep_ltssm_int, ep_rxvalid_o => ep_rxvalid_int, ep_rxstatus_o => ep_rxstatus_int, ep_rxdatak_o => ep_rxdatak_int, ep_rxdata_o => ep_rxdata_int, ep_rxelecidle_o => ep_rxelecidle_int, ep_phystatus_o => ep_phystatus_int, -- MEN terminal connection, in/out references are terminal view term_out => terminal_out_0, term_in => terminal_in_0 ); trans_sr_clk <= transport sr_clk AFTER 12 ns; Addr <= transport sr_a AFTER (T_FPGA_TO_SRAM); Adsc_n <= transport sr_adsc_n AFTER (T_FPGA_TO_SRAM); Bwa_n <= transport sr_bwa_n AFTER (T_FPGA_TO_SRAM); Bwb_n <= transport sr_bwb_n AFTER (T_FPGA_TO_SRAM); Bwe_n <= transport sr_bw_n AFTER (T_FPGA_TO_SRAM); Oe_n <= transport sr_oe_n AFTER (T_FPGA_TO_SRAM); ce_n <= '1', '0' AFTER 28 ns; sram : MT58L512L18F GENERIC MAP ( addr_bits => 19, data_bits => 16 ) PORT MAP( Clk => trans_sr_clk, Dq => sr_d , Addr => Addr , Adsc_n => adsc_n, Bwa_n => Bwa_n , Bwb_n => Bwb_n , Bwe_n => Bwe_n , Oe_n => Oe_n , Adsp_n => '1', Mode => '0', Adv_n => '1', Gw_n => '1', Ce_n => ce_n, Ce2 => '1', Ce2_n => '0', Zz => '0' ); vme_bus : vmebus PORT MAP ( slot1 => slot1, -- if true dut is in slot1 vme_slv_in => vme_slv_in , vme_slv_out => vme_slv_out, vme_mon_out => vme_mon_out, terminal_in_x => terminal_in_1 , terminal_out_x => terminal_out_1 , vb_am => vb_am , vb_data => vb_data , vb_adr => vb_adr , vb_writen => vb_writen , vb_iackn => vb_iackn , vb_asn => vb_asn , vb_dsan => vb_dsan , vb_dsbn => vb_dsbn , vb_bbsyn => vb_bbsyn , vb_berrn => vb_berrn , vb_brn => vb_brn , vb_dtackn => vb_dtackn , vb_sysresn => vb_sysresn , vb_irq1n => vb_irq1n , vb_irq2n => vb_irq2n , vb_irq3n => vb_irq3n , vb_irq4n => vb_irq4n , vb_irq5n => vb_irq5n , vb_irq6n => vb_irq6n , vb_irq7n => vb_irq7n , vb_bgin => vb_bgin , vb_bgout => vb_bgout , vb_iackin => vb_iackin , vb_iackout => vb_iackout , vb_acfailn => vb_acfailn ); bus_drv_ctrl_out: SN74ABT125 GENERIC MAP ( OP_COND => 2, WIDTH => 21 ) PORT MAP ( oe_n(0) => vme_irq_o_n(1), oe_n(1) => vme_irq_o_n(2), oe_n(2) => vme_irq_o_n(3), oe_n(3) => vme_irq_o_n(4), oe_n(4) => vme_irq_o_n(5), oe_n(5) => vme_irq_o_n(6), oe_n(6) => vme_irq_o_n(7), oe_n(7) => vme_as_oe_n, oe_n(8) => vme_dtack_o_n, oe_n(9) => vme_ds_o_n(0), oe_n(10) => vme_ds_o_n(1), oe_n(11) => vme_sysclk, oe_n(12) => vme_berr_o_n, oe_n(13) => vme_sysres_o_n, oe_n(14) => vme_sysfail_o_n, oe_n(15) => vme_br_o_n(0), oe_n(16) => vme_br_o_n(1), oe_n(17) => vme_br_o_n(2), oe_n(18) => vme_br_o_n(3), oe_n(19) => '1', oe_n(20) => vme_bbsy_o_n, a(0) => vme_irq_o_n(1), a(1) => vme_irq_o_n(2), a(2) => vme_irq_o_n(3), a(3) => vme_irq_o_n(4), a(4) => vme_irq_o_n(5), a(5) => vme_irq_o_n(6), a(6) => vme_irq_o_n(7), a(7) => vme_as_o_n, a(8) => vme_dtack_o_n, a(9) => vme_ds_o_n(0), a(10) => vme_ds_o_n(1), a(11) => vme_sysclk, a(12) => vme_berr_o_n, a(13) => vme_sysres_o_n, a(14) => vme_sysfail_o_n, a(15) => vme_br_o_n(0), a(16) => vme_br_o_n(1), a(17) => vme_br_o_n(2), a(18) => vme_br_o_n(3), a(19) => '1', a(20) => vme_bbsy_o_n, b(0) => vb_irq1n, b(1) => vb_irq2n, b(2) => vb_irq3n, b(3) => vb_irq4n, b(4) => vb_irq5n, b(5) => vb_irq6n, b(6) => vb_irq7n, b(7) => vb_asn, b(8) => vb_dtackn, b(9) => vb_dsan, b(10) => vb_dsbn, b(11) => vb_sysclk, b(12) => vb_berrn, b(13) => vb_sysresn, b(14) => vb_sysfailn, b(15) => vb_brn(0), b(16) => vb_brn(1), b(17) => vb_brn(2), b(18) => vb_brn(3), b(19) => vb_acfailn, b(20) => vb_bbsyn ); vb_irq1n <= 'H'; bus_drv_ctrl_in: SN74LVTH245 GENERIC MAP ( OP_COND => 2, WIDTH => 29 ) PORT MAP( dir => '1', -- a->b oe_n => '0', a(0) => vb_irq1n, a(1) => vb_irq2n, a(2) => vb_irq3n, a(3) => vb_irq4n, a(4) => vb_irq5n, a(5) => vb_irq6n, a(6) => vb_irq7n, a(7) => vb_iackin, a(8) => vme_iack_o_n, a(9) => vb_asn, a(10) => vb_dtackn, a(11) => vb_dsan, a(12) => vb_dsbn, a(13) => vb_berrn, a(14) => vb_sysresn, a(15) => dummy, a(16) => vme_bg_o_n(0), a(17) => vme_bg_o_n(1), a(18) => vme_bg_o_n(2), a(19) => vme_bg_o_n(3), a(20) => vb_bgin(0), a(21) => vb_bgin(1), a(22) => vb_bgin(2), a(23) => vb_bgin(3), a(24) => vb_bbsyn, a(25) => vb_brn(0), a(26) => vb_brn(1), a(27) => vb_brn(2), a(28) => vb_brn(3), b(0) => vme_irq_i_n(1), b(1) => vme_irq_i_n(2), b(2) => vme_irq_i_n(3), b(3) => vme_irq_i_n(4), b(4) => vme_irq_i_n(5), b(5) => vme_irq_i_n(6), b(6) => vme_irq_i_n(7), b(7) => vme_iack_i_n, b(8) => vb_iackout, b(9) => vme_as_i_n, b(10) => vme_dtack_i_n, b(11) => vme_ds_i_n(0), b(12) => vme_ds_i_n(1), b(13) => vme_berr_i_n, b(14) => vme_sysres_i_n, b(15) => vme_sysfail_i_n, b(16) => vb_bgout(0), b(17) => vb_bgout(1), b(18) => vb_bgout(2), b(19) => vb_bgout(3), b(20) => vme_bg_i_n(0), b(21) => vme_bg_i_n(1), b(22) => vme_bg_i_n(2), b(23) => vme_bg_i_n(3), b(24) => vme_bbsy_i_n, b(25) => vme_br_i_n(0), b(26) => vme_br_i_n(1), b(27) => vme_br_i_n(2), b(28) => vme_br_i_n(3) ); bus_drv_am: SN74LVTH245 GENERIC MAP ( OP_COND => 2, WIDTH => 8 ) PORT MAP( dir => vme_am_dir, oe_n => vme_am_oe_n, a(0) => vme_am(0), a(1) => vme_am(1), a(2) => vme_am(2), a(3) => vme_am(3), a(4) => vme_am(4), a(5) => vme_am(5), a(6) => vme_iack_n, a(7) => vme_write_n, b(0) => vb_am(0), b(1) => vb_am(1), b(2) => vb_am(2), b(3) => vb_am(3), b(4) => vb_am(4), b(5) => vb_am(5), b(6) => vb_iackn, b(7) => vb_writen ); bus_drv_adr: SN74LVTH245 GENERIC MAP ( OP_COND => 2, WIDTH => 32 ) PORT MAP( dir => vme_a_dir, oe_n => vme_a_oe_n, a => vme_a, b => vb_adr ); bus_drv_dat: SN74LVTH245 GENERIC MAP ( OP_COND => 2, WIDTH => 32 ) PORT MAP( dir => vme_d_dir, oe_n => vme_d_oe_n, a => vme_d, b => vb_data ); term: terminal PORT MAP ( hreset_n => hreset_n , slot1 => slot1, en_clk => en_clk, terminal_in_0 => terminal_in_0 , terminal_out_0 => terminal_out_0, terminal_in_1 => terminal_in_1 , terminal_out_1 => terminal_out_1, vme_slv_in => vme_slv_in , vme_slv_out => vme_slv_out, vme_mon_out => vme_mon_out, v2p_rstn => v2p_rstn , vme_ga => vme_ga, vme_gap => vme_gap ); END a25_tb_arch; CONFIGURATION a25_tb_conf of a25_tb IS FOR a25_tb_arch FOR a25 : A25_top USE CONFIGURATION work.top_cfg; END FOR; END FOR; END CONFIGURATION a25_tb_conf;
gpl-3.0
95650e4086c50fcaded6440b786cbdc5
0.479636
3.001156
false
false
false
false
freecores/t48
rtl/vhdl/t8243/t8243_core.vhd
1
9,699
------------------------------------------------------------------------------- -- -- The T8243 Core -- This is the core module implementing all functionality of the -- original 8243 chip. -- -- $Id: t8243_core.vhd,v 1.2 2006-12-18 01:18:58 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee. std_logic_1164.all; entity t8243_core is generic ( clk_fall_level_g : integer := 0 ); port ( -- System Interface ------------------------------------------------------- clk_i : in std_logic; clk_rise_en_i : in std_logic; clk_fall_en_i : in std_logic; reset_n_i : in std_logic; -- Control Interface ------------------------------------------------------ cs_n_i : in std_logic; prog_n_i : in std_logic; -- Port 2 Interface ------------------------------------------------------- p2_i : in std_logic_vector(3 downto 0); p2_o : out std_logic_vector(3 downto 0); p2_en_o : out std_logic; -- Port 4 Interface ------------------------------------------------------- p4_i : in std_logic_vector(3 downto 0); p4_o : out std_logic_vector(3 downto 0); p4_en_o : out std_logic; -- Port 5 Interface ------------------------------------------------------- p5_i : in std_logic_vector(3 downto 0); p5_o : out std_logic_vector(3 downto 0); p5_en_o : out std_logic; -- Port 6 Interface ------------------------------------------------------- p6_i : in std_logic_vector(3 downto 0); p6_o : out std_logic_vector(3 downto 0); p6_en_o : out std_logic; -- Port 7 Interface ------------------------------------------------------- p7_i : in std_logic_vector(3 downto 0); p7_o : out std_logic_vector(3 downto 0); p7_en_o : out std_logic ); end t8243_core; library ieee; use ieee.numeric_std.all; architecture rtl of t8243_core is function int2stdlogic_f(level_i : in integer) return std_logic is begin if level_i = 0 then return '0'; else return '1'; end if; end; constant clk_fall_level_c : std_logic := int2stdlogic_f(clk_fall_level_g); type instr_t is (INSTR_READ, INSTR_WRITE, INSTR_ORLD, INSTR_ANLD); signal instr_q : instr_t; constant port_4_c : integer := 4; constant port_5_c : integer := 5; constant port_6_c : integer := 6; constant port_7_c : integer := 7; subtype port_range_t is natural range port_7_c downto port_4_c; signal px_sel_q : std_logic_vector(port_range_t); signal px_en_q : std_logic_vector(port_range_t); signal p2_en_q : std_logic; subtype port_vector_t is std_logic_vector(3 downto 0); type four_ports_t is array (port_range_t) of port_vector_t; signal px_latch_q : four_ports_t; signal data_s : port_vector_t; signal p2_s, p4_s, p5_s, p6_s, p7_s : port_vector_t; begin -- get rid of H and L p2_s <= to_X01(p2_i); p4_s <= to_X01(p4_i); p5_s <= to_X01(p5_i); p6_s <= to_X01(p6_i); p7_s <= to_X01(p7_i); ----------------------------------------------------------------------------- -- Process ctrl_seq -- -- Purpose: -- Implements the sequential elements that control the T8243 core. -- * latch port number -- * latch instruction -- ctrl_seq: process (clk_i, cs_n_i) begin if cs_n_i = '1' then px_sel_q <= (others => '0'); p2_en_q <= '0'; instr_q <= INSTR_WRITE; elsif clk_i'event and clk_i = clk_fall_level_c then if cs_n_i = '0' and clk_fall_en_i = '1' then -- enable addressed port ---------------------------------------------- px_sel_q <= (others => '0'); px_sel_q(to_integer(unsigned(p2_s(1 downto 0))) + port_range_t'low) <= '1'; p2_en_q <= '0'; -- decode instruction ------------------------------------------------- case p2_s(3 downto 2) is when "00" => instr_q <= INSTR_READ; p2_en_q <= '1'; when "01" => instr_q <= INSTR_WRITE; when "10" => instr_q <= INSTR_ORLD; when "11" => instr_q <= INSTR_ANLD; when others => null; end case; end if; end if; end process ctrl_seq; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process port_seq -- -- Purpose: -- Implements the sequential elements of the four ports. -- port_seq: process (clk_i, reset_n_i) begin if reset_n_i = '0' then px_en_q <= (others => '0'); px_latch_q <= (others => (others => '0')); elsif rising_edge(clk_i) then if cs_n_i = '0' and clk_rise_en_i = '1' then for idx in port_range_t loop if px_sel_q(idx) = '1' then if instr_q = INSTR_READ then -- port is being read from, switch off output enable px_en_q(idx) <= '0'; else -- port is being written to, enable output px_en_q(idx) <= '1'; -- and latch value px_latch_q(idx) <= data_s; end if; end if; end loop; end if; end if; end process port_seq; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process data_gen -- -- Purpose: -- Generates the data for the four port latches. -- * determines data inputs -- * calculates result of instruction -- -- Multiplexes the read value for P2. -- data_gen: process (px_sel_q, instr_q, p2_s, px_latch_q, p4_s, p5_s, p6_s, p7_s) variable port_v : port_vector_t; begin -- select addressed port case px_sel_q is when "0001" => port_v := px_latch_q(port_4_c); p2_o <= p4_s; when "0010" => port_v := px_latch_q(port_5_c); p2_o <= p5_s; when "0100" => port_v := px_latch_q(port_6_c); p2_o <= p6_s; when "1000" => port_v := px_latch_q(port_7_c); p2_o <= p7_s; when others => port_v := (others => '-'); p2_o <= (others => '-'); end case; case instr_q is when INSTR_WRITE => data_s <= p2_s; when INSTR_ORLD => data_s <= p2_s or port_v; when INSTR_ANLD => data_s <= p2_s and port_v; when others => data_s <= (others => '-'); end case; end process; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Output mapping ----------------------------------------------------------------------------- p2_en_o <= '1' when cs_n_i = '0' and prog_n_i = '0' and p2_en_q = '1' else '0'; p4_o <= px_latch_q(port_4_c); p4_en_o <= px_en_q(port_4_c); p5_o <= px_latch_q(port_5_c); p5_en_o <= px_en_q(port_5_c); p6_o <= px_latch_q(port_6_c); p6_en_o <= px_en_q(port_6_c); p7_o <= px_latch_q(port_7_c); p7_en_o <= px_en_q(port_7_c); end rtl; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.1 2006/07/13 22:53:56 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
ecb45b1b5425cdf63a7cbc36bc4bc6ca
0.482936
3.675256
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_adder_function/_primary.vhd
5
3,856
library verilog; use verilog.vl_types.all; entity ama_adder_function is generic( width_data_in : integer := 1; width_data_out : integer := 1; number_of_adder_input: integer := 1; adder1_direction: string := "NONE"; adder3_direction: string := "NONE"; representation : string := "UNSIGNED"; port_addnsub1 : string := "PORT_UNUSED"; addnsub_multiplier_register1: string := "CLOCK0"; addnsub_multiplier_aclr1: string := "ACLR3"; port_addnsub3 : string := "PORT_UNUSED"; addnsub_multiplier_register3: string := "CLOCK0"; addnsub_multiplier_aclr3: string := "ACLR3"; latency : integer := 0; addnsub_multiplier_latency_clock1: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr1: string := "NONE"; addnsub_multiplier_latency_clock3: string := "UNREGISTERED"; addnsub_multiplier_latency_aclr3: string := "NONE"; width_data_in_msb: vl_notype; width_data_out_msb: vl_notype; width_adder_lvl_1: vl_notype; width_adder_lvl_1_msb: vl_notype; width_adder_lvl_2: vl_notype; width_adder_lvl_2_msb: vl_notype; width_data_out_wire: vl_notype; width_data_out_wire_msb: vl_notype ); port( data_in_0 : in vl_logic_vector; data_in_1 : in vl_logic_vector; data_in_2 : in vl_logic_vector; data_in_3 : in vl_logic_vector; data_out : out vl_logic_vector; clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); addnsub1 : in vl_logic; addnsub3 : in vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_data_in : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 1; attribute mti_svvh_generic_type of number_of_adder_input : constant is 1; attribute mti_svvh_generic_type of adder1_direction : constant is 1; attribute mti_svvh_generic_type of adder3_direction : constant is 1; attribute mti_svvh_generic_type of representation : constant is 1; attribute mti_svvh_generic_type of port_addnsub1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of port_addnsub3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr1 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_clock3 : constant is 1; attribute mti_svvh_generic_type of addnsub_multiplier_latency_aclr3 : constant is 1; attribute mti_svvh_generic_type of width_data_in_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_lvl_1 : constant is 3; attribute mti_svvh_generic_type of width_adder_lvl_1_msb : constant is 3; attribute mti_svvh_generic_type of width_adder_lvl_2 : constant is 3; attribute mti_svvh_generic_type of width_adder_lvl_2_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_wire : constant is 3; attribute mti_svvh_generic_type of width_data_out_wire_msb : constant is 3; end ama_adder_function;
mit
5671d6924e15186e83cf7eb02a4c161b
0.654564
3.607109
false
false
false
false
Ttl/bf_cpu
testbenches/cache_tb.vhd
1
3,499
LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; ENTITY cache_tb IS END cache_tb; ARCHITECTURE behavior OF cache_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT cache Generic (WIDTH : natural := 13; -- Length of address DWIDTH : natural := 13; -- Length of one entry ADR_LENGTH : natural := 4); -- Log2 of number of entries in the cache PORT( clk : IN std_logic; reset : IN std_logic; addr : IN std_logic_vector(12 downto 0); din : IN std_logic_vector(12 downto 0); push : IN std_logic; valid : OUT std_logic; dout : OUT std_logic_vector(12 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal reset : std_logic := '0'; signal addr : std_logic_vector(12 downto 0) := (others => '0'); signal din : std_logic_vector(12 downto 0) := (others => '0'); signal push : std_logic := '0'; --Outputs signal valid : std_logic; signal dout : std_logic_vector(12 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: cache Generic map (WIDTH => 13, -- Length of address DWIDTH => 13, -- Length of one entry ADR_LENGTH => 4) -- Log2 of number of entries in the cache PORT MAP ( clk => clk, reset => reset, addr => addr, din => din, push => push, valid => valid, dout => dout ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin reset <= '1'; -- hold reset state for 100 ns. wait for 100 ns; reset <= '0'; addr <= (others => '0'); din <= "0000000000001"; -- Write one entry push <= '1'; -- Check that valid is zero assert valid = '0' report "valid not zero" severity failure; wait for clk_period; push <= '0'; -- Deassert push wait for clk_period; -- Test correctness assert valid = '1' report "valid not one" severity failure; assert dout = "0000000000001" report "Output invalid" severity failure; -- Set addr and din for new entry addr <= (1 => '1', others => '0'); din <= "1111111111111"; wait for clk_period; -- Add second entry push <= '1'; assert valid = '0' report "valid not zero" severity failure; wait for clk_period; push <= '0'; -- Deassert push wait for clk_period; -- Test correctness assert valid = '1' report "valid not one" severity failure; assert dout = "1111111111111" report "Output invalid" severity failure; -- Test correctness of the first entry addr <= (others => '0'); wait for clk_period; assert valid = '1' report "valid not one" severity failure; assert dout = "0000000000001" report "Output invalid" severity failure; -- Try addr with lower bits same addr <= "1000000000000"; wait for clk_period; assert valid = '0' report "valid not zero, different tag bits test" severity failure; wait for clk_period; assert false report "Completed succesfully" severity failure; wait for clk_period*10; -- insert stimulus here wait; end process; END;
lgpl-3.0
3e288e1fb4ea9da62f55cc65eb1c0fa3
0.584167
3.998857
false
false
false
false
straywarrior/MadeCPUin21days
ID_EXE_REG.vhd
1
4,006
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 14:18:20 11/14/2015 -- Design Name: -- Module Name: ID_EXE_REG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity ID_EXE_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; clear : in STD_LOGIC; stall : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); MemRd_in : in STD_LOGIC; MemWE_in : in STD_LOGIC; MemDIn_in : in STD_LOGIC_VECTOR (15 downto 0); opcode_in : in STD_LOGIC_VECTOR (3 downto 0); operandA_in : in STD_LOGIC_VECTOR (15 downto 0); operandB_in : in STD_LOGIC_VECTOR (15 downto 0); RegOpA_in : in STD_LOGIC_VECTOR (3 downto 0); RegOpB_in : in STD_LOGIC_VECTOR (3 downto 0); RegMemDIn_in : in STD_LOGIC_VECTOR (3 downto 0); RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); MemRd_out : out STD_LOGIC; MemWE_out : out STD_LOGIC; MemDIn_out : out STD_LOGIC_VECTOR (15 downto 0); RegMemDIn_out : out STD_LOGIC_VECTOR (3 downto 0); opcode_out : out STD_LOGIC_VECTOR (3 downto 0); operandA_out : out STD_LOGIC_VECTOR (15 downto 0); operandB_out : out STD_LOGIC_VECTOR (15 downto 0); RegOpA_out : out STD_LOGIC_VECTOR (3 downto 0); RegOpB_out : out STD_LOGIC_VECTOR (3 downto 0) ); end ID_EXE_REG; architecture Behavioral of ID_EXE_REG is begin process (clear, reset, clk) begin if (reset = '0') then RegWE_out <= '0'; RegDest_out <= (others => '1'); MemRd_out <= '0'; MemWE_out <= '0'; MemDIn_out <= (others => '0'); RegMemDIn_out <= (others => '0'); opcode_out <= (others => '1'); operandA_out <= (others => '0'); operandB_out <= (others => '0'); RegOpA_out <= (others => '1'); RegOpB_out <= (others => '1'); elsif (clk'event and clk = '1') then if (clear = '0' and stall = '0') then RegWE_out <= RegWE_in; RegDest_out <= RegDest_in; MemRd_out <= MemRd_in; MemWE_out <= MemWE_in; MemDIn_out <= MemDIn_in; opcode_out <= opcode_in; operandA_out <= operandA_in; operandB_out <= operandB_in; RegOpA_out <= RegOpA_in; RegOpB_out <= RegOpB_in; RegMemDIn_out <= RegMemDIn_in; elsif (clear = '1' and stall = '0') then RegWE_out <= '0'; RegDest_out <= (others => '1'); MemRd_out <= '0'; MemWE_out <= '0'; MemDIn_out <= (others => '0'); RegMemDIn_out <= (others => '0'); opcode_out <= (others => '1'); operandA_out <= (others => '0'); operandB_out <= (others => '0'); RegOpA_out <= (others => '1'); RegOpB_out <= (others => '1'); else -- Insert a bubble here null; end if; end if; end process; end Behavioral;
gpl-2.0
68d3846c42faea20e09d7f8d99b072eb
0.482277
3.962413
false
false
false
false
freecores/t48
bench/vhdl/tb_t8039.vhd
1
9,368
------------------------------------------------------------------------------- -- -- The testbench for t8039. -- -- $Id: tb_t8039.vhd,v 1.5 2008-04-28 22:13:33 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_t8039 is end tb_t8039; use work.t48_core_comp_pack.generic_ram_ena; use work.t48_system_comp_pack.t8039; use work.t48_tb_pack.all; architecture behav of tb_t8039 is -- clock period, 11 MHz constant period_c : time := 90 ns; component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal xtal_s : std_logic; signal res_n_s : std_logic; signal int_n_s : std_logic; signal ale_s : std_logic; signal psen_n_s : std_logic; signal prog_n_s : std_logic; signal t0_b : std_logic; signal p1_b : std_logic_vector( 7 downto 0); signal p2_b : std_logic_vector( 7 downto 0); signal db_b : std_logic_vector( 7 downto 0); signal ext_mem_addr_s : std_logic_vector(11 downto 0); signal ext_ram_data_from_s : std_logic_vector( 7 downto 0); signal ext_ram_we_s : std_logic; signal ext_rom_data_s : std_logic_vector( 7 downto 0); signal rd_n_s : std_logic; signal wr_n_s : std_logic; signal zero_s : std_logic; signal one_s : std_logic; begin zero_s <= '0'; one_s <= '1'; p2_b <= (others => 'H'); p1_b <= (others => 'H'); ----------------------------------------------------------------------------- -- External ROM, 4k bytes -- Initialized by file t3x_ext_rom.hex. ----------------------------------------------------------------------------- ext_rom_b : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 12, LPM_NUMWORDS => 2 ** 12, LPM_FILE => "rom_t3x_ext.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => ext_mem_addr_s, inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => ext_rom_data_s ); ext_ram_b : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ext_mem_addr_s(7 downto 0), we_i => ext_ram_we_s, ena_i => one_s, d_i => db_b, d_o => ext_ram_data_from_s ); t8039_b : t8039 port map ( xtal_i => xtal_s, reset_n_i => res_n_s, t0_b => t0_b, int_n_i => int_n_s, ea_i => one_s, rd_n_o => rd_n_s, psen_n_o => psen_n_s, wr_n_o => wr_n_s, ale_o => ale_s, db_b => db_b, t1_i => p1_b(1), p2_b => p2_b, p1_b => p1_b, prog_n_o => prog_n_s ); ----------------------------------------------------------------------------- -- Read from external memory -- db_b <= ext_rom_data_s when psen_n_s = '0' else (others => 'Z'); db_b <= ext_ram_data_from_s when rd_n_s = '0' else (others => 'Z'); -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- External memory access signals -- ext_mem: process (wr_n_s, ale_s, p2_b, db_b) begin ext_mem_addr_s(11 downto 8) <= To_X01Z(p2_b(3 downto 0)); if ale_s'event and ale_s = '0' then if not is_X(db_b) then ext_mem_addr_s(7 downto 0) <= db_b; else ext_mem_addr_s(7 downto 0) <= (others => '0'); end if; end if; if wr_n_s'event and wr_n_s = '1' then ext_ram_we_s <= '0'; end if; if wr_n_s'event and wr_n_s = '0' then ext_ram_we_s <= '1'; end if; end process ext_mem; -- ----------------------------------------------------------------------------- t0_b <= p1_b(0); ----------------------------------------------------------------------------- -- The clock generator -- clk_gen: process begin xtal_s <= '0'; wait for period_c/2; xtal_s <= '1'; wait for period_c/2; end process clk_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The reset generator -- res_gen: process begin res_n_s <= '0'; wait for 5 * period_c; res_n_s <= '1'; wait; end process res_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The interrupt generator -- int_gen: process begin int_n_s <= '1'; wait for 750 * period_c; int_n_s <= '0'; wait for 45 * period_c; end process int_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- End of simulation detection -- eos: process begin outer: loop wait on tb_accu_s; if tb_accu_s = "10101010" then wait on tb_accu_s; if tb_accu_s = "01010101" then wait on tb_accu_s; if tb_accu_s = "00000001" then -- wait for instruction strobe of this move wait until tb_istrobe_s'event and tb_istrobe_s = '1'; -- wait for next strobe wait until tb_istrobe_s'event and tb_istrobe_s = '1'; assert false report "Simulation Result: PASS." severity note; else assert false report "Simulation Result: FAIL." severity note; end if; assert false report "End of simulation reached." severity failure; end if; end if; end loop; end process eos; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.4 2006/06/22 00:21:58 arniml -- cleanup & enhance external access -- -- Revision 1.3 2006/06/21 01:04:05 arniml -- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom -- -- Revision 1.2 2005/11/01 21:22:28 arniml -- fix address assignment -- -- Revision 1.1 2004/04/18 19:00:07 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
aaf1d97bce075edcd7757ca0f36b0169
0.475662
3.891982
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_v_tc_0_0/synth/tutorial_v_tc_0_0.vhd
1
17,753
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:v_tc:6.1 -- IP Revision: 4 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY v_tc_v6_1; USE v_tc_v6_1.v_tc; ENTITY tutorial_v_tc_0_0 IS PORT ( clk : IN STD_LOGIC; clken : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; gen_clken : IN STD_LOGIC; hsync_out : OUT STD_LOGIC; hblank_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; vblank_out : OUT STD_LOGIC; active_video_out : OUT STD_LOGIC; resetn : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; irq : OUT STD_LOGIC; fsync_in : IN STD_LOGIC; fsync_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END tutorial_v_tc_0_0; ARCHITECTURE tutorial_v_tc_0_0_arch OF tutorial_v_tc_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_v_tc_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT v_tc IS GENERIC ( C_HAS_AXI4_LITE : INTEGER; C_HAS_INTC_IF : INTEGER; C_GEN_INTERLACED : INTEGER; C_GEN_HACTIVE_SIZE : INTEGER; C_GEN_VACTIVE_SIZE : INTEGER; C_GEN_CPARITY : INTEGER; C_GEN_FIELDID_POLARITY : INTEGER; C_GEN_VBLANK_POLARITY : INTEGER; C_GEN_HBLANK_POLARITY : INTEGER; C_GEN_VSYNC_POLARITY : INTEGER; C_GEN_HSYNC_POLARITY : INTEGER; C_GEN_AVIDEO_POLARITY : INTEGER; C_GEN_ACHROMA_POLARITY : INTEGER; C_GEN_VIDEO_FORMAT : INTEGER; C_GEN_HFRAME_SIZE : INTEGER; C_GEN_F0_VFRAME_SIZE : INTEGER; C_GEN_F1_VFRAME_SIZE : INTEGER; C_GEN_HSYNC_START : INTEGER; C_GEN_HSYNC_END : INTEGER; C_GEN_F0_VBLANK_HSTART : INTEGER; C_GEN_F0_VBLANK_HEND : INTEGER; C_GEN_F0_VSYNC_VSTART : INTEGER; C_GEN_F0_VSYNC_VEND : INTEGER; C_GEN_F0_VSYNC_HSTART : INTEGER; C_GEN_F0_VSYNC_HEND : INTEGER; C_GEN_F1_VBLANK_HSTART : INTEGER; C_GEN_F1_VBLANK_HEND : INTEGER; C_GEN_F1_VSYNC_VSTART : INTEGER; C_GEN_F1_VSYNC_VEND : INTEGER; C_GEN_F1_VSYNC_HSTART : INTEGER; C_GEN_F1_VSYNC_HEND : INTEGER; C_FSYNC_HSTART0 : INTEGER; C_FSYNC_VSTART0 : INTEGER; C_FSYNC_HSTART1 : INTEGER; C_FSYNC_VSTART1 : INTEGER; C_FSYNC_HSTART2 : INTEGER; C_FSYNC_VSTART2 : INTEGER; C_FSYNC_HSTART3 : INTEGER; C_FSYNC_VSTART3 : INTEGER; C_FSYNC_HSTART4 : INTEGER; C_FSYNC_VSTART4 : INTEGER; C_FSYNC_HSTART5 : INTEGER; C_FSYNC_VSTART5 : INTEGER; C_FSYNC_HSTART6 : INTEGER; C_FSYNC_VSTART6 : INTEGER; C_FSYNC_HSTART7 : INTEGER; C_FSYNC_VSTART7 : INTEGER; C_FSYNC_HSTART8 : INTEGER; C_FSYNC_VSTART8 : INTEGER; C_FSYNC_HSTART9 : INTEGER; C_FSYNC_VSTART9 : INTEGER; C_FSYNC_HSTART10 : INTEGER; C_FSYNC_VSTART10 : INTEGER; C_FSYNC_HSTART11 : INTEGER; C_FSYNC_VSTART11 : INTEGER; C_FSYNC_HSTART12 : INTEGER; C_FSYNC_VSTART12 : INTEGER; C_FSYNC_HSTART13 : INTEGER; C_FSYNC_VSTART13 : INTEGER; C_FSYNC_HSTART14 : INTEGER; C_FSYNC_VSTART14 : INTEGER; C_FSYNC_HSTART15 : INTEGER; C_FSYNC_VSTART15 : INTEGER; C_MAX_PIXELS : INTEGER; C_MAX_LINES : INTEGER; C_NUM_FSYNCS : INTEGER; C_INTERLACE_EN : INTEGER; C_GEN_AUTO_SWITCH : INTEGER; C_DETECT_EN : INTEGER; C_SYNC_EN : INTEGER; C_GENERATE_EN : INTEGER; C_DET_HSYNC_EN : INTEGER; C_DET_VSYNC_EN : INTEGER; C_DET_HBLANK_EN : INTEGER; C_DET_VBLANK_EN : INTEGER; C_DET_AVIDEO_EN : INTEGER; C_DET_ACHROMA_EN : INTEGER; C_GEN_HSYNC_EN : INTEGER; C_GEN_VSYNC_EN : INTEGER; C_GEN_HBLANK_EN : INTEGER; C_GEN_VBLANK_EN : INTEGER; C_GEN_AVIDEO_EN : INTEGER; C_GEN_ACHROMA_EN : INTEGER; C_GEN_FIELDID_EN : INTEGER; C_DET_FIELDID_EN : INTEGER ); PORT ( clk : IN STD_LOGIC; clken : IN STD_LOGIC; s_axi_aclk : IN STD_LOGIC; s_axi_aclken : IN STD_LOGIC; det_clken : IN STD_LOGIC; gen_clken : IN STD_LOGIC; intc_if : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); field_id_in : IN STD_LOGIC; hsync_in : IN STD_LOGIC; hblank_in : IN STD_LOGIC; vsync_in : IN STD_LOGIC; vblank_in : IN STD_LOGIC; active_video_in : IN STD_LOGIC; active_chroma_in : IN STD_LOGIC; field_id_out : OUT STD_LOGIC; hsync_out : OUT STD_LOGIC; hblank_out : OUT STD_LOGIC; vsync_out : OUT STD_LOGIC; vblank_out : OUT STD_LOGIC; active_video_out : OUT STD_LOGIC; active_chroma_out : OUT STD_LOGIC; resetn : IN STD_LOGIC; s_axi_aresetn : IN STD_LOGIC; s_axi_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_awvalid : IN STD_LOGIC; s_axi_awready : OUT STD_LOGIC; s_axi_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_wstrb : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axi_wvalid : IN STD_LOGIC; s_axi_wready : OUT STD_LOGIC; s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_bvalid : OUT STD_LOGIC; s_axi_bready : IN STD_LOGIC; s_axi_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_arvalid : IN STD_LOGIC; s_axi_arready : OUT STD_LOGIC; s_axi_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_rvalid : OUT STD_LOGIC; s_axi_rready : IN STD_LOGIC; irq : OUT STD_LOGIC; fsync_in : IN STD_LOGIC; fsync_out : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT v_tc; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_v_tc_0_0_arch: ARCHITECTURE IS "v_tc,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_v_tc_0_0_arch : ARCHITECTURE IS "tutorial_v_tc_0_0,v_tc,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_v_tc_0_0_arch: ARCHITECTURE IS "tutorial_v_tc_0_0,v_tc,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=v_tc,x_ipVersion=6.1,x_ipCoreRevision=4,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_HAS_AXI4_LITE=1,C_HAS_INTC_IF=0,C_GEN_INTERLACED=0,C_GEN_HACTIVE_SIZE=1920,C_GEN_VACTIVE_SIZE=1080,C_GEN_CPARITY=0,C_GEN_FIELDID_POLARITY=1,C_GEN_VBLANK_POLARITY=1,C_GEN_HBLANK_POLARITY=1,C_GEN_VSYNC_POLARITY=1,C_GEN_HSYNC_POLARITY=1,C_GEN_AVIDEO_POLARITY=1,C_GEN_ACHROMA_POLARITY=1,C_GEN_VIDEO_FORMAT=2,C_GEN_HFRAME_SIZE=2200,C_GEN_F0_VFRAME_SIZE=1125,C_GEN_F1_VFRAME_SIZE=1125,C_GEN_HSYNC_START=2008,C_GEN_HSYNC_END=2052,C_GEN_F0_VBLANK_HSTART=1920,C_GEN_F0_VBLANK_HEND=1920,C_GEN_F0_VSYNC_VSTART=1083,C_GEN_F0_VSYNC_VEND=1088,C_GEN_F0_VSYNC_HSTART=1920,C_GEN_F0_VSYNC_HEND=1920,C_GEN_F1_VBLANK_HSTART=1920,C_GEN_F1_VBLANK_HEND=1920,C_GEN_F1_VSYNC_VSTART=1083,C_GEN_F1_VSYNC_VEND=1088,C_GEN_F1_VSYNC_HSTART=1920,C_GEN_F1_VSYNC_HEND=1920,C_FSYNC_HSTART0=0,C_FSYNC_VSTART0=0,C_FSYNC_HSTART1=0,C_FSYNC_VSTART1=0,C_FSYNC_HSTART2=0,C_FSYNC_VSTART2=0,C_FSYNC_HSTART3=0,C_FSYNC_VSTART3=0,C_FSYNC_HSTART4=0,C_FSYNC_VSTART4=0,C_FSYNC_HSTART5=0,C_FSYNC_VSTART5=0,C_FSYNC_HSTART6=0,C_FSYNC_VSTART6=0,C_FSYNC_HSTART7=0,C_FSYNC_VSTART7=0,C_FSYNC_HSTART8=0,C_FSYNC_VSTART8=0,C_FSYNC_HSTART9=0,C_FSYNC_VSTART9=0,C_FSYNC_HSTART10=0,C_FSYNC_VSTART10=0,C_FSYNC_HSTART11=0,C_FSYNC_VSTART11=0,C_FSYNC_HSTART12=0,C_FSYNC_VSTART12=0,C_FSYNC_HSTART13=0,C_FSYNC_VSTART13=0,C_FSYNC_HSTART14=0,C_FSYNC_VSTART14=0,C_FSYNC_HSTART15=0,C_FSYNC_VSTART15=0,C_MAX_PIXELS=4096,C_MAX_LINES=4096,C_NUM_FSYNCS=1,C_INTERLACE_EN=0,C_GEN_AUTO_SWITCH=0,C_DETECT_EN=0,C_SYNC_EN=0,C_GENERATE_EN=1,C_DET_HSYNC_EN=1,C_DET_VSYNC_EN=1,C_DET_HBLANK_EN=1,C_DET_VBLANK_EN=1,C_DET_AVIDEO_EN=1,C_DET_ACHROMA_EN=0,C_GEN_HSYNC_EN=1,C_GEN_VSYNC_EN=1,C_GEN_HBLANK_EN=1,C_GEN_VBLANK_EN=1,C_GEN_AVIDEO_EN=1,C_GEN_ACHROMA_EN=0,C_GEN_FIELDID_EN=0,C_DET_FIELDID_EN=0}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF clken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 clken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 s_axi_aclk_intf CLK"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aclken: SIGNAL IS "xilinx.com:signal:clockenable:1.0 s_axi_aclken_intf CE"; ATTRIBUTE X_INTERFACE_INFO OF hsync_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF hblank_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out HBLANK"; ATTRIBUTE X_INTERFACE_INFO OF vsync_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF vblank_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out VBLANK"; ATTRIBUTE X_INTERFACE_INFO OF active_video_out: SIGNAL IS "xilinx.com:interface:video_timing:2.0 vtiming_out ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 resetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 s_axi_aresetn_intf RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wstrb: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WSTRB"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 ctrl RREADY"; ATTRIBUTE X_INTERFACE_INFO OF irq: SIGNAL IS "xilinx.com:signal:interrupt:1.0 IRQ INTERRUPT"; BEGIN U0 : v_tc GENERIC MAP ( C_HAS_AXI4_LITE => 1, C_HAS_INTC_IF => 0, C_GEN_INTERLACED => 0, C_GEN_HACTIVE_SIZE => 1920, C_GEN_VACTIVE_SIZE => 1080, C_GEN_CPARITY => 0, C_GEN_FIELDID_POLARITY => 1, C_GEN_VBLANK_POLARITY => 1, C_GEN_HBLANK_POLARITY => 1, C_GEN_VSYNC_POLARITY => 1, C_GEN_HSYNC_POLARITY => 1, C_GEN_AVIDEO_POLARITY => 1, C_GEN_ACHROMA_POLARITY => 1, C_GEN_VIDEO_FORMAT => 2, C_GEN_HFRAME_SIZE => 2200, C_GEN_F0_VFRAME_SIZE => 1125, C_GEN_F1_VFRAME_SIZE => 1125, C_GEN_HSYNC_START => 2008, C_GEN_HSYNC_END => 2052, C_GEN_F0_VBLANK_HSTART => 1920, C_GEN_F0_VBLANK_HEND => 1920, C_GEN_F0_VSYNC_VSTART => 1083, C_GEN_F0_VSYNC_VEND => 1088, C_GEN_F0_VSYNC_HSTART => 1920, C_GEN_F0_VSYNC_HEND => 1920, C_GEN_F1_VBLANK_HSTART => 1920, C_GEN_F1_VBLANK_HEND => 1920, C_GEN_F1_VSYNC_VSTART => 1083, C_GEN_F1_VSYNC_VEND => 1088, C_GEN_F1_VSYNC_HSTART => 1920, C_GEN_F1_VSYNC_HEND => 1920, C_FSYNC_HSTART0 => 0, C_FSYNC_VSTART0 => 0, C_FSYNC_HSTART1 => 0, C_FSYNC_VSTART1 => 0, C_FSYNC_HSTART2 => 0, C_FSYNC_VSTART2 => 0, C_FSYNC_HSTART3 => 0, C_FSYNC_VSTART3 => 0, C_FSYNC_HSTART4 => 0, C_FSYNC_VSTART4 => 0, C_FSYNC_HSTART5 => 0, C_FSYNC_VSTART5 => 0, C_FSYNC_HSTART6 => 0, C_FSYNC_VSTART6 => 0, C_FSYNC_HSTART7 => 0, C_FSYNC_VSTART7 => 0, C_FSYNC_HSTART8 => 0, C_FSYNC_VSTART8 => 0, C_FSYNC_HSTART9 => 0, C_FSYNC_VSTART9 => 0, C_FSYNC_HSTART10 => 0, C_FSYNC_VSTART10 => 0, C_FSYNC_HSTART11 => 0, C_FSYNC_VSTART11 => 0, C_FSYNC_HSTART12 => 0, C_FSYNC_VSTART12 => 0, C_FSYNC_HSTART13 => 0, C_FSYNC_VSTART13 => 0, C_FSYNC_HSTART14 => 0, C_FSYNC_VSTART14 => 0, C_FSYNC_HSTART15 => 0, C_FSYNC_VSTART15 => 0, C_MAX_PIXELS => 4096, C_MAX_LINES => 4096, C_NUM_FSYNCS => 1, C_INTERLACE_EN => 0, C_GEN_AUTO_SWITCH => 0, C_DETECT_EN => 0, C_SYNC_EN => 0, C_GENERATE_EN => 1, C_DET_HSYNC_EN => 1, C_DET_VSYNC_EN => 1, C_DET_HBLANK_EN => 1, C_DET_VBLANK_EN => 1, C_DET_AVIDEO_EN => 1, C_DET_ACHROMA_EN => 0, C_GEN_HSYNC_EN => 1, C_GEN_VSYNC_EN => 1, C_GEN_HBLANK_EN => 1, C_GEN_VBLANK_EN => 1, C_GEN_AVIDEO_EN => 1, C_GEN_ACHROMA_EN => 0, C_GEN_FIELDID_EN => 0, C_DET_FIELDID_EN => 0 ) PORT MAP ( clk => clk, clken => clken, s_axi_aclk => s_axi_aclk, s_axi_aclken => s_axi_aclken, det_clken => '1', gen_clken => gen_clken, field_id_in => '0', hsync_in => '0', hblank_in => '0', vsync_in => '0', vblank_in => '0', active_video_in => '0', active_chroma_in => '0', hsync_out => hsync_out, hblank_out => hblank_out, vsync_out => vsync_out, vblank_out => vblank_out, active_video_out => active_video_out, resetn => resetn, s_axi_aresetn => s_axi_aresetn, s_axi_awaddr => s_axi_awaddr, s_axi_awvalid => s_axi_awvalid, s_axi_awready => s_axi_awready, s_axi_wdata => s_axi_wdata, s_axi_wstrb => s_axi_wstrb, s_axi_wvalid => s_axi_wvalid, s_axi_wready => s_axi_wready, s_axi_bresp => s_axi_bresp, s_axi_bvalid => s_axi_bvalid, s_axi_bready => s_axi_bready, s_axi_araddr => s_axi_araddr, s_axi_arvalid => s_axi_arvalid, s_axi_arready => s_axi_arready, s_axi_rdata => s_axi_rdata, s_axi_rresp => s_axi_rresp, s_axi_rvalid => s_axi_rvalid, s_axi_rready => s_axi_rready, irq => irq, fsync_in => fsync_in, fsync_out => fsync_out ); END tutorial_v_tc_0_0_arch;
gpl-2.0
af6cb0c882b65dd5ba8bca11d40c4716
0.655157
3.010003
false
false
false
false
michaelmiehling/A25_VME_TB
16x010-00_src/Source/mti_pkg.vhd
1
4,176
--***************************************************************************** -- -- Micron Semiconductor Products, Inc. -- -- Copyright 1997, Micron Semiconductor Products, Inc. -- All rights reserved. -- --***************************************************************************** LIBRARY work; LIBRARY ieee; USE ieee.std_logic_1164.ALL; PACKAGE mti_pkg IS FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER; FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER; FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER; PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR); END mti_pkg; PACKAGE BODY mti_pkg IS -- Convert BIT to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN IF input = '1' THEN result := weight; ELSE result := 0; -- if unknowns, default to logic 0 END IF; RETURN result; END TO_INTEGER; -- Convert BIT_VECTOR to INTEGER FUNCTION TO_INTEGER (input : BIT_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Convert STD_LOGIC_VECTOR to INTEGER FUNCTION TO_INTEGER (input : STD_LOGIC_VECTOR) RETURN INTEGER IS VARIABLE result : INTEGER := 0; VARIABLE weight : INTEGER := 1; BEGIN FOR i IN input'LOW TO input'HIGH LOOP IF input(i) = '1' THEN result := result + weight; ELSE result := result + 0; -- if unknowns, default to logic 0 END IF; weight := weight * 2; END LOOP; RETURN result; END TO_INTEGER; -- Conver integer to bit_vector PROCEDURE TO_BITVECTOR (VARIABLE input : IN INTEGER; VARIABLE output : OUT BIT_VECTOR) IS VARIABLE work,offset,outputlen,j : INTEGER := 0; BEGIN --length of vector IF output'LENGTH > 32 THEN outputlen := 32; offset := output'LENGTH - 32; IF input >= 0 THEN FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '0'; END LOOP; ELSE FOR i IN offset-1 DOWNTO 0 LOOP output(output'HIGH - i) := '1'; END LOOP; END IF; ELSE outputlen := output'LENGTH; END IF; --positive value IF (input >= 0) THEN work := input; j := outputlen - 1; FOR i IN 1 to 32 LOOP IF j >= 0 then IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '0'; ELSE output(output'HIGH-j-offset) := '1'; END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '0'; END IF; --negative value ELSE work := (-input) - 1; j := outputlen - 1; FOR i IN 1 TO 32 LOOP IF j>= 0 THEN IF (work MOD 2) = 0 THEN output(output'HIGH-j-offset) := '1'; ELSE output(output'HIGH-j-offset) := '0'; END IF; END IF; work := work / 2; j := j - 1; END LOOP; IF outputlen = 32 THEN output(output'HIGH) := '1'; END IF; END IF; END TO_BITVECTOR; END mti_pkg;
gpl-3.0
8f6a380c7f815137435fdf3948028ebe
0.457615
4.692135
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_zed_hdmi_out_0_0/synth/tutorial_zed_hdmi_out_0_0.vhd
1
5,860
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: avnet:zedboard:zed_hdmi_out:2.0 -- IP Revision: 1 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY work; USE work.zed_hdmi_out; ENTITY tutorial_zed_hdmi_out_0_0 IS PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END tutorial_zed_hdmi_out_0_0; ARCHITECTURE tutorial_zed_hdmi_out_0_0_arch OF tutorial_zed_hdmi_out_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT zed_hdmi_out IS GENERIC ( C_DATA_WIDTH : INTEGER; -- Video Data Width C_FAMILY : STRING ); PORT ( clk : IN STD_LOGIC; reset : IN STD_LOGIC; audio_spdif : IN STD_LOGIC; video_vsync : IN STD_LOGIC; video_hsync : IN STD_LOGIC; video_de : IN STD_LOGIC; video_data : IN STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_spdif : OUT STD_LOGIC; io_hdmio_video : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); io_hdmio_vsync : OUT STD_LOGIC; io_hdmio_hsync : OUT STD_LOGIC; io_hdmio_de : OUT STD_LOGIC; io_hdmio_clk : OUT STD_LOGIC ); END COMPONENT zed_hdmi_out; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_zed_hdmi_out_0_0_arch: ARCHITECTURE IS "zed_hdmi_out,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_zed_hdmi_out_0_0_arch : ARCHITECTURE IS "tutorial_zed_hdmi_out_0_0,zed_hdmi_out,{}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF video_vsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_hsync: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF video_de: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN ACTIVE_VIDEO"; ATTRIBUTE X_INTERFACE_INFO OF video_data: SIGNAL IS "xilinx.com:interface:vid_io:1.0 VID_IO_IN DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_spdif: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO SPDIF"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_video: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DATA"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_vsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO VSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_hsync: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO HSYNC"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_de: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO DE"; ATTRIBUTE X_INTERFACE_INFO OF io_hdmio_clk: SIGNAL IS "avnet.com:interface:avnet_hdmi:1.0 IO_HDMIO CLK"; BEGIN U0 : zed_hdmi_out GENERIC MAP ( C_DATA_WIDTH => 16, C_FAMILY => "zynq" ) PORT MAP ( clk => clk, reset => reset, audio_spdif => audio_spdif, video_vsync => video_vsync, video_hsync => video_hsync, video_de => video_de, video_data => video_data, io_hdmio_spdif => io_hdmio_spdif, io_hdmio_video => io_hdmio_video, io_hdmio_vsync => io_hdmio_vsync, io_hdmio_hsync => io_hdmio_hsync, io_hdmio_de => io_hdmio_de, io_hdmio_clk => io_hdmio_clk ); END tutorial_zed_hdmi_out_0_0_arch;
gpl-2.0
7fcb27c594a6767a465f6073c32f9d35
0.708362
3.557984
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_latency_function/_primary.vhd
5
1,256
library verilog; use verilog.vl_types.all; entity ama_latency_function is generic( width_data_in : integer := 1; width_data_out : integer := 1; latency : integer := 0; latency_clock : string := "UNREGISTERED"; latency_aclr : string := "NONE"; width_data_in_msb: vl_notype; width_data_out_msb: vl_notype ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); data_in : in vl_logic_vector; data_out : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_data_in : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of latency_clock : constant is 1; attribute mti_svvh_generic_type of latency_aclr : constant is 1; attribute mti_svvh_generic_type of width_data_in_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; end ama_latency_function;
mit
ab77cd9383f1c817353ed1ca5f580850
0.607484
3.651163
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/StateMachineEditor1_import.vhd
2
1,173
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity StateMachineEditor1_import is port ( clock : in std_logic; col_select : in std_logic_vector(3-1 downto 0); data : out std_logic_vector(24-1 downto 0); data_en : in std_logic; reset : in std_logic ); end entity StateMachineEditor1_import; architecture rtl of StateMachineEditor1_import is component StateMachineEditor1_import_GN is port ( clock : in std_logic; col_select : in std_logic_vector(3-1 downto 0); data : out std_logic_vector(24-1 downto 0); data_en : in std_logic; reset : in std_logic ); end component StateMachineEditor1_import_GN; begin StateMachineEditor1_import_GN_0: if true generate inst_StateMachineEditor1_import_GN_0: StateMachineEditor1_import_GN port map(clock => clock, col_select => col_select, data => data, data_en => data_en, reset => reset); end generate; end architecture rtl;
mit
c69f883c62230e60c8baf4afdc6c9e3e
0.73913
3.34188
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/SN74ABT125.vhd
1
5,058
--------------------------------------------------------------- -- Title : Bus Buffer Gates with 3-state outputs -- Project : --------------------------------------------------------------- -- File : SN74ABT125.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 09/02/12 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.1 $ -- -- $Log: SN74ABT125.vhd,v $ -- Revision 1.1 2012/03/29 10:28:41 MMiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY SN74ABT125 IS GENERIC ( OP_COND : integer:=1; -- 0=min, 1=typ, 2=max WIDTH : integer:=8 ); PORT ( oe_n : IN std_logic_vector(WIDTH-1 DOWNTO 0); -- output enable: 0= driver is active, 1= tri-state a : IN std_logic_vector(WIDTH-1 DOWNTO 0); -- port A b : OUT std_logic_vector(WIDTH-1 DOWNTO 0) -- port B ); END SN74ABT125; ARCHITECTURE SN74ABT125_arch OF SN74ABT125 IS CONSTANT tPLH_max : time:= 4.9 ns; CONSTANT tPHL_max : time:= 4.9 ns; CONSTANT tPZH_max : time:= 5.9 ns; CONSTANT tPZL_max : time:= 6.8 ns; CONSTANT tPHZ_max : time:= 6.2 ns; CONSTANT tPLZ_max : time:= 6.2 ns; CONSTANT tPLH_min : time:= 1 ns; CONSTANT tPHL_min : time:= 1 ns; CONSTANT tPZH_min : time:= 1 ns; CONSTANT tPZL_min : time:= 1 ns; CONSTANT tPHZ_min : time:= 1 ns; CONSTANT tPLZ_min : time:= 1 ns; CONSTANT tPLH_typ : time:= 3.2 ns; CONSTANT tPHL_typ : time:= 2.5 ns; CONSTANT tPZH_typ : time:= 3.6 ns; CONSTANT tPZL_typ : time:= 2.5 ns; CONSTANT tPHZ_typ : time:= 3.8 ns; CONSTANT tPLZ_typ : time:= 3.3 ns; SIGNAL b_out : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL oe_n_in : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL a_in : std_logic_vector(WIDTH-1 DOWNTO 0); SIGNAL tPLH : time; SIGNAL tPHL : time; SIGNAL tPZH : time; SIGNAL tPZL : time; SIGNAL tPHZ : time; SIGNAL tPLZ : time; SIGNAL pwr_rst : std_logic; BEGIN tPLH <= tPLH_min WHEN OP_COND = 0 ELSE tPLH_typ WHEN OP_COND = 1 ELSE tPLH_max; tPHL <= tPHL_min WHEN OP_COND = 0 ELSE tPHL_typ WHEN OP_COND = 1 ELSE tPHL_max; tPZH <= tPZH_min WHEN OP_COND = 0 ELSE tPZH_typ WHEN OP_COND = 1 ELSE tPZH_max; tPZL <= tPZL_min WHEN OP_COND = 0 ELSE tPZL_typ WHEN OP_COND = 1 ELSE tPZL_max; tPHZ <= tPHZ_min WHEN OP_COND = 0 ELSE tPHZ_typ WHEN OP_COND = 1 ELSE tPHZ_max; tPLZ <= tPLZ_min WHEN OP_COND = 0 ELSE tPLZ_typ WHEN OP_COND = 1 ELSE tPLZ_max; oe_n_in <= to_x01(oe_n); a_in <= to_x01(a); pwr_rst <= '1', '0' AFTER 2 ps; b <= b_out; gen: FOR i IN 0 TO WIDTH-1 GENERATE PROCESS(pwr_rst, oe_n_in(i), a_in(i), b_out(i)) BEGIN IF pwr_rst'event AND oe_n_in(i) = '1' THEN b_out(i) <= 'H'; ELSIF pwr_rst'event AND oe_n_in(i) = '0' THEN b_out(i) <= a_in(i); ELSIF (a_in(i)'event AND a_in(i) = '1' AND oe_n_in(i) = '0' ) THEN -- a 0->1 b_out(i) <= transport a_in(i) AFTER tPLH; ELSIF (a_in(i)'event AND a_in(i) = '0' AND oe_n_in(i) = '0') THEN -- a 1->0 b_out(i) <= transport a_in(i) AFTER tPHL; ELSIF (oe_n_in'event AND oe_n_in(i) = '0' AND a_in(i) = '1') THEN -- oe_n_in 1->0 a=1 b_out(i) <= transport a_in(i) AFTER tPZH; ELSIF (oe_n_in'event AND oe_n_in(i) = '0' AND a(i) = '0') THEN -- oe_n_in 1->0 a=0 b_out(i) <= transport a_in(i) AFTER tPZL; ELSIF (oe_n_in'event AND oe_n_in(i) = '1' AND b_out(i) = '1') THEN -- oe_n_in 0->1 b=1 b_out(i) <= transport 'H' AFTER tPHZ; ELSIF (oe_n_in'event AND oe_n_in(i) = '1' AND b_out(i) = '0') THEN -- oe_n_in 0->1 b=0 b_out(i) <= transport 'H' AFTER tPLZ; END IF; END PROCESS; END GENERATE gen; END SN74ABT125_arch;
gpl-3.0
ded6a14772430b1feb8f1ead4c5e1743
0.456505
3.433809
false
false
false
false
adelapie/desl
tb_des_loop.vhd
1
2,732
-- Copyright (c) 2013 Antonio de la Piedra -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY tb_des_loop IS END tb_des_loop; ARCHITECTURE behavior OF tb_des_loop IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT des_loop PORT( clk : IN std_logic; rst : IN std_logic; mode : IN std_logic; key_in : IN std_logic_vector(55 downto 0); blk_in : IN std_logic_vector(63 downto 0); blk_out : OUT std_logic_vector(63 downto 0) ); END COMPONENT; --Inputs signal clk : std_logic := '0'; signal rst : std_logic := '0'; signal mode : std_logic := '0'; signal key_in : std_logic_vector(55 downto 0) := (others => '0'); signal blk_in : std_logic_vector(63 downto 0) := (others => '0'); --Outputs signal blk_out : std_logic_vector(63 downto 0); -- Clock period definitions constant clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: des_loop PORT MAP ( clk => clk, rst => rst, mode => mode, key_in => key_in, blk_in => blk_in, blk_out => blk_out ); -- Clock process definitions clk_process :process begin clk <= '0'; wait for clk_period/2; clk <= '1'; wait for clk_period/2; end process; -- Stimulus process stim_proc: process begin wait for clk_period/2 + clk_period; mode <= '0'; blk_in <= X"4E45565251554954"; key_in <= "00000000111111110000000000101010010100000000000110010100"; rst <= '1'; wait for clk_period; rst <= '0'; wait for clk_period*16; assert blk_out = X"72c6e3c6d2168e78" report "ENCRYPT ERROR" severity FAILURE; wait for clk_period; mode <= '1'; blk_in <= X"72c6e3c6d2168e78"; key_in <= "00000000111111110000000000101010010100000000000110010100"; rst <= '1'; wait for clk_period; rst <= '0'; wait for clk_period*16; assert blk_out = X"4E45565251554954" report "DECRYPT ERROR" severity FAILURE; wait; end process; END;
gpl-3.0
0edc868a47fa6d9e8eee769f7b19e4ab
0.63287
3.480255
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/M25P32/mem_util_pkg.vhd
1
5,403
------------------------------------------------------- -- Author: Hugues CREUSY --February 2004 -- VHDL model -- project: M25P32 50 MHz, -- release: 1.0 ----------------------------------------------------- -- Unit : Package mem_util_pkg ----------------------------------------------------- ------------------------------------------------------------- -- These VHDL models are provided "as is" without warranty -- of any kind, included but not limited to, implied warranty -- of merchantability and fitness for a particular purpose. ------------------------------------------------------------- ------------------------------------------------------------------------- -- Memory utilization package ------------------------------------------------------------------------- library IEEE; USE IEEE.STD_LOGIC_1164.ALL; ------------------------------------------------------------------------- -- PACKAGE ------------------------------------------------------------------------- PACKAGE mem_util_pkg IS TYPE PAGE is ARRAY (natural range <>) of std_logic_vector(7 downto 0); -------------------------------------------------------------------- -- To convert a standard logic vector (ie a binary word) into -- a natural --------------------------------------------------------------------- FUNCTION TO_natural(vecteur_bit : std_logic_vector ) RETURN natural ; --------------------------------------------------------------------- --------------------------------------------------------------------------- -- To increase the adress pointing vector --------------------------------------------------------------------------- FUNCTION add_inc(vecteur_bit : std_logic_vector ) RETURN std_logic_vector ; --------------------------------------------------------------------------- -------------------------------------------------------------------- -- To get the number of bits used to code the memory -- which size (number of bytes) is a parameter -------------------------------------------------------------------- FUNCTION TO_bit_code(nb_octets: positive) RETURN natural ; -------------------------------------------------------------------- ------------------------------------------------------------------------ -- To get the first adress of the sector pointed by anyone of its bytes. ------------------------------------------------------------------------ FUNCTION add_sector(vecteur_bit: std_logic_vector; NB_byte_mem,NB_byte_sect: positive) RETURN natural; ------------------------------------------------------------------------ -------------------------------------------------------------------------- -- To convert an integer (ARG) into a standard logic vector with its size. -------------------------------------------------------------------------- FUNCTION TO_std_logic_vector(ARG: INTEGER; SIZE: INTEGER) RETURN STD_LOGIC_VECTOR; -------------------------------------------------------------------------- END mem_util_pkg; --------------------------------------------------------------- -- Package body --------------------------------------------------------------- PACKAGE BODY mem_util_pkg IS ---------------------------------------------------------------- FUNCTION TO_natural(vecteur_bit : std_logic_vector ) RETURN natural IS VARIABLE val_vecteur: natural := 0; BEGIN FOR J IN vecteur_bit'RANGE LOOP val_vecteur := val_vecteur * 2; val_vecteur := val_vecteur + std_logic'pos(vecteur_bit(J)) - 2; END LOOP; RETURN val_vecteur; END TO_natural; --------------------------------------------------------------- FUNCTION add_inc(vecteur_bit : std_logic_vector ) RETURN std_logic_vector IS VARIABLE val_vecteur: std_logic_vector(vecteur_bit'RANGE); BEGIN val_vecteur := vecteur_bit; FOR J IN vecteur_bit'REVERSE_RANGE LOOP val_vecteur(J) := "XOR"( vecteur_bit(J), '1' ); IF (val_vecteur(J) = '1') THEN EXIT; END IF; END LOOP; RETURN val_vecteur; END add_inc; ----------------------------------------------------------------- FUNCTION TO_bit_code(nb_octets: positive) RETURN natural IS VARIABLE val_add, add_bit_code: natural ; BEGIN val_add := nb_octets; add_bit_code := 0; IF ((val_add rem 2)/=0) THEN val_add := val_add - 1 ; add_bit_code := add_bit_code + 1 ; END IF; WHILE ( val_add > 1 ) LOOP val_add := (val_add/2); add_bit_code := add_bit_code + 1; END LOOP; RETURN add_bit_code; END TO_bit_code; --------------------------------------------------------------- FUNCTION TO_std_logic_vector(ARG: INTEGER; SIZE: INTEGER) RETURN STD_LOGIC_VECTOR IS variable result: STD_LOGIC_VECTOR (SIZE-1 downto 0); variable temp: integer; begin temp := ARG; for i in 0 to SIZE-1 loop if (temp mod 2) = 1 then result(i) := '1'; else result(i) := '0'; end if; if temp > 0 then temp := temp / 2; else temp := (temp - 1) / 2; end if; end loop; return result; END TO_std_logic_vector; ------------------------------------------------------------ FUNCTION add_sector(vecteur_bit:std_logic_vector;NB_byte_mem,NB_byte_sect:positive) RETURN natural IS VARIABLE addr_sector,deb_sect: natural := 0; BEGIN addr_sector := TO_natural(vecteur_bit); FOR i IN 1 TO (NB_byte_mem/NB_byte_sect) LOOP IF ((i-1)*NB_byte_sect<=addr_sector AND addr_sector < i*NB_byte_sect) THEN deb_sect:=(i-1)*NB_byte_sect; END IF; END LOOP; RETURN deb_sect; END add_sector; -------------------------------------------------------------- END mem_util_pkg;
gpl-3.0
563c19f81ab70fe8839f7ff903f4e478
0.419952
4.718777
false
false
false
false
nulldozer/purisc
Compute_Group/CORE/execute_stage.vhd
1
2,962
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity execute_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; noop_in : in std_logic; --inputs ubranch_in : in std_logic; cbranch_in : in std_logic; ex_w_addr : in std_logic_vector(31 downto 0); ex_w_data : in std_logic_vector(31 downto 0); ex_we : in std_logic; start_address : in std_logic_vector(31 downto 0); a_in : in std_logic_vector(31 downto 0); b_in : in std_logic_vector(31 downto 0); c_in : in std_logic_vector(31 downto 0); addr_a : in std_logic_vector(31 downto 0); addr_b : in std_logic_vector(31 downto 0); addr_c : in std_logic_vector(31 downto 0); next_pc : in std_logic_vector(31 downto 0); --outputs cbranch_out : out std_logic; cbranch_addr : out std_logic_vector(31 downto 0); -- memory da_in : in std_logic_vector(31 downto 0); db_in : in std_logic_vector(31 downto 0); w_data : out std_logic_vector(31 downto 0); w_addr : out std_logic_vector(31 downto 0); we_out : out std_logic ); end entity; architecture a1 of execute_stage is --signals signal da_fwd : std_logic_vector(31 downto 0); signal db_fwd : std_logic_vector(31 downto 0); -- --for self-modifying instruction forwarding -- signal a_fwd : std_logic_vector(31 downto 0); -- signal b_fwd : std_logic_vector(31 downto 0); -- signal c_fwd : std_logic_vector(31 downto 0); signal sub : signed(31 downto 0); signal cbranch : std_logic; signal we : std_logic; begin --determine forwarding da_fwd <= ex_w_data when (a_in = ex_w_addr and ex_we = '1') else da_in; db_fwd <= ex_w_data when (b_in = ex_w_addr and ex_we = '1') else db_in; -- --self-modifying instruction forwarding (NOT USED. To enable this, replace instances of a with a_fwd, b with b_fwd ...) -- a_fwd <= ex_db when (addr_a = ex_b) else a_in; -- b_fwd <= ex_db when (addr_b = ex_b) else b_in; -- c_fwd <= ex_db when (addr_c = ex_b) else c_in; --'execute' sub <= signed(db_fwd) - signed(da_fwd); --determine cbranch cbranch <= '1' when (sub <= 0 and not(noop_in = '1') and not(ubranch_in = '1') and not(next_pc = c_in)) else '0'; --determine whether to write we <= '1' when (not(noop_in = '1') and not(cbranch_in = '1')) else '0'; process(clk, reset_n, start_address) begin if(reset_n = '0') then --initial values w_data <= "00000000000000000000000000000000"; w_addr <= std_logic_vector(unsigned(start_address) + to_unsigned(7,32)); --as if the third instruction is executing we_out <= '0'; cbranch_out <= '0'; elsif (rising_edge(clk)) then if(stall = '0') then cbranch_out <= cbranch; cbranch_addr <= c_in; if(not(noop_in = '1')) then w_addr <= b_in; end if; --to prevent undefined address output after reset w_data <= std_logic_vector(sub); we_out <= we; else --hold previous outputs on stall (automatic) end if; end if; end process; end architecture;
gpl-2.0
4420b7d022f4f43431fdaf136cdfe054
0.644159
2.742593
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_proc_sys_reset_0/synth/tutorial_proc_sys_reset_0.vhd
1
6,670
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY tutorial_proc_sys_reset_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END tutorial_proc_sys_reset_0; ARCHITECTURE tutorial_proc_sys_reset_0_arch OF tutorial_proc_sys_reset_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_proc_sys_reset_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_proc_sys_reset_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_proc_sys_reset_0_arch : ARCHITECTURE IS "tutorial_proc_sys_reset_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_proc_sys_reset_0_arch: ARCHITECTURE IS "tutorial_proc_sys_reset_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END tutorial_proc_sys_reset_0_arch;
gpl-2.0
2f8675536df7e4e36af611f1be3f25a1
0.715292
3.504992
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_axi_vdma_0_0/synth/tutorial_axi_vdma_0_0.vhd
1
23,418
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:axi_vdma:6.2 -- IP Revision: 2 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY axi_vdma_v6_2; USE axi_vdma_v6_2.axi_vdma; ENTITY tutorial_axi_vdma_0_0 IS PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; mm2s_introut : OUT STD_LOGIC ); END tutorial_axi_vdma_0_0; ARCHITECTURE tutorial_axi_vdma_0_0_arch OF tutorial_axi_vdma_0_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_axi_vdma_0_0_arch: ARCHITECTURE IS "yes"; COMPONENT axi_vdma IS GENERIC ( C_S_AXI_LITE_ADDR_WIDTH : INTEGER; C_S_AXI_LITE_DATA_WIDTH : INTEGER; C_DLYTMR_RESOLUTION : INTEGER; C_PRMRY_IS_ACLK_ASYNC : INTEGER; C_ENABLE_VIDPRMTR_READS : INTEGER; C_DYNAMIC_RESOLUTION : INTEGER; C_NUM_FSTORES : INTEGER; C_USE_FSYNC : INTEGER; C_USE_MM2S_FSYNC : INTEGER; C_USE_S2MM_FSYNC : INTEGER; C_FLUSH_ON_FSYNC : INTEGER; C_INCLUDE_INTERNAL_GENLOCK : INTEGER; C_INCLUDE_SG : INTEGER; C_M_AXI_SG_ADDR_WIDTH : INTEGER; C_M_AXI_SG_DATA_WIDTH : INTEGER; C_INCLUDE_MM2S : INTEGER; C_MM2S_GENLOCK_MODE : INTEGER; C_MM2S_GENLOCK_NUM_MASTERS : INTEGER; C_MM2S_GENLOCK_REPEAT_EN : INTEGER; C_MM2S_SOF_ENABLE : INTEGER; C_INCLUDE_MM2S_DRE : INTEGER; C_INCLUDE_MM2S_SF : INTEGER; C_MM2S_LINEBUFFER_DEPTH : INTEGER; C_MM2S_LINEBUFFER_THRESH : INTEGER; C_MM2S_MAX_BURST_LENGTH : INTEGER; C_M_AXI_MM2S_ADDR_WIDTH : INTEGER; C_M_AXI_MM2S_DATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TDATA_WIDTH : INTEGER; C_M_AXIS_MM2S_TUSER_BITS : INTEGER; C_INCLUDE_S2MM : INTEGER; C_S2MM_GENLOCK_MODE : INTEGER; C_S2MM_GENLOCK_NUM_MASTERS : INTEGER; C_S2MM_GENLOCK_REPEAT_EN : INTEGER; C_S2MM_SOF_ENABLE : INTEGER; C_INCLUDE_S2MM_DRE : INTEGER; C_INCLUDE_S2MM_SF : INTEGER; C_S2MM_LINEBUFFER_DEPTH : INTEGER; C_S2MM_LINEBUFFER_THRESH : INTEGER; C_S2MM_MAX_BURST_LENGTH : INTEGER; C_M_AXI_S2MM_ADDR_WIDTH : INTEGER; C_M_AXI_S2MM_DATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TDATA_WIDTH : INTEGER; C_S_AXIS_S2MM_TUSER_BITS : INTEGER; C_ENABLE_DEBUG_ALL : INTEGER; C_ENABLE_DEBUG_INFO_0 : INTEGER; C_ENABLE_DEBUG_INFO_1 : INTEGER; C_ENABLE_DEBUG_INFO_2 : INTEGER; C_ENABLE_DEBUG_INFO_3 : INTEGER; C_ENABLE_DEBUG_INFO_4 : INTEGER; C_ENABLE_DEBUG_INFO_5 : INTEGER; C_ENABLE_DEBUG_INFO_6 : INTEGER; C_ENABLE_DEBUG_INFO_7 : INTEGER; C_ENABLE_DEBUG_INFO_8 : INTEGER; C_ENABLE_DEBUG_INFO_9 : INTEGER; C_ENABLE_DEBUG_INFO_10 : INTEGER; C_ENABLE_DEBUG_INFO_11 : INTEGER; C_ENABLE_DEBUG_INFO_12 : INTEGER; C_ENABLE_DEBUG_INFO_13 : INTEGER; C_ENABLE_DEBUG_INFO_14 : INTEGER; C_ENABLE_DEBUG_INFO_15 : INTEGER; C_INSTANCE : STRING; C_FAMILY : STRING ); PORT ( s_axi_lite_aclk : IN STD_LOGIC; m_axi_sg_aclk : IN STD_LOGIC; m_axi_mm2s_aclk : IN STD_LOGIC; m_axis_mm2s_aclk : IN STD_LOGIC; m_axi_s2mm_aclk : IN STD_LOGIC; s_axis_s2mm_aclk : IN STD_LOGIC; axi_resetn : IN STD_LOGIC; s_axi_lite_awvalid : IN STD_LOGIC; s_axi_lite_awready : OUT STD_LOGIC; s_axi_lite_awaddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_wvalid : IN STD_LOGIC; s_axi_lite_wready : OUT STD_LOGIC; s_axi_lite_wdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); s_axi_lite_bvalid : OUT STD_LOGIC; s_axi_lite_bready : IN STD_LOGIC; s_axi_lite_arvalid : IN STD_LOGIC; s_axi_lite_arready : OUT STD_LOGIC; s_axi_lite_araddr : IN STD_LOGIC_VECTOR(8 DOWNTO 0); s_axi_lite_rvalid : OUT STD_LOGIC; s_axi_lite_rready : IN STD_LOGIC; s_axi_lite_rdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); s_axi_lite_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); mm2s_fsync : IN STD_LOGIC; mm2s_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_fsync : IN STD_LOGIC; s2mm_frame_ptr_in : IN STD_LOGIC_VECTOR(5 DOWNTO 0); s2mm_frame_ptr_out : OUT STD_LOGIC_VECTOR(5 DOWNTO 0); mm2s_buffer_empty : OUT STD_LOGIC; mm2s_buffer_almost_empty : OUT STD_LOGIC; s2mm_buffer_full : OUT STD_LOGIC; s2mm_buffer_almost_full : OUT STD_LOGIC; mm2s_fsync_out : OUT STD_LOGIC; s2mm_fsync_out : OUT STD_LOGIC; mm2s_prmtr_update : OUT STD_LOGIC; s2mm_prmtr_update : OUT STD_LOGIC; m_axi_sg_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_sg_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_sg_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_sg_arvalid : OUT STD_LOGIC; m_axi_sg_arready : IN STD_LOGIC; m_axi_sg_rdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_sg_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_sg_rlast : IN STD_LOGIC; m_axi_sg_rvalid : IN STD_LOGIC; m_axi_sg_rready : OUT STD_LOGIC; m_axi_mm2s_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_mm2s_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_mm2s_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_mm2s_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_mm2s_arvalid : OUT STD_LOGIC; m_axi_mm2s_arready : IN STD_LOGIC; m_axi_mm2s_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_mm2s_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_mm2s_rlast : IN STD_LOGIC; m_axi_mm2s_rvalid : IN STD_LOGIC; m_axi_mm2s_rready : OUT STD_LOGIC; mm2s_prmry_reset_out_n : OUT STD_LOGIC; m_axis_mm2s_tdata : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axis_mm2s_tkeep : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axis_mm2s_tuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); m_axis_mm2s_tvalid : OUT STD_LOGIC; m_axis_mm2s_tready : IN STD_LOGIC; m_axis_mm2s_tlast : OUT STD_LOGIC; m_axi_s2mm_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0); m_axi_s2mm_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0); m_axi_s2mm_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0); m_axi_s2mm_awvalid : OUT STD_LOGIC; m_axi_s2mm_awready : IN STD_LOGIC; m_axi_s2mm_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0); m_axi_s2mm_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0); m_axi_s2mm_wlast : OUT STD_LOGIC; m_axi_s2mm_wvalid : OUT STD_LOGIC; m_axi_s2mm_wready : IN STD_LOGIC; m_axi_s2mm_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0); m_axi_s2mm_bvalid : IN STD_LOGIC; m_axi_s2mm_bready : OUT STD_LOGIC; s2mm_prmry_reset_out_n : OUT STD_LOGIC; s_axis_s2mm_tdata : IN STD_LOGIC_VECTOR(31 DOWNTO 0); s_axis_s2mm_tkeep : IN STD_LOGIC_VECTOR(3 DOWNTO 0); s_axis_s2mm_tuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0); s_axis_s2mm_tvalid : IN STD_LOGIC; s_axis_s2mm_tready : OUT STD_LOGIC; s_axis_s2mm_tlast : IN STD_LOGIC; mm2s_introut : OUT STD_LOGIC; s2mm_introut : OUT STD_LOGIC; axi_vdma_tstvec : OUT STD_LOGIC_VECTOR(63 DOWNTO 0) ); END COMPONENT axi_vdma; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_axi_vdma_0_0_arch: ARCHITECTURE IS "axi_vdma,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_axi_vdma_0_0_arch : ARCHITECTURE IS "tutorial_axi_vdma_0_0,axi_vdma,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_axi_vdma_0_0_arch: ARCHITECTURE IS "tutorial_axi_vdma_0_0,axi_vdma,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=axi_vdma,x_ipVersion=6.2,x_ipCoreRevision=2,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_S_AXI_LITE_ADDR_WIDTH=9,C_S_AXI_LITE_DATA_WIDTH=32,C_DLYTMR_RESOLUTION=125,C_PRMRY_IS_ACLK_ASYNC=1,C_ENABLE_VIDPRMTR_READS=1,C_DYNAMIC_RESOLUTION=1,C_NUM_FSTORES=3,C_USE_FSYNC=1,C_USE_MM2S_FSYNC=0,C_USE_S2MM_FSYNC=2,C_FLUSH_ON_FSYNC=1,C_INCLUDE_INTERNAL_GENLOCK=1,C_INCLUDE_SG=0,C_M_AXI_SG_ADDR_WIDTH=32,C_M_AXI_SG_DATA_WIDTH=32,C_INCLUDE_MM2S=1,C_MM2S_GENLOCK_MODE=0,C_MM2S_GENLOCK_NUM_MASTERS=1,C_MM2S_GENLOCK_REPEAT_EN=0,C_MM2S_SOF_ENABLE=1,C_INCLUDE_MM2S_DRE=1,C_INCLUDE_MM2S_SF=0,C_MM2S_LINEBUFFER_DEPTH=4096,C_MM2S_LINEBUFFER_THRESH=4,C_MM2S_MAX_BURST_LENGTH=8,C_M_AXI_MM2S_ADDR_WIDTH=32,C_M_AXI_MM2S_DATA_WIDTH=64,C_M_AXIS_MM2S_TDATA_WIDTH=32,C_M_AXIS_MM2S_TUSER_BITS=1,C_INCLUDE_S2MM=0,C_S2MM_GENLOCK_MODE=0,C_S2MM_GENLOCK_NUM_MASTERS=1,C_S2MM_GENLOCK_REPEAT_EN=1,C_S2MM_SOF_ENABLE=1,C_INCLUDE_S2MM_DRE=0,C_INCLUDE_S2MM_SF=1,C_S2MM_LINEBUFFER_DEPTH=512,C_S2MM_LINEBUFFER_THRESH=4,C_S2MM_MAX_BURST_LENGTH=8,C_M_AXI_S2MM_ADDR_WIDTH=32,C_M_AXI_S2MM_DATA_WIDTH=64,C_S_AXIS_S2MM_TDATA_WIDTH=32,C_S_AXIS_S2MM_TUSER_BITS=1,C_ENABLE_DEBUG_ALL=0,C_ENABLE_DEBUG_INFO_0=0,C_ENABLE_DEBUG_INFO_1=0,C_ENABLE_DEBUG_INFO_2=0,C_ENABLE_DEBUG_INFO_3=0,C_ENABLE_DEBUG_INFO_4=0,C_ENABLE_DEBUG_INFO_5=0,C_ENABLE_DEBUG_INFO_6=0,C_ENABLE_DEBUG_INFO_7=0,C_ENABLE_DEBUG_INFO_8=0,C_ENABLE_DEBUG_INFO_9=0,C_ENABLE_DEBUG_INFO_10=0,C_ENABLE_DEBUG_INFO_11=0,C_ENABLE_DEBUG_INFO_12=0,C_ENABLE_DEBUG_INFO_13=0,C_ENABLE_DEBUG_INFO_14=0,C_ENABLE_DEBUG_INFO_15=0,C_INSTANCE=axi_vdma,C_FAMILY=zynq}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 S_AXI_LITE_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXI_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_aclk: SIGNAL IS "xilinx.com:signal:clock:1.0 M_AXIS_MM2S_ACLK CLK"; ATTRIBUTE X_INTERFACE_INFO OF axi_resetn: SIGNAL IS "xilinx.com:signal:reset:1.0 AXI_RESETN RST"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_awaddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE AWADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_wdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE WDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BRESP"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_bready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE BREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RVALID"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RREADY"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RDATA"; ATTRIBUTE X_INTERFACE_INFO OF s_axi_lite_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 S_AXI_LITE RRESP"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_frame_ptr_out: SIGNAL IS "xilinx.com:signal:video_frame_ptr:1.0 MM2S_FRAME_PTR_OUT FRAME_PTR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_araddr: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARADDR"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arlen: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARLEN"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arsize: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARSIZE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arburst: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARBURST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arprot: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARPROT"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arcache: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARCACHE"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_arready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S ARREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rdata: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rresp: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RRESP"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rlast: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RLAST"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rvalid: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axi_mm2s_rready: SIGNAL IS "xilinx.com:interface:aximm:1.0 M_AXI_MM2S RREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tdata: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TDATA"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tkeep: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TKEEP"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tuser: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TUSER"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tvalid: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TVALID"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tready: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TREADY"; ATTRIBUTE X_INTERFACE_INFO OF m_axis_mm2s_tlast: SIGNAL IS "xilinx.com:interface:axis:1.0 M_AXIS_MM2S TLAST"; ATTRIBUTE X_INTERFACE_INFO OF mm2s_introut: SIGNAL IS "xilinx.com:signal:interrupt:1.0 MM2S_INTROUT INTERRUPT"; BEGIN U0 : axi_vdma GENERIC MAP ( C_S_AXI_LITE_ADDR_WIDTH => 9, C_S_AXI_LITE_DATA_WIDTH => 32, C_DLYTMR_RESOLUTION => 125, C_PRMRY_IS_ACLK_ASYNC => 1, C_ENABLE_VIDPRMTR_READS => 1, C_DYNAMIC_RESOLUTION => 1, C_NUM_FSTORES => 3, C_USE_FSYNC => 1, C_USE_MM2S_FSYNC => 0, C_USE_S2MM_FSYNC => 2, C_FLUSH_ON_FSYNC => 1, C_INCLUDE_INTERNAL_GENLOCK => 1, C_INCLUDE_SG => 0, C_M_AXI_SG_ADDR_WIDTH => 32, C_M_AXI_SG_DATA_WIDTH => 32, C_INCLUDE_MM2S => 1, C_MM2S_GENLOCK_MODE => 0, C_MM2S_GENLOCK_NUM_MASTERS => 1, C_MM2S_GENLOCK_REPEAT_EN => 0, C_MM2S_SOF_ENABLE => 1, C_INCLUDE_MM2S_DRE => 1, C_INCLUDE_MM2S_SF => 0, C_MM2S_LINEBUFFER_DEPTH => 4096, C_MM2S_LINEBUFFER_THRESH => 4, C_MM2S_MAX_BURST_LENGTH => 8, C_M_AXI_MM2S_ADDR_WIDTH => 32, C_M_AXI_MM2S_DATA_WIDTH => 64, C_M_AXIS_MM2S_TDATA_WIDTH => 32, C_M_AXIS_MM2S_TUSER_BITS => 1, C_INCLUDE_S2MM => 0, C_S2MM_GENLOCK_MODE => 0, C_S2MM_GENLOCK_NUM_MASTERS => 1, C_S2MM_GENLOCK_REPEAT_EN => 1, C_S2MM_SOF_ENABLE => 1, C_INCLUDE_S2MM_DRE => 0, C_INCLUDE_S2MM_SF => 1, C_S2MM_LINEBUFFER_DEPTH => 512, C_S2MM_LINEBUFFER_THRESH => 4, C_S2MM_MAX_BURST_LENGTH => 8, C_M_AXI_S2MM_ADDR_WIDTH => 32, C_M_AXI_S2MM_DATA_WIDTH => 64, C_S_AXIS_S2MM_TDATA_WIDTH => 32, C_S_AXIS_S2MM_TUSER_BITS => 1, C_ENABLE_DEBUG_ALL => 0, C_ENABLE_DEBUG_INFO_0 => 0, C_ENABLE_DEBUG_INFO_1 => 0, C_ENABLE_DEBUG_INFO_2 => 0, C_ENABLE_DEBUG_INFO_3 => 0, C_ENABLE_DEBUG_INFO_4 => 0, C_ENABLE_DEBUG_INFO_5 => 0, C_ENABLE_DEBUG_INFO_6 => 0, C_ENABLE_DEBUG_INFO_7 => 0, C_ENABLE_DEBUG_INFO_8 => 0, C_ENABLE_DEBUG_INFO_9 => 0, C_ENABLE_DEBUG_INFO_10 => 0, C_ENABLE_DEBUG_INFO_11 => 0, C_ENABLE_DEBUG_INFO_12 => 0, C_ENABLE_DEBUG_INFO_13 => 0, C_ENABLE_DEBUG_INFO_14 => 0, C_ENABLE_DEBUG_INFO_15 => 0, C_INSTANCE => "axi_vdma", C_FAMILY => "zynq" ) PORT MAP ( s_axi_lite_aclk => s_axi_lite_aclk, m_axi_sg_aclk => '0', m_axi_mm2s_aclk => m_axi_mm2s_aclk, m_axis_mm2s_aclk => m_axis_mm2s_aclk, m_axi_s2mm_aclk => '0', s_axis_s2mm_aclk => '0', axi_resetn => axi_resetn, s_axi_lite_awvalid => s_axi_lite_awvalid, s_axi_lite_awready => s_axi_lite_awready, s_axi_lite_awaddr => s_axi_lite_awaddr, s_axi_lite_wvalid => s_axi_lite_wvalid, s_axi_lite_wready => s_axi_lite_wready, s_axi_lite_wdata => s_axi_lite_wdata, s_axi_lite_bresp => s_axi_lite_bresp, s_axi_lite_bvalid => s_axi_lite_bvalid, s_axi_lite_bready => s_axi_lite_bready, s_axi_lite_arvalid => s_axi_lite_arvalid, s_axi_lite_arready => s_axi_lite_arready, s_axi_lite_araddr => s_axi_lite_araddr, s_axi_lite_rvalid => s_axi_lite_rvalid, s_axi_lite_rready => s_axi_lite_rready, s_axi_lite_rdata => s_axi_lite_rdata, s_axi_lite_rresp => s_axi_lite_rresp, mm2s_fsync => '0', mm2s_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), mm2s_frame_ptr_out => mm2s_frame_ptr_out, s2mm_fsync => '0', s2mm_frame_ptr_in => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 6)), m_axi_sg_arready => '0', m_axi_sg_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), m_axi_sg_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_sg_rlast => '0', m_axi_sg_rvalid => '0', m_axi_mm2s_araddr => m_axi_mm2s_araddr, m_axi_mm2s_arlen => m_axi_mm2s_arlen, m_axi_mm2s_arsize => m_axi_mm2s_arsize, m_axi_mm2s_arburst => m_axi_mm2s_arburst, m_axi_mm2s_arprot => m_axi_mm2s_arprot, m_axi_mm2s_arcache => m_axi_mm2s_arcache, m_axi_mm2s_arvalid => m_axi_mm2s_arvalid, m_axi_mm2s_arready => m_axi_mm2s_arready, m_axi_mm2s_rdata => m_axi_mm2s_rdata, m_axi_mm2s_rresp => m_axi_mm2s_rresp, m_axi_mm2s_rlast => m_axi_mm2s_rlast, m_axi_mm2s_rvalid => m_axi_mm2s_rvalid, m_axi_mm2s_rready => m_axi_mm2s_rready, m_axis_mm2s_tdata => m_axis_mm2s_tdata, m_axis_mm2s_tkeep => m_axis_mm2s_tkeep, m_axis_mm2s_tuser => m_axis_mm2s_tuser, m_axis_mm2s_tvalid => m_axis_mm2s_tvalid, m_axis_mm2s_tready => m_axis_mm2s_tready, m_axis_mm2s_tlast => m_axis_mm2s_tlast, m_axi_s2mm_awready => '0', m_axi_s2mm_wready => '0', m_axi_s2mm_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)), m_axi_s2mm_bvalid => '0', s_axis_s2mm_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)), s_axis_s2mm_tkeep => X"F", s_axis_s2mm_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)), s_axis_s2mm_tvalid => '0', s_axis_s2mm_tlast => '0', mm2s_introut => mm2s_introut ); END tutorial_axi_vdma_0_0_arch;
gpl-2.0
66965357081a909c6517b749dd724817
0.669314
2.771689
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_syncram/_primary.vhd
5
9,140
library verilog; use verilog.vl_types.all; entity altera_syncram is generic( width_a : integer := 1; widthad_a : integer := 1; numwords_a : integer := 0; outdata_reg_a : string := "UNREGISTERED"; address_aclr_a : string := "NONE"; outdata_aclr_a : string := "NONE"; indata_aclr_a : string := "NONE"; wrcontrol_aclr_a: string := "NONE"; byteena_aclr_a : string := "NONE"; width_byteena_a : integer := 1; width_b : integer := 1; widthad_b : integer := 1; numwords_b : integer := 0; rdcontrol_reg_b : string := "CLOCK1"; address_reg_b : string := "CLOCK1"; outdata_reg_b : string := "UNREGISTERED"; outdata_aclr_b : string := "NONE"; rdcontrol_aclr_b: string := "NONE"; indata_reg_b : string := "CLOCK1"; byteena_reg_b : string := "CLOCK1"; indata_aclr_b : string := "NONE"; wrcontrol_aclr_b: string := "NONE"; address_aclr_b : string := "NONE"; byteena_aclr_b : string := "NONE"; width_byteena_b : integer := 1; clock_enable_input_a: string := "NORMAL"; clock_enable_output_a: string := "NORMAL"; clock_enable_input_b: string := "NORMAL"; clock_enable_output_b: string := "NORMAL"; clock_enable_core_a: string := "USE_INPUT_CLKEN"; clock_enable_core_b: string := "USE_INPUT_CLKEN"; read_during_write_mode_port_a: string := "NEW_DATA_NO_NBE_READ"; read_during_write_mode_port_b: string := "NEW_DATA_NO_NBE_READ"; read_during_write_mode_mixed_ports: string := "DONT_CARE"; enable_ecc : string := "FALSE"; width_eccstatus : integer := 3; ecc_pipeline_stage_enabled: string := "FALSE"; operation_mode : string := "BIDIR_DUAL_PORT"; byte_size : integer := 0; ram_block_type : string := "AUTO"; init_file : string := "UNUSED"; init_file_layout: string := "UNUSED"; maximum_depth : integer := 0; intended_device_family: string := "Stratix V"; lpm_hint : string := "UNUSED"; lpm_type : string := "altsyncram"; implement_in_les: string := "OFF"; power_up_uninitialized: string := "FALSE"; sim_show_memory_data_in_port_b_layout: string := "OFF"; is_lutram : vl_notype; is_bidir_and_wrcontrol_addb_clk0: vl_notype; is_bidir_and_wrcontrol_addb_clk1: vl_notype; dual_port_addreg_b_clk0: vl_notype; dual_port_addreg_b_clk1: vl_notype; i_byte_size_tmp : vl_notype; i_lutram_read : vl_notype; enable_mem_data_b_reading: vl_notype; wrcontrol_wraddress_reg_b: vl_notype; is_write_on_positive_edge: integer := 1; lutram_single_port_fast_read: vl_notype; lutram_dual_port_fast_read: vl_notype; s3_address_aclr_a: vl_notype; s3_address_aclr_b: vl_notype; i_address_aclr_family_a: vl_notype; i_address_aclr_family_b: vl_notype ); port( wren_a : in vl_logic; wren_b : in vl_logic; rden_a : in vl_logic; rden_b : in vl_logic; data_a : in vl_logic_vector; data_b : in vl_logic_vector; address_a : in vl_logic_vector; address_b : in vl_logic_vector; clock0 : in vl_logic; clock1 : in vl_logic; clocken0 : in vl_logic; clocken1 : in vl_logic; clocken2 : in vl_logic; clocken3 : in vl_logic; aclr0 : in vl_logic; aclr1 : in vl_logic; byteena_a : in vl_logic_vector; byteena_b : in vl_logic_vector; addressstall_a : in vl_logic; addressstall_b : in vl_logic; q_a : out vl_logic_vector; q_b : out vl_logic_vector; eccstatus : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_a : constant is 1; attribute mti_svvh_generic_type of widthad_a : constant is 1; attribute mti_svvh_generic_type of numwords_a : constant is 1; attribute mti_svvh_generic_type of outdata_reg_a : constant is 1; attribute mti_svvh_generic_type of address_aclr_a : constant is 1; attribute mti_svvh_generic_type of outdata_aclr_a : constant is 1; attribute mti_svvh_generic_type of indata_aclr_a : constant is 1; attribute mti_svvh_generic_type of wrcontrol_aclr_a : constant is 1; attribute mti_svvh_generic_type of byteena_aclr_a : constant is 1; attribute mti_svvh_generic_type of width_byteena_a : constant is 1; attribute mti_svvh_generic_type of width_b : constant is 1; attribute mti_svvh_generic_type of widthad_b : constant is 1; attribute mti_svvh_generic_type of numwords_b : constant is 1; attribute mti_svvh_generic_type of rdcontrol_reg_b : constant is 1; attribute mti_svvh_generic_type of address_reg_b : constant is 1; attribute mti_svvh_generic_type of outdata_reg_b : constant is 1; attribute mti_svvh_generic_type of outdata_aclr_b : constant is 1; attribute mti_svvh_generic_type of rdcontrol_aclr_b : constant is 1; attribute mti_svvh_generic_type of indata_reg_b : constant is 1; attribute mti_svvh_generic_type of byteena_reg_b : constant is 1; attribute mti_svvh_generic_type of indata_aclr_b : constant is 1; attribute mti_svvh_generic_type of wrcontrol_aclr_b : constant is 1; attribute mti_svvh_generic_type of address_aclr_b : constant is 1; attribute mti_svvh_generic_type of byteena_aclr_b : constant is 1; attribute mti_svvh_generic_type of width_byteena_b : constant is 1; attribute mti_svvh_generic_type of clock_enable_input_a : constant is 1; attribute mti_svvh_generic_type of clock_enable_output_a : constant is 1; attribute mti_svvh_generic_type of clock_enable_input_b : constant is 1; attribute mti_svvh_generic_type of clock_enable_output_b : constant is 1; attribute mti_svvh_generic_type of clock_enable_core_a : constant is 1; attribute mti_svvh_generic_type of clock_enable_core_b : constant is 1; attribute mti_svvh_generic_type of read_during_write_mode_port_a : constant is 1; attribute mti_svvh_generic_type of read_during_write_mode_port_b : constant is 1; attribute mti_svvh_generic_type of read_during_write_mode_mixed_ports : constant is 1; attribute mti_svvh_generic_type of enable_ecc : constant is 1; attribute mti_svvh_generic_type of width_eccstatus : constant is 1; attribute mti_svvh_generic_type of ecc_pipeline_stage_enabled : constant is 1; attribute mti_svvh_generic_type of operation_mode : constant is 1; attribute mti_svvh_generic_type of byte_size : constant is 1; attribute mti_svvh_generic_type of ram_block_type : constant is 1; attribute mti_svvh_generic_type of init_file : constant is 1; attribute mti_svvh_generic_type of init_file_layout : constant is 1; attribute mti_svvh_generic_type of maximum_depth : constant is 1; attribute mti_svvh_generic_type of intended_device_family : constant is 1; attribute mti_svvh_generic_type of lpm_hint : constant is 1; attribute mti_svvh_generic_type of lpm_type : constant is 1; attribute mti_svvh_generic_type of implement_in_les : constant is 1; attribute mti_svvh_generic_type of power_up_uninitialized : constant is 1; attribute mti_svvh_generic_type of sim_show_memory_data_in_port_b_layout : constant is 1; attribute mti_svvh_generic_type of is_lutram : constant is 3; attribute mti_svvh_generic_type of is_bidir_and_wrcontrol_addb_clk0 : constant is 3; attribute mti_svvh_generic_type of is_bidir_and_wrcontrol_addb_clk1 : constant is 3; attribute mti_svvh_generic_type of dual_port_addreg_b_clk0 : constant is 3; attribute mti_svvh_generic_type of dual_port_addreg_b_clk1 : constant is 3; attribute mti_svvh_generic_type of i_byte_size_tmp : constant is 3; attribute mti_svvh_generic_type of i_lutram_read : constant is 3; attribute mti_svvh_generic_type of enable_mem_data_b_reading : constant is 3; attribute mti_svvh_generic_type of wrcontrol_wraddress_reg_b : constant is 3; attribute mti_svvh_generic_type of is_write_on_positive_edge : constant is 1; attribute mti_svvh_generic_type of lutram_single_port_fast_read : constant is 3; attribute mti_svvh_generic_type of lutram_dual_port_fast_read : constant is 3; attribute mti_svvh_generic_type of s3_address_aclr_a : constant is 3; attribute mti_svvh_generic_type of s3_address_aclr_b : constant is 3; attribute mti_svvh_generic_type of i_address_aclr_family_a : constant is 3; attribute mti_svvh_generic_type of i_address_aclr_family_b : constant is 3; end altera_syncram;
mit
e059d6b75cdcd6abf7eab7a5700b7cd7
0.630525
3.47793
false
false
false
false
dominiklohmann/mikrorechner
vhdl/procSim.vhd
1
2,476
-- procSim.vhd -- -- entity procSim -testbench for pipeline processor -- architecture tb_noIO - ------------------------------------------------------------------------------ library ieee; -- packages: use ieee.std_logic_1164.all; -- std_logic use ieee.numeric_std.all; -- (un)signed use work.sramPkg.all; -- sram use work.procPkg.all; -- pipeProc -- entity -------------------------------------------------------------- ------------------------------------------------------------------------------ entity procSim is generic(clkPeriod : time := 20 ns; -- clock period clkCycles : positive := 200); -- clock cycles end entity procSim; -- architecture -------------------------------------------------------------- ------------------------------------------------------------------------------ architecture tb_noIO of procSim is signal clk, nRst : std_logic; signal const0, const1 : std_logic; signal dnWE, dnOE : std_logic; signal iAddr, dAddr : std_logic_vector(31 downto 0); signal iData, dData : std_logic_vector(31 downto 0); signal iCtrl, dCtrl : fileIOty; begin const0 <= '0'; const1 <= '1'; -- memories ------------------------------------------------------ instMemI: sram generic map ( addrWd => 16, dataWd => 32, fileID => "instMem.dat") port map ( nCS => const0, nWE => const1, nOE => const0, addr => iAddr(7 downto 0), data => iData, fileIO => iCtrl); dataMemI: sram generic map ( addrWd => 16, dataWd => 32, fileID => "dataMem.dat") port map ( nCS => const0, nWE => dnWE, nOE => dnOE, addr => dAddr(7 downto 0), data => dData, fileIO => dCtrl); -- pipe processor ------------------------------------------------------ procIdeaI: procIdea port map ( clk => clk, nRst => nRst, iAddr => iAddr, iData => iData, dnWE => dnWE, dnOE => dnOE, dAddr => dAddr, dData => dData); -- stimuli ------------------------------------------------------ stiP: process is begin clk <= '0'; nRst <= '0', '1' after 5 ns; iCtrl <= load, none after 5 ns; dCtrl <= load, none after 5 ns; wait for clkPeriod/2; for n in 1 to clkCycles loop clk <= '0', '1' after clkPeriod/2; wait for clkPeriod; end loop; wait; end process stiP; end architecture tb_noIO; ------------------------------------------------------------------------------ -- procSim.vhd - end
mit
809ffd387e0d7586c0e29262e367af2b
0.447092
3.63583
false
false
false
false
michaelmiehling/A25_VME_TB
16x004-01_src/Source/utils_pkg.vhd
2
6,951
------------------------------------------------------------------------------- -- Title : utilitiy package for 16z091-00 PCIe test bench -- Project : 16z091-00 ------------------------------------------------------------------------------- -- File : utils_pkg.vhd -- Author : [email protected] -- Organization: MEN Mikro Elektronik GmbH -- Created : 2012-08-22 ------------------------------------------------------------------------------- -- Simulator : ModelSim PE 6.6 Revision 2010.01 -- Synthesis : ------------------------------------------------------------------------------- -- Description : -- Contains useful procedures ------------------------------------------------------------------------------- -- Hierarchy : -- ------------------------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use std.textio.all; use ieee.std_logic_textio.all; package utils_pkg is procedure write_label( constant use_time : in string; constant string_in : in string; integer_in : in integer ); procedure wait_clk( signal clk : in std_logic; constant clk_cnt : in integer ); procedure write_s_slvec( string_in : in string; slvec_in : in std_logic_vector ); end utils_pkg; package body utils_pkg is ----------------------------------------------------------------------------------------------------------------------------------------- -- write_label: -- This procedure prints out a box to the transcript which is formated according to the length of the input string. -- use_time : provide time resolution or "none" if no time shall be printed -- string_in : input string that will be printed to the box -- integer_in : integer value that will be printed to the box, omitted if set to 0 ----------------------------------------------------------------------------------------------------------------------------------------- procedure write_label( constant use_time : in string; constant string_in : in string; integer_in : in integer ) is variable wrLine : line; variable cnt : integer := 0; constant LABEL_C : string := "-"; constant LABEL_STR : string := "--"; constant LABEL_STR1 : string := "---"; constant CORNER_C : string := "+"; constant HEADER_C : string := "="; constant LINE_LEN : integer := 105; constant T_WIDTH : integer := 15; begin write(wrLine, CORNER_C); for i in string_in'range loop write(wrLine, LABEL_C); end loop; if integer_in >= 0 then for i in 0 to 9 loop if (integer_in / (10**i)) /= 0 then cnt := i; end if; end loop; for j in 0 to cnt loop write(wrLine, label_c); end loop; write(wrLine, LABEL_STR1); else write(wrLine, LABEL_STR); end if; if use_time /= "none" then for i in 0 to T_WIDTH loop write(wrLine, LABEL_C); end loop; end if; write(wrLine, CORNER_C); writeline(output,wrLine); write(wrLine, string'("| ")); if use_time /= "none" then if use_time = "fs" then write(wrLine,now, justified=>right,field =>T_WIDTH, unit=> fs ); elsif use_time = "ps" then write(wrLine,now, justified=>right,field =>T_WIDTH, unit=> ps ); elsif use_time = "us" then write(wrLine,now, justified=>right,field =>T_WIDTH, unit=> us ); elsif use_time = "ms" then write(wrLine,now, justified=>right,field =>T_WIDTH, unit=> ms ); else write(wrLine,now, justified=>right,field =>T_WIDTH, unit=> ns ); end if; write(wrLine, string'(" ")); end if; write(wrLine, string_in); if integer_in >= 0 then write(wrLine, string'(" ")); write(wrLine, integer_in); end if; write(wrLine, string'(" |")); writeline(output,wrLine); write(wrLine, CORNER_C); for i in string_in'range loop write(wrLine, LABEL_C); end loop; if integer_in >= 0 then for i in 0 to 9 loop if (integer_in / (10**i)) /= 0 then cnt := i; end if; end loop; for j in 0 to cnt loop write(wrLine, label_c); end loop; write(wrLine, LABEL_STR1); else write(wrLine, LABEL_STR); end if; if use_time /= "none" then for i in 0 to T_WIDTH loop write(wrLine, LABEL_C); end loop; end if; write(wrLine, CORNER_C); writeline(output,wrLine); end procedure write_label; ----------------------------------------------------------------------------------------------------------------------------------------- -- wait_clk: -- This procedure waits for the given amount of input clock cycles. ----------------------------------------------------------------------------------------------------------------------------------------- procedure wait_clk( signal clk : in std_logic; constant clk_cnt : in integer ) is begin for i in 1 to clk_cnt loop wait until rising_edge(clk); end loop; end procedure wait_clk; ----------------------------------------------------------------------------------------------------------------------------------------- -- write_s_slvec: -- This procedure prints std_logic_vector values in a way that collisions (e.g. 'X' or 'U') can be detected. ----------------------------------------------------------------------------------------------------------------------------------------- procedure write_s_slvec( string_in : in string; slvec_in : in std_logic_vector ) is variable l : line; begin write(l,string_in); write(l, std_ulogic_vector(slvec_in), justified => right, field => 10); writeline(output,l); end procedure write_s_slvec; end;
gpl-3.0
b7fc15382703833596c9f12acc763420
0.468134
4.655727
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_multiplier_function/_primary.vhd
5
3,682
library verilog; use verilog.vl_types.all; entity ama_multiplier_function is generic( width_data_in_a : integer := 1; width_data_in_b : integer := 1; width_data_out : integer := 1; number_of_multipliers: integer := 1; multiplier_input_representation_a: string := "UNSIGNED"; multiplier_input_representation_b: string := "UNSIGNED"; multiplier_register0: string := "UNREGISTERED"; multiplier_register1: string := "UNREGISTERED"; multiplier_register2: string := "UNREGISTERED"; multiplier_register3: string := "UNREGISTERED"; multiplier_aclr0: string := "NONE"; multiplier_aclr1: string := "NONE"; multiplier_aclr2: string := "NONE"; multiplier_aclr3: string := "NONE"; width_data_in_a_msb: vl_notype; width_data_in_b_msb: vl_notype; width_data_out_msb: vl_notype; width_mult_input_a: vl_notype; width_mult_input_a_msb: vl_notype; width_mult_input_b: vl_notype; width_mult_input_b_msb: vl_notype; width_mult_output: vl_notype ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); data_in_a0 : in vl_logic_vector; data_in_a1 : in vl_logic_vector; data_in_a2 : in vl_logic_vector; data_in_a3 : in vl_logic_vector; data_in_b0 : in vl_logic_vector; data_in_b1 : in vl_logic_vector; data_in_b2 : in vl_logic_vector; data_in_b3 : in vl_logic_vector; data_out_0 : out vl_logic_vector; data_out_1 : out vl_logic_vector; data_out_2 : out vl_logic_vector; data_out_3 : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_data_in_a : constant is 1; attribute mti_svvh_generic_type of width_data_in_b : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of multiplier_input_representation_a : constant is 1; attribute mti_svvh_generic_type of multiplier_input_representation_b : constant is 1; attribute mti_svvh_generic_type of multiplier_register0 : constant is 1; attribute mti_svvh_generic_type of multiplier_register1 : constant is 1; attribute mti_svvh_generic_type of multiplier_register2 : constant is 1; attribute mti_svvh_generic_type of multiplier_register3 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr0 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr1 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr2 : constant is 1; attribute mti_svvh_generic_type of multiplier_aclr3 : constant is 1; attribute mti_svvh_generic_type of width_data_in_a_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_b_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_input_a : constant is 3; attribute mti_svvh_generic_type of width_mult_input_a_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_input_b : constant is 3; attribute mti_svvh_generic_type of width_mult_input_b_msb : constant is 3; attribute mti_svvh_generic_type of width_mult_output : constant is 3; end ama_multiplier_function;
mit
88ab113af01b90d98be41e4d8d8702bb
0.641771
3.523445
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_arriav_pll/_primary.vhd
5
70,296
library verilog; use verilog.vl_types.all; entity altera_arriav_pll is generic( number_of_counters: integer := 18; number_of_fplls : integer := 1; number_of_extclks: integer := 4; number_of_dlls : integer := 2; number_of_lvds : integer := 4; pll_auto_clk_sw_en_0: string := "false"; pll_clk_loss_edge_0: string := "both_edges"; pll_clk_loss_sw_en_0: string := "false"; pll_clk_sw_dly_0: integer := 0; pll_clkin_0_src_0: string := "clk_0"; pll_clkin_1_src_0: string := "clk_0"; pll_manu_clk_sw_en_0: string := "false"; pll_sw_refclk_src_0: string := "clk_0"; pll_auto_clk_sw_en_1: string := "false"; pll_clk_loss_edge_1: string := "both_edges"; pll_clk_loss_sw_en_1: string := "false"; pll_clk_sw_dly_1: integer := 0; pll_clkin_0_src_1: string := "clk_1"; pll_clkin_1_src_1: string := "clk_1"; pll_manu_clk_sw_en_1: string := "false"; pll_sw_refclk_src_1: string := "clk_1"; pll_output_clock_frequency_0: string := "700.0 MHz"; reference_clock_frequency_0: string := "700.0 MHz"; mimic_fbclk_type_0: string := "gclk"; dsm_accumulator_reset_value_0: integer := 0; forcelock_0 : string := "false"; nreset_invert_0 : string := "false"; pll_atb_0 : integer := 0; pll_bwctrl_0 : integer := 1000; pll_cmp_buf_dly_0: string := "0 ps"; pll_cp_comp_0 : string := "true"; pll_cp_current_0: integer := 20; pll_ctrl_override_setting_0: string := "true"; pll_dsm_dither_0: string := "disable"; pll_dsm_out_sel_0: string := "disable"; pll_dsm_reset_0 : string := "false"; pll_ecn_bypass_0: string := "false"; pll_ecn_test_en_0: string := "false"; pll_enable_0 : string := "true"; pll_fbclk_mux_1_0: string := "fb"; pll_fbclk_mux_2_0: string := "m_cnt"; pll_fractional_carry_out_0: integer := 24; pll_fractional_division_0: integer := 1; pll_fractional_value_ready_0: string := "true"; pll_lf_testen_0 : string := "false"; pll_lock_fltr_cfg_0: integer := 25; pll_lock_fltr_test_0: string := "false"; pll_m_cnt_bypass_en_0: string := "false"; pll_m_cnt_coarse_dly_0: string := "0 ps"; pll_m_cnt_fine_dly_0: string := "0 ps"; pll_m_cnt_hi_div_0: integer := 3; pll_m_cnt_in_src_0: string := "ph_mux_clk"; pll_m_cnt_lo_div_0: integer := 3; pll_m_cnt_odd_div_duty_en_0: string := "false"; pll_m_cnt_ph_mux_prst_0: integer := 0; pll_m_cnt_prst_0: integer := 256; pll_n_cnt_bypass_en_0: string := "true"; pll_n_cnt_coarse_dly_0: string := "0 ps"; pll_n_cnt_fine_dly_0: string := "0 ps"; pll_n_cnt_hi_div_0: integer := 1; pll_n_cnt_lo_div_0: integer := 1; pll_n_cnt_odd_div_duty_en_0: string := "false"; pll_ref_buf_dly_0: string := "0 ps"; pll_reg_boost_0 : integer := 0; pll_regulator_bypass_0: string := "false"; pll_ripplecap_ctrl_0: integer := 0; pll_slf_rst_0 : string := "false"; pll_tclk_mux_en_0: string := "false"; pll_tclk_sel_0 : string := "n_src"; pll_test_enable_0: string := "false"; pll_testdn_enable_0: string := "false"; pll_testup_enable_0: string := "false"; pll_unlock_fltr_cfg_0: integer := 1; pll_vco_div_0 : integer := 0; pll_vco_ph0_en_0: string := "true"; pll_vco_ph1_en_0: string := "true"; pll_vco_ph2_en_0: string := "true"; pll_vco_ph3_en_0: string := "true"; pll_vco_ph4_en_0: string := "true"; pll_vco_ph5_en_0: string := "true"; pll_vco_ph6_en_0: string := "true"; pll_vco_ph7_en_0: string := "true"; pll_vctrl_test_voltage_0: integer := 750; vccd0g_atb_0 : string := "disable"; vccd0g_output_0 : integer := 0; vccd1g_atb_0 : string := "disable"; vccd1g_output_0 : integer := 0; vccm1g_tap_0 : integer := 2; vccr_pd_0 : string := "false"; vcodiv_override_0: string := "false"; sim_use_fast_model_0: string := "false"; pll_output_clock_frequency_1: string := "300.0 MHz"; reference_clock_frequency_1: string := "100.0 MHz"; mimic_fbclk_type_1: string := "gclk"; dsm_accumulator_reset_value_1: integer := 0; forcelock_1 : string := "false"; nreset_invert_1 : string := "false"; pll_atb_1 : integer := 0; pll_bwctrl_1 : integer := 1000; pll_cmp_buf_dly_1: string := "0 ps"; pll_cp_comp_1 : string := "true"; pll_cp_current_1: integer := 30; pll_ctrl_override_setting_1: string := "false"; pll_dsm_dither_1: string := "disable"; pll_dsm_out_sel_1: string := "disable"; pll_dsm_reset_1 : string := "false"; pll_ecn_bypass_1: string := "false"; pll_ecn_test_en_1: string := "false"; pll_enable_1 : string := "false"; pll_fbclk_mux_1_1: string := "glb"; pll_fbclk_mux_2_1: string := "fb_1"; pll_fractional_carry_out_1: integer := 24; pll_fractional_division_1: integer := 1; pll_fractional_value_ready_1: string := "true"; pll_lf_testen_1 : string := "false"; pll_lock_fltr_cfg_1: integer := 25; pll_lock_fltr_test_1: string := "false"; pll_m_cnt_bypass_en_1: string := "false"; pll_m_cnt_coarse_dly_1: string := "0 ps"; pll_m_cnt_fine_dly_1: string := "0 ps"; pll_m_cnt_hi_div_1: integer := 2; pll_m_cnt_in_src_1: string := "ph_mux_clk"; pll_m_cnt_lo_div_1: integer := 1; pll_m_cnt_odd_div_duty_en_1: string := "true"; pll_m_cnt_ph_mux_prst_1: integer := 0; pll_m_cnt_prst_1: integer := 256; pll_n_cnt_bypass_en_1: string := "true"; pll_n_cnt_coarse_dly_1: string := "0 ps"; pll_n_cnt_fine_dly_1: string := "0 ps"; pll_n_cnt_hi_div_1: integer := 256; pll_n_cnt_lo_div_1: integer := 256; pll_n_cnt_odd_div_duty_en_1: string := "false"; pll_ref_buf_dly_1: string := "0 ps"; pll_reg_boost_1 : integer := 0; pll_regulator_bypass_1: string := "false"; pll_ripplecap_ctrl_1: integer := 0; pll_slf_rst_1 : string := "false"; pll_tclk_mux_en_1: string := "false"; pll_tclk_sel_1 : string := "n_src"; pll_test_enable_1: string := "false"; pll_testdn_enable_1: string := "false"; pll_testup_enable_1: string := "false"; pll_unlock_fltr_cfg_1: integer := 2; pll_vco_div_1 : integer := 1; pll_vco_ph0_en_1: string := "true"; pll_vco_ph1_en_1: string := "true"; pll_vco_ph2_en_1: string := "true"; pll_vco_ph3_en_1: string := "true"; pll_vco_ph4_en_1: string := "true"; pll_vco_ph5_en_1: string := "true"; pll_vco_ph6_en_1: string := "true"; pll_vco_ph7_en_1: string := "true"; pll_vctrl_test_voltage_1: integer := 750; vccd0g_atb_1 : string := "disable"; vccd0g_output_1 : integer := 0; vccd1g_atb_1 : string := "disable"; vccd1g_output_1 : integer := 0; vccm1g_tap_1 : integer := 2; vccr_pd_1 : string := "false"; vcodiv_override_1: string := "false"; sim_use_fast_model_1: string := "false"; output_clock_frequency_0: string := "100.0 MHz"; enable_output_counter_0: string := "true"; phase_shift_0 : string := "0 ps"; duty_cycle_0 : integer := 50; c_cnt_coarse_dly_0: string := "0 ps"; c_cnt_fine_dly_0: string := "0 ps"; c_cnt_in_src_0 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_0: integer := 0; c_cnt_prst_0 : integer := 1; cnt_fpll_src_0 : string := "fpll_0"; dprio0_cnt_bypass_en_0: string := "true"; dprio0_cnt_hi_div_0: integer := 3; dprio0_cnt_lo_div_0: integer := 3; dprio0_cnt_odd_div_even_duty_en_0: string := "false"; dprio1_cnt_bypass_en_0: vl_notype; dprio1_cnt_hi_div_0: vl_notype; dprio1_cnt_lo_div_0: vl_notype; dprio1_cnt_odd_div_even_duty_en_0: vl_notype; output_clock_frequency_1: string := "0 ps"; enable_output_counter_1: string := "true"; phase_shift_1 : string := "0 ps"; duty_cycle_1 : integer := 50; c_cnt_coarse_dly_1: string := "0 ps"; c_cnt_fine_dly_1: string := "0 ps"; c_cnt_in_src_1 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_1: integer := 0; c_cnt_prst_1 : integer := 1; cnt_fpll_src_1 : string := "fpll_0"; dprio0_cnt_bypass_en_1: string := "true"; dprio0_cnt_hi_div_1: integer := 2; dprio0_cnt_lo_div_1: integer := 1; dprio0_cnt_odd_div_even_duty_en_1: string := "true"; dprio1_cnt_bypass_en_1: vl_notype; dprio1_cnt_hi_div_1: vl_notype; dprio1_cnt_lo_div_1: vl_notype; dprio1_cnt_odd_div_even_duty_en_1: vl_notype; output_clock_frequency_2: string := "0 ps"; enable_output_counter_2: string := "true"; phase_shift_2 : string := "0 ps"; duty_cycle_2 : integer := 50; c_cnt_coarse_dly_2: string := "0 ps"; c_cnt_fine_dly_2: string := "0 ps"; c_cnt_in_src_2 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_2: integer := 0; c_cnt_prst_2 : integer := 1; cnt_fpll_src_2 : string := "fpll_0"; dprio0_cnt_bypass_en_2: string := "true"; dprio0_cnt_hi_div_2: integer := 1; dprio0_cnt_lo_div_2: integer := 1; dprio0_cnt_odd_div_even_duty_en_2: string := "false"; dprio1_cnt_bypass_en_2: vl_notype; dprio1_cnt_hi_div_2: vl_notype; dprio1_cnt_lo_div_2: vl_notype; dprio1_cnt_odd_div_even_duty_en_2: vl_notype; output_clock_frequency_3: string := "0 ps"; enable_output_counter_3: string := "true"; phase_shift_3 : string := "0 ps"; duty_cycle_3 : integer := 50; c_cnt_coarse_dly_3: string := "0 ps"; c_cnt_fine_dly_3: string := "0 ps"; c_cnt_in_src_3 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_3: integer := 0; c_cnt_prst_3 : integer := 1; cnt_fpll_src_3 : string := "fpll_0"; dprio0_cnt_bypass_en_3: string := "false"; dprio0_cnt_hi_div_3: integer := 1; dprio0_cnt_lo_div_3: integer := 1; dprio0_cnt_odd_div_even_duty_en_3: string := "false"; dprio1_cnt_bypass_en_3: vl_notype; dprio1_cnt_hi_div_3: vl_notype; dprio1_cnt_lo_div_3: vl_notype; dprio1_cnt_odd_div_even_duty_en_3: vl_notype; output_clock_frequency_4: string := "0 ps"; enable_output_counter_4: string := "true"; phase_shift_4 : string := "0 ps"; duty_cycle_4 : integer := 50; c_cnt_coarse_dly_4: string := "0 ps"; c_cnt_fine_dly_4: string := "0 ps"; c_cnt_in_src_4 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_4: integer := 0; c_cnt_prst_4 : integer := 1; cnt_fpll_src_4 : string := "fpll_0"; dprio0_cnt_bypass_en_4: string := "false"; dprio0_cnt_hi_div_4: integer := 1; dprio0_cnt_lo_div_4: integer := 1; dprio0_cnt_odd_div_even_duty_en_4: string := "false"; dprio1_cnt_bypass_en_4: vl_notype; dprio1_cnt_hi_div_4: vl_notype; dprio1_cnt_lo_div_4: vl_notype; dprio1_cnt_odd_div_even_duty_en_4: vl_notype; output_clock_frequency_5: string := "0 ps"; enable_output_counter_5: string := "true"; phase_shift_5 : string := "0 ps"; duty_cycle_5 : integer := 50; c_cnt_coarse_dly_5: string := "0 ps"; c_cnt_fine_dly_5: string := "0 ps"; c_cnt_in_src_5 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_5: integer := 0; c_cnt_prst_5 : integer := 1; cnt_fpll_src_5 : string := "fpll_0"; dprio0_cnt_bypass_en_5: string := "false"; dprio0_cnt_hi_div_5: integer := 1; dprio0_cnt_lo_div_5: integer := 1; dprio0_cnt_odd_div_even_duty_en_5: string := "false"; dprio1_cnt_bypass_en_5: vl_notype; dprio1_cnt_hi_div_5: vl_notype; dprio1_cnt_lo_div_5: vl_notype; dprio1_cnt_odd_div_even_duty_en_5: vl_notype; output_clock_frequency_6: string := "0 ps"; enable_output_counter_6: string := "true"; phase_shift_6 : string := "0 ps"; duty_cycle_6 : integer := 50; c_cnt_coarse_dly_6: string := "0 ps"; c_cnt_fine_dly_6: string := "0 ps"; c_cnt_in_src_6 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_6: integer := 0; c_cnt_prst_6 : integer := 1; cnt_fpll_src_6 : string := "fpll_0"; dprio0_cnt_bypass_en_6: string := "false"; dprio0_cnt_hi_div_6: integer := 1; dprio0_cnt_lo_div_6: integer := 1; dprio0_cnt_odd_div_even_duty_en_6: string := "false"; dprio1_cnt_bypass_en_6: vl_notype; dprio1_cnt_hi_div_6: vl_notype; dprio1_cnt_lo_div_6: vl_notype; dprio1_cnt_odd_div_even_duty_en_6: vl_notype; output_clock_frequency_7: string := "0 ps"; enable_output_counter_7: string := "true"; phase_shift_7 : string := "0 ps"; duty_cycle_7 : integer := 50; c_cnt_coarse_dly_7: string := "0 ps"; c_cnt_fine_dly_7: string := "0 ps"; c_cnt_in_src_7 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_7: integer := 0; c_cnt_prst_7 : integer := 1; cnt_fpll_src_7 : string := "fpll_0"; dprio0_cnt_bypass_en_7: string := "false"; dprio0_cnt_hi_div_7: integer := 1; dprio0_cnt_lo_div_7: integer := 1; dprio0_cnt_odd_div_even_duty_en_7: string := "false"; dprio1_cnt_bypass_en_7: vl_notype; dprio1_cnt_hi_div_7: vl_notype; dprio1_cnt_lo_div_7: vl_notype; dprio1_cnt_odd_div_even_duty_en_7: vl_notype; output_clock_frequency_8: string := "0 ps"; enable_output_counter_8: string := "true"; phase_shift_8 : string := "0 ps"; duty_cycle_8 : integer := 50; c_cnt_coarse_dly_8: string := "0 ps"; c_cnt_fine_dly_8: string := "0 ps"; c_cnt_in_src_8 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_8: integer := 0; c_cnt_prst_8 : integer := 1; cnt_fpll_src_8 : string := "fpll_0"; dprio0_cnt_bypass_en_8: string := "false"; dprio0_cnt_hi_div_8: integer := 1; dprio0_cnt_lo_div_8: integer := 1; dprio0_cnt_odd_div_even_duty_en_8: string := "false"; dprio1_cnt_bypass_en_8: vl_notype; dprio1_cnt_hi_div_8: vl_notype; dprio1_cnt_lo_div_8: vl_notype; dprio1_cnt_odd_div_even_duty_en_8: vl_notype; output_clock_frequency_9: string := "0 ps"; enable_output_counter_9: string := "true"; phase_shift_9 : string := "0 ps"; duty_cycle_9 : integer := 50; c_cnt_coarse_dly_9: string := "0 ps"; c_cnt_fine_dly_9: string := "0 ps"; c_cnt_in_src_9 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_9: integer := 0; c_cnt_prst_9 : integer := 1; cnt_fpll_src_9 : string := "fpll_0"; dprio0_cnt_bypass_en_9: string := "false"; dprio0_cnt_hi_div_9: integer := 1; dprio0_cnt_lo_div_9: integer := 1; dprio0_cnt_odd_div_even_duty_en_9: string := "false"; dprio1_cnt_bypass_en_9: vl_notype; dprio1_cnt_hi_div_9: vl_notype; dprio1_cnt_lo_div_9: vl_notype; dprio1_cnt_odd_div_even_duty_en_9: vl_notype; output_clock_frequency_10: string := "0 ps"; enable_output_counter_10: string := "true"; phase_shift_10 : string := "0 ps"; duty_cycle_10 : integer := 50; c_cnt_coarse_dly_10: string := "0 ps"; c_cnt_fine_dly_10: string := "0 ps"; c_cnt_in_src_10 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_10: integer := 0; c_cnt_prst_10 : integer := 1; cnt_fpll_src_10 : string := "fpll_0"; dprio0_cnt_bypass_en_10: string := "false"; dprio0_cnt_hi_div_10: integer := 1; dprio0_cnt_lo_div_10: integer := 1; dprio0_cnt_odd_div_even_duty_en_10: string := "false"; dprio1_cnt_bypass_en_10: vl_notype; dprio1_cnt_hi_div_10: vl_notype; dprio1_cnt_lo_div_10: vl_notype; dprio1_cnt_odd_div_even_duty_en_10: vl_notype; output_clock_frequency_11: string := "0 ps"; enable_output_counter_11: string := "true"; phase_shift_11 : string := "0 ps"; duty_cycle_11 : integer := 50; c_cnt_coarse_dly_11: string := "0 ps"; c_cnt_fine_dly_11: string := "0 ps"; c_cnt_in_src_11 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_11: integer := 0; c_cnt_prst_11 : integer := 1; cnt_fpll_src_11 : string := "fpll_0"; dprio0_cnt_bypass_en_11: string := "false"; dprio0_cnt_hi_div_11: integer := 1; dprio0_cnt_lo_div_11: integer := 1; dprio0_cnt_odd_div_even_duty_en_11: string := "false"; dprio1_cnt_bypass_en_11: vl_notype; dprio1_cnt_hi_div_11: vl_notype; dprio1_cnt_lo_div_11: vl_notype; dprio1_cnt_odd_div_even_duty_en_11: vl_notype; output_clock_frequency_12: string := "0 ps"; enable_output_counter_12: string := "true"; phase_shift_12 : string := "0 ps"; duty_cycle_12 : integer := 50; c_cnt_coarse_dly_12: string := "0 ps"; c_cnt_fine_dly_12: string := "0 ps"; c_cnt_in_src_12 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_12: integer := 0; c_cnt_prst_12 : integer := 1; cnt_fpll_src_12 : string := "fpll_0"; dprio0_cnt_bypass_en_12: string := "false"; dprio0_cnt_hi_div_12: integer := 1; dprio0_cnt_lo_div_12: integer := 1; dprio0_cnt_odd_div_even_duty_en_12: string := "false"; dprio1_cnt_bypass_en_12: vl_notype; dprio1_cnt_hi_div_12: vl_notype; dprio1_cnt_lo_div_12: vl_notype; dprio1_cnt_odd_div_even_duty_en_12: vl_notype; output_clock_frequency_13: string := "0 ps"; enable_output_counter_13: string := "true"; phase_shift_13 : string := "0 ps"; duty_cycle_13 : integer := 50; c_cnt_coarse_dly_13: string := "0 ps"; c_cnt_fine_dly_13: string := "0 ps"; c_cnt_in_src_13 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_13: integer := 0; c_cnt_prst_13 : integer := 1; cnt_fpll_src_13 : string := "fpll_0"; dprio0_cnt_bypass_en_13: string := "false"; dprio0_cnt_hi_div_13: integer := 1; dprio0_cnt_lo_div_13: integer := 1; dprio0_cnt_odd_div_even_duty_en_13: string := "false"; dprio1_cnt_bypass_en_13: vl_notype; dprio1_cnt_hi_div_13: vl_notype; dprio1_cnt_lo_div_13: vl_notype; dprio1_cnt_odd_div_even_duty_en_13: vl_notype; output_clock_frequency_14: string := "0 ps"; enable_output_counter_14: string := "true"; phase_shift_14 : string := "0 ps"; duty_cycle_14 : integer := 50; c_cnt_coarse_dly_14: string := "0 ps"; c_cnt_fine_dly_14: string := "0 ps"; c_cnt_in_src_14 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_14: integer := 0; c_cnt_prst_14 : integer := 1; cnt_fpll_src_14 : string := "fpll_0"; dprio0_cnt_bypass_en_14: string := "false"; dprio0_cnt_hi_div_14: integer := 1; dprio0_cnt_lo_div_14: integer := 1; dprio0_cnt_odd_div_even_duty_en_14: string := "false"; dprio1_cnt_bypass_en_14: vl_notype; dprio1_cnt_hi_div_14: vl_notype; dprio1_cnt_lo_div_14: vl_notype; dprio1_cnt_odd_div_even_duty_en_14: vl_notype; output_clock_frequency_15: string := "0 ps"; enable_output_counter_15: string := "true"; phase_shift_15 : string := "0 ps"; duty_cycle_15 : integer := 50; c_cnt_coarse_dly_15: string := "0 ps"; c_cnt_fine_dly_15: string := "0 ps"; c_cnt_in_src_15 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_15: integer := 0; c_cnt_prst_15 : integer := 1; cnt_fpll_src_15 : string := "fpll_0"; dprio0_cnt_bypass_en_15: string := "false"; dprio0_cnt_hi_div_15: integer := 1; dprio0_cnt_lo_div_15: integer := 1; dprio0_cnt_odd_div_even_duty_en_15: string := "false"; dprio1_cnt_bypass_en_15: vl_notype; dprio1_cnt_hi_div_15: vl_notype; dprio1_cnt_lo_div_15: vl_notype; dprio1_cnt_odd_div_even_duty_en_15: vl_notype; output_clock_frequency_16: string := "0 ps"; enable_output_counter_16: string := "true"; phase_shift_16 : string := "0 ps"; duty_cycle_16 : integer := 50; c_cnt_coarse_dly_16: string := "0 ps"; c_cnt_fine_dly_16: string := "0 ps"; c_cnt_in_src_16 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_16: integer := 0; c_cnt_prst_16 : integer := 1; cnt_fpll_src_16 : string := "fpll_0"; dprio0_cnt_bypass_en_16: string := "false"; dprio0_cnt_hi_div_16: integer := 1; dprio0_cnt_lo_div_16: integer := 1; dprio0_cnt_odd_div_even_duty_en_16: string := "false"; dprio1_cnt_bypass_en_16: vl_notype; dprio1_cnt_hi_div_16: vl_notype; dprio1_cnt_lo_div_16: vl_notype; dprio1_cnt_odd_div_even_duty_en_16: vl_notype; output_clock_frequency_17: string := "0 ps"; enable_output_counter_17: string := "true"; phase_shift_17 : string := "0 ps"; duty_cycle_17 : integer := 50; c_cnt_coarse_dly_17: string := "0 ps"; c_cnt_fine_dly_17: string := "0 ps"; c_cnt_in_src_17 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_17: integer := 0; c_cnt_prst_17 : integer := 1; cnt_fpll_src_17 : string := "fpll_0"; dprio0_cnt_bypass_en_17: string := "false"; dprio0_cnt_hi_div_17: integer := 1; dprio0_cnt_lo_div_17: integer := 1; dprio0_cnt_odd_div_even_duty_en_17: string := "false"; dprio1_cnt_bypass_en_17: vl_notype; dprio1_cnt_hi_div_17: vl_notype; dprio1_cnt_lo_div_17: vl_notype; dprio1_cnt_odd_div_even_duty_en_17: vl_notype; dpa_output_clock_frequency_0: string := "0 ps"; pll_vcoph_div_0 : integer := 1; dpa_output_clock_frequency_1: string := "0 ps"; pll_vcoph_div_1 : integer := 1; enable_extclk_output_0: string := "false"; pll_extclk_cnt_src_0: string := "m0_cnt"; pll_extclk_enable_0: string := "true"; pll_extclk_invert_0: string := "false"; enable_extclk_output_1: string := "false"; pll_extclk_cnt_src_1: string := "vss"; pll_extclk_enable_1: string := "true"; pll_extclk_invert_1: string := "false"; enable_extclk_output_2: string := "false"; pll_extclk_cnt_src_2: string := "vss"; pll_extclk_enable_2: string := "true"; pll_extclk_invert_2: string := "false"; enable_extclk_output_3: string := "false"; pll_extclk_cnt_src_3: string := "vss"; pll_extclk_enable_3: string := "true"; pll_extclk_invert_3: string := "false"; enable_dll_output_0: string := "false"; pll_dll_src_value_0: string := "vss"; enable_dll_output_1: string := "false"; pll_dll_src_value_1: string := "vss"; enable_lvds_output_0: string := "false"; pll_loaden_coarse_dly_0: string := "0 ps"; pll_loaden_enable_disable_0: string := "true"; pll_loaden_fine_dly_0: string := "0 ps"; pll_lvdsclk_coarse_dly_0: string := "0 ps"; pll_lvdsclk_enable_disable_0: string := "true"; pll_lvdsclk_fine_dly_0: string := "0 ps"; enable_lvds_output_1: string := "false"; pll_loaden_coarse_dly_1: string := "0 ps"; pll_loaden_enable_disable_1: string := "true"; pll_loaden_fine_dly_1: string := "0 ps"; pll_lvdsclk_coarse_dly_1: string := "0 ps"; pll_lvdsclk_enable_disable_1: string := "true"; pll_lvdsclk_fine_dly_1: string := "0 ps"; enable_lvds_output_2: string := "false"; pll_loaden_coarse_dly_2: string := "0 ps"; pll_loaden_enable_disable_2: string := "true"; pll_loaden_fine_dly_2: string := "0 ps"; pll_lvdsclk_coarse_dly_2: string := "0 ps"; pll_lvdsclk_enable_disable_2: string := "true"; pll_lvdsclk_fine_dly_2: string := "0 ps"; enable_lvds_output_3: string := "false"; pll_loaden_coarse_dly_3: string := "0 ps"; pll_loaden_enable_disable_3: string := "true"; pll_loaden_fine_dly_3: string := "0 ps"; pll_lvdsclk_coarse_dly_3: string := "0 ps"; pll_lvdsclk_enable_disable_3: string := "true"; pll_lvdsclk_fine_dly_3: string := "0 ps" ); port( phout_0 : out vl_logic_vector(7 downto 0); phout_1 : out vl_logic_vector(7 downto 0); adjpllin : in vl_logic_vector; cclk : in vl_logic_vector; coreclkin : in vl_logic_vector; extswitch : in vl_logic_vector; iqtxrxclkin : in vl_logic_vector; plliqclkin : in vl_logic_vector; rxiqclkin : in vl_logic_vector; clkin : in vl_logic_vector(3 downto 0); refiqclk_0 : in vl_logic_vector(1 downto 0); refiqclk_1 : in vl_logic_vector(1 downto 0); clk0bad : out vl_logic_vector; clk1bad : out vl_logic_vector; pllclksel : out vl_logic_vector; atpgmode : in vl_logic_vector; clk : in vl_logic_vector; fpllcsrtest : in vl_logic_vector; iocsrclkin : in vl_logic_vector; iocsrdatain : in vl_logic_vector; iocsren : in vl_logic_vector; iocsrrstn : in vl_logic_vector; mdiodis : in vl_logic_vector; phaseen : in vl_logic_vector; read : in vl_logic_vector; rstn : in vl_logic_vector; scanen : in vl_logic_vector; sershiftload : in vl_logic_vector; shiftdonei : in vl_logic_vector; updn : in vl_logic_vector; write : in vl_logic_vector; addr_0 : in vl_logic_vector(5 downto 0); addr_1 : in vl_logic_vector(5 downto 0); byteen_0 : in vl_logic_vector(1 downto 0); byteen_1 : in vl_logic_vector(1 downto 0); cntsel_0 : in vl_logic_vector(4 downto 0); cntsel_1 : in vl_logic_vector(4 downto 0); din_0 : in vl_logic_vector(15 downto 0); din_1 : in vl_logic_vector(15 downto 0); blockselect : out vl_logic_vector; iocsrdataout : out vl_logic_vector; iocsrenbuf : out vl_logic_vector; iocsrrstnbuf : out vl_logic_vector; phasedone : out vl_logic_vector; dout_0 : out vl_logic_vector(15 downto 0); dout_1 : out vl_logic_vector(15 downto 0); dprioout_0 : out vl_logic_vector(815 downto 0); dprioout_1 : out vl_logic_vector(815 downto 0); fbclkfpll : in vl_logic_vector; lvdfbin : in vl_logic_vector; nresync : in vl_logic_vector; pfden : in vl_logic_vector; shiften_fpll : in vl_logic_vector; zdb : in vl_logic_vector; fblvdsout : out vl_logic_vector; lock : out vl_logic_vector; mcntout : out vl_logic_vector; plniotribuf : out vl_logic_vector; clken : in vl_logic_vector; extclk : out vl_logic_vector; dll_clkin : in vl_logic_vector; clkout : out vl_logic_vector; loaden : out vl_logic_vector; lvdsclk : out vl_logic_vector; divclk : out vl_logic_vector; cascade_out : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of number_of_counters : constant is 1; attribute mti_svvh_generic_type of number_of_fplls : constant is 1; attribute mti_svvh_generic_type of number_of_extclks : constant is 1; attribute mti_svvh_generic_type of number_of_dlls : constant is 1; attribute mti_svvh_generic_type of number_of_lvds : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type_0 : constant is 1; attribute mti_svvh_generic_type of dsm_accumulator_reset_value_0 : constant is 1; attribute mti_svvh_generic_type of forcelock_0 : constant is 1; attribute mti_svvh_generic_type of nreset_invert_0 : constant is 1; attribute mti_svvh_generic_type of pll_atb_0 : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_cmp_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_comp_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_current_0 : constant is 1; attribute mti_svvh_generic_type of pll_ctrl_override_setting_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_dither_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_reset_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_test_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_carry_out_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_value_ready_0 : constant is 1; attribute mti_svvh_generic_type of pll_lf_testen_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_test_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_ref_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_reg_boost_0 : constant is 1; attribute mti_svvh_generic_type of pll_regulator_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ripplecap_ctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_slf_rst_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_mux_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_test_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testdn_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testup_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_unlock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vctrl_test_voltage_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccm1g_tap_0 : constant is 1; attribute mti_svvh_generic_type of vccr_pd_0 : constant is 1; attribute mti_svvh_generic_type of vcodiv_override_0 : constant is 1; attribute mti_svvh_generic_type of sim_use_fast_model_0 : constant is 1; attribute mti_svvh_generic_type of pll_output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type_1 : constant is 1; attribute mti_svvh_generic_type of dsm_accumulator_reset_value_1 : constant is 1; attribute mti_svvh_generic_type of forcelock_1 : constant is 1; attribute mti_svvh_generic_type of nreset_invert_1 : constant is 1; attribute mti_svvh_generic_type of pll_atb_1 : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl_1 : constant is 1; attribute mti_svvh_generic_type of pll_cmp_buf_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_cp_comp_1 : constant is 1; attribute mti_svvh_generic_type of pll_cp_current_1 : constant is 1; attribute mti_svvh_generic_type of pll_ctrl_override_setting_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_dither_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_reset_1 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_bypass_1 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_test_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_carry_out_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_value_ready_1 : constant is 1; attribute mti_svvh_generic_type of pll_lf_testen_1 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_cfg_1 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_test_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_odd_div_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_ph_mux_prst_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_prst_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_odd_div_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_ref_buf_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_reg_boost_1 : constant is 1; attribute mti_svvh_generic_type of pll_regulator_bypass_1 : constant is 1; attribute mti_svvh_generic_type of pll_ripplecap_ctrl_1 : constant is 1; attribute mti_svvh_generic_type of pll_slf_rst_1 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_mux_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_sel_1 : constant is 1; attribute mti_svvh_generic_type of pll_test_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_testdn_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_testup_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_unlock_fltr_cfg_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vctrl_test_voltage_1 : constant is 1; attribute mti_svvh_generic_type of vccd0g_atb_1 : constant is 1; attribute mti_svvh_generic_type of vccd0g_output_1 : constant is 1; attribute mti_svvh_generic_type of vccd1g_atb_1 : constant is 1; attribute mti_svvh_generic_type of vccd1g_output_1 : constant is 1; attribute mti_svvh_generic_type of vccm1g_tap_1 : constant is 1; attribute mti_svvh_generic_type of vccr_pd_1 : constant is 1; attribute mti_svvh_generic_type of vcodiv_override_1 : constant is 1; attribute mti_svvh_generic_type of sim_use_fast_model_1 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_0 : constant is 1; attribute mti_svvh_generic_type of phase_shift_0 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_0 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_1 : constant is 1; attribute mti_svvh_generic_type of phase_shift_1 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_1 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_1 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_2 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_2 : constant is 1; attribute mti_svvh_generic_type of phase_shift_2 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_2 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_2 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_3 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_3 : constant is 1; attribute mti_svvh_generic_type of phase_shift_3 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_3 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_3 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_4 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_4 : constant is 1; attribute mti_svvh_generic_type of phase_shift_4 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_4 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_4 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_5 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_5 : constant is 1; attribute mti_svvh_generic_type of phase_shift_5 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_5 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_5 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_6 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_6 : constant is 1; attribute mti_svvh_generic_type of phase_shift_6 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_6 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_6 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_7 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_7 : constant is 1; attribute mti_svvh_generic_type of phase_shift_7 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_7 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_7 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_8 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_8 : constant is 1; attribute mti_svvh_generic_type of phase_shift_8 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_8 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_8 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_9 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_9 : constant is 1; attribute mti_svvh_generic_type of phase_shift_9 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_9 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_9 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_9 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_10 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_10 : constant is 1; attribute mti_svvh_generic_type of phase_shift_10 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_10 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_10 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_10 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_11 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_11 : constant is 1; attribute mti_svvh_generic_type of phase_shift_11 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_11 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_11 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_11 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_12 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_12 : constant is 1; attribute mti_svvh_generic_type of phase_shift_12 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_12 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_12 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_12 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_13 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_13 : constant is 1; attribute mti_svvh_generic_type of phase_shift_13 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_13 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_13 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_13 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_14 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_14 : constant is 1; attribute mti_svvh_generic_type of phase_shift_14 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_14 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_14 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_14 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_15 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_15 : constant is 1; attribute mti_svvh_generic_type of phase_shift_15 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_15 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_15 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_15 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_16 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_16 : constant is 1; attribute mti_svvh_generic_type of phase_shift_16 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_16 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_16 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_16 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_17 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_17 : constant is 1; attribute mti_svvh_generic_type of phase_shift_17 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_17 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_17 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_17 : constant is 3; attribute mti_svvh_generic_type of dpa_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div_0 : constant is 1; attribute mti_svvh_generic_type of dpa_output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div_1 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_0 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_1 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_2 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_3 : constant is 1; attribute mti_svvh_generic_type of enable_dll_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_dll_src_value_0 : constant is 1; attribute mti_svvh_generic_type of enable_dll_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_dll_src_value_1 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_3 : constant is 1; end altera_arriav_pll;
mit
4b585503838e6a93a3cff92b16a47201
0.643749
3.061806
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_stratixv_pll/_primary.vhd
5
70,300
library verilog; use verilog.vl_types.all; entity altera_stratixv_pll is generic( number_of_counters: integer := 18; number_of_fplls : integer := 1; number_of_extclks: integer := 4; number_of_dlls : integer := 2; number_of_lvds : integer := 4; pll_auto_clk_sw_en_0: string := "false"; pll_clk_loss_edge_0: string := "both_edges"; pll_clk_loss_sw_en_0: string := "false"; pll_clk_sw_dly_0: integer := 0; pll_clkin_0_src_0: string := "clk_0"; pll_clkin_1_src_0: string := "clk_0"; pll_manu_clk_sw_en_0: string := "false"; pll_sw_refclk_src_0: string := "clk_0"; pll_auto_clk_sw_en_1: string := "false"; pll_clk_loss_edge_1: string := "both_edges"; pll_clk_loss_sw_en_1: string := "false"; pll_clk_sw_dly_1: integer := 0; pll_clkin_0_src_1: string := "clk_1"; pll_clkin_1_src_1: string := "clk_1"; pll_manu_clk_sw_en_1: string := "false"; pll_sw_refclk_src_1: string := "clk_1"; pll_output_clock_frequency_0: string := "700.0 MHz"; reference_clock_frequency_0: string := "700.0 MHz"; mimic_fbclk_type_0: string := "gclk"; dsm_accumulator_reset_value_0: integer := 0; forcelock_0 : string := "false"; nreset_invert_0 : string := "false"; pll_atb_0 : integer := 0; pll_bwctrl_0 : integer := 1000; pll_cmp_buf_dly_0: string := "0 ps"; pll_cp_comp_0 : string := "true"; pll_cp_current_0: integer := 20; pll_ctrl_override_setting_0: string := "true"; pll_dsm_dither_0: string := "disable"; pll_dsm_out_sel_0: string := "disable"; pll_dsm_reset_0 : string := "false"; pll_ecn_bypass_0: string := "false"; pll_ecn_test_en_0: string := "false"; pll_enable_0 : string := "true"; pll_fbclk_mux_1_0: string := "fb"; pll_fbclk_mux_2_0: string := "m_cnt"; pll_fractional_carry_out_0: integer := 24; pll_fractional_division_0: integer := 1; pll_fractional_value_ready_0: string := "true"; pll_lf_testen_0 : string := "false"; pll_lock_fltr_cfg_0: integer := 25; pll_lock_fltr_test_0: string := "false"; pll_m_cnt_bypass_en_0: string := "false"; pll_m_cnt_coarse_dly_0: string := "0 ps"; pll_m_cnt_fine_dly_0: string := "0 ps"; pll_m_cnt_hi_div_0: integer := 3; pll_m_cnt_in_src_0: string := "ph_mux_clk"; pll_m_cnt_lo_div_0: integer := 3; pll_m_cnt_odd_div_duty_en_0: string := "false"; pll_m_cnt_ph_mux_prst_0: integer := 0; pll_m_cnt_prst_0: integer := 256; pll_n_cnt_bypass_en_0: string := "true"; pll_n_cnt_coarse_dly_0: string := "0 ps"; pll_n_cnt_fine_dly_0: string := "0 ps"; pll_n_cnt_hi_div_0: integer := 1; pll_n_cnt_lo_div_0: integer := 1; pll_n_cnt_odd_div_duty_en_0: string := "false"; pll_ref_buf_dly_0: string := "0 ps"; pll_reg_boost_0 : integer := 0; pll_regulator_bypass_0: string := "false"; pll_ripplecap_ctrl_0: integer := 0; pll_slf_rst_0 : string := "false"; pll_tclk_mux_en_0: string := "false"; pll_tclk_sel_0 : string := "n_src"; pll_test_enable_0: string := "false"; pll_testdn_enable_0: string := "false"; pll_testup_enable_0: string := "false"; pll_unlock_fltr_cfg_0: integer := 1; pll_vco_div_0 : integer := 0; pll_vco_ph0_en_0: string := "true"; pll_vco_ph1_en_0: string := "true"; pll_vco_ph2_en_0: string := "true"; pll_vco_ph3_en_0: string := "true"; pll_vco_ph4_en_0: string := "true"; pll_vco_ph5_en_0: string := "true"; pll_vco_ph6_en_0: string := "true"; pll_vco_ph7_en_0: string := "true"; pll_vctrl_test_voltage_0: integer := 750; vccd0g_atb_0 : string := "disable"; vccd0g_output_0 : integer := 0; vccd1g_atb_0 : string := "disable"; vccd1g_output_0 : integer := 0; vccm1g_tap_0 : integer := 2; vccr_pd_0 : string := "false"; vcodiv_override_0: string := "false"; sim_use_fast_model_0: string := "false"; pll_output_clock_frequency_1: string := "300.0 MHz"; reference_clock_frequency_1: string := "100.0 MHz"; mimic_fbclk_type_1: string := "gclk"; dsm_accumulator_reset_value_1: integer := 0; forcelock_1 : string := "false"; nreset_invert_1 : string := "false"; pll_atb_1 : integer := 0; pll_bwctrl_1 : integer := 1000; pll_cmp_buf_dly_1: string := "0 ps"; pll_cp_comp_1 : string := "true"; pll_cp_current_1: integer := 30; pll_ctrl_override_setting_1: string := "false"; pll_dsm_dither_1: string := "disable"; pll_dsm_out_sel_1: string := "disable"; pll_dsm_reset_1 : string := "false"; pll_ecn_bypass_1: string := "false"; pll_ecn_test_en_1: string := "false"; pll_enable_1 : string := "false"; pll_fbclk_mux_1_1: string := "glb"; pll_fbclk_mux_2_1: string := "fb_1"; pll_fractional_carry_out_1: integer := 24; pll_fractional_division_1: integer := 1; pll_fractional_value_ready_1: string := "true"; pll_lf_testen_1 : string := "false"; pll_lock_fltr_cfg_1: integer := 25; pll_lock_fltr_test_1: string := "false"; pll_m_cnt_bypass_en_1: string := "false"; pll_m_cnt_coarse_dly_1: string := "0 ps"; pll_m_cnt_fine_dly_1: string := "0 ps"; pll_m_cnt_hi_div_1: integer := 2; pll_m_cnt_in_src_1: string := "ph_mux_clk"; pll_m_cnt_lo_div_1: integer := 1; pll_m_cnt_odd_div_duty_en_1: string := "true"; pll_m_cnt_ph_mux_prst_1: integer := 0; pll_m_cnt_prst_1: integer := 256; pll_n_cnt_bypass_en_1: string := "true"; pll_n_cnt_coarse_dly_1: string := "0 ps"; pll_n_cnt_fine_dly_1: string := "0 ps"; pll_n_cnt_hi_div_1: integer := 256; pll_n_cnt_lo_div_1: integer := 256; pll_n_cnt_odd_div_duty_en_1: string := "false"; pll_ref_buf_dly_1: string := "0 ps"; pll_reg_boost_1 : integer := 0; pll_regulator_bypass_1: string := "false"; pll_ripplecap_ctrl_1: integer := 0; pll_slf_rst_1 : string := "false"; pll_tclk_mux_en_1: string := "false"; pll_tclk_sel_1 : string := "n_src"; pll_test_enable_1: string := "false"; pll_testdn_enable_1: string := "false"; pll_testup_enable_1: string := "false"; pll_unlock_fltr_cfg_1: integer := 2; pll_vco_div_1 : integer := 1; pll_vco_ph0_en_1: string := "true"; pll_vco_ph1_en_1: string := "true"; pll_vco_ph2_en_1: string := "true"; pll_vco_ph3_en_1: string := "true"; pll_vco_ph4_en_1: string := "true"; pll_vco_ph5_en_1: string := "true"; pll_vco_ph6_en_1: string := "true"; pll_vco_ph7_en_1: string := "true"; pll_vctrl_test_voltage_1: integer := 750; vccd0g_atb_1 : string := "disable"; vccd0g_output_1 : integer := 0; vccd1g_atb_1 : string := "disable"; vccd1g_output_1 : integer := 0; vccm1g_tap_1 : integer := 2; vccr_pd_1 : string := "false"; vcodiv_override_1: string := "false"; sim_use_fast_model_1: string := "false"; output_clock_frequency_0: string := "100.0 MHz"; enable_output_counter_0: string := "true"; phase_shift_0 : string := "0 ps"; duty_cycle_0 : integer := 50; c_cnt_coarse_dly_0: string := "0 ps"; c_cnt_fine_dly_0: string := "0 ps"; c_cnt_in_src_0 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_0: integer := 0; c_cnt_prst_0 : integer := 1; cnt_fpll_src_0 : string := "fpll_0"; dprio0_cnt_bypass_en_0: string := "true"; dprio0_cnt_hi_div_0: integer := 3; dprio0_cnt_lo_div_0: integer := 3; dprio0_cnt_odd_div_even_duty_en_0: string := "false"; dprio1_cnt_bypass_en_0: vl_notype; dprio1_cnt_hi_div_0: vl_notype; dprio1_cnt_lo_div_0: vl_notype; dprio1_cnt_odd_div_even_duty_en_0: vl_notype; output_clock_frequency_1: string := "0 ps"; enable_output_counter_1: string := "true"; phase_shift_1 : string := "0 ps"; duty_cycle_1 : integer := 50; c_cnt_coarse_dly_1: string := "0 ps"; c_cnt_fine_dly_1: string := "0 ps"; c_cnt_in_src_1 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_1: integer := 0; c_cnt_prst_1 : integer := 1; cnt_fpll_src_1 : string := "fpll_0"; dprio0_cnt_bypass_en_1: string := "true"; dprio0_cnt_hi_div_1: integer := 2; dprio0_cnt_lo_div_1: integer := 1; dprio0_cnt_odd_div_even_duty_en_1: string := "true"; dprio1_cnt_bypass_en_1: vl_notype; dprio1_cnt_hi_div_1: vl_notype; dprio1_cnt_lo_div_1: vl_notype; dprio1_cnt_odd_div_even_duty_en_1: vl_notype; output_clock_frequency_2: string := "0 ps"; enable_output_counter_2: string := "true"; phase_shift_2 : string := "0 ps"; duty_cycle_2 : integer := 50; c_cnt_coarse_dly_2: string := "0 ps"; c_cnt_fine_dly_2: string := "0 ps"; c_cnt_in_src_2 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_2: integer := 0; c_cnt_prst_2 : integer := 1; cnt_fpll_src_2 : string := "fpll_0"; dprio0_cnt_bypass_en_2: string := "true"; dprio0_cnt_hi_div_2: integer := 1; dprio0_cnt_lo_div_2: integer := 1; dprio0_cnt_odd_div_even_duty_en_2: string := "false"; dprio1_cnt_bypass_en_2: vl_notype; dprio1_cnt_hi_div_2: vl_notype; dprio1_cnt_lo_div_2: vl_notype; dprio1_cnt_odd_div_even_duty_en_2: vl_notype; output_clock_frequency_3: string := "0 ps"; enable_output_counter_3: string := "true"; phase_shift_3 : string := "0 ps"; duty_cycle_3 : integer := 50; c_cnt_coarse_dly_3: string := "0 ps"; c_cnt_fine_dly_3: string := "0 ps"; c_cnt_in_src_3 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_3: integer := 0; c_cnt_prst_3 : integer := 1; cnt_fpll_src_3 : string := "fpll_0"; dprio0_cnt_bypass_en_3: string := "false"; dprio0_cnt_hi_div_3: integer := 1; dprio0_cnt_lo_div_3: integer := 1; dprio0_cnt_odd_div_even_duty_en_3: string := "false"; dprio1_cnt_bypass_en_3: vl_notype; dprio1_cnt_hi_div_3: vl_notype; dprio1_cnt_lo_div_3: vl_notype; dprio1_cnt_odd_div_even_duty_en_3: vl_notype; output_clock_frequency_4: string := "0 ps"; enable_output_counter_4: string := "true"; phase_shift_4 : string := "0 ps"; duty_cycle_4 : integer := 50; c_cnt_coarse_dly_4: string := "0 ps"; c_cnt_fine_dly_4: string := "0 ps"; c_cnt_in_src_4 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_4: integer := 0; c_cnt_prst_4 : integer := 1; cnt_fpll_src_4 : string := "fpll_0"; dprio0_cnt_bypass_en_4: string := "false"; dprio0_cnt_hi_div_4: integer := 1; dprio0_cnt_lo_div_4: integer := 1; dprio0_cnt_odd_div_even_duty_en_4: string := "false"; dprio1_cnt_bypass_en_4: vl_notype; dprio1_cnt_hi_div_4: vl_notype; dprio1_cnt_lo_div_4: vl_notype; dprio1_cnt_odd_div_even_duty_en_4: vl_notype; output_clock_frequency_5: string := "0 ps"; enable_output_counter_5: string := "true"; phase_shift_5 : string := "0 ps"; duty_cycle_5 : integer := 50; c_cnt_coarse_dly_5: string := "0 ps"; c_cnt_fine_dly_5: string := "0 ps"; c_cnt_in_src_5 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_5: integer := 0; c_cnt_prst_5 : integer := 1; cnt_fpll_src_5 : string := "fpll_0"; dprio0_cnt_bypass_en_5: string := "false"; dprio0_cnt_hi_div_5: integer := 1; dprio0_cnt_lo_div_5: integer := 1; dprio0_cnt_odd_div_even_duty_en_5: string := "false"; dprio1_cnt_bypass_en_5: vl_notype; dprio1_cnt_hi_div_5: vl_notype; dprio1_cnt_lo_div_5: vl_notype; dprio1_cnt_odd_div_even_duty_en_5: vl_notype; output_clock_frequency_6: string := "0 ps"; enable_output_counter_6: string := "true"; phase_shift_6 : string := "0 ps"; duty_cycle_6 : integer := 50; c_cnt_coarse_dly_6: string := "0 ps"; c_cnt_fine_dly_6: string := "0 ps"; c_cnt_in_src_6 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_6: integer := 0; c_cnt_prst_6 : integer := 1; cnt_fpll_src_6 : string := "fpll_0"; dprio0_cnt_bypass_en_6: string := "false"; dprio0_cnt_hi_div_6: integer := 1; dprio0_cnt_lo_div_6: integer := 1; dprio0_cnt_odd_div_even_duty_en_6: string := "false"; dprio1_cnt_bypass_en_6: vl_notype; dprio1_cnt_hi_div_6: vl_notype; dprio1_cnt_lo_div_6: vl_notype; dprio1_cnt_odd_div_even_duty_en_6: vl_notype; output_clock_frequency_7: string := "0 ps"; enable_output_counter_7: string := "true"; phase_shift_7 : string := "0 ps"; duty_cycle_7 : integer := 50; c_cnt_coarse_dly_7: string := "0 ps"; c_cnt_fine_dly_7: string := "0 ps"; c_cnt_in_src_7 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_7: integer := 0; c_cnt_prst_7 : integer := 1; cnt_fpll_src_7 : string := "fpll_0"; dprio0_cnt_bypass_en_7: string := "false"; dprio0_cnt_hi_div_7: integer := 1; dprio0_cnt_lo_div_7: integer := 1; dprio0_cnt_odd_div_even_duty_en_7: string := "false"; dprio1_cnt_bypass_en_7: vl_notype; dprio1_cnt_hi_div_7: vl_notype; dprio1_cnt_lo_div_7: vl_notype; dprio1_cnt_odd_div_even_duty_en_7: vl_notype; output_clock_frequency_8: string := "0 ps"; enable_output_counter_8: string := "true"; phase_shift_8 : string := "0 ps"; duty_cycle_8 : integer := 50; c_cnt_coarse_dly_8: string := "0 ps"; c_cnt_fine_dly_8: string := "0 ps"; c_cnt_in_src_8 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_8: integer := 0; c_cnt_prst_8 : integer := 1; cnt_fpll_src_8 : string := "fpll_0"; dprio0_cnt_bypass_en_8: string := "false"; dprio0_cnt_hi_div_8: integer := 1; dprio0_cnt_lo_div_8: integer := 1; dprio0_cnt_odd_div_even_duty_en_8: string := "false"; dprio1_cnt_bypass_en_8: vl_notype; dprio1_cnt_hi_div_8: vl_notype; dprio1_cnt_lo_div_8: vl_notype; dprio1_cnt_odd_div_even_duty_en_8: vl_notype; output_clock_frequency_9: string := "0 ps"; enable_output_counter_9: string := "true"; phase_shift_9 : string := "0 ps"; duty_cycle_9 : integer := 50; c_cnt_coarse_dly_9: string := "0 ps"; c_cnt_fine_dly_9: string := "0 ps"; c_cnt_in_src_9 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_9: integer := 0; c_cnt_prst_9 : integer := 1; cnt_fpll_src_9 : string := "fpll_0"; dprio0_cnt_bypass_en_9: string := "false"; dprio0_cnt_hi_div_9: integer := 1; dprio0_cnt_lo_div_9: integer := 1; dprio0_cnt_odd_div_even_duty_en_9: string := "false"; dprio1_cnt_bypass_en_9: vl_notype; dprio1_cnt_hi_div_9: vl_notype; dprio1_cnt_lo_div_9: vl_notype; dprio1_cnt_odd_div_even_duty_en_9: vl_notype; output_clock_frequency_10: string := "0 ps"; enable_output_counter_10: string := "true"; phase_shift_10 : string := "0 ps"; duty_cycle_10 : integer := 50; c_cnt_coarse_dly_10: string := "0 ps"; c_cnt_fine_dly_10: string := "0 ps"; c_cnt_in_src_10 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_10: integer := 0; c_cnt_prst_10 : integer := 1; cnt_fpll_src_10 : string := "fpll_0"; dprio0_cnt_bypass_en_10: string := "false"; dprio0_cnt_hi_div_10: integer := 1; dprio0_cnt_lo_div_10: integer := 1; dprio0_cnt_odd_div_even_duty_en_10: string := "false"; dprio1_cnt_bypass_en_10: vl_notype; dprio1_cnt_hi_div_10: vl_notype; dprio1_cnt_lo_div_10: vl_notype; dprio1_cnt_odd_div_even_duty_en_10: vl_notype; output_clock_frequency_11: string := "0 ps"; enable_output_counter_11: string := "true"; phase_shift_11 : string := "0 ps"; duty_cycle_11 : integer := 50; c_cnt_coarse_dly_11: string := "0 ps"; c_cnt_fine_dly_11: string := "0 ps"; c_cnt_in_src_11 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_11: integer := 0; c_cnt_prst_11 : integer := 1; cnt_fpll_src_11 : string := "fpll_0"; dprio0_cnt_bypass_en_11: string := "false"; dprio0_cnt_hi_div_11: integer := 1; dprio0_cnt_lo_div_11: integer := 1; dprio0_cnt_odd_div_even_duty_en_11: string := "false"; dprio1_cnt_bypass_en_11: vl_notype; dprio1_cnt_hi_div_11: vl_notype; dprio1_cnt_lo_div_11: vl_notype; dprio1_cnt_odd_div_even_duty_en_11: vl_notype; output_clock_frequency_12: string := "0 ps"; enable_output_counter_12: string := "true"; phase_shift_12 : string := "0 ps"; duty_cycle_12 : integer := 50; c_cnt_coarse_dly_12: string := "0 ps"; c_cnt_fine_dly_12: string := "0 ps"; c_cnt_in_src_12 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_12: integer := 0; c_cnt_prst_12 : integer := 1; cnt_fpll_src_12 : string := "fpll_0"; dprio0_cnt_bypass_en_12: string := "false"; dprio0_cnt_hi_div_12: integer := 1; dprio0_cnt_lo_div_12: integer := 1; dprio0_cnt_odd_div_even_duty_en_12: string := "false"; dprio1_cnt_bypass_en_12: vl_notype; dprio1_cnt_hi_div_12: vl_notype; dprio1_cnt_lo_div_12: vl_notype; dprio1_cnt_odd_div_even_duty_en_12: vl_notype; output_clock_frequency_13: string := "0 ps"; enable_output_counter_13: string := "true"; phase_shift_13 : string := "0 ps"; duty_cycle_13 : integer := 50; c_cnt_coarse_dly_13: string := "0 ps"; c_cnt_fine_dly_13: string := "0 ps"; c_cnt_in_src_13 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_13: integer := 0; c_cnt_prst_13 : integer := 1; cnt_fpll_src_13 : string := "fpll_0"; dprio0_cnt_bypass_en_13: string := "false"; dprio0_cnt_hi_div_13: integer := 1; dprio0_cnt_lo_div_13: integer := 1; dprio0_cnt_odd_div_even_duty_en_13: string := "false"; dprio1_cnt_bypass_en_13: vl_notype; dprio1_cnt_hi_div_13: vl_notype; dprio1_cnt_lo_div_13: vl_notype; dprio1_cnt_odd_div_even_duty_en_13: vl_notype; output_clock_frequency_14: string := "0 ps"; enable_output_counter_14: string := "true"; phase_shift_14 : string := "0 ps"; duty_cycle_14 : integer := 50; c_cnt_coarse_dly_14: string := "0 ps"; c_cnt_fine_dly_14: string := "0 ps"; c_cnt_in_src_14 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_14: integer := 0; c_cnt_prst_14 : integer := 1; cnt_fpll_src_14 : string := "fpll_0"; dprio0_cnt_bypass_en_14: string := "false"; dprio0_cnt_hi_div_14: integer := 1; dprio0_cnt_lo_div_14: integer := 1; dprio0_cnt_odd_div_even_duty_en_14: string := "false"; dprio1_cnt_bypass_en_14: vl_notype; dprio1_cnt_hi_div_14: vl_notype; dprio1_cnt_lo_div_14: vl_notype; dprio1_cnt_odd_div_even_duty_en_14: vl_notype; output_clock_frequency_15: string := "0 ps"; enable_output_counter_15: string := "true"; phase_shift_15 : string := "0 ps"; duty_cycle_15 : integer := 50; c_cnt_coarse_dly_15: string := "0 ps"; c_cnt_fine_dly_15: string := "0 ps"; c_cnt_in_src_15 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_15: integer := 0; c_cnt_prst_15 : integer := 1; cnt_fpll_src_15 : string := "fpll_0"; dprio0_cnt_bypass_en_15: string := "false"; dprio0_cnt_hi_div_15: integer := 1; dprio0_cnt_lo_div_15: integer := 1; dprio0_cnt_odd_div_even_duty_en_15: string := "false"; dprio1_cnt_bypass_en_15: vl_notype; dprio1_cnt_hi_div_15: vl_notype; dprio1_cnt_lo_div_15: vl_notype; dprio1_cnt_odd_div_even_duty_en_15: vl_notype; output_clock_frequency_16: string := "0 ps"; enable_output_counter_16: string := "true"; phase_shift_16 : string := "0 ps"; duty_cycle_16 : integer := 50; c_cnt_coarse_dly_16: string := "0 ps"; c_cnt_fine_dly_16: string := "0 ps"; c_cnt_in_src_16 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_16: integer := 0; c_cnt_prst_16 : integer := 1; cnt_fpll_src_16 : string := "fpll_0"; dprio0_cnt_bypass_en_16: string := "false"; dprio0_cnt_hi_div_16: integer := 1; dprio0_cnt_lo_div_16: integer := 1; dprio0_cnt_odd_div_even_duty_en_16: string := "false"; dprio1_cnt_bypass_en_16: vl_notype; dprio1_cnt_hi_div_16: vl_notype; dprio1_cnt_lo_div_16: vl_notype; dprio1_cnt_odd_div_even_duty_en_16: vl_notype; output_clock_frequency_17: string := "0 ps"; enable_output_counter_17: string := "true"; phase_shift_17 : string := "0 ps"; duty_cycle_17 : integer := 50; c_cnt_coarse_dly_17: string := "0 ps"; c_cnt_fine_dly_17: string := "0 ps"; c_cnt_in_src_17 : string := "ph_mux_clk"; c_cnt_ph_mux_prst_17: integer := 0; c_cnt_prst_17 : integer := 1; cnt_fpll_src_17 : string := "fpll_0"; dprio0_cnt_bypass_en_17: string := "false"; dprio0_cnt_hi_div_17: integer := 1; dprio0_cnt_lo_div_17: integer := 1; dprio0_cnt_odd_div_even_duty_en_17: string := "false"; dprio1_cnt_bypass_en_17: vl_notype; dprio1_cnt_hi_div_17: vl_notype; dprio1_cnt_lo_div_17: vl_notype; dprio1_cnt_odd_div_even_duty_en_17: vl_notype; dpa_output_clock_frequency_0: string := "0 ps"; pll_vcoph_div_0 : integer := 1; dpa_output_clock_frequency_1: string := "0 ps"; pll_vcoph_div_1 : integer := 1; enable_extclk_output_0: string := "false"; pll_extclk_cnt_src_0: string := "m0_cnt"; pll_extclk_enable_0: string := "true"; pll_extclk_invert_0: string := "false"; enable_extclk_output_1: string := "false"; pll_extclk_cnt_src_1: string := "vss"; pll_extclk_enable_1: string := "true"; pll_extclk_invert_1: string := "false"; enable_extclk_output_2: string := "false"; pll_extclk_cnt_src_2: string := "vss"; pll_extclk_enable_2: string := "true"; pll_extclk_invert_2: string := "false"; enable_extclk_output_3: string := "false"; pll_extclk_cnt_src_3: string := "vss"; pll_extclk_enable_3: string := "true"; pll_extclk_invert_3: string := "false"; enable_dll_output_0: string := "false"; pll_dll_src_value_0: string := "vss"; enable_dll_output_1: string := "false"; pll_dll_src_value_1: string := "vss"; enable_lvds_output_0: string := "false"; pll_loaden_coarse_dly_0: string := "0 ps"; pll_loaden_enable_disable_0: string := "true"; pll_loaden_fine_dly_0: string := "0 ps"; pll_lvdsclk_coarse_dly_0: string := "0 ps"; pll_lvdsclk_enable_disable_0: string := "true"; pll_lvdsclk_fine_dly_0: string := "0 ps"; enable_lvds_output_1: string := "false"; pll_loaden_coarse_dly_1: string := "0 ps"; pll_loaden_enable_disable_1: string := "true"; pll_loaden_fine_dly_1: string := "0 ps"; pll_lvdsclk_coarse_dly_1: string := "0 ps"; pll_lvdsclk_enable_disable_1: string := "true"; pll_lvdsclk_fine_dly_1: string := "0 ps"; enable_lvds_output_2: string := "false"; pll_loaden_coarse_dly_2: string := "0 ps"; pll_loaden_enable_disable_2: string := "true"; pll_loaden_fine_dly_2: string := "0 ps"; pll_lvdsclk_coarse_dly_2: string := "0 ps"; pll_lvdsclk_enable_disable_2: string := "true"; pll_lvdsclk_fine_dly_2: string := "0 ps"; enable_lvds_output_3: string := "false"; pll_loaden_coarse_dly_3: string := "0 ps"; pll_loaden_enable_disable_3: string := "true"; pll_loaden_fine_dly_3: string := "0 ps"; pll_lvdsclk_coarse_dly_3: string := "0 ps"; pll_lvdsclk_enable_disable_3: string := "true"; pll_lvdsclk_fine_dly_3: string := "0 ps" ); port( phout_0 : out vl_logic_vector(7 downto 0); phout_1 : out vl_logic_vector(7 downto 0); adjpllin : in vl_logic_vector; cclk : in vl_logic_vector; coreclkin : in vl_logic_vector; extswitch : in vl_logic_vector; iqtxrxclkin : in vl_logic_vector; plliqclkin : in vl_logic_vector; rxiqclkin : in vl_logic_vector; clkin : in vl_logic_vector(3 downto 0); refiqclk_0 : in vl_logic_vector(1 downto 0); refiqclk_1 : in vl_logic_vector(1 downto 0); clk0bad : out vl_logic_vector; clk1bad : out vl_logic_vector; pllclksel : out vl_logic_vector; atpgmode : in vl_logic_vector; clk : in vl_logic_vector; fpllcsrtest : in vl_logic_vector; iocsrclkin : in vl_logic_vector; iocsrdatain : in vl_logic_vector; iocsren : in vl_logic_vector; iocsrrstn : in vl_logic_vector; mdiodis : in vl_logic_vector; phaseen : in vl_logic_vector; read : in vl_logic_vector; rstn : in vl_logic_vector; scanen : in vl_logic_vector; sershiftload : in vl_logic_vector; shiftdonei : in vl_logic_vector; updn : in vl_logic_vector; write : in vl_logic_vector; addr_0 : in vl_logic_vector(5 downto 0); addr_1 : in vl_logic_vector(5 downto 0); byteen_0 : in vl_logic_vector(1 downto 0); byteen_1 : in vl_logic_vector(1 downto 0); cntsel_0 : in vl_logic_vector(4 downto 0); cntsel_1 : in vl_logic_vector(4 downto 0); din_0 : in vl_logic_vector(15 downto 0); din_1 : in vl_logic_vector(15 downto 0); blockselect : out vl_logic_vector; iocsrdataout : out vl_logic_vector; iocsrenbuf : out vl_logic_vector; iocsrrstnbuf : out vl_logic_vector; phasedone : out vl_logic_vector; dout_0 : out vl_logic_vector(15 downto 0); dout_1 : out vl_logic_vector(15 downto 0); dprioout_0 : out vl_logic_vector(815 downto 0); dprioout_1 : out vl_logic_vector(815 downto 0); fbclkfpll : in vl_logic_vector; lvdfbin : in vl_logic_vector; nresync : in vl_logic_vector; pfden : in vl_logic_vector; shiften_fpll : in vl_logic_vector; zdb : in vl_logic_vector; fblvdsout : out vl_logic_vector; lock : out vl_logic_vector; mcntout : out vl_logic_vector; plniotribuf : out vl_logic_vector; clken : in vl_logic_vector; extclk : out vl_logic_vector; dll_clkin : in vl_logic_vector; clkout : out vl_logic_vector; loaden : out vl_logic_vector; lvdsclk : out vl_logic_vector; divclk : out vl_logic_vector; cascade_out : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of number_of_counters : constant is 1; attribute mti_svvh_generic_type of number_of_fplls : constant is 1; attribute mti_svvh_generic_type of number_of_extclks : constant is 1; attribute mti_svvh_generic_type of number_of_dlls : constant is 1; attribute mti_svvh_generic_type of number_of_lvds : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_edge_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_sw_refclk_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type_0 : constant is 1; attribute mti_svvh_generic_type of dsm_accumulator_reset_value_0 : constant is 1; attribute mti_svvh_generic_type of forcelock_0 : constant is 1; attribute mti_svvh_generic_type of nreset_invert_0 : constant is 1; attribute mti_svvh_generic_type of pll_atb_0 : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_cmp_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_comp_0 : constant is 1; attribute mti_svvh_generic_type of pll_cp_current_0 : constant is 1; attribute mti_svvh_generic_type of pll_ctrl_override_setting_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_dither_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_reset_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_test_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1_0 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_carry_out_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division_0 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_value_ready_0 : constant is 1; attribute mti_svvh_generic_type of pll_lf_testen_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_test_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_odd_div_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_ref_buf_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_reg_boost_0 : constant is 1; attribute mti_svvh_generic_type of pll_regulator_bypass_0 : constant is 1; attribute mti_svvh_generic_type of pll_ripplecap_ctrl_0 : constant is 1; attribute mti_svvh_generic_type of pll_slf_rst_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_mux_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_sel_0 : constant is 1; attribute mti_svvh_generic_type of pll_test_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testdn_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_testup_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_unlock_fltr_cfg_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en_0 : constant is 1; attribute mti_svvh_generic_type of pll_vctrl_test_voltage_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd0g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_atb_0 : constant is 1; attribute mti_svvh_generic_type of vccd1g_output_0 : constant is 1; attribute mti_svvh_generic_type of vccm1g_tap_0 : constant is 1; attribute mti_svvh_generic_type of vccr_pd_0 : constant is 1; attribute mti_svvh_generic_type of vcodiv_override_0 : constant is 1; attribute mti_svvh_generic_type of sim_use_fast_model_0 : constant is 1; attribute mti_svvh_generic_type of pll_output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of reference_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type_1 : constant is 1; attribute mti_svvh_generic_type of dsm_accumulator_reset_value_1 : constant is 1; attribute mti_svvh_generic_type of forcelock_1 : constant is 1; attribute mti_svvh_generic_type of nreset_invert_1 : constant is 1; attribute mti_svvh_generic_type of pll_atb_1 : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl_1 : constant is 1; attribute mti_svvh_generic_type of pll_cmp_buf_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_cp_comp_1 : constant is 1; attribute mti_svvh_generic_type of pll_cp_current_1 : constant is 1; attribute mti_svvh_generic_type of pll_ctrl_override_setting_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_dither_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel_1 : constant is 1; attribute mti_svvh_generic_type of pll_dsm_reset_1 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_bypass_1 : constant is 1; attribute mti_svvh_generic_type of pll_ecn_test_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_carry_out_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division_1 : constant is 1; attribute mti_svvh_generic_type of pll_fractional_value_ready_1 : constant is 1; attribute mti_svvh_generic_type of pll_lf_testen_1 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_cfg_1 : constant is 1; attribute mti_svvh_generic_type of pll_lock_fltr_test_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_odd_div_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_ph_mux_prst_1 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_prst_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_n_cnt_odd_div_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_ref_buf_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_reg_boost_1 : constant is 1; attribute mti_svvh_generic_type of pll_regulator_bypass_1 : constant is 1; attribute mti_svvh_generic_type of pll_ripplecap_ctrl_1 : constant is 1; attribute mti_svvh_generic_type of pll_slf_rst_1 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_mux_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_tclk_sel_1 : constant is 1; attribute mti_svvh_generic_type of pll_test_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_testdn_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_testup_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_unlock_fltr_cfg_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph0_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph1_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph2_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph3_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph4_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph5_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph6_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vco_ph7_en_1 : constant is 1; attribute mti_svvh_generic_type of pll_vctrl_test_voltage_1 : constant is 1; attribute mti_svvh_generic_type of vccd0g_atb_1 : constant is 1; attribute mti_svvh_generic_type of vccd0g_output_1 : constant is 1; attribute mti_svvh_generic_type of vccd1g_atb_1 : constant is 1; attribute mti_svvh_generic_type of vccd1g_output_1 : constant is 1; attribute mti_svvh_generic_type of vccm1g_tap_1 : constant is 1; attribute mti_svvh_generic_type of vccr_pd_1 : constant is 1; attribute mti_svvh_generic_type of vcodiv_override_1 : constant is 1; attribute mti_svvh_generic_type of sim_use_fast_model_1 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_0 : constant is 1; attribute mti_svvh_generic_type of phase_shift_0 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_0 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_0 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_0 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_0 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_0 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_1 : constant is 1; attribute mti_svvh_generic_type of phase_shift_1 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_1 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_1 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_1 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_1 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_1 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_2 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_2 : constant is 1; attribute mti_svvh_generic_type of phase_shift_2 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_2 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_2 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_2 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_2 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_2 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_3 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_3 : constant is 1; attribute mti_svvh_generic_type of phase_shift_3 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_3 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_3 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_3 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_3 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_3 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_4 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_4 : constant is 1; attribute mti_svvh_generic_type of phase_shift_4 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_4 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_4 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_4 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_4 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_4 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_5 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_5 : constant is 1; attribute mti_svvh_generic_type of phase_shift_5 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_5 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_5 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_5 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_5 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_5 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_6 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_6 : constant is 1; attribute mti_svvh_generic_type of phase_shift_6 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_6 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_6 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_6 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_6 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_6 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_7 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_7 : constant is 1; attribute mti_svvh_generic_type of phase_shift_7 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_7 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_7 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_7 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_7 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_7 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_8 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_8 : constant is 1; attribute mti_svvh_generic_type of phase_shift_8 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_8 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_8 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_8 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_8 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_8 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_9 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_9 : constant is 1; attribute mti_svvh_generic_type of phase_shift_9 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_9 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_9 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_9 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_9 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_9 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_10 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_10 : constant is 1; attribute mti_svvh_generic_type of phase_shift_10 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_10 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_10 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_10 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_10 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_10 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_11 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_11 : constant is 1; attribute mti_svvh_generic_type of phase_shift_11 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_11 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_11 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_11 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_11 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_11 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_12 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_12 : constant is 1; attribute mti_svvh_generic_type of phase_shift_12 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_12 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_12 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_12 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_12 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_12 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_13 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_13 : constant is 1; attribute mti_svvh_generic_type of phase_shift_13 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_13 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_13 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_13 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_13 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_13 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_14 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_14 : constant is 1; attribute mti_svvh_generic_type of phase_shift_14 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_14 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_14 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_14 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_14 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_14 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_15 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_15 : constant is 1; attribute mti_svvh_generic_type of phase_shift_15 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_15 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_15 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_15 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_15 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_15 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_16 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_16 : constant is 1; attribute mti_svvh_generic_type of phase_shift_16 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_16 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_16 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_16 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_16 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_16 : constant is 3; attribute mti_svvh_generic_type of output_clock_frequency_17 : constant is 1; attribute mti_svvh_generic_type of enable_output_counter_17 : constant is 1; attribute mti_svvh_generic_type of phase_shift_17 : constant is 1; attribute mti_svvh_generic_type of duty_cycle_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_coarse_dly_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_fine_dly_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst_17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst_17 : constant is 1; attribute mti_svvh_generic_type of cnt_fpll_src_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_bypass_en_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_hi_div_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_lo_div_17 : constant is 1; attribute mti_svvh_generic_type of dprio0_cnt_odd_div_even_duty_en_17 : constant is 1; attribute mti_svvh_generic_type of dprio1_cnt_bypass_en_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_hi_div_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_lo_div_17 : constant is 3; attribute mti_svvh_generic_type of dprio1_cnt_odd_div_even_duty_en_17 : constant is 3; attribute mti_svvh_generic_type of dpa_output_clock_frequency_0 : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div_0 : constant is 1; attribute mti_svvh_generic_type of dpa_output_clock_frequency_1 : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div_1 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_0 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_0 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_1 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_1 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_2 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_2 : constant is 1; attribute mti_svvh_generic_type of enable_extclk_output_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_cnt_src_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_enable_3 : constant is 1; attribute mti_svvh_generic_type of pll_extclk_invert_3 : constant is 1; attribute mti_svvh_generic_type of enable_dll_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_dll_src_value_0 : constant is 1; attribute mti_svvh_generic_type of enable_dll_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_dll_src_value_1 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_0 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_0 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_1 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_1 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_2 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_2 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_2 : constant is 1; attribute mti_svvh_generic_type of enable_lvds_output_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_enable_disable_3 : constant is 1; attribute mti_svvh_generic_type of pll_loaden_fine_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_coarse_dly_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_enable_disable_3 : constant is 1; attribute mti_svvh_generic_type of pll_lvdsclk_fine_dly_3 : constant is 1; end altera_stratixv_pll;
mit
dba48a8dd75b2e996633bfc987ec657f
0.64377
3.06198
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/vme_sim_slave.vhd
1
18,644
--------------------------------------------------------------- -- Title : VME bus slave simmodel -- Project : A15 --------------------------------------------------------------- -- File : vme_sim_slave.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 02/09/03 --------------------------------------------------------------- -- Simulator : -- Synthesis : --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vme_sim_slave.vhd,v $ -- Revision 1.2 2013/04/18 15:11:16 MMiehling -- added irq -- -- Revision 1.1 2012/03/29 10:28:50 MMiehling -- Initial Revision -- -- Revision 1.3 2006/05/18 14:31:30 MMiehling -- correct behaviour of iack -- -- Revision 1.2 2006/05/15 10:36:23 MMiehling -- now support of 0x0B, 0x0F, 0x3B, 0x3F => 32Bit Block Transfer -- -- Revision 1.1 2005/10/28 17:52:18 mmiehling -- Initial Revision -- -- Revision 1.2 2004/08/13 15:36:06 mmiehling -- updated -- -- Revision 1.1 2004/07/27 17:28:15 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee,work; USE ieee.std_logic_1164.ALL; USE work.vme_sim_pack.ALL; USE ieee.std_logic_unsigned.ALL; USE std.textio.all; USE work.print_pkg.all; ENTITY vme_sim_slave IS PORT ( sysresin : IN std_logic; asn_in : IN std_logic; dsan_in : IN std_logic; dsbn_in : IN std_logic; writen_in : IN std_logic; berrn_in : IN std_logic; addr : INOUT std_logic_vector(31 DOWNTO 0); data_in : IN std_logic_vector(31 DOWNTO 0); am_in : IN std_logic_vector(5 DOWNTO 0); iackn_in : IN std_logic; -- daisy-chain iackn : IN std_logic; -- bussignal irq_out : OUT std_logic_vector(7 DOWNTO 1); dtackn_out : OUT std_logic; data_out : OUT std_logic_vector(31 DOWNTO 0); vb_irq1n : IN std_logic; vb_irq2n : IN std_logic; vb_irq3n : IN std_logic; vb_irq4n : IN std_logic; vb_irq5n : IN std_logic; vb_irq6n : IN std_logic; vb_irq7n : IN std_logic; vme_slv_in : IN vme_slv_in_type; vme_slv_out : OUT vme_slv_out_type ); END vme_sim_slave; ARCHITECTURE vme_sim_slave_arch OF vme_sim_slave IS SUBTYPE irq_vec IS std_logic_vector(7 DOWNTO 0); TYPE irq_id_type IS array (7 DOWNTO 1) OF irq_vec; SIGNAL sim_slave_active : std_logic; SIGNAL iackn_in_int : std_logic; SIGNAL conf_ack : boolean; BEGIN iackn_in_int <= '0' WHEN iackn_in = '0' AND (dsan_in = '0' OR dsbn_in = '0') ELSE '1'; vme_slv_out.conf_ack <= conf_ack; vme_slv_out.irq(1) <= vb_irq1n; vme_slv_out.irq(2) <= vb_irq2n; vme_slv_out.irq(3) <= vb_irq3n; vme_slv_out.irq(4) <= vb_irq4n; vme_slv_out.irq(5) <= vb_irq5n; vme_slv_out.irq(6) <= vb_irq6n; vme_slv_out.irq(7) <= vb_irq7n; slave : PROCESS VARIABLE asn_time : time; VARIABLE zeit : time; VARIABLE addr_int : std_logic_vector(31 DOWNTO 0); VARIABLE first_d64_cycle : boolean; VARIABLE am_int : std_logic_vector(5 DOWNTO 0); VARIABLE i : integer; VARIABLE ws, sd : integer; VARIABLE lin:line; VARIABLE data : std_logic_vector(31 DOWNTO 0); VARIABLE check:boolean; VARIABLE adr_int : std_logic_vector(31 DOWNTO 3); VARIABLE end_of_acc : std_logic; VARIABLE mem_head : head_ptr; VARIABLE allocated : boolean; VARIABLE irq_id : irq_id_type; VARIABLE irq : integer; BEGIN mem_head := new head'(0,null); sim_slave_active <= '0'; data_out <= (OTHERS => 'Z'); am_int := (others => '0'); first_d64_cycle := TRUE; conf_ack <= vme_slv_in.conf_req; addr <= (OTHERS => 'H'); dtackn_out <= 'H'; irq_out <= (OTHERS => 'H'); irq := 0; WAIT UNTIL sysresin /= '0'; --ohne EVENT gen_loop: LOOP -- main loop data_out <= (OTHERS => 'Z'); IF asn_in /= '0' OR (vme_slv_in.conf_req/= conf_ack) THEN WAIT until falling_edge(asn_in) OR vme_slv_in.conf_req'event; END IF; ---------------------------------------------------------------------------------------- -- config access ---------------------------------------------------------------------------------------- IF vme_slv_in.conf_req /= conf_ack THEN IF vme_slv_in.req_type = 1 THEN --WRITE adr_int:=vme_slv_in.adr(31 DOWNTO 3); wr_data(conv_integer(adr_int), vme_slv_in.wr_dat, "1111", mem_head); ELSIF vme_slv_in.req_type = 0 THEN -- read from iram rd_data(conv_integer(vme_slv_in.adr(31 DOWNTO 3)), data, allocated, mem_head); vme_slv_out.rd_dat <= data; ELSIF vme_slv_in.req_type = 2 THEN -- set irq request irq_out(vme_slv_in.irq) <= '0'; irq := vme_slv_in.irq; irq_id(irq) := vme_slv_in.wr_dat(7 DOWNTO 0); ELSIF vme_slv_in.req_type = 3 THEN -- request of last address modifier used vme_slv_out.rd_am <= am_int; END IF; conf_ack <= vme_slv_in.conf_req; -- handshake acknowledge next gen_loop; END IF; ---------------------------------------------------------------------------------------- -- vme access ---------------------------------------------------------------------------------------- addr_int := addr; am_int := am_in; first_d64_cycle := TRUE; LOOP asn_time := now; IF NOT (dsan_in = '0' OR dsbn_in = '0') AND asn_in = '0' THEN WAIT until (dsan_in = '0' OR dsbn_in = '0' OR asn_in /= '0'); END IF; IF asn_in /= '0' THEN exit; END IF; -- D64 burst IF iackn /= '0' AND ( (addr_int(31 DOWNTO 28) = sl_base_A32 AND (am_int(5 DOWNTO 0) = AM_A32_NONPRIV_MBLT OR am_int(5 DOWNTO 0) = AM_A32_SUPER_MBLT)) or (addr_int(23 DOWNTO 20) = sl_base_A24 AND (am_int(5 DOWNTO 0) = AM_A24_NONPRIV_MBLT OR am_int(5 DOWNTO 0) = AM_A24_SUPER_MBLT))) THEN sim_slave_active <= '1'; IF writen_in = '1' THEN -- READ WAIT FOR time_26; IF first_d64_cycle = FALSE THEN rd_data(conv_integer(addr_int(11 DOWNTO 2)), data, allocated, mem_head); addr(31 DOWNTO 24) <= data(31 DOWNTO 24); addr(23 DOWNTO 16) <= data(23 DOWNTO 16); addr(15 DOWNTO 8) <= data(15 DOWNTO 8); addr(7 DOWNTO 0) <= data(7 DOWNTO 0); rd_data(conv_integer(addr_int(11 DOWNTO 2)+1), data, allocated, mem_head); data_out(31 DOWNTO 24) <= data(31 DOWNTO 24); data_out(23 DOWNTO 16) <= data(23 DOWNTO 16); data_out(15 DOWNTO 8) <= data(15 DOWNTO 8); data_out(7 DOWNTO 0) <= data(7 DOWNTO 0); addr_int := addr_int + 8; END IF; WAIT FOR time_27; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; IF dsbn_in = '0' THEN WAIT until rising_edge(dsbn_in); END IF; data_out <= (OTHERS => 'H'); addr <= (OTHERS => 'H'); WAIT FOR 10 ns; -- WAIT FOR 120 ns; -- extended to simulate slow slave with long dtackn active dtackn_out <= 'H'; ELSE -- WRITE IF first_d64_cycle = FALSE THEN IF NOT (data_in'stable(time_8)) then print("vme_sim: Data[31:0] was not stable for time(8)!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; END IF; IF NOT (addr'stable(time_8)) then print("vme_sim: Addr[31:0] was not stable for time(8)!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; END IF; WAIT FOR time_28; wr_data(conv_integer(addr_int(11 DOWNTO 2)), addr, "1111", mem_head); wr_data(conv_integer(addr_int(11 DOWNTO 2)+1), data_in, "1111", mem_head); addr_int := addr_int + 8; ELSE WAIT FOR time_28; END IF; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; IF dsbn_in = '0' THEN WAIT until rising_edge(dsbn_in); END IF; WAIT FOR 10 ns; -- WAIT FOR 120 ns; -- extended to simulate slow slave with long dtackn active dtackn_out <= 'H'; END IF; first_d64_cycle := FALSE; -- all normal accesses ELSIF iackn /= '0' AND ( (addr_int(15 DOWNTO 12) = sl_base_A16 AND am_int(5 DOWNTO 4) = "10") OR (addr_int(23 DOWNTO 20) = sl_base_A24 AND am_int(5 DOWNTO 4) = "11") OR (addr_int(23 DOWNTO 20) = sl_base_CRCSR AND am_int(5 DOWNTO 0) = AM_CRCSR) OR (addr_int(31 DOWNTO 28) = sl_base_A32 AND am_int(5 DOWNTO 4) = "00") )THEN sim_slave_active <= '1'; IF writen_in = '1' THEN -- READ WAIT FOR (time_28 - time_27); dtackn_out <= '0'; IF (dsbn_in = '0' AND dsan_in = '0' AND addr_int(1 DOWNTO 0) = "01") OR (dsbn_in = '0' AND dsan_in /= '0' AND addr_int(1 DOWNTO 0) = "01") OR (dsbn_in /= '0' AND dsan_in = '0' AND addr_int(1 DOWNTO 0) = "01") THEN rd_data(conv_integer(addr_int(11 DOWNTO 2)), data, allocated, mem_head); data_out(15 DOWNTO 0) <= data(31 DOWNTO 16); data_out(31 DOWNTO 16) <= data(15 DOWNTO 0); ELSE rd_data(conv_integer(addr_int(11 DOWNTO 2)), data, allocated, mem_head); data_out <= data; END IF; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; IF dsbn_in = '0' THEN WAIT until rising_edge(dsbn_in); END IF; data_out <= (OTHERS => 'H'); WAIT FOR 10 ns; dtackn_out <= 'H'; ELSE -- WRITE IF NOT (data_in'stable(time_8)) then print("vme_sim: Data[31:0] was not stable for time(8)!"); ASSERT FALSE REPORT " Timingfehler! " SEVERITY error; END IF; WAIT FOR time_28; IF addr_int(0) = '1' THEN -- lwordn = '1' => D16 data := data_in(15 DOWNTO 8) & data_in(7 DOWNTO 0) & data_in(15 DOWNTO 8) & data_in(7 DOWNTO 0); IF dsan_in /= '0' AND dsbn_in = '0' AND addr_int(1) = '0' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "1000", mem_head); ELSIF dsan_in = '0' AND dsbn_in /= '0' AND addr_int(1) = '0' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "0100", mem_head); ELSIF dsan_in /= '0' AND dsbn_in = '0' AND addr_int(1) = '1' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "0010", mem_head); ELSIF dsan_in = '0' AND dsbn_in /= '0' AND addr_int(1) = '1' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "0001", mem_head); ELSIF dsan_in = '0' AND dsbn_in = '0' AND addr_int(1) = '0' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "1100", mem_head); ELSIF dsan_in = '0' AND dsbn_in = '0' AND addr_int(1) = '1' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "0011", mem_head); END IF; ELSE data := data_in; IF dsan_in = '0' AND dsbn_in = '0' AND addr_int(1) = '0' THEN wr_data(conv_integer(addr_int(11 DOWNTO 2)), data, "1111", mem_head); END IF; END IF; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; IF dsbn_in = '0' THEN WAIT until rising_edge(dsbn_in); END IF; WAIT FOR 10 ns; dtackn_out <= 'H'; END IF; -- 0x0B, 0x0F, 0x3B, 0x3F => 32Bit Block Transfer IF am_int = AM_A32_NONPRIV_BLT OR am_int = AM_A32_SUPER_BLT OR am_int = AM_A24_NONPRIV_BLT OR am_int = AM_A24_SUPER_BLT THEN IF addr_int(0) = '0' THEN addr_int := addr_int + 4; ELSE addr_int := addr_int + 2; END IF; END IF; -- IACK-Cycle ELSIF iackn = '0' THEN IF iackn_in_int = '1' THEN WAIT until (falling_edge(iackn_in_int) OR rising_edge(asn_in)); IF asn_in /= '0' THEN exit; END IF; END IF; sim_slave_active <= '1'; IF writen_in = '1' AND dsan_in = '0' AND dsbn_in /= '0' AND addr_int(0) = '1' THEN -- read iack D08 IF ((irq = 1 AND addr_int(3 DOWNTO 1) = "001") OR (irq = 2 AND addr_int(3 DOWNTO 1) = "010") OR (irq = 3 AND addr_int(3 DOWNTO 1) = "011") OR (irq = 4 AND addr_int(3 DOWNTO 1) = "100") OR (irq = 5 AND addr_int(3 DOWNTO 1) = "101") OR (irq = 6 AND addr_int(3 DOWNTO 1) = "110") OR (irq = 7 AND addr_int(3 DOWNTO 1) = "111")) THEN WAIT FOR time_26; data_out(7 DOWNTO 0) <= irq_id(irq); -- B(0) data_out(31 DOWNTO 8) <= (OTHERS => '0'); WAIT FOR time_27; irq_out <= (OTHERS => 'H'); irq := 0; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; data_out <= (OTHERS => 'H'); WAIT FOR 10 ns; dtackn_out <= 'H'; ELSE WAIT until rising_edge(asn_in); END IF; ELSIF writen_in = '1' AND dsan_in = '0' AND dsbn_in = '0' AND addr_int(0) = '1' THEN -- read iack D16 IF ((irq = 1 AND addr_int(3 DOWNTO 1) = "001") OR (irq = 2 AND addr_int(3 DOWNTO 1) = "010") OR (irq = 3 AND addr_int(3 DOWNTO 1) = "011") OR (irq = 4 AND addr_int(3 DOWNTO 1) = "100") OR (irq = 5 AND addr_int(3 DOWNTO 1) = "101") OR (irq = 6 AND addr_int(3 DOWNTO 1) = "110") OR (irq = 7 AND addr_int(3 DOWNTO 1) = "111")) THEN WAIT FOR time_26; data_out(7 DOWNTO 0) <= irq_id(irq); -- B(0) data_out(15 DOWNTO 8) <= irq_id(irq); -- B(0) data_out(31 DOWNTO 16) <= (OTHERS => '0'); WAIT FOR time_27; irq_out <= (OTHERS => 'H'); irq := 0; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; data_out <= (OTHERS => 'H'); WAIT FOR 10 ns; dtackn_out <= 'H'; ELSE WAIT until rising_edge(asn_in); END IF; ELSIF writen_in = '1' AND dsan_in = '0' AND dsbn_in = '0' AND addr_int(0) = '1' THEN -- read iack D32 IF ((irq = 1 AND addr_int(3 DOWNTO 1) = "001") OR (irq = 2 AND addr_int(3 DOWNTO 1) = "010") OR (irq = 3 AND addr_int(3 DOWNTO 1) = "011") OR (irq = 4 AND addr_int(3 DOWNTO 1) = "100") OR (irq = 5 AND addr_int(3 DOWNTO 1) = "101") OR (irq = 6 AND addr_int(3 DOWNTO 1) = "110") OR (irq = 7 AND addr_int(3 DOWNTO 1) = "111")) THEN WAIT FOR time_26; data_out(7 DOWNTO 0) <= irq_id(irq); -- B(0) data_out(15 DOWNTO 8) <= irq_id(irq); -- B(0) data_out(23 DOWNTO 16) <= irq_id(irq); -- B(0) data_out(31 DOWNTO 24) <= irq_id(irq); -- B(0) WAIT FOR time_27; irq_out <= (OTHERS => 'H'); irq := 0; dtackn_out <= '0'; IF dsan_in = '0' THEN WAIT until rising_edge(dsan_in); END IF; data_out <= (OTHERS => 'H'); WAIT FOR 10 ns; dtackn_out <= 'H'; ELSE WAIT until rising_edge(asn_in); END IF; ELSE print("vme_sim: For IRQH D08(O) dsan=0, dsbn=1, writen=1, lwordn=1!"); ASSERT FALSE REPORT " Funktionsfehler! " SEVERITY error; END IF; ELSE -- if this slave is not addressed WAIT until rising_edge(asn_in); END IF; sim_slave_active <= '0'; END LOOP; END LOOP; END PROCESS slave; END vme_sim_slave_arch;
gpl-3.0
e2904b28ee44fd1f6078ef6e859175c2
0.441429
3.726564
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/ama_data_split_reg_ext_function/_primary.vhd
5
4,463
library verilog; use verilog.vl_types.all; entity ama_data_split_reg_ext_function is generic( width_data_in : integer := 1; width_data_out : vl_notype; register_clock_0: string := "UNREGISTERED"; register_aclr_0 : string := "NONE"; register_clock_1: string := "UNREGISTERED"; register_aclr_1 : string := "NONE"; register_clock_2: string := "UNREGISTERED"; register_aclr_2 : string := "NONE"; register_clock_3: string := "UNREGISTERED"; register_aclr_3 : string := "NONE"; number_of_multipliers: integer := 1; port_sign : string := "PORT_UNUSED"; latency : integer := 0; latency_clock_0 : string := "UNREGISTERED"; latency_aclr_0 : string := "NONE"; latency_clock_1 : string := "UNREGISTERED"; latency_aclr_1 : string := "NONE"; latency_clock_2 : string := "UNREGISTERED"; latency_aclr_2 : string := "NONE"; latency_clock_3 : string := "UNREGISTERED"; latency_aclr_3 : string := "NONE"; width_data_in_msb: vl_notype; width_data_in_total_msb: vl_notype; width_data_out_msb: vl_notype; width_data_in_0_msb: vl_notype; width_data_in_0_lsb: integer := 0; width_data_in_1_msb: vl_notype; width_data_in_1_lsb: vl_notype; width_data_in_2_msb: vl_notype; width_data_in_2_lsb: vl_notype; width_data_in_3_msb: vl_notype; width_data_in_3_lsb: vl_notype ); port( clock : in vl_logic_vector(3 downto 0); aclr : in vl_logic_vector(3 downto 0); ena : in vl_logic_vector(3 downto 0); sign : in vl_logic; data_in : in vl_logic_vector; data_out_0 : out vl_logic_vector; data_out_1 : out vl_logic_vector; data_out_2 : out vl_logic_vector; data_out_3 : out vl_logic_vector ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of width_data_in : constant is 1; attribute mti_svvh_generic_type of width_data_out : constant is 3; attribute mti_svvh_generic_type of register_clock_0 : constant is 1; attribute mti_svvh_generic_type of register_aclr_0 : constant is 1; attribute mti_svvh_generic_type of register_clock_1 : constant is 1; attribute mti_svvh_generic_type of register_aclr_1 : constant is 1; attribute mti_svvh_generic_type of register_clock_2 : constant is 1; attribute mti_svvh_generic_type of register_aclr_2 : constant is 1; attribute mti_svvh_generic_type of register_clock_3 : constant is 1; attribute mti_svvh_generic_type of register_aclr_3 : constant is 1; attribute mti_svvh_generic_type of number_of_multipliers : constant is 1; attribute mti_svvh_generic_type of port_sign : constant is 1; attribute mti_svvh_generic_type of latency : constant is 1; attribute mti_svvh_generic_type of latency_clock_0 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_0 : constant is 1; attribute mti_svvh_generic_type of latency_clock_1 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_1 : constant is 1; attribute mti_svvh_generic_type of latency_clock_2 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_2 : constant is 1; attribute mti_svvh_generic_type of latency_clock_3 : constant is 1; attribute mti_svvh_generic_type of latency_aclr_3 : constant is 1; attribute mti_svvh_generic_type of width_data_in_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_total_msb : constant is 3; attribute mti_svvh_generic_type of width_data_out_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_0_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_0_lsb : constant is 1; attribute mti_svvh_generic_type of width_data_in_1_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_1_lsb : constant is 3; attribute mti_svvh_generic_type of width_data_in_2_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_2_lsb : constant is 3; attribute mti_svvh_generic_type of width_data_in_3_msb : constant is 3; attribute mti_svvh_generic_type of width_data_in_3_lsb : constant is 3; end ama_data_split_reg_ext_function;
mit
ba6802abc13a0c61a8aa0086d3332c66
0.6406
3.427803
false
false
false
false
straywarrior/MadeCPUin21days
MEM_WB_REG.vhd
1
1,854
---------------------------------------------------------------------------------- -- Company: -- Engineer: StrayWarrior -- -- Create Date: 14:18:20 11/14/2015 -- Design Name: -- Module Name: MEM_WB_REG - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; -- Uncomment the following library declaration if using -- arithmetic functions with Signed or Unsigned values --use IEEE.NUMERIC_STD.ALL; -- Uncomment the following library declaration if instantiating -- any Xilinx primitives in this code. --library UNISIM; --use UNISIM.VComponents.all; entity MEM_WB_REG is Port ( clk : in STD_LOGIC; reset : in STD_LOGIC; RegWE_in : in STD_LOGIC; RegDest_in : in STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_in : in STD_LOGIC_VECTOR (15 downto 0); MemRd_in : in STD_LOGIC; RegWE_out : out STD_LOGIC; RegDest_out : out STD_LOGIC_VECTOR (3 downto 0); RegWriteVal_out : out STD_LOGIC_VECTOR (15 downto 0); MemRd_out : out STD_LOGIC ); end MEM_WB_REG; architecture Behavioral of MEM_WB_REG is begin process (reset, clk) begin if (reset = '0') then RegWE_out <= '0'; RegDest_out <= (others => '1'); RegWriteVal_out <= (others => '0'); MemRd_out <= '0'; elsif (clk'event and clk = '1') then RegWE_out <= RegWE_in; RegDest_out <= RegDest_in; RegWriteVal_out <= RegWriteVal_in; MemRd_out <= MemRd_in; end if; end process; end Behavioral;
gpl-2.0
d1c422dad0f3d32dcc9cac258ae80682
0.53452
3.961538
false
false
false
false
nkkav/color_maker-s3esk
color_maker_top.vhd
1
3,132
library IEEE; use IEEE.std_logic_1164.all; entity color_maker_top is port ( clk : in std_logic; rst : in std_logic; sldsw : in std_logic_vector(2 downto 0); red : out std_logic; green : out std_logic; blue : out std_logic; vs : out std_logic; hs : out std_logic ); end color_maker_top; architecture top_level of color_maker_top is -- -- Component declarations component vga_controller is generic ( H_S : integer := 800; H_DISP : integer := 640; H_FP : integer := 16; H_PW : integer := 96; H_BP : integer := 48; V_S : integer := 521; V_DISP : integer := 480; V_FP : integer := 10; V_PW : integer := 2; V_BP : integer := 29 ); port ( clk : in std_logic; rst : in std_logic; hs : out std_logic; vs : out std_logic; blank : out std_logic; hpix : out std_logic_vector(9 downto 0); -- horizontal coordinate vpix : out std_logic_vector(9 downto 0) -- vertical coordinate ); end component; -- component color_maker is port ( sldsw : in std_logic_vector(2 downto 0); red : out std_logic; green : out std_logic; blue : out std_logic ); end component; -- component clockdiv generic ( DIVPARAM : integer := 5_000_000 ); port ( clk_i : in std_logic; rst : in std_logic; clk_o : out std_logic ); end component; -- -- Signal declarations signal clkdiv2 : std_logic; signal hsSig : std_logic; signal vsSig : std_logic; signal redSig : std_logic; signal greenSig : std_logic; signal blueSig : std_logic; signal vidonSig : std_logic; signal hdrawSig : std_logic_vector(9 downto 0); signal vdrawSig : std_logic_vector(9 downto 0); -- begin clockdiv_vga_instance : clockdiv generic map ( DIVPARAM => 2 ) port map ( clk_i => clk, rst => rst, clk_o => clkdiv2 ); vgaSync_instance : vga_controller generic map ( -- H_S => 1040, -- H_DISP => 800, -- H_FP => 56, -- H_PW => 120, -- H_BP => 64, -- V_S => 666, -- V_DISP => 600, -- V_FP => 37, -- V_PW => 6, -- V_BP => 23 H_S => 800, H_DISP => 640, H_FP => 16, H_PW => 96, H_BP => 48, V_S => 521, V_DISP => 480, V_FP => 10, V_PW => 2, V_BP => 29 ) port map ( clk => clkdiv2, -- clk => clk, rst => rst, hs => hsSig, vs => vsSig, blank => vidonSig, hpix => hdrawSig, vpix => vdrawSig ); color_maker_instance : color_maker port map ( sldsw => sldsw, red => redSig, green => greenSig, blue => blueSig ); hs <= hsSig; vs <= vsSig; red <= redSig and vidonSig; green <= greenSig and vidonSig; blue <= blueSig and vidonSig; end top_level;
bsd-3-clause
f2b4ad3052518777b8ab6bfd2f0d8f71
0.48659
3.199183
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_counter.vhd
2
5,666
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_counter is generic ( USE_USR_ACLR : string := "false"; USE_ENA : string := "false"; USE_CIN : string := "false"; USE_SSET : string := "false"; NDIRECTION : natural := 1; SVALUE : string := "0"; USE_SLOAD : string := "false"; USE_SCLR : string := "false"; USE_COUT : string := "false"; MODULUS : integer := 256; USE_CNT_ENA : string := "false"; WIDTH : natural := 8; USE_ASET : string := "false"; USE_ALOAD : string := "false"; AVALUE : string := "0" ); port ( user_aclr : in std_logic := '0'; clock : in std_logic := '0'; q : out std_logic_vector(width-1 downto 0); direction : in std_logic := '0'; sclr : in std_logic := '0'; data : in std_logic_vector(width-1 downto 0) := (others=>'0'); aset : in std_logic := '0'; cout : out std_logic; sset : in std_logic := '0'; aclr : in std_logic := '0'; cnt_ena : in std_logic := '0'; cin : in std_logic := '0'; ena : in std_logic := '0'; aload : in std_logic := '0'; sload : in std_logic := '0' ); end entity alt_dspbuilder_counter; architecture rtl of alt_dspbuilder_counter is component alt_dspbuilder_counter_GNZKRIGTBB is generic ( USE_USR_ACLR : string := "false"; USE_ENA : string := "false"; USE_CIN : string := "false"; USE_SSET : string := "false"; NDIRECTION : natural := 1; SVALUE : string := "1"; USE_SLOAD : string := "true"; USE_SCLR : string := "false"; USE_COUT : string := "false"; MODULUS : integer := 65536; USE_CNT_ENA : string := "true"; WIDTH : natural := 24; USE_ASET : string := "false"; USE_ALOAD : string := "false"; AVALUE : string := "0" ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; cnt_ena : in std_logic := '0'; cout : out std_logic; data : in std_logic_vector(24-1 downto 0) := (others=>'0'); q : out std_logic_vector(24-1 downto 0); sload : in std_logic := '0' ); end component alt_dspbuilder_counter_GNZKRIGTBB; component alt_dspbuilder_counter_GNKAA2ZBZG is generic ( USE_USR_ACLR : string := "false"; USE_ENA : string := "false"; USE_CIN : string := "false"; USE_SSET : string := "false"; NDIRECTION : natural := 1; SVALUE : string := "1"; USE_SLOAD : string := "false"; USE_SCLR : string := "true"; USE_COUT : string := "false"; MODULUS : integer := 8388608; USE_CNT_ENA : string := "true"; WIDTH : natural := 24; USE_ASET : string := "false"; USE_ALOAD : string := "false"; AVALUE : string := "0" ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; cnt_ena : in std_logic := '0'; cout : out std_logic; q : out std_logic_vector(24-1 downto 0); sclr : in std_logic := '0' ); end component alt_dspbuilder_counter_GNKAA2ZBZG; begin alt_dspbuilder_counter_GNZKRIGTBB_0: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "true") and (USE_SCLR = "false") and (USE_COUT = "false") and (MODULUS = 65536) and (USE_CNT_ENA = "true") and (WIDTH = 24) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate inst_alt_dspbuilder_counter_GNZKRIGTBB_0: alt_dspbuilder_counter_GNZKRIGTBB generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "true", USE_SCLR => "false", USE_COUT => "false", MODULUS => 65536, USE_CNT_ENA => "true", WIDTH => 24, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0") port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, data => data, q => q, sload => sload); end generate; alt_dspbuilder_counter_GNKAA2ZBZG_1: if ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = 8388608) and (USE_CNT_ENA = "true") and (WIDTH = 24) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) generate inst_alt_dspbuilder_counter_GNKAA2ZBZG_1: alt_dspbuilder_counter_GNKAA2ZBZG generic map(USE_USR_ACLR => "false", USE_ENA => "false", USE_CIN => "false", USE_SSET => "false", NDIRECTION => 1, SVALUE => "1", USE_SLOAD => "false", USE_SCLR => "true", USE_COUT => "false", MODULUS => 8388608, USE_CNT_ENA => "true", WIDTH => 24, USE_ASET => "false", USE_ALOAD => "false", AVALUE => "0") port map(aclr => aclr, clock => clock, cnt_ena => cnt_ena, cout => cout, q => q, sclr => sclr); end generate; assert not (((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "true") and (USE_SCLR = "false") and (USE_COUT = "false") and (MODULUS = 65536) and (USE_CNT_ENA = "true") and (WIDTH = 24) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0")) or ((USE_USR_ACLR = "false") and (USE_ENA = "false") and (USE_CIN = "false") and (USE_SSET = "false") and (NDIRECTION = 1) and (SVALUE = "1") and (USE_SLOAD = "false") and (USE_SCLR = "true") and (USE_COUT = "false") and (MODULUS = 8388608) and (USE_CNT_ENA = "true") and (WIDTH = 24) and (USE_ASET = "false") and (USE_ALOAD = "false") and (AVALUE = "0"))) report "Please run generate again" severity error; end architecture rtl;
mit
5899c74a752b059db1206e7529aaa80b
0.613837
2.934231
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GN5EYRLJQW.vhd
4
857
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GN5EYRLJQW is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(24 downto 0); output : out std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GN5EYRLJQW is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 25 , width_inr=> 0, width_outl=> 24, width_outr=> 0, lpm_signed=> BusIsUnsigned , round=> round, satur=> saturate) port map ( xin(24 downto 0) => input, yout => output ); end architecture;
mit
4a2fa56aa3cffedeff581ac41ed77ed3
0.65811
3.105072
false
false
false
false
bobxiv/DispositivosLogicosProgramables-FICH
Proyecto 1 DLP TP Calculadora/Src/InputModuleTest.vhd
1
4,102
-------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 08:27:52 10/09/2011 -- Design Name: -- Module Name: C:/Users/BOB/Calculadora/Source/InputModuleTest.vhd -- Project Name: Calculadora -- Target Device: -- Tool versions: -- Description: -- -- VHDL Test Bench Created by ISE for module: InputFSM -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- -- Notes: -- This testbench has been automatically generated using types std_logic and -- std_logic_vector for the ports of the unit under test. Xilinx recommends -- that these types always be used for the top-level I/O of a design in order -- to guarantee that the testbench will bind correctly to the post-implementation -- simulation model. -------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY CalculadoraTest IS END CalculadoraTest; ARCHITECTURE behavior OF CalculadoraTest IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT CalculadoraFSM PORT( input : IN std_logic; clock : IN std_logic; reset : IN std_logic; output : OUT std_logic_vector(15 downto 0) ); END COMPONENT; --Inputs signal input : std_logic := '0'; signal clock : std_logic := '0'; signal reset : std_logic := '0'; --Outputs signal output : std_logic_vector(15 downto 0); -- Clock period definitions constant clock_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: CalculadoraFSM PORT MAP ( input => input, clock => clock, reset => reset, output => output ); -- Clock process definitions clock_process :process begin clock <= '0'; wait for clock_period/2; clock <= '1'; wait for clock_period/2; end process; -- Stimulus process stim_proc: process begin -- hold reset state for 100 ns. --wait for 100 ns; -- Prueba de Multiplicacion: Resultado esperado 100100 -> Osea 36 -- bcd 1001 -> Osea 9 input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; input <='1'; wait for 10 ns; -- operacion Multiplicacion 10 input <='0'; wait for 10 ns; input <='1'; wait for 10 ns; -- bcd 0100 -> Osea 4 input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; wait for 180 ns;-- Necesitamos un ciclo para realizar los calculos -- y dejar 10 ns para mostrar los resultados reset <= '1';--Reseteamos wait for 10 ns; reset <= '0'; -- Prueba de Suma: Resultado esperado 0011 -> Osea 3 -- bcd 0001 -> Osea 1 input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; -- operacion Suma 00 input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; -- bcd 0010 -> Osea 2 input <='0'; wait for 10 ns; input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; wait for 180 ns;-- Necesitamos un ciclo para realizar los calculos -- y dejar 10 ns para mostrar los resultados reset <= '1';--Reseteamos wait for 10 ns; reset <= '0'; -- Prueba de Resta: Resultado esperado 0010 -> Osea 2 -- bcd 0101 -> Osea 5 input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; -- operacion Resta 01 input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; -- bcd 0011 -> Osea 3 input <='1'; wait for 10 ns; input <='1'; wait for 10 ns; input <='0'; wait for 10 ns; input <='0'; wait for 10 ns; wait for clock_period*10; -- insert stimulus here wait; end process; END;
gpl-3.0
63a6de8b888324effd75f53e3a7fe5bb
0.559483
3.551515
false
false
false
false
freecores/t48
rtl/vhdl/system/t8048_notri.vhd
1
8,377
------------------------------------------------------------------------------- -- -- T8048 Microcontroller System -- 8048 toplevel without tri-states -- -- $Id: t8048_notri.vhd,v 1.7 2006-07-14 01:13:32 arniml Exp $ -- $Name: not supported by cvs2svn $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity t8048_notri is generic ( gate_port_input_g : integer := 1 ); port ( xtal_i : in std_logic; xtal_en_i : in std_logic; reset_n_i : in std_logic; t0_i : in std_logic; t0_o : out std_logic; t0_dir_o : out std_logic; int_n_i : in std_logic; ea_i : in std_logic; rd_n_o : out std_logic; psen_n_o : out std_logic; wr_n_o : out std_logic; ale_o : out std_logic; db_i : in std_logic_vector( 7 downto 0); db_o : out std_logic_vector( 7 downto 0); db_dir_o : out std_logic; t1_i : in std_logic; p2_i : in std_logic_vector( 7 downto 0); p2_o : out std_logic_vector( 7 downto 0); p2l_low_imp_o : out std_logic; p2h_low_imp_o : out std_logic; p1_i : in std_logic_vector( 7 downto 0); p1_o : out std_logic_vector( 7 downto 0); p1_low_imp_o : out std_logic; prog_n_o : out std_logic ); end t8048_notri; library ieee; use ieee.numeric_std.all; use work.t48_core_comp_pack.t48_core; use work.t48_core_comp_pack.t48_rom; use work.t48_core_comp_pack.generic_ram_ena; architecture struct of t8048_notri is -- Address width of internal ROM constant rom_addr_width_c : natural := 10; signal xtal3_s : std_logic; signal dmem_addr_s : std_logic_vector( 7 downto 0); signal dmem_we_s : std_logic; signal dmem_data_from_s : std_logic_vector( 7 downto 0); signal dmem_data_to_s : std_logic_vector( 7 downto 0); signal pmem_addr_s : std_logic_vector(11 downto 0); signal pmem_data_s : std_logic_vector( 7 downto 0); signal ea_s : std_logic; signal p1_in_s, p1_out_s : std_logic_vector( 7 downto 0); signal p2_in_s, p2_out_s : std_logic_vector( 7 downto 0); signal vdd_s : std_logic; begin vdd_s <= '1'; ----------------------------------------------------------------------------- -- Check generics for valid values. ----------------------------------------------------------------------------- -- pragma translate_off assert gate_port_input_g = 0 or gate_port_input_g = 1 report "gate_port_input_g must be either 1 or 0!" severity failure; -- pragma translate_on t48_core_b : t48_core generic map ( xtal_div_3_g => 1, register_mnemonic_g => 1, include_port1_g => 1, include_port2_g => 1, include_bus_g => 1, include_timer_g => 1, sample_t1_state_g => 4 ) port map ( xtal_i => xtal_i, xtal_en_i => xtal_en_i, reset_i => reset_n_i, t0_i => t0_i, t0_o => t0_o, t0_dir_o => t0_dir_o, int_n_i => int_n_i, ea_i => ea_s, rd_n_o => rd_n_o, psen_n_o => psen_n_o, wr_n_o => wr_n_o, ale_o => ale_o, db_i => db_i, db_o => db_o, db_dir_o => db_dir_o, t1_i => t1_i, p2_i => p2_in_s, p2_o => p2_out_s, p2l_low_imp_o => p2l_low_imp_o, p2h_low_imp_o => p2h_low_imp_o, p1_i => p1_in_s, p1_o => p1_out_s, p1_low_imp_o => p1_low_imp_o, prog_n_o => prog_n_o, clk_i => xtal_i, en_clk_i => xtal3_s, xtal3_o => xtal3_s, dmem_addr_o => dmem_addr_s, dmem_we_o => dmem_we_s, dmem_data_i => dmem_data_from_s, dmem_data_o => dmem_data_to_s, pmem_addr_o => pmem_addr_s, pmem_data_i => pmem_data_s ); ----------------------------------------------------------------------------- -- Gate port 1 and 2 input bus with respetive output value ----------------------------------------------------------------------------- gate_ports: if gate_port_input_g = 1 generate p1_in_s <= p1_i and p1_out_s; p2_in_s <= p2_i and p2_out_s; end generate; pass_ports: if gate_port_input_g = 0 generate p1_in_s <= p1_i; p2_in_s <= p2_i; end generate; p1_o <= p1_out_s; p2_o <= p2_out_s; ----------------------------------------------------------------------------- -- Process ea -- -- Purpose: -- Detects access to external program memory. -- Either by ea_i = '1' or when program memory address leaves address -- range of internal ROM. -- ea: process (ea_i, pmem_addr_s) begin if ea_i = '1' then -- Forced external access ea_s <= '1'; elsif unsigned(pmem_addr_s(11 downto rom_addr_width_c)) = 0 then -- Internal access ea_s <= '0'; else -- Access to program memory out of internal range ea_s <= '1'; end if; end process ea; -- ----------------------------------------------------------------------------- rom_1k_b : t48_rom port map ( clk_i => xtal_i, rom_addr_i => pmem_addr_s(rom_addr_width_c-1 downto 0), rom_data_o => pmem_data_s ); ram_64_b : generic_ram_ena generic map ( addr_width_g => 6, data_width_g => 8 ) port map ( clk_i => xtal_i, a_i => dmem_addr_s(5 downto 0), we_i => dmem_we_s, ena_i => vdd_s, d_i => dmem_data_to_s, d_o => dmem_data_from_s ); end struct; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.6 2006/06/21 01:02:16 arniml -- replaced syn_rom and syn_ram with t48_rom and generic_ram_ena -- -- Revision 1.5 2006/06/20 00:47:08 arniml -- new input xtal_en_i -- -- Revision 1.4 2005/11/01 21:38:48 arniml -- wire signals for P2 low impedance marker issue -- -- Revision 1.3 2004/12/02 22:08:42 arniml -- introduced generic gate_port_input_g -- forces masking of P1 and P2 input bus -- -- Revision 1.2 2004/12/01 23:08:08 arniml -- update -- -------------------------------------------------------------------------------
gpl-2.0
ef8bb6f3b00f3618139d3dd9f5fee266
0.527755
3.358861
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/avnet/zed_hdmi_out_v2_0/401115cc/hdl/vhdl/zed_hdmi_out.vhd
1
9,391
------------------------------------------------------------------ -- _____ -- / \ -- /____ \____ -- / \===\ \==/ -- /___\===\___\/ AVNET -- \======/ -- \====/ ----------------------------------------------------------------- -- -- This design is the property of Avnet. Publication of this -- design is not authorized without written consent from Avnet. -- -- Please direct any questions to: [email protected] -- -- Disclaimer: -- Avnet, Inc. makes no warranty for the use of this code or design. -- This code is provided "As Is". Avnet, Inc assumes no responsibility for -- any errors, which may appear in this code, nor does it make a commitment -- to update the information contained herein. Avnet, Inc specifically -- disclaims any implied warranties of fitness for a particular purpose. -- Copyright(c) 2011 Avnet, Inc. -- All rights reserved. -- ------------------------------------------------------------------ -- -- Create Date: May 19, 2012 -- Design Name: ZedBoard HDMI Output -- Module Name: zed_hdmi_out.vhd -- Project Name: ZedBoard HDMI Output -- Target Devices: Zynq-7000 -- -- Tool versions: ISE 14.3 -- -- Description: ZedBoard HDMI output interface. -- -- Dependencies: -- -- Revision: May 19, 2012: 1.02 Initial version -- Dec 21, 2012: 2.01 Remove XSVI bus interface -- Remove xsvi_ prefixes to video_ -- Rename active_video to de -- Change IP_GROUP to FMC-IMAGEON -- ------------------------------------------------------------------ library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; ---- Uncomment the following library declaration if instantiating ---- any Xilinx primitives in this code. library UNISIM; use UNISIM.VComponents.all; entity zed_hdmi_out is Generic ( C_DATA_WIDTH : integer := 16; C_FAMILY : string := "virtex6" ); Port ( clk : in std_logic; reset : in std_logic; -- Audio Input Port audio_spdif : in std_logic; -- Video Ports video_vsync : in std_logic; video_hsync : in std_logic; video_de : in std_logic; video_data : in std_logic_vector((C_DATA_WIDTH-1) downto 0); -- I/O pins io_hdmio_spdif : out std_logic; io_hdmio_video : out std_logic_vector(15 downto 0); io_hdmio_vsync : out std_logic; io_hdmio_hsync : out std_logic; io_hdmio_de : out std_logic; io_hdmio_clk : out std_logic ); end zed_hdmi_out; architecture rtl of zed_hdmi_out is signal clk_n : std_logic; signal net0 : std_logic; signal net1 : std_logic; signal oe : std_logic; signal oe_n : std_logic; -- -- Audio Port -- signal spdif_r : std_logic; -- -- Video Port -- signal video_r : std_logic_vector(15 downto 0); signal vsync_r : std_logic; signal hsync_r : std_logic; signal de_r : std_logic; -- -- IOB Registers -- signal hdmi_spdif_o : std_logic; signal hdmi_video_o : std_logic_vector(15 downto 0); signal hdmi_vsync_o : std_logic; signal hdmi_hsync_o : std_logic; signal hdmi_de_o : std_logic; signal hdmi_clk_o : std_logic; signal hdmi_spdif_t : std_logic; signal hdmi_video_t : std_logic_vector(15 downto 0); signal hdmi_vsync_t : std_logic; signal hdmi_hsync_t : std_logic; signal hdmi_de_t : std_logic; signal hdmi_clk_t : std_logic; attribute IOB : string; attribute IOB of hdmi_spdif_o : signal is "TRUE"; attribute IOB of hdmi_video_o : signal is "TRUE"; attribute IOB of hdmi_vsync_o : signal is "TRUE"; attribute IOB of hdmi_hsync_o : signal is "TRUE"; attribute IOB of hdmi_de_o : signal is "TRUE"; attribute IOB of hdmi_clk_o : signal is "TRUE"; begin clk_n <= not clk; oe <= '1'; oe_n <= not oe; net0 <= '0'; net1 <= '1'; -- -- Audio Port -- spdif_r <= audio_spdif; -- -- Video Ports -- VIDEO_PORTS_16BIT_GEN : if (C_DATA_WIDTH = 16) generate video_ports_16bit_iregs_l : process (clk) begin if Rising_Edge(clk) then video_r <= video_data(15 downto 0); vsync_r <= video_vsync; hsync_r <= video_hsync; de_r <= video_de; end if; end process; end generate VIDEO_PORTS_16BIT_GEN; -- -- IOB Registers -- io_oregs_l : process (clk) begin if Rising_Edge(clk) then hdmi_spdif_o <= spdif_r; hdmi_video_o <= video_r; hdmi_vsync_o <= vsync_r; hdmi_hsync_o <= hsync_r; hdmi_de_o <= de_r; -- hdmi_spdif_t <= oe_n; hdmi_video_t <= (others => oe_n); hdmi_vsync_t <= oe_n; hdmi_hsync_t <= oe_n; hdmi_de_t <= oe_n; end if; end process; S3ADSP_GEN : if (C_FAMILY = "spartan3adsp") generate ODDR_hdmi_clk_o : ODDR2 generic map ( DDR_ALIGNMENT => "NONE", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_o, C0 => clk, C1 => clk_n, CE => net1, D0 => net0, D1 => net1, R => net0, S => net0); ODDR_hdmi_clk_t : ODDR2 generic map ( DDR_ALIGNMENT => "NONE", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_t, C0 => clk, C1 => clk_n, CE => net1, D0 => oe_n, D1 => oe_n, R => net0, S => net0); end generate S3ADSP_GEN; S6_GEN : if (C_FAMILY = "spartan6") generate ODDR_hdmi_clk_o : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_o, C0 => clk, C1 => clk_n, CE => net1, D0 => net0, D1 => net1, R => net0, S => net0); ODDR_hdmi_clk_t : ODDR2 generic map ( DDR_ALIGNMENT => "C0", -- "NONE", "C0" or "C1" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_t, C0 => clk, C1 => clk_n, CE => net1, D0 => oe_n, D1 => oe_n, R => net0, S => net0); end generate S6_GEN; V6_GEN : if (C_FAMILY = "virtex6" or C_FAMILY = "zynq" or C_FAMILY = "kintex7" or C_FAMILY = "artix7" or C_FAMILY = "virtex7") generate ODDR_hdmi_clk_o : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_o, C => clk, CE => net1, D1 => net0, D2 => net1, R => net0, S => net0); ODDR_hdmi_clk_t : ODDR generic map ( DDR_CLK_EDGE => "SAME_EDGE", -- "OPPOSITE_EDGE" or "SAME_EDGE" INIT => '1', -- Sets initial state of Q SRTYPE => "ASYNC") -- Reset type port map ( Q => hdmi_clk_t, C => clk, CE => net1, D1 => oe_n, D2 => oe_n, R => net0, S => net0); end generate V6_GEN; -- -- Tri-stateable outputs -- Can be used to disable outputs to FMC connector -- until FMC module is correctly identified. -- OBUFT_hdmio_spdif : OBUFT port map ( O => io_hdmio_spdif, I => hdmi_spdif_o, T => hdmi_spdif_t ); IO1: for I in 0 to 15 generate OBUFT_hdmio_video : OBUFT port map ( O => io_hdmio_video(I), I => hdmi_video_o(I), T => hdmi_video_t(I) ); end generate IO1; OBUFT_hdmio_vsync : OBUFT port map ( O => io_hdmio_vsync, I => hdmi_vsync_o, T => hdmi_vsync_t ); OBUFT_hdmio_hsync : OBUFT port map ( O => io_hdmio_hsync, I => hdmi_hsync_o, T => hdmi_hsync_t ); OBUFT_hdmio_de : OBUFT port map ( O => io_hdmio_de, I => hdmi_de_o, T => hdmi_de_t ); OBUFT_hdmio_clk : OBUFT port map ( O => io_hdmio_clk, I => hdmi_clk_o, T => hdmi_clk_t ); end rtl;
gpl-2.0
68591fd47d1c00681531acc0bf598682
0.459057
3.675538
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sImpulseAltr.vhd
8
2,714
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sImpulseAltr is generic ( Impulsedelay : positive ; Impulsewidth : positive ); port ( clock : in std_logic; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; user_aclr : in std_logic :='0'; q : out std_logic ); end alt_dspbuilder_sImpulseAltr ; architecture syn of alt_dspbuilder_sImpulseAltr is signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; g1:if Impulsewidth=1 generate gr:if Impulsedelay=1 generate u0: alt_dspbuilder_sImpulse11Altr port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q ); end generate gr; grr:if Impulsedelay>1 generate u0: alt_dspbuilder_sImpulsen1Altr generic map (Impulsedelay=>Impulsedelay) port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q ); end generate grr; end generate g1; gn:if Impulsewidth>1 generate gr:if Impulsedelay=1 generate u0: alt_dspbuilder_sImpulse1nAltr generic map (Impulsewidth=>Impulsewidth) port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q ); end generate gr; grr:if Impulsedelay>1 generate u0: alt_dspbuilder_sImpulsennAltr generic map (Impulsedelay=>Impulsedelay, Impulsewidth=>Impulsewidth) port map (clock=> clock, ena => ena ,aclr => aclr_i, sclr => sclr, q =>q ); end generate grr; end generate gn; end syn;
mit
fe2bb8853e490a56f167d5fc8bf453a1
0.649226
3.905036
false
false
false
false
Ttl/bf_cpu
cache.vhd
1
4,014
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity cache is Generic (WIDTH : natural := 13; -- Length of address DWIDTH : natural := 13; -- Length of one entry CACHE_SIZE : natural := 4); -- Log2 of number of entries in the cache Port ( clk, reset : in STD_LOGIC; addr : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); din : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); push : in STD_LOGIC; valid : out STD_LOGIC; dout : out STD_LOGIC_VECTOR (DWIDTH-1 downto 0)); end cache; architecture Behavioral of cache is type cache_type_data is array(0 to 2**CACHE_SIZE-1) of std_logic_vector(DWIDTH-1 downto 0); type cache_type_tag is array(0 to 2**CACHE_SIZE-1) of std_logic_vector(WIDTH-1 downto CACHE_SIZE); signal last_used : std_logic_vector(2**CACHE_SIZE-1 downto 0); signal valid0, valid1 : std_logic_vector(2**CACHE_SIZE-1 downto 0); signal cache0_d, cache1_d : cache_type_data; signal cache0_t, cache1_t : cache_type_tag; begin process(clk, reset, addr, din, push) begin if rising_edge(clk) then if reset = '1' then for I in 0 to 2**CACHE_SIZE-1 loop valid0(to_integer(to_unsigned(I, CACHE_SIZE))) <= '0'; valid1(to_integer(to_unsigned(I, CACHE_SIZE))) <= '0'; end loop; end if; -- Write to free location or replace randomly if push = '1' then if valid0(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = '0' then cache0_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= addr(WIDTH-1 downto CACHE_SIZE); cache0_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= din; valid0(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; last_used(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '0'; elsif valid1(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = '0' then cache1_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= addr(WIDTH-1 downto CACHE_SIZE); cache1_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= din; valid1(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; last_used(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; else -- Both locations are already occupied so replace the least recently used if last_used(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = '0' then cache1_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= addr(WIDTH-1 downto CACHE_SIZE); cache1_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= din; valid1(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; last_used(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; else cache0_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= addr(WIDTH-1 downto CACHE_SIZE); cache0_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= din; valid0(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '1'; last_used(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) <= '0'; end if; end if; end if; -- Set output if tag matches and entry is valid if valid0(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = '1' and cache0_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = addr(WIDTH-1 downto CACHE_SIZE) then valid <= '1'; dout <= cache0_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))); elsif valid1(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = '1' and cache1_t(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))) = addr(WIDTH-1 downto CACHE_SIZE) then valid <= '1'; dout <= cache1_d(to_integer(unsigned(addr(CACHE_SIZE-1 downto 0)))); else valid <= '0'; dout <= (others => '-'); end if; end if; end process; end Behavioral;
lgpl-3.0
7c5ae25cb187142217b4015718fff3e3
0.604883
3.342215
false
false
false
false
nkkav/color_maker-s3esk
vgactrl.vhd
1
4,561
-- Original source: -- Mike Field -- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; -- -- VGA configuration parameters -- ------------------------------------------- -- CONFIG #1: 640x480 @ 60Hz -- Clock: 25MHz -- ---------------------------------------- -- Name Description Cycles -- ---------------------------------------- -- H_S Horizontal sync pulse 800 -- H_DISP Horizontal display 640 -- H_FP Horizontal front porch 16 -- H_PW Horizontal pulse width 96 -- H_BP Horizontal back porch 48 -- V_S Vertical sync pulse 521 -- V_DISP Vertical display 480 -- V_FP Vertical front porch 10 -- V_PW Vertical pulse width 2 -- V_BP Vertical back porch 29 -- ---------------------------------------- -- ------------------------------------------- -- CONFIG #2: 800x600 @ 72Hz -- Clock: 50MHz -- ---------------------------------------- -- Name Description Cycles -- ---------------------------------------- -- H_S Horizontal sync pulse 1040 -- H_DISP Horizontal display 800 -- H_FP Horizontal front porch 56 -- H_PW Horizontal pulse width 120 -- H_BP Horizontal back porch 64 -- V_S Vertical sync pulse 666 -- V_DISP Vertical display 600 -- V_FP Vertical front porch 37 -- V_PW Vertical pulse width 6 -- V_BP Vertical back porch 23 -- ---------------------------------------- entity vga_controller is generic ( -- horizontal timing -- sync pulse H_S : integer := 800; -- display H_DISP : integer := 640; -- front porch H_FP : integer := 16; -- pulse width H_PW : integer := 96; -- back porch H_BP : integer := 48; -- vertical timing -- sync pulse V_S : integer := 521; -- display V_DISP : integer := 480; -- front porch V_FP : integer := 10; -- pulse width V_PW : integer := 2; -- back porch V_BP : integer := 29 ); port ( clk : in std_logic; -- assuming a clock of 25MHz rst : in std_logic; -- reset (synchronous) hs : out std_logic; -- Horizontal sync pulse. Active low vs : out std_logic; -- Vertical sync pulse. Active low blank : out std_logic; -- Blanking interval indicator. Active low. -- Color to monitor should be black when active -- (ie, AND this signal with your color signals). hpix : out std_logic_vector(9 downto 0); -- horizontal coordinate vpix : out std_logic_vector(9 downto 0) -- vertical coordinate ); end vga_controller; architecture behavioral of vga_controller is -- -- Constants constant hVisible : natural := H_DISP; constant hSyncStart : natural := H_DISP+H_FP; constant hSyncEnd : natural := H_DISP+H_FP+H_PW; constant hTotalCount : natural := H_DISP+H_FP+H_PW+H_BP; constant vVisible : natural := V_DISP; constant vSyncStart : natural := V_DISP+V_FP; constant vSyncEnd : natural := V_DISP+V_FP+V_PW; constant vTotalCount : natural := V_DISP+V_FP+V_PW+V_BP; -- -- Signals signal nextHsync : std_logic; signal nextVsync : std_logic; signal vCounter : unsigned(10 downto 0) := (others => '0'); signal hCounter : unsigned(11 downto 0) := (others => '0'); -- begin process (clk) begin if rising_edge(clk) then hs <= nextHsync; vs <= nextVsync; hpix <= std_logic_vector(hCounter(9 downto 0)); vpix <= std_logic_vector(vCounter(9 downto 0)); -- if ((hCounter < hVisible) and (vCounter < vVisible)) then blank <= '1'; else blank <= '0'; end if; -- if (hCounter /= hTotalCount-1) then hcounter <= hcounter + 1; else hcounter <= (others => '0'); if (vCounter = vTotalCount-1) then vCounter <= (others => '0'); else vCounter <= vCounter + 1; end if; end if; -- if ((hcounter >= hSyncStart) and (hcounter < hSyncEnd)) then nextHsync <= '0'; else nextHsync <= '1'; end if; -- if ((vcounter >= vSyncStart) and (vcounter < vSyncEnd)) then nextVsync <= '1'; else nextVsync <= '0'; end if; end if; end process; end behavioral;
bsd-3-clause
3c6472d8e7691198609f38a429f781f2
0.497698
3.885009
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/bd/tutorial/ip/tutorial_rst_processing_system7_0_100M_0/synth/tutorial_rst_processing_system7_0_100M_0.vhd
1
6,835
-- (c) Copyright 1995-2015 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- DO NOT MODIFY THIS FILE. -- IP VLNV: xilinx.com:ip:proc_sys_reset:5.0 -- IP Revision: 6 LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.numeric_std.ALL; LIBRARY proc_sys_reset_v5_0; USE proc_sys_reset_v5_0.proc_sys_reset; ENTITY tutorial_rst_processing_system7_0_100M_0 IS PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END tutorial_rst_processing_system7_0_100M_0; ARCHITECTURE tutorial_rst_processing_system7_0_100M_0_arch OF tutorial_rst_processing_system7_0_100M_0 IS ATTRIBUTE DowngradeIPIdentifiedWarnings : string; ATTRIBUTE DowngradeIPIdentifiedWarnings OF tutorial_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "yes"; COMPONENT proc_sys_reset IS GENERIC ( C_FAMILY : STRING; C_EXT_RST_WIDTH : INTEGER; C_AUX_RST_WIDTH : INTEGER; C_EXT_RESET_HIGH : STD_LOGIC; C_AUX_RESET_HIGH : STD_LOGIC; C_NUM_BUS_RST : INTEGER; C_NUM_PERP_RST : INTEGER; C_NUM_INTERCONNECT_ARESETN : INTEGER; C_NUM_PERP_ARESETN : INTEGER ); PORT ( slowest_sync_clk : IN STD_LOGIC; ext_reset_in : IN STD_LOGIC; aux_reset_in : IN STD_LOGIC; mb_debug_sys_rst : IN STD_LOGIC; dcm_locked : IN STD_LOGIC; mb_reset : OUT STD_LOGIC; bus_struct_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_reset : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); interconnect_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0); peripheral_aresetn : OUT STD_LOGIC_VECTOR(0 DOWNTO 0) ); END COMPONENT proc_sys_reset; ATTRIBUTE X_CORE_INFO : STRING; ATTRIBUTE X_CORE_INFO OF tutorial_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "proc_sys_reset,Vivado 2014.4.1"; ATTRIBUTE CHECK_LICENSE_TYPE : STRING; ATTRIBUTE CHECK_LICENSE_TYPE OF tutorial_rst_processing_system7_0_100M_0_arch : ARCHITECTURE IS "tutorial_rst_processing_system7_0_100M_0,proc_sys_reset,{}"; ATTRIBUTE CORE_GENERATION_INFO : STRING; ATTRIBUTE CORE_GENERATION_INFO OF tutorial_rst_processing_system7_0_100M_0_arch: ARCHITECTURE IS "tutorial_rst_processing_system7_0_100M_0,proc_sys_reset,{x_ipProduct=Vivado 2014.4.1,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=proc_sys_reset,x_ipVersion=5.0,x_ipCoreRevision=6,x_ipLanguage=VERILOG,x_ipSimLanguage=MIXED,C_FAMILY=zynq,C_EXT_RST_WIDTH=4,C_AUX_RST_WIDTH=4,C_EXT_RESET_HIGH=0,C_AUX_RESET_HIGH=0,C_NUM_BUS_RST=1,C_NUM_PERP_RST=1,C_NUM_INTERCONNECT_ARESETN=1,C_NUM_PERP_ARESETN=1}"; ATTRIBUTE X_INTERFACE_INFO : STRING; ATTRIBUTE X_INTERFACE_INFO OF slowest_sync_clk: SIGNAL IS "xilinx.com:signal:clock:1.0 clock CLK"; ATTRIBUTE X_INTERFACE_INFO OF ext_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 ext_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF aux_reset_in: SIGNAL IS "xilinx.com:signal:reset:1.0 aux_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_debug_sys_rst: SIGNAL IS "xilinx.com:signal:reset:1.0 dbg_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF mb_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 mb_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF bus_struct_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 bus_struct_reset RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_reset: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_high_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF interconnect_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 interconnect_low_rst RST"; ATTRIBUTE X_INTERFACE_INFO OF peripheral_aresetn: SIGNAL IS "xilinx.com:signal:reset:1.0 peripheral_low_rst RST"; BEGIN U0 : proc_sys_reset GENERIC MAP ( C_FAMILY => "zynq", C_EXT_RST_WIDTH => 4, C_AUX_RST_WIDTH => 4, C_EXT_RESET_HIGH => '0', C_AUX_RESET_HIGH => '0', C_NUM_BUS_RST => 1, C_NUM_PERP_RST => 1, C_NUM_INTERCONNECT_ARESETN => 1, C_NUM_PERP_ARESETN => 1 ) PORT MAP ( slowest_sync_clk => slowest_sync_clk, ext_reset_in => ext_reset_in, aux_reset_in => aux_reset_in, mb_debug_sys_rst => mb_debug_sys_rst, dcm_locked => dcm_locked, mb_reset => mb_reset, bus_struct_reset => bus_struct_reset, peripheral_reset => peripheral_reset, interconnect_aresetn => interconnect_aresetn, peripheral_aresetn => peripheral_aresetn ); END tutorial_rst_processing_system7_0_100M_0_arch;
gpl-2.0
9c3942819863995c33cb4357acdc5f78
0.718947
3.471305
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/Modules/Reg_Reference.vhd
1
637
---- Engineer: Brett Bourgeois library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.STD_LOGIC_ARITH.ALL; use IEEE.STD_LOGIC_UNSIGNED.ALL; USE work.UMDRISC_pkg.ALL; entity Reg is generic (regSize : integer:= BITREG_16); port ( CLK : in STD_LOGIC; RST : in STD_LOGIC; D : in STD_LOGIC_VECTOR(regSize downto 0); Q : out STD_LOGIC_VECTOR(regSize downto 0) ); end Reg; architecture Behavioral of Reg is begin Process(CLK, RST) begin if(RST = '1') then Q <= (others =>'0'); elsif (CLK'event and CLK = '0') then -- trigger on falling edge Q <= D; end if; end process; end Behavioral;
mit
f28412d37b39f2436bd908c344f882d2
0.638932
2.922018
false
false
false
false
Caneda/Caneda
libraries/hdl/vhdl/synchronous/counter x 4.vhd
1
623
library IEEE; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; ENTITY counter IS PORT (rst: IN std_logic; clk: IN std_logic; output: OUT std_logic_vector(3 DOWNTO 0)); END counter; ARCHITECTURE rtl OF counter IS signal aux: std_logic_vector(3 DOWNTO 0); BEGIN process (clk, rst) begin if(rst = '1') then aux <= (others => '0'); elsif(clk'event and clk = '1') then if(aux = "1111") then aux <= (others => '0'); else aux <= aux + 1; end if; end if; output <= aux; end process; END rtl;
gpl-2.0
cc5dadf1a548bba9b7d44543098c4578
0.542536
3.38587
false
false
false
false
Given-Jiang/Test_Pattern_Generator
Test_Pattern_Generator_dspbuilder/hdl/alt_dspbuilder_testbench_clock_GNXGQJH2DS.vhd
10
2,722
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_testbench_clock_GNXGQJH2DS is generic ( SIMULATION_START_CYCLE : natural := 4; RESET_LATENCY : natural := 0; RESET_REGISTER_CASCADE_DEPTH : natural := 0); port( aclr_out : out std_logic; clock_out : out std_logic; reg_aclr_out : out std_logic; tb_aclr : out std_logic); end entity; architecture rtl of alt_dspbuilder_testbench_clock_GNXGQJH2DS is function alt_dspbuilder_testbench_clock_GNXGQJH2DS_offset_generate ( latency, cascade_depth: integer) return integer is begin if latency > cascade_depth then return latency - cascade_depth; else return 0; end if; end function alt_dspbuilder_testbench_clock_GNXGQJH2DS_offset_generate; constant cPERIOD : time := 7.499999999999999 ns; constant cPHASE_DELAY : time := 0 fs; constant cINITIAL_CLOCK : std_logic := '1'; constant offset : integer := alt_dspbuilder_testbench_clock_GNXGQJH2DS_offset_generate(RESET_LATENCY, RESET_REGISTER_CASCADE_DEPTH); Begin -- clock generator -- We want to start simulation after 4 cycles. -- Start the salt generators 1 period early as they are read on falling edges -- take into account any extra registering of resets that need to be compensated for in the msim testbench flow tb_aclr <= '1', '0' after (SIMULATION_START_CYCLE + RESET_LATENCY) * cPERIOD + cPHASE_DELAY - cPERIOD; -- Start the system 1/2 a period early so it is ready on the next edge -- we may need to offset this by the difference in the DUT reset latency (needed to align this reset correctly) -- from the actual latency present in the reset synchronization circuitry -- so the actual hardware comes out of reset exactly when the data capture elements (using reg_aclr_out) -- are switched 'on' aclr_out <= '1', '0' after (SIMULATION_START_CYCLE + offset) * cPERIOD + cPHASE_DELAY - cPERIOD/2; -- potentially delayed reset signal - delayed to hide any extra latency due to registered reset signal -- this signal should be hooked up to data capture elements -- will be identical to above system reset in default (unregistered) reset case reg_aclr_out <= '1', '0' after (SIMULATION_START_CYCLE + RESET_LATENCY)* cPERIOD + cPHASE_DELAY - cPERIOD/2; GEN_CLK: process begin wait for cPHASE_DELAY; loop clock_out <= cINITIAL_CLOCK; wait for cPERIOD/2; clock_out <= not cINITIAL_CLOCK; wait for cPERIOD/2; end loop; end process GEN_CLK; end architecture;
mit
974d7530d0d381cc742dfd9dfe21a8cf
0.708303
3.754483
false
true
false
false
cathalmccabe/PYNQ
boards/ip/audio_codec_ctrl_v1.0/src/common_types.vhd
4
3,530
-- -- Package File Template -- -- Purpose: This package defines supplemental types, subtypes, -- constants, and functions -- -- To use any of the example code shown below, uncomment the lines and modify as necessary -- library IEEE; use IEEE.STD_LOGIC_1164.all; use work.family_support.all; package common_types is -- TYPE DECLARATIONS type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31); subtype SLV64_TYPE is std_logic_vector(0 to 63); type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE; type INTEGER_ARRAY_TYPE is array (natural range <>) of integer; -- FUNCTION GENERATIONS function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer; function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer; function clog2(x : positive) return natural; end common_types; package body common_types is ----------------------------------------------------------------------------- -- Function calc_num_ce -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The array is input to -- the function and an integer is returned reflecting the total number of -- Chip Enables required for the CE, RdCE, and WrCE Buses ----------------------------------------------------------------------------- function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is Variable ce_num_sum : integer := 0; begin for i in 0 to (ce_num_array'length)-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; return(ce_num_sum); end function calc_num_ce; ----------------------------------------------------------------------------- -- Function calc_start_ce_index -- -- This function is used to process the array specifying the number of Chip -- Enables required for a Base Address specification. The CE Size array is -- input to the function and an integer index representing the index of the -- target module in the ce_num_array. An integer is returned reflecting the -- starting index of the assigned Chip Enables within the CE, RdCE, and -- WrCE Buses. ----------------------------------------------------------------------------- function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE; index : integer) return integer is Variable ce_num_sum : integer := 0; begin If (index = 0) Then ce_num_sum := 0; else for i in 0 to index-1 loop ce_num_sum := ce_num_sum + ce_num_array(i); End loop; End if; return(ce_num_sum); end function calc_start_ce_index; -------------------------------------------------------------------------------- -- Function clog2 - returns the integer ceiling of the base 2 logarithm of x, -- i.e., the least integer greater than or equal to log2(x). -------------------------------------------------------------------------------- function clog2(x : positive) return natural is variable r : natural := 0; variable rp : natural := 1; -- rp tracks the value 2**r begin while rp < x loop -- Termination condition T: x <= 2**r -- Loop invariant L: 2**(r-1) < x r := r + 1; if rp > integer'high - rp then exit; end if; -- If doubling rp overflows -- the integer range, the doubled value would exceed x, so safe to exit. rp := rp + rp; end loop; -- L and T <-> 2**(r-1) < x <= 2**r <-> (r-1) < log2(x) <= r return r; -- end clog2; end common_types;
bsd-3-clause
98e9ef560daf78490a328cb5e8ee77f2
0.585269
3.900552
false
false
false
false
freecores/t48
bench/vhdl/tb_t8048.vhd
1
9,819
------------------------------------------------------------------------------- -- -- The testbench for t8048. -- -- $Id: tb_t8048.vhd,v 1.8 2008-04-28 22:10:13 arniml Exp $ -- -- Copyright (c) 2004, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_t8048 is end tb_t8048; use work.t48_core_comp_pack.generic_ram_ena; use work.t48_system_comp_pack.t8048; use work.t48_tb_pack.all; architecture behav of tb_t8048 is -- clock period, 11 MHz constant period_c : time := 90 ns; component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal xtal_s : std_logic; signal res_n_s : std_logic; signal int_n_s : std_logic; signal ale_s : std_logic; signal psen_n_s : std_logic; signal prog_n_s : std_logic; signal t0_b : std_logic; signal p1_b : std_logic_vector( 7 downto 0); signal p2_b : std_logic_vector( 7 downto 0); signal db_b : std_logic_vector( 7 downto 0); signal ext_mem_addr_s : std_logic_vector(11 downto 0); signal ext_ram_data_from_s : std_logic_vector( 7 downto 0); signal ext_ram_we_s : std_logic; signal ext_rom_data_s : std_logic_vector( 7 downto 0); signal rd_n_s : std_logic; signal wr_n_s : std_logic; signal zero_s : std_logic; signal one_s : std_logic; begin zero_s <= '0'; one_s <= '1'; p2_b <= (others => 'H'); p1_b <= (others => 'H'); ----------------------------------------------------------------------------- -- External ROM, 3k bytes -- Initialized by file t48_ext_rom.hex. ----------------------------------------------------------------------------- ext_rom_b : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 12, LPM_NUMWORDS => 3 * (2 ** 10), LPM_FILE => "rom_t48_ext.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => ext_mem_addr_s, inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => ext_rom_data_s ); ext_ram_b : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ext_mem_addr_s(7 downto 0), we_i => ext_ram_we_s, ena_i => one_s, d_i => db_b, d_o => ext_ram_data_from_s ); t8048_b : t8048 port map ( xtal_i => xtal_s, reset_n_i => res_n_s, t0_b => t0_b, int_n_i => int_n_s, ea_i => zero_s, rd_n_o => rd_n_s, psen_n_o => psen_n_s, wr_n_o => wr_n_s, ale_o => ale_s, db_b => db_b, t1_i => p1_b(1), p2_b => p2_b, p1_b => p1_b, prog_n_o => prog_n_s ); ----------------------------------------------------------------------------- -- Read from external memory -- db_b <= ext_rom_data_s when psen_n_s = '0' else (others => 'Z'); db_b <= ext_ram_data_from_s when rd_n_s = '0' else (others => 'Z'); -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- External RAM access signals -- ext_ram: process (wr_n_s, ale_s, p2_b, db_b) begin -- lowest 1k of external ROM is not used ext_mem_addr_s(11 downto 8) <= To_X01Z(p2_b(3 downto 0)); if ale_s'event and ale_s = '0' then if not is_X(db_b) then ext_mem_addr_s(7 downto 0) <= db_b; else ext_mem_addr_s(7 downto 0) <= (others => '0'); end if; end if; if wr_n_s'event and wr_n_s = '1' then ext_ram_we_s <= '0'; end if; if wr_n_s'event and wr_n_s = '0' then ext_ram_we_s <= '1'; end if; end process ext_ram; -- ----------------------------------------------------------------------------- t0_b <= p1_b(0); ----------------------------------------------------------------------------- -- The clock generator -- clk_gen: process begin xtal_s <= '0'; wait for period_c/2; xtal_s <= '1'; wait for period_c/2; end process clk_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The reset generator -- res_gen: process begin res_n_s <= '0'; wait for 5 * period_c; res_n_s <= '1'; wait; end process res_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The interrupt generator -- int_gen: process begin int_n_s <= '1'; wait for 750 * period_c; int_n_s <= '0'; wait for 45 * period_c; end process int_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- End of simulation detection -- eos: process begin outer: loop wait on tb_accu_s; if tb_accu_s = "10101010" then wait on tb_accu_s; if tb_accu_s = "01010101" then wait on tb_accu_s; if tb_accu_s = "00000001" then -- wait for instruction strobe of this move wait until tb_istrobe_s'event and tb_istrobe_s = '1'; -- wait for next strobe wait until tb_istrobe_s'event and tb_istrobe_s = '1'; assert false report "Simulation Result: PASS." severity note; else assert false report "Simulation Result: FAIL." severity note; end if; assert false report "End of simulation reached." severity failure; end if; end if; end loop; end process eos; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -- Revision 1.7 2006/06/24 00:51:50 arniml -- comment added about lower 1k of external ROM -- -- Revision 1.6 2006/06/22 00:21:28 arniml -- added external ROM -- -- Revision 1.5 2006/06/21 01:04:05 arniml -- replaced syn_ram and syn_rom with generic_ram_ena and t48_rom/t49_rom/t3x_rom -- -- Revision 1.4 2004/04/18 19:00:58 arniml -- connect T0 and T1 to P1 -- -- Revision 1.3 2004/04/14 20:57:44 arniml -- wait for instruction strobe after final end-of-simulation detection -- this ensures that the last mov instruction is part of the dump and -- enables 100% matching with i8039 simulator -- -- Revision 1.2 2004/03/26 22:39:28 arniml -- enhance simulation result string -- -- Revision 1.1 2004/03/24 21:42:10 arniml -- initial check-in -- -------------------------------------------------------------------------------
gpl-2.0
f3775622280c5b8cd764eee50e18c29b
0.486302
3.862707
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/axi_vdma_v6_2/b57990b0/hdl/src/vhdl/axi_sg_updt_sm.vhd
1
40,595
------------------------------------------------------------------------------- -- axi_sg_updt_sm ------------------------------------------------------------------------------- -- -- ************************************************************************* -- -- (c) Copyright 2010, 2011 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: axi_sg_updt_sm.vhd -- Description: This entity manages updating of descriptors. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_sg.vhd -- axi_sg_pkg.vhd -- |- axi_sg_ftch_mngr.vhd -- | |- axi_sg_ftch_sm.vhd -- | |- axi_sg_ftch_pntr.vhd -- | |- axi_sg_ftch_cmdsts_if.vhd -- |- axi_sg_updt_mngr.vhd -- | |- axi_sg_updt_sm.vhd -- | |- axi_sg_updt_cmdsts_if.vhd -- |- axi_sg_ftch_q_mngr.vhd -- | |- axi_sg_ftch_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_ftch_noqueue.vhd -- |- axi_sg_updt_q_mngr.vhd -- | |- axi_sg_updt_queue.vhd -- | | |- proc_common_v4_0.sync_fifo_fg.vhd -- | |- proc_common_v4_0.axi_sg_afifo_autord.vhd -- | |- axi_sg_updt_noqueue.vhd -- |- axi_sg_intrpt.vhd -- |- axi_datamover_v5_0.axi_datamover.vhd -- ------------------------------------------------------------------------------- -- Author: Gary Burch -- History: -- GAB 3/19/10 v1_00_a -- ^^^^^^ -- - Initial Release -- ~~~~~~ -- GAB 8/26/10 v2_00_a -- ^^^^^^ -- Rolled axi_sg library version to version v2_00_a -- ~~~~~~ -- GAB 10/21/10 v4_03 -- ^^^^^^ -- Rolled version to v4_03 -- ~~~~~~ -- GAB 6/13/11 v4_03 -- ^^^^^^ -- Update to AXI Datamover v4_03 -- Added aynchronous operation -- ~~~~~~ ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.std_logic_misc.all; library unisim; use unisim.vcomponents.all; library axi_vdma_v6_2; use axi_vdma_v6_2.axi_sg_pkg.all; ------------------------------------------------------------------------------- entity axi_sg_updt_sm is generic ( C_M_AXI_SG_ADDR_WIDTH : integer range 32 to 64 := 32; -- Master AXI Memory Map Address Width for Scatter Gather R/W Port C_INCLUDE_CH1 : integer range 0 to 1 := 1; -- Include or Exclude channel 1 scatter gather engine -- 0 = Exclude Channel 1 SG Engine -- 1 = Include Channel 1 SG Engine C_INCLUDE_CH2 : integer range 0 to 1 := 1; -- Include or Exclude channel 2 scatter gather engine -- 0 = Exclude Channel 2 SG Engine -- 1 = Include Channel 2 SG Engine C_SG_CH1_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH1_FIRST_UPDATE_WORD : integer range 0 to 15 := 0; -- Starting update word offset C_SG_CH2_WORDS_TO_UPDATE : integer range 1 to 16 := 8; -- Number of words to fetch C_SG_CH2_FIRST_UPDATE_WORD : integer range 0 to 15 := 0 -- Starting update word offset ); port ( ----------------------------------------------------------------------- -- AXI Scatter Gather Interface ----------------------------------------------------------------------- m_axi_sg_aclk : in std_logic ; -- m_axi_sg_aresetn : in std_logic ; -- -- ftch_error : in std_logic ; -- -- -- Channel 1 Control and Status -- ch1_updt_queue_empty : in std_logic ; -- ch1_updt_curdesc_wren : in std_logic ; -- ch1_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch1_updt_ioc : in std_logic ; -- ch1_dma_interr : in std_logic ; -- ch1_dma_slverr : in std_logic ; -- ch1_dma_decerr : in std_logic ; -- ch1_updt_active : out std_logic ; -- ch1_updt_idle : out std_logic ; -- ch1_updt_interr_set : out std_logic ; -- ch1_updt_slverr_set : out std_logic ; -- ch1_updt_decerr_set : out std_logic ; -- ch1_dma_interr_set : out std_logic ; -- ch1_dma_slverr_set : out std_logic ; -- ch1_dma_decerr_set : out std_logic ; -- ch1_updt_ioc_irq_set : out std_logic ; -- ch1_updt_done : out std_logic ; -- -- -- Channel 2 Control and Status -- ch2_updt_queue_empty : in std_logic ; -- ch2_updt_curdesc_wren : in std_logic ; -- ch2_updt_curdesc : in std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) ; -- ch2_updt_ioc : in std_logic ; -- ch2_dma_interr : in std_logic ; -- ch2_dma_slverr : in std_logic ; -- ch2_dma_decerr : in std_logic ; -- ch2_updt_active : out std_logic ; -- ch2_updt_idle : out std_logic ; -- ch2_updt_interr_set : out std_logic ; -- ch2_updt_slverr_set : out std_logic ; -- ch2_updt_decerr_set : out std_logic ; -- ch2_dma_interr_set : out std_logic ; -- ch2_dma_slverr_set : out std_logic ; -- ch2_dma_decerr_set : out std_logic ; -- ch2_updt_ioc_irq_set : out std_logic ; -- ch2_updt_done : out std_logic ; -- -- -- DataMover Command -- updt_cmnd_wr : out std_logic ; -- updt_cmnd_data : out std_logic_vector -- ((C_M_AXI_SG_ADDR_WIDTH -- +CMD_BASE_WIDTH)-1 downto 0) ; -- -- DataMover Status -- updt_done : in std_logic ; -- updt_error : in std_logic ; -- updt_interr : in std_logic ; -- updt_slverr : in std_logic ; -- updt_decerr : in std_logic ; -- updt_error_addr : out std_logic_vector -- (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) -- ); end axi_sg_updt_sm; ------------------------------------------------------------------------------- -- Architecture ------------------------------------------------------------------------------- architecture implementation of axi_sg_updt_sm is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; ------------------------------------------------------------------------------- -- Functions ------------------------------------------------------------------------------- -- No Functions Declared ------------------------------------------------------------------------------- -- Constants Declarations ------------------------------------------------------------------------------- -- DataMover Commmand TAG constant UPDATE_CMD_TAG : std_logic_vector(3 downto 0) := (others => '0'); -- DataMover Command Type constant UPDATE_CMD_TYPE : std_logic := '0'; -- DataMover Cmnd Reserved Bits constant UPDATE_MSB_IGNORED : std_logic_vector(7 downto 0) := (others => '0'); -- DataMover Cmnd Reserved Bits constant UPDATE_LSB_IGNORED : std_logic_vector(15 downto 0) := (others => '0'); -- DataMover Cmnd Bytes to Xfer for Channel 1 constant UPDATE_CH1_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH1_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Bytes to Xfer for Channel 2 constant UPDATE_CH2_CMD_BTT : std_logic_vector(SG_BTT_WIDTH-1 downto 0) := std_logic_vector(to_unsigned( (C_SG_CH2_WORDS_TO_UPDATE*4),SG_BTT_WIDTH)); -- DataMover Cmnd Reserved Bits constant UPDATE_CMD_RSVD : std_logic_vector( DATAMOVER_CMD_RSVMSB_BOFST + C_M_AXI_SG_ADDR_WIDTH downto DATAMOVER_CMD_RSVLSB_BOFST + C_M_AXI_SG_ADDR_WIDTH) := (others => '0'); -- DataMover Cmnd Address Offset for channel 1 constant UPDATE_CH1_ADDR_OFFSET : integer := C_SG_CH1_FIRST_UPDATE_WORD*4; -- DataMover Cmnd Address Offset for channel 2 constant UPDATE_CH2_ADDR_OFFSET : integer := C_SG_CH2_FIRST_UPDATE_WORD*4; ------------------------------------------------------------------------------- -- Signal / Type Declarations ------------------------------------------------------------------------------- type SG_UPDATE_STATE_TYPE is ( IDLE, GET_UPDATE_PNTR, UPDATE_DESCRIPTOR, UPDATE_STATUS, UPDATE_ERROR ); signal updt_cs : SG_UPDATE_STATE_TYPE; signal updt_ns : SG_UPDATE_STATE_TYPE; -- State Machine Signals signal ch1_active_set : std_logic := '0'; signal ch2_active_set : std_logic := '0'; signal write_cmnd_cmb : std_logic := '0'; signal ch1_updt_sm_idle : std_logic := '0'; signal ch2_updt_sm_idle : std_logic := '0'; -- Misc Signals signal ch1_active_i : std_logic := '0'; signal service_ch1 : std_logic := '0'; signal ch2_active_i : std_logic := '0'; signal service_ch2 : std_logic := '0'; signal update_address : std_logic_vector (C_M_AXI_SG_ADDR_WIDTH-1 downto 0) := (others => '0'); signal update_cmd_btt : std_logic_vector (SG_BTT_WIDTH-1 downto 0) := (others => '0'); ------------------------------------------------------------------------------- -- Begin architecture logic ------------------------------------------------------------------------------- begin ch1_updt_active <= ch1_active_i; ch2_updt_active <= ch2_active_i; ------------------------------------------------------------------------------- -- Scatter Gather Fetch State Machine ------------------------------------------------------------------------------- SG_UPDT_MACHINE : process(updt_cs, ch1_active_i, ch2_active_i, service_ch1, service_ch2, ch1_updt_curdesc_wren, ch2_updt_curdesc_wren, updt_error, updt_done) begin -- Default signal assignment ch1_active_set <= '0'; ch2_active_set <= '0'; write_cmnd_cmb <= '0'; ch1_updt_sm_idle <= '0'; ch2_updt_sm_idle <= '0'; updt_ns <= updt_cs; case updt_cs is ------------------------------------------------------------------- when IDLE => ch1_updt_sm_idle <= not service_ch1; ch2_updt_sm_idle <= not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- If channel 1 is running and not idle and queue is not full -- then fetch descriptor for channel 1 elsif(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- If channel 2 is running and not idle and queue is not full -- then fetch descriptor for channel 2 elsif(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; else updt_ns <= IDLE; end if; when GET_UPDATE_PNTR => if(ch1_updt_curdesc_wren = '1' or ch2_updt_curdesc_wren = '1')then updt_ns <= UPDATE_DESCRIPTOR; else updt_ns <= GET_UPDATE_PNTR; end if; ------------------------------------------------------------------- when UPDATE_DESCRIPTOR => -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- write command else ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; write_cmnd_cmb <= '1'; updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_STATUS => ch1_updt_sm_idle <= not ch1_active_i and not service_ch1; ch2_updt_sm_idle <= not ch2_active_i and not service_ch2; -- error during update - therefore shut down if(updt_error = '1')then updt_ns <= UPDATE_ERROR; -- wait until done with update elsif(updt_done = '1')then -- If just finished fethcing for channel 2 then... if(ch2_active_i = '1')then -- If ready, update descriptor for channel 1 if(service_ch1 = '1')then ch1_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; -- If just finished fethcing for channel 1 then... elsif(ch1_active_i = '1')then -- If ready, update descriptor for channel 2 if(service_ch2 = '1')then ch2_active_set <= '1'; updt_ns <= GET_UPDATE_PNTR; -- Otherwise return to IDLE else updt_ns <= IDLE; end if; else updt_ns <= IDLE; end if; else updt_ns <= UPDATE_STATUS; end if; ------------------------------------------------------------------- when UPDATE_ERROR => ch1_updt_sm_idle <= '1'; ch2_updt_sm_idle <= '1'; updt_ns <= UPDATE_ERROR; ------------------------------------------------------------------- when others => updt_ns <= IDLE; end case; end process SG_UPDT_MACHINE; ------------------------------------------------------------------------------- -- Register states of state machine ------------------------------------------------------------------------------- REGISTER_STATE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cs <= IDLE; else updt_cs <= updt_ns; end if; end if; end process REGISTER_STATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH1_UPDATE : if C_INCLUDE_CH1 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH1_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_active_i <= '0'; elsif(ch1_active_i = '1' and updt_done = '1')then ch1_active_i <= '0'; elsif(ch1_active_set = '1')then ch1_active_i <= '1'; end if; end if; end process CH1_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 ready to be serviced? ------------------------------------------------------------------------------- service_ch1 <= '1' when ch1_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 1 Interrupt On Complete ------------------------------------------------------------------------------- CH1_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch1_updt_ioc = '1')then ch1_updt_ioc_irq_set <= '1'; else ch1_updt_ioc_irq_set <= '0'; end if; end if; end process CH1_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH1_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch1_dma_interr = '1')then ch1_dma_interr_set <= '1'; end if; end if; end process CH1_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH1_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch1_dma_slverr = '1')then ch1_dma_slverr_set <= '1'; end if; end if; end process CH1_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH1_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch1_dma_decerr = '1')then ch1_dma_decerr_set <= '1'; end if; end if; end process CH1_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_slverr_set <= '0'; elsif(ch1_active_i = '1' and updt_slverr = '1')then ch1_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_interr_set <= '0'; elsif(ch1_active_i = '1' and updt_interr = '1')then ch1_updt_interr_set <= '1'; end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_decerr_set <= '0'; elsif(ch1_active_i = '1' and updt_decerr = '1')then ch1_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch1_updt_idle <= '1'; elsif(service_ch1 = '1')then ch1_updt_idle <= '0'; elsif(service_ch1 = '0' and ch1_updt_sm_idle = '1')then ch1_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH1_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch1_updt_done <= '0'; elsif(updt_done = '1' and ch1_active_i = '1')then ch1_updt_done <= '1'; else ch1_updt_done <= '0'; end if; end if; end process REG_CH1_DONE; end generate GEN_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH1_UPDATE : if C_INCLUDE_CH1 = 0 generate begin service_ch1 <= '0'; ch1_active_i <= '0'; ch1_updt_idle <= '0'; ch1_updt_interr_set <= '0'; ch1_updt_slverr_set <= '0'; ch1_updt_decerr_set <= '0'; ch1_dma_interr_set <= '0'; ch1_dma_slverr_set <= '0'; ch1_dma_decerr_set <= '0'; ch1_updt_ioc_irq_set <= '0'; ch1_updt_done <= '0'; end generate GEN_NO_CH1_UPDATE; ------------------------------------------------------------------------------- -- Channel included therefore generate fetch logic ------------------------------------------------------------------------------- GEN_CH2_UPDATE : if C_INCLUDE_CH2 = 1 generate begin ------------------------------------------------------------------------------- -- Active channel flag. Indicates which channel is active. -- 0 = channel active -- 1 = channel active ------------------------------------------------------------------------------- CH2_ACTIVE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_active_i <= '0'; elsif(ch2_active_i = '1' and updt_done = '1')then ch2_active_i <= '0'; elsif(ch2_active_set = '1')then ch2_active_i <= '1'; end if; end if; end process CH2_ACTIVE_PROCESS; ------------------------------------------------------------------------------- -- Channel 2 ready to be serviced? ------------------------------------------------------------------------------- service_ch2 <= '1' when ch2_updt_queue_empty = '0' -- Queue not empty and ftch_error = '0' -- No SG Fetch Error else '0'; ------------------------------------------------------------------------------- -- Channel 2 Interrupt On Complete ------------------------------------------------------------------------------- CH2_INTR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_ioc_irq_set <= '0'; -- Set interrupt on Done and Descriptor IOC set elsif(updt_done = '1' and ch2_updt_ioc = '1')then ch2_updt_ioc_irq_set <= '1'; else ch2_updt_ioc_irq_set <= '0'; end if; end if; end process CH2_INTR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Internal Error ------------------------------------------------------------------------------- CH2_INTERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_interr_set <= '0'; -- Set internal error on desc updt Done and Internal Error elsif(updt_done = '1' and ch2_dma_interr = '1')then ch2_dma_interr_set <= '1'; end if; end if; end process CH2_INTERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Slave Error ------------------------------------------------------------------------------- CH2_SLVERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_slverr_set <= '0'; -- Set slave error on desc updt Done and Slave Error elsif(updt_done = '1' and ch2_dma_slverr = '1')then ch2_dma_slverr_set <= '1'; end if; end if; end process CH2_SLVERR_PROCESS; ------------------------------------------------------------------------------- -- Channel 1 DMA Decode Error ------------------------------------------------------------------------------- CH2_DECERR_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_dma_decerr_set <= '0'; -- Set decode error on desc updt Done and Decode Error elsif(updt_done = '1' and ch2_dma_decerr = '1')then ch2_dma_decerr_set <= '1'; end if; end if; end process CH2_DECERR_PROCESS; ------------------------------------------------------------------------------- -- Log Fetch Errors ------------------------------------------------------------------------------- -- Log Slave Errors reported during descriptor update SLV_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_slverr_set <= '0'; elsif(ch2_active_i = '1' and updt_slverr = '1')then ch2_updt_slverr_set <= '1'; end if; end if; end process SLV_SET_PROCESS; -- Log Internal Errors reported during descriptor update INT_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_interr_set <= '0'; elsif(ch2_active_i = '1' and updt_interr = '1')then ch2_updt_interr_set <= '1'; end if; end if; end process INT_SET_PROCESS; -- Log Decode Errors reported during descriptor update DEC_SET_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_decerr_set <= '0'; elsif(ch2_active_i = '1' and updt_decerr = '1')then ch2_updt_decerr_set <= '1'; end if; end if; end process DEC_SET_PROCESS; -- Indicate update is idle if state machine is idle and update queue is empty IDLE_PROCESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0' or updt_error = '1' or ftch_error = '1')then ch2_updt_idle <= '1'; elsif(service_ch2 = '1')then ch2_updt_idle <= '0'; elsif(service_ch2 = '0' and ch2_updt_sm_idle = '1')then ch2_updt_idle <= '1'; end if; end if; end process IDLE_PROCESS; --------------------------------------------------------------------------- -- Indicate update is done to allow fetch of next descriptor -- This is needed to prevent a partial descriptor being fetched -- and then axi read is throttled for extended periods until the -- remainder of the descriptor is fetched. -- -- Note: Only used when fetch queue not inluded otherwise -- tools optimize out this process --------------------------------------------------------------------------- REG_CH2_DONE : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then ch2_updt_done <= '0'; elsif(updt_done = '1' and ch2_active_i = '1')then ch2_updt_done <= '1'; else ch2_updt_done <= '0'; end if; end if; end process REG_CH2_DONE; end generate GEN_CH2_UPDATE; ------------------------------------------------------------------------------- -- Channel excluded therefore do not generate fetch logic ------------------------------------------------------------------------------- GEN_NO_CH2_UPDATE : if C_INCLUDE_CH2 = 0 generate begin service_ch2 <= '0'; ch2_active_i <= '0'; ch2_updt_idle <= '0'; ch2_updt_interr_set <= '0'; ch2_updt_slverr_set <= '0'; ch2_updt_decerr_set <= '0'; ch2_dma_interr_set <= '0'; ch2_dma_slverr_set <= '0'; ch2_dma_decerr_set <= '0'; ch2_updt_ioc_irq_set <= '0'; ch2_updt_done <= '0'; end generate GEN_NO_CH2_UPDATE; --------------------------------------------------------------------------- -- Register Current Update Address. Address captured from channel port -- or queue by axi_sg_updt_queue --------------------------------------------------------------------------- REG_UPDATE_ADDRESS : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then update_address <= (others => '0'); -- Channel 1 descriptor update pointer elsif(ch1_updt_curdesc_wren = '1')then update_address <= std_logic_vector(unsigned(ch1_updt_curdesc) + UPDATE_CH1_ADDR_OFFSET); -- Channel 2 descriptor update pointer elsif(ch2_updt_curdesc_wren = '1')then update_address <= std_logic_vector(unsigned(ch2_updt_curdesc) + UPDATE_CH2_ADDR_OFFSET); end if; end if; end process REG_UPDATE_ADDRESS; -- Assigne Bytes to Transfer (BTT) update_cmd_btt <= UPDATE_CH1_CMD_BTT when ch1_active_i = '1' else UPDATE_CH2_CMD_BTT; ------------------------------------------------------------------------------- -- Build DataMover command ------------------------------------------------------------------------------- -- When command by sm, drive command to updt_cmdsts_if GEN_DATAMOVER_CMND : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_cmnd_wr <= '0'; updt_cmnd_data <= (others => '0'); -- Fetch SM issued a command write elsif(write_cmnd_cmb = '1')then updt_cmnd_wr <= '1'; updt_cmnd_data <= UPDATE_CMD_RSVD & UPDATE_CMD_TAG & update_address & UPDATE_MSB_IGNORED & UPDATE_CMD_TYPE & UPDATE_LSB_IGNORED & update_cmd_btt; else updt_cmnd_wr <= '0'; end if; end if; end process GEN_DATAMOVER_CMND; ------------------------------------------------------------------------------- -- Capture and hold fetch address in case an error occurs ------------------------------------------------------------------------------- LOG_ERROR_ADDR : process(m_axi_sg_aclk) begin if(m_axi_sg_aclk'EVENT and m_axi_sg_aclk = '1')then if(m_axi_sg_aresetn = '0')then updt_error_addr <= (others => '0'); elsif(write_cmnd_cmb = '1')then updt_error_addr <= update_address(C_M_AXI_SG_ADDR_WIDTH-1 downto SG_ADDR_LSB) & "000000"; end if; end if; end process LOG_ERROR_ADDR; end implementation;
gpl-2.0
45a84b1cff4fdf4afe011f0846e8ed59
0.392807
4.688186
false
false
false
false
nulldozer/purisc
Compute_Group/CORE/read_data_stage.vhd
1
2,658
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity read_data_stage is port( clk : in std_logic; reset_n : in std_logic; stall : in std_logic; -- inputs start_address : in std_logic_vector(31 downto 0); ex_w_addr : in std_logic_vector(31 downto 0); ex_w_data : in std_logic_vector(31 downto 0); ex_we : in std_logic; a_in : in std_logic_vector(31 downto 0); b_in : in std_logic_vector(31 downto 0); c_in : in std_logic_vector(31 downto 0); addr_a : in std_logic_vector(31 downto 0); addr_b : in std_logic_vector(31 downto 0); addr_c : in std_logic_vector(31 downto 0); next_pc : in std_logic_vector(31 downto 0); ubranch_in : in std_logic; cbranch_in : in std_logic; --outputs a_out : out std_logic_vector(31 downto 0); b_out : out std_logic_vector(31 downto 0); c_out : out std_logic_vector(31 downto 0); addr_a_out : out std_logic_vector(31 downto 0); addr_b_out : out std_logic_vector(31 downto 0); addr_c_out : out std_logic_vector(31 downto 0); ubranch_out : out std_logic; noop_out : out std_logic; r_addr_0 : out std_logic_vector(31 downto 0); r_addr_1 : out std_logic_vector(31 downto 0); next_pc_out : out std_logic_vector(31 downto 0) ); end entity; architecture a1 of read_data_stage is --signals signal ubranch : std_logic; signal noop : std_logic; signal a_in_fwd : std_logic_vector(31 downto 0); signal b_in_fwd : std_logic_vector(31 downto 0); --components begin --determine forwarding (change inputs before they are used) a_in_fwd <= ex_w_data when (ex_w_addr = addr_a and ex_we = '1') else a_in; b_in_fwd <= ex_w_addr when (ex_w_addr = addr_b and ex_we = '1') else b_in; --determine ubranch ubranch <= '1' when (a_in_fwd = b_in_fwd and not(next_pc = c_in) and not(ubranch_in = '1') and not(cbranch_in = '1')) else '0'; --determine noop noop <= ubranch_in or cbranch_in; --the ubranch generated above process(clk, reset_n, start_address) begin if (reset_n = '0') then --on boot noop_out <= '1'; ubranch_out <= '0'; r_addr_0 <= std_logic_vector(unsigned(start_address) + to_unsigned(4,32)); r_addr_1 <= std_logic_vector(unsigned(start_address) + to_unsigned(5,32)); elsif (rising_edge(clk)) then if(stall = '0') then ubranch_out <= ubranch; noop_out <= noop; a_out <= a_in; b_out <= b_in; c_out <= c_in; r_addr_0 <= a_in; r_addr_1 <= b_in; addr_a_out <= addr_a; addr_b_out <= addr_b; addr_c_out <= addr_c; next_pc_out <= next_pc; else --hold previous outputs on stall (automatic) end if; end if; end process; end architecture;
gpl-2.0
b1f58ac24fd5915a2343c2577e1f221f
0.63544
2.593171
false
false
false
false
Bourgeoisie/ECE368-RISC16
368RISC/ipcore_dir/tmp/_cg/Instruct_Memory/simulation/Instruct_Memory_synth.vhd
2
8,927
-------------------------------------------------------------------------------- -- -- BLK MEM GEN v7_3 Core - Synthesizable Testbench -- -------------------------------------------------------------------------------- -- -- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -------------------------------------------------------------------------------- -- -- Filename: Instruct_Memory_synth.vhd -- -- Description: -- Synthesizable Testbench -------------------------------------------------------------------------------- -- Author: IP Solutions Division -- -- History: Sep 12, 2011 - First Release -------------------------------------------------------------------------------- -- -------------------------------------------------------------------------------- -- Library Declarations -------------------------------------------------------------------------------- LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.ALL; USE IEEE.STD_LOGIC_UNSIGNED.ALL; USE IEEE.STD_LOGIC_ARITH.ALL; USE IEEE.NUMERIC_STD.ALL; USE IEEE.STD_LOGIC_MISC.ALL; LIBRARY STD; USE STD.TEXTIO.ALL; --LIBRARY unisim; --USE unisim.vcomponents.ALL; LIBRARY work; USE work.ALL; USE work.BMG_TB_PKG.ALL; ENTITY Instruct_Memory_synth IS PORT( CLK_IN : IN STD_LOGIC; CLKB_IN : IN STD_LOGIC; RESET_IN : IN STD_LOGIC; STATUS : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0') --ERROR STATUS OUT OF FPGA ); END ENTITY; ARCHITECTURE Instruct_Memory_synth_ARCH OF Instruct_Memory_synth IS COMPONENT Instruct_Memory_exdes PORT ( --Inputs - Port A WEA : IN STD_LOGIC_VECTOR(0 DOWNTO 0); ADDRA : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DINA : IN STD_LOGIC_VECTOR(15 DOWNTO 0); CLKA : IN STD_LOGIC; --Inputs - Port B ADDRB : IN STD_LOGIC_VECTOR(4 DOWNTO 0); DOUTB : OUT STD_LOGIC_VECTOR(15 DOWNTO 0); CLKB : IN STD_LOGIC ); END COMPONENT; SIGNAL CLKA: STD_LOGIC := '0'; SIGNAL RSTA: STD_LOGIC := '0'; SIGNAL WEA: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL WEA_R: STD_LOGIC_VECTOR(0 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRA_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0'); SIGNAL CLKB: STD_LOGIC := '0'; SIGNAL RSTB: STD_LOGIC := '0'; SIGNAL ADDRB: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL ADDRB_R: STD_LOGIC_VECTOR(4 DOWNTO 0) := (OTHERS => '0'); SIGNAL DOUTB: STD_LOGIC_VECTOR(15 DOWNTO 0); SIGNAL CHECKER_EN : STD_LOGIC:='0'; SIGNAL CHECKER_EN_R : STD_LOGIC:='0'; SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0'); SIGNAL clk_in_i: STD_LOGIC; SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1'; SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1'; SIGNAL clkb_in_i: STD_LOGIC; SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1'; SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1'; SIGNAL ITER_R0 : STD_LOGIC := '0'; SIGNAL ITER_R1 : STD_LOGIC := '0'; SIGNAL ITER_R2 : STD_LOGIC := '0'; SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0'); BEGIN -- clk_buf: bufg -- PORT map( -- i => CLK_IN, -- o => clk_in_i -- ); clk_in_i <= CLK_IN; CLKA <= clk_in_i; -- clkb_buf: bufg -- PORT map( -- i => CLKB_IN, -- o => clkb_in_i -- ); clkb_in_i <= CLKB_IN; CLKB <= clkb_in_i; RSTA <= RESET_SYNC_R3 AFTER 50 ns; PROCESS(clk_in_i) BEGIN IF(RISING_EDGE(clk_in_i)) THEN RESET_SYNC_R1 <= RESET_IN; RESET_SYNC_R2 <= RESET_SYNC_R1; RESET_SYNC_R3 <= RESET_SYNC_R2; END IF; END PROCESS; RSTB <= RESETB_SYNC_R3 AFTER 50 ns; PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN RESETB_SYNC_R1 <= RESET_IN; RESETB_SYNC_R2 <= RESETB_SYNC_R1; RESETB_SYNC_R3 <= RESETB_SYNC_R2; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ISSUE_FLAG_STATUS<= (OTHERS => '0'); ELSE ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG; END IF; END IF; END PROCESS; STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS; BMG_DATA_CHECKER_INST: ENTITY work.CHECKER GENERIC MAP ( WRITE_WIDTH => 16, READ_WIDTH => 16 ) PORT MAP ( CLK => clkb_in_i, RST => RSTB, EN => CHECKER_EN_R, DATA_IN => DOUTB, STATUS => ISSUE_FLAG(0) ); PROCESS(clkb_in_i) BEGIN IF(RISING_EDGE(clkb_in_i)) THEN IF(RSTB='1') THEN CHECKER_EN_R <= '0'; ELSE CHECKER_EN_R <= CHECKER_EN AFTER 50 ns; END IF; END IF; END PROCESS; BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN PORT MAP( CLKA => clk_in_i, CLKB => clkb_in_i, TB_RST => RSTA, ADDRA => ADDRA, DINA => DINA, WEA => WEA, ADDRB => ADDRB, CHECK_DATA => CHECKER_EN ); PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STATUS(8) <= '0'; iter_r2 <= '0'; iter_r1 <= '0'; iter_r0 <= '0'; ELSE STATUS(8) <= iter_r2; iter_r2 <= iter_r1; iter_r1 <= iter_r0; iter_r0 <= STIMULUS_FLOW(8); END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN STIMULUS_FLOW <= (OTHERS => '0'); ELSIF(WEA(0)='1') THEN STIMULUS_FLOW <= STIMULUS_FLOW+1; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN WEA_R <= (OTHERS=>'0') AFTER 50 ns; DINA_R <= (OTHERS=>'0') AFTER 50 ns; ELSE WEA_R <= WEA AFTER 50 ns; DINA_R <= DINA AFTER 50 ns; END IF; END IF; END PROCESS; PROCESS(CLKA) BEGIN IF(RISING_EDGE(CLKA)) THEN IF(RESET_SYNC_R3='1') THEN ADDRA_R <= (OTHERS=> '0') AFTER 50 ns; ADDRB_R <= (OTHERS=> '0') AFTER 50 ns; ELSE ADDRA_R <= ADDRA AFTER 50 ns; ADDRB_R <= ADDRB AFTER 50 ns; END IF; END IF; END PROCESS; BMG_PORT: Instruct_Memory_exdes PORT MAP ( --Port A WEA => WEA_R, ADDRA => ADDRA_R, DINA => DINA_R, CLKA => CLKA, --Port B ADDRB => ADDRB_R, DOUTB => DOUTB, CLKB => CLKB ); END ARCHITECTURE;
mit
effc17e7a6593f6932a7ea39edef60ff
0.570292
3.605412
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_dividerAltr.vhd
4
4,072
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; library lpm; use lpm.lpm_components.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_dividerAltr is generic ( widthin : natural :=8; pipeline : natural :=0; isunsigned : natural :=0 ); port ( clock : in std_logic ; aclr : in std_logic ; user_aclr : in std_logic ; clken : in std_logic ; numer : in std_logic_vector (widthin-1 downto 0); denom : in std_logic_vector (widthin-1 downto 0); quotient : out std_logic_vector (widthin-1 downto 0); remain : out std_logic_vector (widthin-1 downto 0) ); end alt_dspbuilder_dividerAltr; architecture syn of alt_dspbuilder_dividerAltr is signal svcc : std_logic; signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; svcc <='1'; gsgn: if (isunsigned=0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "SIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=FALSE", lpm_drepresentation => "SIGNED" ) port map ( clken => clken, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gsgn; gugn: if (isunsigned>0) generate gcomb:if pipeline=0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( denom => denom, numer => numer, quotient => quotient, remain => remain ); end generate gcomb; gseq:if pipeline>0 generate u0 : lpm_divide generic map ( lpm_widthn => widthin, lpm_widthd => widthin, lpm_pipeline => pipeline, lpm_type => "LPM_DIVIDE", lpm_nrepresentation => "UNSIGNED", lpm_hint => "LPM_REMAINDERPOSITIVE=TRUE", lpm_drepresentation => "UNSIGNED" ) port map ( clken => svcc, denom => denom, aclr => aclr_i, clock => clock, numer => numer, quotient => quotient, remain => remain ); end generate gseq; end generate gugn; end syn;
mit
bbf833f28f3ff2e6989fef8ab8d3e63b
0.59111
3.794967
false
false
false
false
michaelmiehling/A25_VME_TB
Testbench/vmebus.vhd
1
11,655
--------------------------------------------------------------- -- Title : External driver simulation model -- Project : A15 --------------------------------------------------------------- -- File : vmebus.vhd -- Author : Michael Miehling -- Email : [email protected] -- Organization : MEN Mikroelektronik Nuernberg GmbH -- Created : 03/02/03 --------------------------------------------------------------- -- Simulator : Modelsim -- Synthesis : - --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- -- tb_vme_ctrl -- vmebus -- vme_sim_mstr -- vme_sim_slave -- vme_sim_mon --------------------------------------------------------------- -- Copyright (C) 2001, MEN Mikroelektronik Nuernberg GmbH -- -- All rights reserved. Reproduction in whole or part is -- prohibited without the written permission of the -- copyright owner. --------------------------------------------------------------- -- History --------------------------------------------------------------- -- $Revision: 1.2 $ -- -- $Log: vmebus.vhd,v $ -- Revision 1.2 2013/04/18 15:11:19 MMiehling -- added slot 1/x support -- -- Revision 1.1 2012/03/29 10:28:51 MMiehling -- Initial Revision -- -- Revision 1.2 2006/05/18 14:30:46 MMiehling -- changed iack connection -- -- Revision 1.1 2005/10/28 17:52:09 mmiehling -- Initial Revision -- -- Revision 1.1 2004/07/27 17:27:56 mmiehling -- Initial Revision -- -- --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE work.vme_sim_pack.ALL; USE work.terminal_pkg.all; ENTITY vmebus IS PORT ( slot1 : boolean:=TRUE; -- if true dut is in slot1 vme_slv_in : IN vme_slv_in_type; vme_slv_out : OUT vme_slv_out_type; vme_mon_out : OUT vme_mon_out_type; terminal_in_x : OUT terminal_in_type; terminal_out_x : IN terminal_out_type; -- the VME signals: vb_am : INOUT std_logic_vector(5 DOWNTO 0); vb_data : INOUT std_logic_vector(31 DOWNTO 0); vb_adr : INOUT std_logic_vector(31 DOWNTO 0); vb_writen : INOUT std_logic; vb_iackn : INOUT std_logic; vb_asn : INOUT std_logic; vb_dsan : INOUT std_logic; vb_dsbn : INOUT std_logic; vb_bbsyn : INOUT std_logic; vb_berrn : INOUT std_logic; vb_brn : INOUT std_logic_vector(3 DOWNTO 0); vb_dtackn : INOUT std_logic; vb_sysresn : INOUT std_logic; vb_irq1n : INOUT std_logic; vb_irq2n : INOUT std_logic; vb_irq3n : INOUT std_logic; vb_irq4n : INOUT std_logic; vb_irq5n : INOUT std_logic; vb_irq6n : INOUT std_logic; vb_irq7n : INOUT std_logic; vb_bgin : OUT std_logic_vector(3 DOWNTO 0); vb_bgout : IN std_logic_vector(3 DOWNTO 0); vb_iackin : OUT std_logic; vb_iackout : IN std_logic; vb_acfailn : INOUT std_logic ); END vmebus; ARCHITECTURE vmebus_arch OF vmebus IS COMPONENT vme_sim_mstr PORT ( sysresn : INOUT std_logic; asn : INOUT std_logic; dsan : INOUT std_logic; dsbn : INOUT std_logic; writen : INOUT std_logic; dtackn : IN std_logic; berrn : INOUT std_logic; addr : INOUT std_logic_vector(31 DOWNTO 0); data : INOUT std_logic_vector(31 DOWNTO 0); am : INOUT std_logic_vector(5 DOWNTO 0); iackn : INOUT std_logic; iackout : OUT std_logic; iackin : IN std_logic; vb_irq1n : INOUT std_logic; vb_irq2n : INOUT std_logic; vb_irq3n : INOUT std_logic; vb_irq4n : INOUT std_logic; vb_irq5n : INOUT std_logic; vb_irq6n : INOUT std_logic; vb_irq7n : INOUT std_logic; vb_acfailn : INOUT std_logic; bg3n_in : IN std_logic; bg3n_out : OUT std_logic; brn : INOUT std_logic_vector(3 DOWNTO 0); bbsyn : INOUT std_logic; terminal_in_x : OUT terminal_in_type; terminal_out_x : IN terminal_out_type ); END COMPONENT; COMPONENT vme_sim_slave PORT ( sysresin : IN std_logic; asn_in : IN std_logic; dsan_in : IN std_logic; dsbn_in : IN std_logic; writen_in : IN std_logic; berrn_in : IN std_logic; addr : INOUT std_logic_vector(31 DOWNTO 0); data_in : IN std_logic_vector(31 DOWNTO 0); am_in : IN std_logic_vector(5 DOWNTO 0); iackn_in : IN std_logic; -- daisy-chain iackn : IN std_logic; -- bussignal irq_out : OUT std_logic_vector(7 DOWNTO 1); dtackn_out : OUT std_logic; data_out : OUT std_logic_vector(31 DOWNTO 0); vb_irq1n : IN std_logic; vb_irq2n : IN std_logic; vb_irq3n : IN std_logic; vb_irq4n : IN std_logic; vb_irq5n : IN std_logic; vb_irq6n : IN std_logic; vb_irq7n : IN std_logic; vme_slv_in : IN vme_slv_in_type; vme_slv_out : OUT vme_slv_out_type ); END COMPONENT; COMPONENT vme_sim_mon PORT ( rstn : IN std_logic; asn_in : IN std_logic; dsan_in : IN std_logic; dsbn_in : IN std_logic; writen_in : IN std_logic; dtackn_in : IN std_logic; berrn_in : IN std_logic; addr_in : IN std_logic_vector(31 DOWNTO 0); data_in : IN std_logic_vector(31 DOWNTO 0); am_in : IN std_logic_vector(5 DOWNTO 0); iackn : IN std_logic; vb_irq1n : IN std_logic; vb_irq2n : IN std_logic; vb_irq3n : IN std_logic; vb_irq4n : IN std_logic; vb_irq5n : IN std_logic; vb_irq6n : IN std_logic; vb_irq7n : IN std_logic; bbsyn_in : IN std_logic; vme_mon_out : OUT vme_mon_out_type ); END COMPONENT; SIGNAL bg3n_out : std_logic; SIGNAL sim_iackout: std_logic; SIGNAL sim_iackin : std_logic; SIGNAL sim_bgout : std_logic; SIGNAL sim_bgin : std_logic; BEGIN vb_am <= (OTHERS => 'H'); vb_data <= (OTHERS => 'H'); vb_adr <= (OTHERS => 'H'); vb_brn <= (OTHERS => 'H'); vb_bgin <= (OTHERS => 'H'); vb_writen <= 'H'; vb_iackn <= 'H'; vb_asn <= 'H'; vb_dsan <= 'H'; vb_dsbn <= 'H'; vb_bbsyn <= 'H'; vb_berrn <= 'H'; vb_dtackn <= 'H'; vb_sysresn <= 'H'; -- vb_irq1n <= 'H'; -- vb_irq2n <= 'H'; -- vb_irq3n <= 'H'; -- vb_irq4n <= 'H'; -- vb_irq5n <= 'H'; -- vb_irq6n <= 'H'; -- vb_irq7n <= 'H'; vb_acfailn <= 'H'; vmesimmstr : vme_sim_mstr PORT MAP ( sysresn => vb_sysresn, asn => vb_asn, dsan => vb_dsan, dsbn => vb_dsbn, writen => vb_writen, dtackn => vb_dtackn, berrn => vb_berrn, addr => vb_adr, data => vb_data, am => vb_am, iackn => vb_iackn, iackout => sim_iackout, iackin => sim_iackin, vb_irq1n => vb_irq1n, vb_irq2n => vb_irq2n, vb_irq3n => vb_irq3n, vb_irq4n => vb_irq4n, vb_irq5n => vb_irq5n, vb_irq6n => vb_irq6n, vb_irq7n => vb_irq7n, vb_acfailn => vb_acfailn, bg3n_in => sim_bgin, bg3n_out => sim_bgout, brn => vb_brn, bbsyn => vb_bbsyn, terminal_in_x => terminal_in_x , terminal_out_x => terminal_out_x ); vmesimmon: vme_sim_mon PORT MAP( rstn => vb_sysresn, asn_in => vb_asn, dsan_in => vb_dsan, dsbn_in => vb_dsbn, writen_in => vb_writen, dtackn_in => vb_dtackn, berrn_in => vb_berrn, addr_in => vb_adr, data_in => vb_data, am_in => vb_am, iackn => vb_iackn, vb_irq1n => vb_irq1n, vb_irq2n => vb_irq2n, vb_irq3n => vb_irq3n, vb_irq4n => vb_irq4n, vb_irq5n => vb_irq5n, vb_irq6n => vb_irq6n, vb_irq7n => vb_irq7n, bbsyn_in => vb_bbsyn, vme_mon_out => vme_mon_out ); vb_slave : vme_sim_slave PORT MAP( sysresin => vb_sysresn, asn_in => vb_asn, dsan_in => vb_dsan, dsbn_in => vb_dsbn, writen_in => vb_writen, berrn_in => vb_berrn, addr => vb_adr, data_in => vb_data, am_in => vb_am, iackn_in => sim_iackin, iackn => vb_iackn, dtackn_out => vb_dtackn, data_out => vb_data, irq_out(1) => vb_irq1n, irq_out(2) => vb_irq2n, irq_out(3) => vb_irq3n, irq_out(4) => vb_irq4n, irq_out(5) => vb_irq5n, irq_out(6) => vb_irq6n, irq_out(7) => vb_irq7n, vb_irq1n => vb_irq1n, vb_irq2n => vb_irq2n, vb_irq3n => vb_irq3n, vb_irq4n => vb_irq4n, vb_irq5n => vb_irq5n, vb_irq6n => vb_irq6n, vb_irq7n => vb_irq7n, vme_slv_in => vme_slv_in , vme_slv_out => vme_slv_out ); sl1: PROCESS(slot1, vb_iackn, vb_iackout, vb_bgout, sim_iackout, sim_bgout) BEGIN IF slot1 THEN ---------------------------------------------------------------- -- slot 1 2 -- dut sim ---------------------------------------------------------------- IF vb_iackn = '0' THEN vb_iackin <= '0'; -- connect vb_iackn bussignal to daisy chain slot1 ELSE vb_iackin <= 'H'; END IF; sim_iackin <= vb_iackout; -- connect iack daisy chain of dut(slot1) to sim vb_bgin(3) <= '0'; -- dut is in slot1 sim_bgin <= vb_bgout(3); -- connect bg daisy chain of dut(slot1) to sim ELSE ---------------------------------------------------------------- -- slot 1 2 -- sim dut ---------------------------------------------------------------- vb_iackin <= sim_iackout; IF vb_iackn = '0' THEN sim_iackin <= '0'; -- connect vb_iackn bussignal to daisy chain slot1 ELSE sim_iackin <= 'H'; END IF; vb_bgin(3) <= sim_bgout; -- connect bg daisy chain of sim(slot1) to dut sim_bgin <= '0'; -- sim is in slot1 END IF; END PROCESS sl1; END vmebus_arch;
gpl-3.0
67dbf77459c09ba91f887fd4a7771ebe
0.430545
3.550107
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sStepAltr.vhd
8
3,488
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library ieee ; use ieee.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sStepAltr is generic ( StepDelay : positive ; direction : natural ); port ( clock : in std_logic; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; user_aclr : in std_logic :='0'; q : out std_logic ); end alt_dspbuilder_sStepAltr ; architecture syn of alt_dspbuilder_sStepAltr is type States_StepAltr is (sclear, slow, shigh); signal current_state : States_StepAltr; signal next_state : States_StepAltr; signal iq : std_logic; signal count : std_logic_vector(ToNatural(nbitnecessary(StepDelay)-1) downto 0); signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; gr:if StepDelay=1 generate process(clock,aclr_i) begin if aclr_i='1' then iq <= '0'; elsif clock'event and clock='1' then if (sclr='1') then iq <= '0'; elsif (ena='1') then iq <='1'; end if; end if; end process; end generate gr; grr:if StepDelay>1 generate rp:process(clock,aclr_i) begin if aclr_i='1' then count <= (others=>'0'); current_state <= sclear; elsif clock'event and clock='1' then if (sclr='1') then count <= (others=>'0'); current_state <= sclear; elsif (ena='1') then count <= count+int2ustd(1,nbitnecessary(StepDelay)); current_state <= next_state; end if; end if; end process; cp:process(count, current_state, sclr,ena) begin case current_state is when sclear => iq <= '0'; if (ena='1') and (sclr='0') then next_state <= slow; else next_state <= sclear; end if; when slow => iq <= '0'; if (sclr='1') then next_state <= sclear; elsif (count=int2ustd(StepDelay-1,nbitnecessary(StepDelay))) and (ena ='1') then next_state <= shigh; else next_state <= slow ; end if; when shigh => iq <= '1'; if (sclr='1') then next_state <= sclear; else next_state <= shigh ; end if; end case; end process; end generate grr; g1: if 1=direction generate q <= iq; end generate g1; g0: if 0=direction generate q <= not iq; end generate g0; end syn;
mit
1226d447f28afceee370e2d12ee803ba
0.605505
3.523232
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/db/alt_dspbuilder_multiplier.vhd
2
2,483
-- This file is not intended for synthesis, is is present so that simulators -- see a complete view of the system. -- You may use the entity declaration from this file as the basis for a -- component declaration in a VHDL file instantiating this entity. library IEEE; use IEEE.std_logic_1164.all; use IEEE.NUMERIC_STD.all; entity alt_dspbuilder_multiplier is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "AUTO"; SIGNED : natural := 0; OUTPUTMSB : integer := 8; AWIDTH : natural := 8; BWIDTH : natural := 8; OUTPUTLSB : integer := 0; PIPELINE : integer := 0 ); port ( user_aclr : in std_logic := '0'; result : out std_logic_vector(OutputMsb-OutputLsb+1-1 downto 0); clock : in std_logic := '0'; dataa : in std_logic_vector(aWidth-1 downto 0) := (others=>'0'); datab : in std_logic_vector(bWidth-1 downto 0) := (others=>'0'); aclr : in std_logic := '0'; ena : in std_logic := '0' ); end entity alt_dspbuilder_multiplier; architecture rtl of alt_dspbuilder_multiplier is component alt_dspbuilder_multiplier_GNEIWYOKUR is generic ( DEDICATED_MULTIPLIER_CIRCUITRY : string := "YES"; SIGNED : natural := 0; OUTPUTMSB : integer := 47; AWIDTH : natural := 24; BWIDTH : natural := 24; OUTPUTLSB : integer := 0; PIPELINE : integer := 0 ); port ( aclr : in std_logic := '0'; clock : in std_logic := '0'; dataa : in std_logic_vector(24-1 downto 0) := (others=>'0'); datab : in std_logic_vector(24-1 downto 0) := (others=>'0'); ena : in std_logic := '0'; result : out std_logic_vector(48-1 downto 0); user_aclr : in std_logic := '0' ); end component alt_dspbuilder_multiplier_GNEIWYOKUR; begin alt_dspbuilder_multiplier_GNEIWYOKUR_0: if ((DEDICATED_MULTIPLIER_CIRCUITRY = "YES") and (SIGNED = 0) and (OUTPUTMSB = 47) and (AWIDTH = 24) and (BWIDTH = 24) and (OUTPUTLSB = 0) and (PIPELINE = 0)) generate inst_alt_dspbuilder_multiplier_GNEIWYOKUR_0: alt_dspbuilder_multiplier_GNEIWYOKUR generic map(DEDICATED_MULTIPLIER_CIRCUITRY => "YES", SIGNED => 0, OUTPUTMSB => 47, AWIDTH => 24, BWIDTH => 24, OUTPUTLSB => 0, PIPELINE => 0) port map(aclr => aclr, clock => clock, dataa => dataa, datab => datab, ena => ena, result => result, user_aclr => user_aclr); end generate; assert not (((DEDICATED_MULTIPLIER_CIRCUITRY = "YES") and (SIGNED = 0) and (OUTPUTMSB = 47) and (AWIDTH = 24) and (BWIDTH = 24) and (OUTPUTLSB = 0) and (PIPELINE = 0))) report "Please run generate again" severity error; end architecture rtl;
mit
480149027b26da1cd731f4855294b81d
0.674184
3.337366
false
false
false
false
sukinull/hls_stream
Vivado/example.hls/example.hls.srcs/sources_1/ipshared/xilinx.com/v_rgb2ycrcb_v7_1/3eff3f1c/hdl/v_rgb2ycrcb_v7_1_vh_rfs.vhd
1
335,143
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block JOOl44UCPkDx2652/CLeuu3d7Yyv65ig03hKkQxA06lNJbcEZ8TsG0ipzWcNv3SoAtis4HdRhywF 8K0LXCvvKQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NV/0cvWQpnm04Zl5qHD1mf53u0mW8u66M+/r9bG6lhPbv3iM11LByfxMAa/6lta8bHqA6XKhUrDK DV9/dvctsqMZ3mu/5OvtLDfjPg9WkVozFdBAp3VmdNh6goq3//QY0gkAwC64YsOaCN9veSx9DqH7 ukO3xgpSeWY6aG3sTRE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block bIufNU/ucCiEFRooUPuEmYQl3qyxBvmwje4OUrb9+Z8vxh/6v0q4eqXj8Fs3uH42qpYdnZKmPBiF SpaWd1pWuNBzQqpykNM6fjQ6rRcxjDijDvHxYpMeYwg3pc+QpiIv8p/alB9EjXa0VsNvvNbqSQep GmZTHIJFTt+S6aFHtzpa5QGqd2s9H21EpnD6pwq6YH2C27tT4a/qbbAVzrpVBjmf4cwV5glsIR5F Du9RemvbFxCF4s7xRTYRtWSN3+qhO/8MCt1SjhTMna+UxQ3VmwuJfWB5NfZqTcTCDiA0/YzZs34Z iP/2sSI+X2zo0caTINzFcBem+f0ohiSA/+zquQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block dZIK0/Qotja4J4jnmH5Sm2BaZo8WuCebHH1pzQkGn5PQ7qXWr76UVyEyIZ/Wy1P5i7xwhVRPkT0X +5EARZzUng81gLZywSc/ptVHG57E4+Rp/wKalSf62RgVyWdQMQj96ScRYcpv/jtC6BwoYupGRqwp 5Rc6AyrnZYGW0nMr9sU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tB9CVdmlvjeLmzR1OtIp3Vt4AoNXxGYponPamLsLpfp+4AGcyfbaKZk1i0nWnFK2+ZhEf5uJCxl4 Y/KNwQaY1aZrWrD/qSceFEJ8D3WmCXZ3eTYF/6ZtIbq2YjxRBy5FNhWPEnOzwm2OGZVQnEcrjeUG QdJrIvJhlFxQOrzSYShhgR7oZTvhKdA4pwOvzwg9YMCto7uZpzKCTDFz3vGB8QmchIRd4HkCtQ90 DvJB4cwhEG0aahJmGgVXVoJ5lnAXsufU6gupUuHNJ2Ln0IqrRcwZpn9VfWM8nBONtt9KzpcCjBXK WSrqZNCPB6eWwFQ1a7+87j6j6cEgtQ94DSSBCQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 246352) `protect data_block mhMYsURJvYIEIFqB8ku5YSDWUw3hnuzM9GosEgEZGkvVpQ+oWa4+ALvFplW5gt41em6tWHAUoWXY 21aBX3S3NPCj+A/ejhlpzyQWjdJleDfeJ3cwG8fIgkLiWplx3dfwpt6HfkD9VkF0Uu+X0PPGQhV/ Vx+FxD0jth2zyic75ekJbEBAhRGSH+9rAcylIM74wlkU/xkSLeiVUmLDuwanBwGMYzo6qsXiNFCr klZ2KFJ85VZupsNQa2KrE74pgIGCEKOalGZ/IjDrq3iQGkUmLR309TXQE4IC+6zIt0vBG7D/oHY3 MzsLsqWbCrzTbJy8L5IOinKNz2812wtHURTSX4En/sGz/SIktS0TXDLsBqyrTBM+t2QQeoWzEPUz HUhtNzs5GIiebq0fmpCLZtmvizyoiIxsSu5+RdZFuWg99Uym3ObMBYy+qZRSXnEUHtqyvhyFE09c H+zuUJaQfc5f7MCqPhvqODKmhM2SL7Un3RIlJCRdqsrFI0ilii8Fp5vuAX5y5ZkNq52+aLmA6/IK kqhYr1FH2JPFkMzMy9MeXChLomjsgyzrE880qYqa6pF/gUEU1yz+kgaECFbS161n7Nh2Abz7v+/B AsPyMHE4MAdVWI745E4dWLpj40FUobgH2IGYoiL6v9IS11zscg8/aP926qPME/I76542aIdkgLz7 qpnDBiLHExf7LYbD9hXR7381PmJLIaH7dAGwbuFOauHyGb7XIGdSSvM3BotKIZvQonp78rjdGEeL uLzhnwZzPi2SA+NNhgmN1zDTeTfda9V4RB6uhE5s/L/SFK3UuJmwwOs/RVWQuwxgY4OHSbkeWpQ0 kDVoq6bx2dMwa3zozdu9HaeGyjFS8lBIwNK1Eaeh9iX5R93bYWo9DCg62BfyAJ7SQaUFGkU3+iiv WuRv8nuqfuU+WpXCkZMc42yf2wG8LCCXWZpo5RmhT4EBeddszwIuTBBe1nSLqbiGjkglirULQoq9 HarvV0MgC7G6vidFb5J2nmKG4orcaozp9H7Gb+8urnGtIs7e7eY4QI/dTrzAWilZTNEMUTSwiT7T z1mFhdvhq3XH7fJArQt1bHIKJ3a+/Q/0Rg4+YzuR0Repg+Cclpu7Qh0ZdKvyqPBymOyuCfdmebiW 2tJVj0weWP2l+2i0uBmttglOA5BwhO4uUINlI7R9TNroGUxTRAFA+XajIM7YiIVK04DBjiwRwLZ0 qqAl1oGBjJ4wXRFP8DGHtZgbCdIwJy5sn0FPE/wVVi48xPY47emfQmA65+iaxD4rFHXtYwR/HBse 6Vxp4yx9ZgVW27tFSYqeDwUU9Vsx3Sy7ZCYGi6pP1dNyrRiWWe73MTFVz3diu8z1WjryICbmQY42 czwBmeDWksVEwdwQJ4PkYI72dEws2IVQKZ7oppcmfOsjdfqSXmHR8HiAf+9zNb63RPaSlbmV33ER GQ0uubTeu+VCzsY0r/UClARRzJvwVn2e3q8DTMZjTjJMyHGv6J6W/6LProjxNd00qGWH2RzIUqwQ IHcYVO5ohzmB7Frf63x6NUUtq4hDSAzntwsQqoe5ar4iWqBU8wn2HZf7dL8tqFpePI1SZuQbatWF 4NxxRNrg1xBXkvb2J7J8oRWXHOrTMWgpNPfkhziEP/a+0Lf2ONsNJ01BE3oJXYivJcAhdHnIQHif YH8xAlOxwhu6BP1OMoRH7WrRKYDioOzpu8ga903/G5hyLalD1pPn4bbrILg0vLzJ6BJYeKXXINZh fXLp4opgBcFqcyRjMbGDXYrjyxAiKJ2bP2QAtdGbEcNEkI0wCPgS/KfTxXJWBcodWaRO8AjHV/BK 5cwG9vsPV5m3tnDEyfXpnMbgXcmQXaXtJLG+kI65WT/m3jk4TbIJbsUjgJpMAalRf14Y+evfdwOm vs9dT9FbrqBiD8LkseWQ0konxwTbFP+PuaaeQHP8eaYyBegHlp0cKx73pZFaLcfzGTNE7fWZxesW Rj0v6gOjON4GktvGLTf3U2ba8wIqTGUeBoQ32NH7KGH7LoXsNMKu8kP/J5nAi0s5kXWd+3/4gO07 uhGCwxUarAY4gKQGnUy8sB0tCfNX2b1elhv67LPjzab2cW494KIWiN0dwQaxqO1bZ4D37FNdpt4F aa86co3y/jHlZuGNaYh+W7xPO9aXkuW0RoEXvIJQ0/eG9dcC5NCWisf8PlIPeBwl3164DlwMoA39 fax57QpcFZkinxW7m/WqFcnT3Okzr3QFhTF2CG0spP0eviTa6+yNIaa7SRZE43TIgBmlBBei2a3H aWd+gHnPq1K8/6Z6bs6NfskuOkRuFTPzMyZTSqr9ORKUkhEBODe2xU/Kgrg41EawuyOPjm8plcIr HWPJ8ReHuivGYicGd74faz6YvIpoV8BoIfibwWPS3GDZctK9+zqMqgxjN5ehF0toePHj+PEFoaBu cFJFXb645VARkdPms0wGDrkIurABkZcNiKhv3dBW3J10Jg+LQP2jh8UJMQxYmUbVSjRTOsGSlZ5F E53r5OKK9vJVyWaV63GkWoQ+efINJ40F+yWVBul+m318dLZKBPH9KJskfO3nnbu11JmP7sMp81Q8 ERFLNDrwp0DkTcPAL/pdwPAJaSYVBGtrkWhJK1dwBy46wOpblT90OtBs71toY708q3p+Ci+fDgH7 WIPOsQeALjiuIotDWOPUGHONv1B9Ex3FTck8JJjn5Uy+qTslrIssYPJifS8KhFyMUDFVe9be0jZ+ dyWl7ypniFoO2RsVGNnmDBnyKy6vc1F31HTn+VxbCKlq/xk9rQE6dNAHfBNdAZ4RD6ZtXRuNmcKB Yox3LOjwZby6PvQPMAJ9c837g5IrxBIjE+EMRvP3CHXv/tNm2AQ4Hhd7oUiaSQ4mkZCJ/MVL9dBr ZWKBb/5O89r+TC2+5hSHonFdh43dON2qlCRA1NEt1VW72OBASuxrrH/Sklv1/LCrjxONVOS/TDdK 1jPhJ/zctWOWZG4NbmCBQVllcRUrRpzJHZePTL8E5Zt7RKW5ikLLmyoSBaPkxzI22z+uAmb0KSmg AFtmZ49ZQRckqCPebHLu7ZSrwcfGZh2EiWOTb2k7KgsNEpQPX7Oc4+6taUYIE15C4+83ePY0rMhn YUMDT4gmZs/2MV/eCfq+fIB4NfWexyG4gKcB60Ag5CTpqOJWgMXw8RYw0ofg2FgknGlVofoeVT2d o4PlctNit+Zdjsh+dAkdVSFiMM2UWIjuae+q4xQXybrbBgRNc7/ToJ4Yh+SxVf7OX4R0lwJrOGV1 kaoQanjBzZn1cOwzwfKABkqD6a0gg7u4VPJxscZvI5kVDqzqMPRBW51AQepfCrfqF8mE2XNMwx7q e2UackWl6jOsXyvGvS8s1TnNMkkpUrLWZF2N+RlxeUF+Pg2nURdpIVCZrz/ucQgtGKrWX80KeoX5 fCG08jShO+uuCcRW5r/67171D4XoRwEwcfSvrGwmdFziP44hSsm5Xf/OdXQbSvAGi/mq/AZUvh3b m1uX5W60shyX1vY552qv3sjTU/pNzIsuTkCCukYXPLDV8YLm0dmgjrOJiCCHR8rRgIMNYy3eXKGQ KF/RauODEGokgPi/4o37+dD0FKTKj07JDVXSR4H2BQE7h0nOuJlAO1BaAkY5RlW6z/6jnheTEEzI luwGoG1FA1Dw1Rh+ZYo4kfXBdG6LVkBPGm2FGt6/ySWa7RTvhpFgK4rP6+kZ9R9SI6mZ9Fk+M6Qv rdNWYEAalX1AhdFqIiWP2wyGVqJEru7zAQ0s4nxfYRoj8h54cSgcAzvkAc2QdAcNxSrR4aCtY3Ie GSq5XYoHZmv/bn8RD5o15vrZjpnDTfPFwntrAzNOPXmW58mh5C4E9c8D/NVMyoRjU2nah09cjeLJ eqQDCGHDq/sUz75e7305awTn5HAHyfaB8QHHho2iKCnOLcNU0+zEtDswNm3hQPAEu6CNbQySFtsu zDRZBw4lwglWZHA+TW5YebDO5Qhxzri/l/qFVo09Gh5kABdp7ItIEv7YGhChsaqSM7bE58anPZG7 fZtREowm+vq3P50OLH+bVxLThk2Zo0wMSprPljv2HucbuUFzAKhsCd6PjTm8VOWedy8o/gMsW83r z5JhxNIEjGWgGsy8Hu3b6LFcKItr8hgljoWI5tzI8P/XM5LZr8aU0HT6KYZ6WYEQIIiEm0DSl6b2 2sdkD4yAHB87yX8hxO7EzaBZRSpKmwpKMMh2TibrCpnkLxxPdNewggSyln/ibyMjh3bBfsbAEGB5 z8a6CraGRybYJb50Ll63kLyQGdFCmtt+a0aXqEcqt6P6FMZ+KLdQV87LpP954ixGVq/CY3d740xl /eVJBJb5men+15/s827BDUTzrV7nsjrexSf0LIBeulT3VCYKIln3Kqe++RciXsOk7FBbaB5Qc3Sa C5Ats6DuK2Kh4qAolqE5t0i8K8XaE09WLGjVcTlu8hUgYnBGwYgZBAaNyDIVU9Y4rGMA/ZfN+4PY 1qag3N72l02vpgMYXVhLQuvogV9HbVUF65B9n+8ZdzW9oVh37t/5gfk1QL6W9KE6xGD8Za+rc31N +W7i7JG8OhjEryRB/UOtezCSgJi5Ggl0+BUyoDSap8HBgcQsLETyJQt0r/5hKpd99rkjjM7Fbzk5 LRm8caLdw/gfBj4qItr+N56U0ITjgtvniqsyAUuPoD3FC8W7sqjgAyiY4jPVubrds4TvjISuPW5f rLixk9XF/ZyWx3STmkqxmFCfp6LQF+iqRdLzaDkwd8On88acPe8zKzeYRVmQWqSePnJUjYctB+iv Fyk0vWVjq40i4f80b2n3cAZjE/irgdENY1/sOuTbJt7f8Xc3jAUvf/BH4TTQRTpsJxOquBB1Mfss 10u26+Y5rENCCBNZ1y7Eq9/TrFFixra2ZKrslvBD5rShDJnv2iENWGgIXbHTq6NzON/PxXSIAiA1 fVMs9Xzrqp+tMWJlCY2dqNPLoA9Lr12StkhOVMaN83+GEwSaYsk3l1sq8AaOK0DcOKbc60pf/Cgm TAFOq5CSHPPI5vVwA6E5oU0kroRt9+STz4twOnLKV8xQsJL8g1Hmt0B6HQL4S0e+Hdi7LXSqr5X/ Ytap/s6Q9uDKL9KvFAWIxa9jTwiBAO5MRx9c5N5UIYa2L19wDunw8ONYcpZxBv92L57vG/lAAboW JKo0r+vQu7WwCk9CLXZtO5cr0poMxy3HpjTPiatMV1ktoGSM3lJECXcbmByH1uFHwJW/xaQm5qVw nM1jQNp0LothtxDzHxmp4K4BwNo1CJmMSKayYTp4JF5XTXWJ3vPP4a+/lwMka6SmaF9NhTgAtSlB aFRsU4dqGN/QYTivwP6vHCnN/9BhXtCo6vk86EGZbjJgyX69gL9ZlGiUqFzd6uNj00+wq4YzmbuE MVryFDzAvI2NeecLp8ozyq/z3wgndrs30MpU/WQI/yZ6GMt5aozEcAgoQF/pJt3WFiAO0DHsm3Rr JpP+5ClbqPTatHfmMsFSOYuwhOGRKq96nwNiVo0vaA7aS87jpNWgBtMyegEQftZHsp0eu3/YQWOr 4eYnwM2+IGnjYXv6OAWc/YLD/HN2ee30a+emWkQhF7/7fbzbT9GuIj//Wd4xGYw7+R462T6tOvwV TbjKYvj8KCHS3Hi6xJwws7+oTbKi3BBnzb2wAtysmX3DesJv6j5p/FK4iRMX1PfybUP3bcQgQOVW C9e6/3RsWLVpu3t1kA7WxbFkrK4gSYkK27sQO9qX0UleiTm+jcg4wTOn6xYPV7uoie3KvapOv1Iy 8PxD3Id7DmEAGYtWlWaVOjqRTnDSeyig5kFXGGxCUFaZ0EITsduv1go29oFXTkTZ/YoC10lH8r8I AM5m2N2rjOND4c5NgNI2QwQU82pqkrcDsHNuwUv2Rq4eNi8Ueoc7kndtRGLdvmx6aIvjGWelnaF/ gXcpFA8Kasx/rq5oTwhV5B+4nPzILYyknRNfavtGYbbzB9l85oFQk3vYh8ePD1sUL+Vd04jPQUBU M9nGsTjdACYvAfpUxATej6UO0kub7mkYMOlW+/fxXWRvVImI+zSxTRW/MIDK0jJ0Viehhz1mtOuc 2tgy2avxEXaDKR8IKmIW70AvzuUh7iVGAvjaz5WelZiR/NMxkylEbjDqnIJIKyVGwYvTmvoDT49u wHlkN74WIx4sSPqVAldQS/cVoe0cU8UbxtVPgLIjOxdIkeyOaiThd4KJ1ijfxoEssaauvMOcmUvp /f+hOnhDty79qZys8yup1H2eVmt6A/uZc3tXL0mlQk+An8AiR4/xYg8nZ9Owhy2nVJv0c7J8jNMV 82QYF4LWONI2aDN4lZybYEwSdbsevo8Ft5P9/Zvq4zdpt4fIXWQ28yc7KhfvcoBmLiNFm22UiXYL Q4RcRqXiQdUnojGz8mHzE5oOiePERRehvGoAdxw26CuwKJ0FTvnoEjNJO3cLIOYFwUx+UEL8vSYk pkn8ucAp39KNH5CDeAxcQzNx48AK8Rq6vDJJipANEWgnJ7xGzlr/fxdKBsF/UR2a3WlhWNqZlSvX kJ0kpqK2BeWEZ+JhnhaSz127dXCuRrRbZUYQdV+LWVgcrFAI3X4nu1077PK2ztUcV8K8E35q1L94 k11shVz5mAu7BGnrkmJlqJBHrGnhl6m9g1kPgjfzQfqJnJ6Ie0ztC0FjphzB67WXZiAQQ91epCBm OtwwSffe8vS6+p2j3foM/y/Hq0Fo2jxuqP5YafWFGlN68VaLUNzqa1wvmxZJtF6LJn5+8urcEOL7 Mou/l3G4ehMICho0D3A8cuMPbKvfBoexQS6YlJdAARtGehuEhDsapR7mZENP11G1wOA4UE6puOhz EESVv7l3h5qDiqf9jglxWP5ewOeqjAwGWc/mcCTI8zK9SnpH5tRBmLDpo0DsDFVmbdSxvomsAJ2R 04aw0geKHEoyhjockQ4rVmHWLt4Hxo9bEHwE2y5RJ8HNjfD3R1DnNLk3zUt/qjlJufSD7H4O4kff CnCCoCqpgXTSN5dGa6aYj55PZ+G/tm3VAd2hV+BVQglUdfHA8Ac7g0u9DdpRh0Bnl3SUUHqJXlx5 lqWvTs1PQ4rkRGhXDP72+lHzhAUsr+YQJ8xyoFuWq1IYUMtOrFCmsQfpwgrBoZK+IEwDU6TFOpZG jjQa4ewB3lHvi5l99hP73JkDQihci5wUBq62MdhHsfslheZZiCzvMGVG4HZLx3Rh2Co6LE8fOZxk 89hy0baTohdh6R++C7/eKDoDZOKmRg5seSGUQOaNIwyHHtipq/wVHRQUKSdQs7hsdhZtsBNZU0EX HPun+O3r/dhea6hVJoGygdMaL3PVPLR6YJkOkZ4ciewF3t/0IyOMcc0+iy9AZC17eOPVF69zIIjO 8E/sv2CHIq/CBl1E651zL+S+ncVkG/9jE6gbCwUqL1ZgSLC7qYblhtwOgfwE/bD9mlWS46RhyRkW wpfWt4LmuBHX8aOev/Rym85Fs5yJxQoVrePibyfgC3cbnJ8XrxLNxMIQXPN+4pJbSyRgbKex3Kz+ 1XVCGQiI+AwqE8Czx1VDS+qpOvAH95ivN1/67JHyldhrfEfIf3udrmA1s8v3tk/m9UtCg2SmzBmU 8eBOCLWP44gf1EU+23/IDEJhXtLppTvRyPh8tVSWxmOj/sFNI0lx/R0drwtrzuk27ZY67Qiu4SyH zIsAbklnGlfITFFhzDiqw6TGaHj9enIRAIN5wV4xu8l3BeczwnLZtHczaTPCYUfnqPfprthjl9Yf Sg5dNMp/Vr1IJHozKXwnbkyCSI/SqKDcBMAOTJI2ImjFHG9/vo9tKXWJJQnMgKqVkwVteFDQBybi 2zhgoAxq/vCEJ3cn4NAiPhUv/Gw0jvgD8v3TG0hvZTxdGoiTCemX6/aqvhnEA1IZPlSwN1jiAyGV U9jc2Yjfh03f6VC61kc42SJIoVbSxAqan7iOP9PHYA041btyI6ZnwM8zqVi8oukyXUBbgmpZKjEX 7cqpxQ3sconv+CpF4LTlCvE4Ij1b60adPig94uEvML1aJ1HSgEnN7CRs+iKALQDFj5lHFM4dvcAy TH44RthIKJD8VaMBovpLGkB/LssZeSONQ1trkmY/2/VxEMD8y0S8szXrO4Ml2T+xXY1zDuvj+l1s iLc143NhkrZmqI8hrLpq5AXt2n8RVKMCvL7PLKsKwKfGZo9PweOOZ9qgxyIxid6yAwZjKi/+GT1h HyslcH8jkKxfVvt42Lep5Uak6nob5Xq4Y+s2PKLuBpwK+QlxxyV/ZABTyjdV33SlAqFSlhn7BKvs gX39H3hay8+cwiEQJqsFPHfJF8Um+Tr4CCEKwhigPcfIw6mOgxyu0PqsTzGZ1/APyobTBQBdVflI lBYQKFq4/T5Jt5NNrebgDmvgTd8OuvoaJnzhlosRZBLZ7MOAvj1qUXJWunfWAJh9eubG2pYOdGiR oWkicUkt9Kx5St5Wn4+OSz2bXGhbfflkVSEy7ESZo8lp8W8uzcwvg43FygRIO7ejjEJtSvRXNHPD pyCxVGZrZOuJ5q7edE+ftmfDRYpoasu7RlwWDmCoXbllnGNYyH4j/vUHHxw6+k2Wb0MMK0KEkbiV zrEXwHzwc2us9tugH/VR92MKD3Z2rHTkb2+HQuMUFeloEcfN8hxJ1xTDDyi/64r/wJ4T+gcnUpzO CenQ0irHtX8Je7D+U4gqiy1Y+OJQ2lu91E637Zlp4BrG3M7ZeHeDWAkbs1cjH2mys7HInuuwy0Lg UmEsQeHt+kqHcV9kZEGiW749sON0Qx/330wbzOO4C1UL8wQTHSWi9MvJFXgmeyIYflfi6ifar1WD GmQcUyI1ywMnpVZkXuBiHAwl9+v694SOYZuOq82TLLofZlbQcoqUqhZbWFYhiIgVu1yAslBw1E9M Nc/tTKC82+kLauLBFyorjiVJaiPhJfzaVWnAZHyBQJTQAy9jRf9VHWcj0KYW7BBwUZ+dYN7g/qnI DSPOUIQdJkwHV5aFrqai9TvoIvjujEnf9Ivrk6OjkbCzv5JYdlDVydaAsgFRPIPpt5vjquYayEi7 x9zaSaXIjd/261aYlAoApx2fvi78yMD04bRQidznYc2S6xSQX8EcLxq6ypka62piP7DCp7MnqTE5 XAuZ0sqU5ByTr2ogwUL/n/ryD9EdgKpce1tWMl42Pe3fq1pxqQtVWxtgmHmYgvQrT3XAFTNafGod NmKmUmn+kSQYnShGpGedPehdQAH2HOFCaFqjuC2m9tuaQwtO5G7o3rRNYRHXE5e7d9Me+dvV2rBh VIT6C9f/5sYEXyrf2pGOQTItqWPmxP2KTdQ0x3BgjTdq0RUY9B2l9DLrqFORDsf6MPuJmUgoVXn0 9BP5SztJWqouIdG2rHc3vrhmi4UJPUKc7w1isfjbBYo/DccvZwv6qwtw/mvdZAnDc7yR2hGSnb1G RMgdwLI30+gz/jUyXL4PPrSM2gckCFuxKcTQaWQ6/RMhzIVA85hXfLKMKXEELupQLJBpjiC4lodj /yf+J8wMucGRIA1irxaQBDm1O7Y9lnVubf8B64OuPpOWdXhzv/fhLYJ4mY0AuYY5X2rcpxE8oUOx LQ4esVkZZOkEHLobDO/9rrDJkTm4b00MiH9WEUJfjx/YAN3DPz6+/6uNfsLshMaRf/M88e78Ugaq LKkUyiipEI3umFMLuTWRmAj5mj0tegFTY4BAnSgA486Jiwqd8XWaS3IJEnApxMp7cZD8f3B6PYLF 8e4cAoTJxW8L5QAfFgnwarb5rh3v5WtpGE9TrrNgTy1RUqxXwxASSrkPhQSsh9g61qZEHFxFdRad PnJoLvhMp8KPk8/3StSmBJhshbcaVCMzNh/dVw0CpK014diXfBxRLZD3xSRETDU6fvAeYAiMJ/zt PrrJyDMvxTFMZiLIJDijjzdpKqDJnvjO5etpfGUTf4Uhfd++vDq7wX1i1drUqU2wdzYuUhewJnVt Ip9kfLCLfzbECkpGGTGtnBZxEwGDU5tymV8qcJTD+fXF1pPtKRa4pxEVneWKkLBZ5FSQZyPDSSE+ +5nc05iCM3pL9Y6bImPaCKGmbiJrpz2lUUFCxA6WyRjq4tUyMukSiuJxPHCjkNBwCKUfB+RtnM9Z B/C2eEBJ+zfwRuXsNCGVudO+5MXTvkrYoYP1LSDW19rFP0w7loZ1qsBtpuCR7DytklAbMs82Fxx5 Sd/fVLfuhu571rO1hGMqAgDB/j/klBSWgvP6lXgqXBtRoAFFvtUm/v8mEDUylmInvIrFaxn8Rlup STaFypK+AJrRMoRKcFipDO+OsjWHsXRX9Q88FB5NwkDpOd+6vGU/YvljLW+quvVN70S4yGPQROlC SmSjDPjl4O/Sdba92FOOAgNJILmfj259HBIrrkKDW6KRS6Oi12aaShaHCWnf9Y1K6qfy5CpUZVe8 092VPmQLPyN+v7PZnkh96oj+WHrr87G6+sb1orHPHVNADkLoiAfFOz8f/27yAiyHQH45tVWOMC0U EePS8PTZYzH90RDVrJY6rKt0YC/RWbHbu/4Dnb1FhmOGcFcB3mZyGeu8JVMiMep8NbMghl8pv/aS 7AmvzoqZP92wPi9pXbgAXdsqYMmepnDeiHSTGWz6xNlxLji+u2VxgB0nI0ngigwGKo0+ELkvV34v xndIvN0cM4/Jr9LCErAX319EhLabdE6wKGmwH9MmLOpJJMKB4E2vPRmSUjPNMQczFM56O1u+X5SE x9a3j0q+jY5dgryU+nBGG6I3/QGNtPhT+QtQFnLRSJbTL5gHzeLm+/YpTssUor28W33QwEA1vG6W PGdBIPUdr4tOKz+Ok7EABBwTra6RS8zweX1qqp31DG1j8ybr7chZ3/kZAZU4flWAKg1gwa+SeYdT /JSNrhUdrfXLHsO5Lwc7mxpWZhekcyI+b5EZ8oaY68aJGqdVWtu5/TUxAUXAI94fovcDqWlri0uC vgw1m+mnNNO0FnTfBI1KoLpbBumk73WA8x3efVrxRXUyIey9mGnKxLQL1IkF3c4NGR6ZmZUc6TAe TdUqeg1LRqz3ZTDibeHhquMgFLyRviJZznKcM1UQoQljLUsTuIUAC0CadBZOKwdIQMj39+LWKU6V IUnKRHAAIUVpRomfnMOFh9053GTKFRZQCKr3FEs2N5ZtrFCIY11mb/ynChOCBjjZTWC9aCUVCHSL 1D15BiLvI9Tgq/SalYUp4H1j3EbbvejNTIHooXOS6Z4UJOyC2PHqolA64F3OpKPMJ6rI2UdoXKAb CiHCdOdBWwf0pfUzDBh3e6WE94OgP74Z/buvLQi7TglWAoAvdK4NR+RlNup388lk5fjbatJ4Jwjt ArjgDQvLATvxmtnE5mtTXt8y5HCe/vfYaBnO0JvDpCeX6i0UvmUkNQf6EHREdXyl/RY2WezWLILx Pkxz5rpzdDnz+WitXIESr9gsY6KyMk29ep69REYP9BaoxAeAdwdHCsofyUq+d8Ie/qk2rMyDYbrd aew5q6pifEp2sDKU7iK7r/kWQOyZPqC/MhL/Mr0Vl4sou6qwAkKUNofi+AkF01Enir2hUlP/ft6j rZ5rIaPR6BTUsvZsNszl0FGz+1JzEJlrsZN5CbiNGf4kLEu9nqsUwpt0NGBr8pSqNDBUwCE5mIn4 LpfWT248Db78Y/Elqhg31mDjaTgt+UpkWuJEalzz9JmJIQGbdqtmjJKjcrE0/fYoXxnsDnh3wkx/ mBZ1cw1cA9Izma/g2Fm2JkutMHM+nQfRy8WQ9x0sxyeTWDYZtSB5+HgMuD1/glExEjxzvXG0humj UGapFHkld6zlJDBHAYyrbdXEFu/rlr5/RdV95sVTHQUGJ59Z5/tCoO57HI3kitRFCW/E/TxF3ZJQ so7BAKaf9SW++iAwRDHxFLJnwyzqyJTkbjmYkfUGgmHo/yLuJwr87/V08XF8eBH1GilxiqD98947 nillsHJHJzNBH9TBf6WnA/62hNkUzsmm92p5A8qMNhf11Hw4qKZpHj1lrfyWigk0iF3P0Of25X9i 3Non41wrcOoNIRTuIiBsA9CPTg5ccqrTvWXAc9w922xTyCxb8tyeFVcGMeBH9gBhU7ID5aoUzOEV o5/d8ol8w4KbJWamyP9X++e2U3R7BPhuVkkPOL73CxxpI2CzgNEL/Yhqumw0S8D5kpfeKEDpc8oa AEEAkXkTsK7SmxBR9cnXcctagBzGhW9v2EIVU1BlI0r1bp7xTpT8NxS8qq+NdBNJojBD62sixhUM p74XXx725s99Ah0kL57szuXJmH3IMXww+i22+o1bNkBJC33L1Y/RZyZZaCFj3Obp6fxU/RJcfBtX Vpsmj9GKQSXgYUAawE3byX+m3GtWrIyyZgXZKRFrsvHhGxw0rCokzQcMXq2As3+lZSzaARp8SUcH Vl2oX9ZpgZ2eyeg4eVm8tTSwJ8lStAZkSZbkfIOZw9hBa/idgf6nEPFPvkMrvRiP2rkchbyjeQMw l/Lkk9vxjqjpc0576ezhiu+fRzRoucQtf3Wohr9+ASVpks931jE4RdCfLNPwsA2C/sD/xDQLQHWp 99UNxOaJsAe+KGRBIPnK2bmFZoEPoZG1NkRR/EXfFcoPrYVNsjkbg0hOj9ngxAWEE+ASJSBC50T7 C2p99Ed2sSBdv+xWDygtyRpRWJm9KCdqSlkU+OyiMbuCc6Xw+VMLpcQCMmEVffBARaYPBs729vm7 /5oFNWjzwFsWBqczaYxjqkW+Yb+jGqqFpdITwHC3o0CgMctwtlqjdelRRFvtZq1QZVmtLZ823Wj1 Pa9gJXeu2HW3uKo7XHJoNjbxh69/KDf9rU+pyVPrhjM1gvI80clH+Fdoc/kwyFBijNwe/Eloa8zj z/7FTizdmCVmQMYWZw5gGibSt++ob4526YhcIN8kIiEGF+3w7EWKTF7WaM9l3DMkstbXq0k9DUrt adAnde4Glv3bJ/U9t/nIjxVRKQ9uLh1OjjANONc5KfUdYKlxGj79gA/9mb2gbha7WCMBh0Egv0sy ycYzoqmOPTnQnXf00YIR1McCaIGLcNZ0zbq8955X57lnibGA/5kA+syXRq75KpVVgxfOzTZ+F9xj ig09Lc9sROoWWJMnso1srVaP2vCeMQrK9v8+5HstjwXnTgN50a+dFok1yl2ApeTdIIQNpl8M1VB7 csI5jujD4zL07CeklQ33SFI9Dis+Hj3jA+zuf1Sk3/m7y8an1uEQwtwfmMArKoKUpiihimqo0rGt u4EzgRk/o+seXm3UYV/QvcozeSIv6tX74XbXCa84Jx+PC/oJR+mqFXoLZk/w9VATqRmAt9TR58Ki Nq/bH+KqKuS72v9KlIEIzexXEbC03TWtBoTMkQVxLb+venR+7oFuBdb8TnyrNWjcno7vHI11yYsM 6Xp/hwiKcWCs8htmXDRRR6uzmC6RsvPFaZv31m3KxEw6Om+LaKB+AlnAMsJXj8GaKm7wLvcPIR7J A0J/ZkK79O3EgJd5sDiCBFjIRtgTpiI1KVQdU0pTk4rWj+Ql4C0x7b8H0x0WMy0i9J/NsX3fbjLM v9EOG8oVZLbBfs9pXC1WgT8+amXXamJs+qrXQWyhUk38Au23fvhsZFznmelo3HF3MS6KHuP90JVi bXtOI2Bv5t7y0Fz8YQVDKxLby9+HxdqrnioUzW5idAFfmWE1JUXYNmcv4WXPJSFfdK0Ky56xkQbM bAVsVjSdMcggFG39PE+aZICsJmuq8kGJOEu/6JLLsr216VF83O4Qm2EJgY+VzRpImtruWHO8gIUu ljHBRolnumrrvKRKzwWEVt9U1idzUrqG+XikrILOMLzSbCKfXx50NrxiLBj0BgHffF86m3DaBL0G FJr8cwfcWwriZTR9C4W/UakofwxZfTwEgkNTrt272ZwS8igupzbYaGQD0barwvM0qByqf6KzthEv ZkMn123tk44OHErMAztKhdGPqIupteP/LhD04KJZtKMl+OETlgaLe+53mrcyvhOVYC7C+0aW6PH1 vu1nAjSSn+ksMQvl/KFz//2XjakZUxCJpXKYWbz0V6AWC7h7+5+fiZzzNcRx1I+wSUquXWsTM307 YSOEAa6q0VP9qZqhSIBcrtX1hcBvkHkhxjq+55hXFMASN3BSJkkQ+TPQBdvan2CHKqOKeUy5TvZr weetxJqxP0RYKMi9JIDMRd6l2+JmY5xfXrZ7rW22T2pSr7mE4Vvgq3VcSwK/ORF1MoxQfYjpPmXZ XH27f2UtZCSDdHuPs2+RHK/yZNmWwSH+BF0yOOP+zUnHwNFG5siprgrDcVYRg8f/9SZXSu+R/W2w RIiHN4VqyXvQhRhFU9MSd2GnPCJBU5gQzdQPNGHTowrLe738ZItN9N+0lpxHBoGQ9kVqoectvkbb vWqn+He5myoZPSYHIkkS/YW7nxA7M5yZDiL+GhPc3rKwi4APTtN9Z9OeBU7vg735djF3/UKotttv KqQ3ZT+MlPCVOOAcwx/LxUoKg4EqVt51G4bAO9lWoCGw4oYzISRJV7BCsr1cLZLv9A14JkcGyx13 3wWnk3nEqaddgNEJdBZRWEy7cCMR5I5We8ZVqX6lQvD2pD65HaSTmsDhL57/qCaUqQQFiaIX5Fwb s+CHNR+FJebF52T7Jh4MDtx7DxXKXFCzFEfdI72I6WBGHiuj+M3919NhPHb2kcuhDAlUf43ldd7T 0spX42T6/BuWxBp7UiDxGinv+G8ddWQ+MbqZDA9AaPJQTUyUutjZf0eNKYCvadyzTwtt55yYk0Ys o9CBxnPUSc2JWJK+uRTzCze36c+4vtSczcW60X3Rq9IYKM1PwOefx8lbTrjr932Xhne9HZRwxngV lb9S48/ETU7woQAI3E9D8SkFUVkfLYAYCb3FUpZp/Nc+HqaFDAM4PWUXDHfF397AsQb6K0TFp3uH ge+aAL+5naa3Gj/in1MKD44PxndmCPWLga8+DQ3llraN2aLRrTCi9x0iCk5ds19pxC94FQvEEGOE dpGaPuFyszzx5hhZWr4etqPVMSMuWepDNAWODg1dQpU2b3qEZ9zH2t80MENWWd3XRFYuGCEUrwpp 28IgJAEYqGYGM+X/tT9D+gXI9aLqGqYBIYIVO/qE+5ATqiJwplqR+Y0yCPJpEn81o1E80dLfluOf H+J6VJIFTvx3d1YWWtwmzATuA5ap+sRu5h32lsPRR2cCbbdeXusxVc7MQ/0tm+WVXkExi3hZte/U H6/njD+jnBUDp8lwzPfKPV0BW6w+0jT0rjDnVsspc9SECrz9W3QhBNF9Xnx+Mh2B/ZqPvz8ci8cQ BICmEDudczMhR2HV+bp5yo9LKNBVfPYImHotSMlNVfrKyszBaJmlNK8Jynv5PkC6p/8EXMHkjAzn xgiyZCGN6baeTKysjsx4yaynSAjTnHQA7H9pojQmlLz5N4RALIELMQL8QX79HmRxx7ewDsZqOKqk FWBf30tkuzZRfPer0bPi8u+UDyUyNsWDxwT5vJ61aQEFriqLHfXze5IRbjM6ixvWMY4HnkiRy5ee HDZRRizuggDr+EejdZqxTcqA5BWxACnFJaYhqYVzsdeC9U0AopC35+xDDROerzPQIbL3hQ7rKwSa iAhtWsy0gM3ZgZ4631PUFiVxbRTb5CtAwD66PIRhFojGPwaiu5ntZUYmkdYu5LNtWbWPArAFLmm3 NQtVHvuInEAZkjXWCx5SZDN6tmUgVtZC1umOHTXc3DB8eYLELlEN7mKxsK2iV9MbZ/muz2cbshKd XXTunlEY4eHqV/fFy7sxH7otvkEu/7kqYach7gDD+qaadM2yyiLsAoU+EGFchimq3valY58siMYm 8C5noWamIRKzb2eMpdl3UhEHffjwJIB0PDRk7Ub6IKRlUU57RwES6I06ivM4VlPgY9Bop8OYV1k9 nzcpDHdLwZgOXzvPTkMMlJ7hdLGcyBjVAT8QEAB5JyOFuXCeOtH/aeFGx0Eh8RCW3os0vB69O/fA dDNRi17ENnponuJKH2P1Z1tLi+dKVj4bgjhxy1jcHI+b/acK5mIo6ryBGFwgFQpLi+ksiTk2LdhM vNQdU0NhsAW/AUslxP7QtlirJMnpXWaRcPr6DSMJq8XyrS/ffHZeDNQNXz+/e4Er/90qcgTidwnU +vXIEU+AacoGmNZGYG5kgfpdnubb/0wXAMDSDY9CUCAqzC2AW/8MTp+rBCEPBrq0Qh4a3FXzXdeK yxaQWY2BcRRPf39C9gRNhQOO4Q+64NnVKsZH1RpozF1Vz0fKbvFFoZHXz1x26HNqk8xywQXQbPm7 3vUyywsoeGJNW4a5EjwfdCberGE/Xzij7uvzQBB47OlpsL+5O+HJ5agPKb1zFpol4wux68JGje/v sbVFdsjqINmTWGRmXeHoyomSk0RVcf+2BbDt0+lln45qWusmeK4tjJYLdWs3/Guo6Uk5VVu7FdpS Z0xjc39tfNyg7QUkXy1MwizwyuQ3OL5COYi2N6y4PJTI6l7boN2iXAKO/K2vTJgZPgeGUO0K0QGB XZGIOsTBbI2glXr/g/bDeFqZcxnuP5JYgdjsSRH5IfFWMJHjclvFccc1tR1H5SRLUD6eym2S1KYM KVT9zBLqqOZJPZYqJmG53WkxYNrdRk4WaloL4X2rq7f6hcI0EJ5odbkYKvxUs7jZ3YE+M2gHCN3b /RqhCPGxowMGiY0WEvv0RgWcMHyzu7w4VRhXClYYd6y28i/S9L1Ryg+2uq1BkHZGtxe/hAM61+ci 3WI5t5/UUEczQoacwyCjkBShctYAdueOY+Bdz54eQMN4lYQ08bxNzSyGSiDtv7KnJ/ZTJAdgsRkr Tu8apH5agu2fmOkJeZc3Uh8zGQiEKkCRxokSmxzMQ0Fr9o6FGP/Sizf/RqdBXBAbCrPbzwPh6tNP YD4VhH0nDouisouYL6Fz62ybwYZTlafPKOsZiWmmgGKww2TTivv5YoHk4hXazqhpYmac2tRo53ri mVZQF2puYL+k1DjPy8TPEILwF9zooOr4ewPd2KR3p+gBC0aljhPE1by4uxivmsS+yXPQyF+VJoXK Cj1NDlO63LtoShiY59BpxJGDjeR/Q/Pvb6qXoQj0/UHjb5LCsZf8s3fiT6PFvfD2D4cErYd1Eo6R cvv6kgo7Hmfwno+K7OR8iLS0tGF6RosPSFjAzRga74NjjrXVuM5DgrqRtDQbjBHA26wsRs4VrrKZ EPGyQTCbjQN0w6FRMkComO/8m2mkUoDjsClBzAy30SQPCGG+HJvJNzVOBaiNmMsvkTBff3xPe79L 57W2ANipXxWL7PKJc08ZYd/grwTOhZI/dCeew9717V+8Ht3N1gIVl/VyEPX8drlIN7P3l/sfEAza NUHgSYUj2CjM3wbGj83A8/3xHTk37N915aj2xmz6PYjlwMAViWJNRZyp7YHQKl5QQd4nhXNvbf5F G90clr4oPZmp2iny+3AS7fyr/TrdXq8BtdPiypKioW5CTRn0HJjtHqsGKzu9wfq3jm35fcKGW53z GNistlqbu6Ow4WYoV/fnMGS17NFM9Y5ppT0OwGxTW6o9zS/xBx9irfPMR+qbzZCpzyxj5VelJ7EL gx5PwOo+ez8cPllO8t9Ff5J8/to0KjqIAB8jx50BJptTjadBIIJp5T9N8MSlnlLg38K3iKOZiXxR eEqm0QHfhiEhAnGGUgrONX51PdefP8+iQ2x8njrn24/NaZx/ym6sR2hAVvUhivmpq+nqVVxmAfIa j39a1V6JuABZJC7A7YA5U4gsy6cAeCoVlmvRDRHLNoiOzlbHEOfeNHscJ8G2nvV6VBRNH6AHQP8u MughhEzFJu8jhWTA6DmnMFSMncKSEt0E7It23zdSSr6UV/n6xMK1K3obp1QgmpoLeh1vAI1NM3UL 7gP3p7E1J6If5FzjskrYzD9FKsmgtYsLErh5yjB4IPb3DcKlk9S1gi5bqctlfJ6YBRoVR4y+/XC/ OQwGrWMC6/dRe/H0bSqRUSZO/EjWlu4Vzdd8u9PunfQzjIT6qzpc3+e3FEZPFWITO5CNmHoC1kxr EqO6BVTPT/6uzBISY8hDc+r/gv/+9WOlozwA++mjwmDLjL5Px5fDwD2qGqx2SL5witlVKUlBI02y zIMdVg3l7Ma3Ut8bQ9n1/PK01YFYfmUxFY8DKxrEfaRpAos9Jlju2chWVz1Rzt+VxKlBEpigQp5C MtyiPGg24WuuCXSF9Fo5tyCT1boOpn+Nc/WL+gItd2XvvLvslbNf7gk0Sh7fo82+XQEVvcbJZ560 1Tfd7RZmmcD8kNrewOLN/odSchaZW7sSJBp7avZuj4gLjtIwZHDS6WygCTWWtbnP2xeYmIC1GOWU uUKzuwvDOszrAdedbC5rbotwZVm8XrqR6d50oFfIL2WvFMpmIpHbFVprOK1sWeCdzwV6NCgef5VQ ldg6ZdIYolKZ3Nl1Q9Ocn2Rn5ns904xJ+nKfAs+kbhKbyblwvFgT1OBKojUXA2Br5rZy/WkqqWu/ XTUHERBjbL0FBbTouUqPmW58fG8wiwRcCr+mopXMF/4BphYevMbms/+otydtxydGtxRySvWsho7N n2bcVBHLmuPrLzeiYx0caI4ll5+QeoOzTMjXL+upbSQhl8AnSpTJyMteWibgRyQk8OYHWnOj4yHw q67CBAx13s/LDjea1vLymODFqqZJZUa6dOREYBoHwGwby/rR3TnIwClEAkPXSUGkoFVqhP1C/zSb BdYXyNXvgu4+vVKPx1/N5QiIXy/wKlu3M2uFL77+1pxGbsUCDupHtUNR2vTXrgCvYQekMXcMHqTv mLqEUBrSRZ/geMTIjPywPcZhbTEAhcTlBjBgD3A3AVa+Xl/NNWR+pwGNK9QZgFpQLEEildUE2r/c hX5PaAbLisgqaAjvGXs2mrXRvCXkF05Mu7WlLGq6KBSolrjWFp0C9x3LbabgmqdD/vX8HsZ4BM4D KGGMXRoDlnLErpdINZXvoUQhCYRwnOcFdONRhxMT9yggLY1z0ozOHsc9XwfKZne6qAeYCUi4A+G0 67N3ALaWfDvWtQqMUudk+uHWbmumBLkiOxyO6+7xQxFwMjpImUvxHLUPQh5TCAk4bTOtx235iCto 5okxFVZG7kEsmRgETQGzEN29PbpR36+JWh8DIEUx0m+zt0SZMO6eKhkwETZoCQKW2oy7RZCNgK3F UyY6DKg7SPJ7IhYDw+lTR0THzUoQgg0j5ur631ZOojIg16NA0opV2luWdNm7C7jLJE1i6MF1KCVn yjfOdYP50b1ROe2Zf3e1bE+H0X8P3U3x72O89tPNPYZrLeEO40kp3xjKASAqRyNDuqsy8PeJ6tU4 zYB06zH5/Kf2NU87+3Pdmlc5hrIZcTfGgxZDBWSc7jgOwCZFMhou2hk04YWOhdWKX1KYBhFdF0MO b/eCI6lJxqMQCUNJfW5Nzsl+e4X9u6KW9d6rgexAGwsq9+mF5SndXABuxsl840M2oDjKu0xo57qu XUhoRGCzGim/djDtg4H9EuTolxwUl6F5cMdxxyv464y0ODAdKsoX7i78/qvk6kyLUsaaTi1mP6MJ srqCn3yDhOXOhOYaX8NNEYSb83eKamwyeQeu8g2ppRjg37xxrJhGFfOrFPDkHbddQ+66eAC36GLb /dblzt3iMsI2XXZT9ZRGn976JClcNnFGPTK69T8D2twd7qkO2mopSfRT03l6iJE9nWryU0OULXj4 snn3xjbFrh1pVLQcfTz8C9jLaVauyE3qeJnBABbvSZTfgUbnZ/09XdH3VUKorv/jsVduxvlWDAAF fTTxysdXiOmC4xN2ZnGyBpfVd++X1Dtrj9oTeyS2+6GoJZ+9qgQ07yOK2iSl+fCbPGbxHKUImpyP 0zeiJp2FMLtbDKVKcMH/bjhOWGdDatHpApkZWflqUVVh3E+IbaEjxUeLm+0BwvFPDMvINPt2FQRZ 6r8sNGS0gAX7JtYEcFQZ+0NPgee28fLowa8LqcJQsstxVrk6BFrn4MuiChFvaSNGqd3vy7sm9xhX GVmunsU7dA87cpWOVxseCU2tsj0/eBNXDdkG0C4LcvCer4Mn59UwgQ2SqVTdVypXkznEgQreJKLH CjW9RcvgFXKCO5UeMOWPP9oMN8rroLpXM4kTVKMMJJmD0Yq/qn2V3D7iILvkOO06bsHKsh78rizq IgPv6iDRxhQeCoinDnUWzAiRJtYleHvoj33+/HCnA0ZohApHmG7WK6JT6/g7u4AtCtGDiQ8vXJXX tjXxSLjidkqY8NAd5uZeaROmr6cMbdQuxVoAqCBFUMeqDjyrBb1ObqZ9QN6U0BRn65nGmuEg3kjw 6yWNr+SuxgVKYPsaszlns1q9X8qkiOcrS+ixoAKdu/wHVnm0E6a6W6fTg25+AJUlmbVrFNeCjhtC +ZlL9U+MwZVgQkSuRtN2xE6Gg+u1d6Vmcp62MBGIzdNhgCixQrNiZ8S88iXeX8rVPcp8HMF7mzWb 0u6OCjnpjyKavGgbBNJ0XC0OlANEz2OJY9VJnAMFNbubJklylbUH2MCdzinegWLlGSV4Dey4FRQT A+55POmOVmmHpt9W5kR4WLu2L+C3U16Nq5pAyltQhsiia16JXiSMR8ExH/hccMyys//cPcQyMC5a jqF8bOHCFbhEKM1fJkGKAUl70Fi0LsP54qVwKzVPTLsci3hLo/t9bixFlpqmfF5APFSuShIa8tdI Z3hFkSv+/ZAE/kP0YNzC5YtHscuAAxTSsU9iJ6hgpp7XA/inw0hOOclkhxMmrGuSxLKP7vSAq9Dw 31xhgA7OIAHK/ZUHTx9mK6/cpNZ9bYUgm8UrlWUbQSKJfFTOs+/datvCKKlt+Yzrhzp/OUzwQerz FanNkwvXO2iaDTaKbv7apSgYKKek0sqf98r2xtQCFQUtbPIRQLeXyU2MI2MfOCFTNeqAexM67Zgw Q+2j/iw7AQtbRw+gDmMnC/ymGPKGsT42rHStgBHXAh7unOIcOpHu+z+lVRkMU3WHvg215GgAW90l W1qPAz6lxXpuICChhNrfE8V2FY50ki2CrLpe2QDocVSwfBRt3o7BecrkU2cS/HQKP+4O6oFDw1jJ k0i+ush8vuvTA9pxXkoRRatvz0MuFRL7iqi2UarqikmnzOv6TKRG4MONfOnXroT3nojv0FQHolC3 QUPQAit6TOBzDlv4Xld5ZLkRzoWvN7oM8wCKYorChYfvn6mfz8RPvDcWHCF2meFkn39piBJrmZ22 zPd8Zvo90uPN9YuqHkKN6Y8U0HvyLnxOtNh5rP52uFVHNzd1FZuIw5BbUwHpkQQcrhOOLSyVUu8w mwxOFlucI2fUgV6AIr1jk7P+8hdiXHkuO1yI+gPhjJXW+6LPEpwxyFLnizUW5wvE0NI3pC+LVRwB g5m5LtovLqQOB7V7vewY7KfhEzcdRWncuQ2jKML+7zOnzEIac1EObcFWhC0DTX/T9pXyl/kMiGqw f3gADf4++xSKNUg2neCN7CizweAjmQii+Yh5a2tvVUBgzQVGlpdPg4nfK4ZAPgU9Whe4KKBz82P+ ymXwbG0m1m4U8Hy5RoZ3cqth0sFxSk+zVkIk/8DtqB92Ke76nq3deNzlUEC6GmuUKLnVur66uf2g OGL2ZmSfc8voRkiSA7r51dImHecA2uzqianzfLUWHf08T3kzt0IrKwczYAfRzNKlQX8M1HIL8/j2 Pi5gY7eVdmEpOQx67yNJuiip+OwHIpMN81FPkdAayGpJbj07zqOvQ9K5o0Qh1++e6dj0GdmTblCI X00WTpblgpxooyps/0fepCf4kXgtKS38p0t7fn7IOU5OA+kU5o3k14Fpr01gLR2V11dJqccPIfHR ooU2PsKFGWEaXlkRTJY14UzchzUdfnJ/0gO/m3o0AM3rV08leBI+MVsaIe3N/li9UJcFIQ8Cz1KA Q3Z7B1IISOYoO9edhLud4FJ2djpt4rge3FlhWaYMnu0YUdp6yN6ishWDJEOrPyxgktWZPPkizYiM zuEbPM57xvrhb3B/8lOxxnHcAXfPHa1NZK9lDyGPNFZkAxkKL1rgEExHLpsXDWHvGhrRVW70s2bn PNSLcLfEnrvOJMU7Snk8uvYujKHFFq2pLy6RHk/YRm76RCjW38nZKoP+NEgfx23PlEYNG6tketyo ijTz/bcWFpu2N3wrwIKcHsjvoPeUNN65YRd2TDm6TzPhFOoby4epSicceS1SVuP786TPeqQeLolM 1muT0QGk0zuc5tjXOPaZkLEUko/UmnDVsf8reVB2PJ1rrDUG6OG3qnjMfI3a4ppcN1w0OG32cESm 3KKuVvLFWi/eWA85Gd9y9uAAHgwguiVlog7hnhdNT3fvoKZGO85UmwhnwAOxaTZkDd2FgZxbezhJ FR2fYqiCyJfPsDjPAhp++sdjg1tsInmH6IujCnylVQnjCh2JbMSqxVn+bIUtpf0viBhacPHgWjqp kre+wbNgVbo7NqYLkiUrjqvdaIuIHzr73TizFc/dlH8YwRp+CaWKlLW598jm2+AmK92c1f/VsCDm yu47P7XPVL2Jg+vQFyxEgmP2DfhDlQHuGZlkJVXCy25f3Z1cexOwzbClXTzqzfYYO1axsqU4k8Ed SKZG1kIz9RHUVcl5R+LPPnjD5eEH2AGW6rkBDfdomFvIrVXwyCVTA5xGOJl9yeYEITGON6x8Gchx B3Sx7xRq9f1bnhajkDX5qMVDVezm5Q8AbQhxDdaUZkktBNz1xYs+FU3X0z8JCh8iiPfLKXs6idgW J8u87jPhFB/iMfOPCj9bkHoc/KemdR2vHTwqN/9dVasNFuG5VlBWhOQQ966gXlFEHmyULUYOqRdQ 6L4f6ogTY9ItP1o3l2f5cBXIq5uvNbYJ3ujpUUDIog2wP7BoH7O7WKAkxgLXN5dQq9H2o7zyjuBo ICzz+fFnQLYGYIPaUsjkcSQDqOGoowlIbkgShd1xadtinXzE28EWSjivVrBI5H6risaE6YvUK67f cksbpwwAMwLHJov2btYtnp5wCW90oqFPythoDn5k1xeKyyEX5XWpIPgPFNFXegDzYuxp8YwaglUc 3Hn+KK4WRc2p+dZtbJIzZHaDyZ3LvB3iD9jC4mVDOfnlJGL2WJHNeyfVJG9NfN62Z5y4DD60lGvc NLs3OUmOFpVLkSv9+6VcVdNJ7FXJHPWCBAhMsMaV41Uyu8y4Kp2/a3VunMB/NySn4yQpE86GtCxW tU8E0b7Sr0iIz2S8PqF9tpydtZkh7iUt3Q2tRSFVm6WhcPiS/0PRzkXGlxPWDVMv+2FM5a+NVSbY rcJs5O6qTWYGa/P2HSFFhcwxv3ARfAUZZQQQ9mTRecItfKxWotOS7SGxqpRSfpOoXEBF455ZSxwU pCbw95ZWO6PQCpYZ1iyRwqCwNPUrFsINx80YJVZVqmXTXoRnq9i0zS7wc5HtUW3/WD84lSNOga1r iVmJj6j7Xx3f3+Ul5xxMGPRvvpLPYdPIhIOMFmlNWR3V09pUnaiLpEOY9FhSiVCuexaRCsuujcbR o0GC/SAVRPRWjc9ZXBpmX7xjXN9woDf1N2qJafvNrZxroj4pMKHPSDOdE61xHCAFMqgTlWyZxTMJ u7BArwFGdIqc7ywhVUW6H/zck2WnISy7lVoaBoZG5BmJKotWwJwpPYJ5x2D/RBzqTRhjEszN7wPq qcs/KxvGmiaPjM7HW/XrH3GNWrssFqSH7Q/z99CHkeHCjwWRMiu8NGDNotvZEYNO6EVid1tBz8cL U/3m3imzbe9zH6jw8VsCzTFzTyBqQ6EZoGo6o1K083VzNcgVRpQboio0Ne4cymERVQ56nFAHV6Zm UhN87HR8f42Udauw5Zz49Q1/rF6f2rgwdpMcQYzrq32OEk8irWdfUz3RDoXqF5HnZ7zs4B3Om5Mu HaLh1rE/UClsYe7FlfiAlew9YoVfLY7+wdiK9nkWGJygvBcMOQlTjgTT9Tuf36rOBkQKP7ToILrX 9q8CqaXgVlgQrHaebH9cxh2tsA9/sNwq21+oHNr+H7UwVxD2oWPaqSYz+ERK2wNtcnJGrQG3u/+t Xdh11p/OXAsXoAblmu1IZtvWU4kVHz/puGQBCKiM9nkCR5ATUcS+YZC/90sS9oRopr43Amo3L8w6 saZDh5KNduZJahtNxTxCKcYMaiufNUNWpJDY3ZUf/WRowO3Fd863lluKqVjMgm+1GNFg7pQLvNnI WpnTq/UKdWJiSSt3MUU717jLHF6yPDuJZbA4yaor3+ZLn1d07I2V52rykcZ2hh285eHwOy4lxBPW p2syVzrMKoqtU5eRf/4TXIQw4XjN/GHz5DT4Ttfi0yDxGKtEERcvSqBRw39xgtR7mpAhNok6zBQS 8y3Yzaomn6xS1AaZoRiduii0YPR9/B0XqXbAB7fWJhMfKt2LPCew4xs8P9Yf5Hl/G02oo4oX558+ JqXpftKNtw8GkfFJQGhWEd+w+kVJ+bbEoJ71xRIeTVCLToU+M2lQFTBzJ0Fy7MBcXlf8YG5O4XS9 xe04kEyAMtxWvrnB+IfJmcRhIAVOEfllgvz+CaMMFdDvtXOCJxLPXmT3bBp6PoLOPkvVtzlcSzfE vx5uh7PqW9Gq0ESZN9V6r5kHyvtdskMU32WCpm2X575h5diCd+OJ6/lWh5utmhCeo6sDhY6YLsvu hwE+4FDFh/YlmWBImq+f6aNbc8Wk+fkX2oIGpvG2t+AQj1jnEFX0QtJl6Pt9VSapJko/dSemAMm/ aoGtPs5DFf/3o+41dTrf/wOxbXNwduRbAlk3wB4dThooXz/siDxrkZP1f7yYxM1SpblR1WjURwe4 IuFwIqbGEe+AgkFaMtTdqoCLjt8Rb7i4ghl+8k3mYrSbpadu8uxF1WsngNknRMOzlicYE1RhCO+a gbjCQweQhhb94GFI4l5YwxtCNL7ECGWKZFh2rHKH3BRvEI9U4KTx9rgKexicz2LiiE58SgnceddY nunS7n2whQWEjrsdiDFZ7NZII0buZ31Nx+/topiVySIKlH1jzdUxxYUVr6o/Ldkqvn9iVSt0xCCR OOYiQPRM1ScCNHjeKm1z6N+zBXuNy0idh/gkv3xIbt9EYgUpZ7foy/nP4zPsUhqG0hBjjEx5fqPo sPocdLBr4uYvNL+Wtah1jPIACqoNeqktbNbrh9/oTY30CPYR2cIItYZwST7s/A3sRVS0DgteMCHt PxiS4PZKRfszceGQ1sCWaz15qb/FX6LVDVg9rrhDaDVC9+6sPNcOhYl+s3u3WWTOrHHHzd59JdJ7 BeJO9xTQWwqOLBw6fUHISifsmGCKr2gGfHODMMKNlwvZMEkAY/aVAGTH0CRG4YiEVF4F/wDSmzNH hbnfs4Glk6mWUcze2c72FyxzW2nT/euKCO00Ix/ZJuzPF9TCmDco6MUftob4reU45fv5Dmt7BSxS 85PbDsJ05AYvXo1gV5Lp6x0onWIpuGMRfefK7jPRFxt+jQe6buR/Po/WrXaBXn4aSAwG/Bj6XY7K oBGYlxS7sup94bLKyoz4HkEhMluditKZ2dkn9AqdAE+Io2FxVG2B9jGgwxNV68ia4Vmjsbyn4uBn LI4FX18nMV6Cz46iHwL9qxmOfYSE5+v9iliUX5g6kxOiciqmRHHtebb8fNkcYRLt5ED4ec6F2C22 ouFyr09GGF9eJfossQGLcUmSwCMeXG//oub2CvZWCYLKIyBUGE3zxlHe+xvH6AkFEtjhGoiBTsS0 YerZhKCNyOpg3Ie1ubrYI3RD/ZQ/kx/TF0dSfMhOqUu6oxl5JhXPow3B/DdKa7gNOEVaY8mqJTFm ldcCazRdZx5s7ZokRM8jHn0Mz4+0qfRx5w3Kh+rgZ3+nRfDuCdBYq6GsanvkhDTUDdrOPf4rZFye /i7iMKN5/Os4pAQX7/GhE2JFB8uJPHbBLrPgKsKrkhJTcSBmLYPNKKRCn5l90DoaXmpPe6xduHIJ AGnwrGGdl7+yN/+errKkt6b2un31RNrkjcot35kzCMvSYg4Enz68lY2Cdk3aiQPKPD/eHfJgUS6C dqfWb6gA0f2gt/Zy2OyK86dEq9YhMEcw/wmogBph7hBlDvRxSyK8Vy1RWO6wYDQ9sWlRBMi0Whk4 lwNIFCeOm+wRlqKq0WJEASzrcMWKO8pTCa7E45gaTvn/Bl9qzGjq0wD6TVJaNya5hANViRRPz/x0 wAfDQ8qbymldYW5SKgHvK7JGf4JzIPDEV348b4It3s5YCOHKcDkfLJVWg7YKC4PuhZofJaoy5lES EcrOfteMPJJ3qPLI2A4NZaDlFre0fmi5u2ZfnPufOOUKMpJ2RVLtifae5XDXo3jvuT2wspEgGtsB muudKZnouMv9BtkuwySlr4neQxaHJXVyTV6/lP6sY96xKbT3LQKbLEDMIAFt4pJXm0HbyW3i2VPD lISKDZF4Pb3fyLNhmZLqjNVEYoPQBMiTYGP3mrF8ousNDGUNF93WQk8jTMBk3Jzp1jgUdrhcu2AS /JkcdQO4s3xgkfzOntnLCXAVBencgNwOVth7P9jnKeem+CFi1M8WJAyFlmrdtnwDvFEoKmg6if3i jyB1xtEx099rcECGQroANh4pDXTMJNiri5t2DwEtEy9VATo4+dKy05cQfP/qfBskSu/2vPAVZ+6M 6xlp0nUeAG0RQu9GSjMPkMhk7x1qHAL1o5L5XdUw84kVd8Xa05t4yfNDAisx2ZtUQJH2bHe4hT/h R/y3RKTHWKuuelprWHlOz6c9tAzj6U0t5JUiaz/0zsYmeKFIJ7WR8lj7tV+vFEBGmIXAXbKy0si4 eBfUtVKrrRpCiFczNu/2gW/WdOoBqbhe2cHtb1D/n6H52DsO+PHX/hiMQDsO74hJ8oqTUTlWG1u/ psB3JKxQwhXbA4IoTmby5bpz2rWR/OfJIbDv5CnN4zZ4fZNUHJSs9yJAP7ZoGVISxp/po7jJs91D zjF08/1RAkWcEommi6gdmMN/8doV3h+u1UCWbu0K2GnmEfCDGbzg1/5PQkOVp+vN13Fk4LTgqi4X ya4U05JFb13l6Hok6ZLpB7rSkmfTVgVE6toWStyQbbpmLi42xt8nQXDHZ0z4GwtxnRbmQU/fIlAG QJkKI2MO4uNC/dARXa88L1QVExYuyjRogLOxUODWaWuMlRn1XCzxBV/V2cj+IcdC8MXncVRjlu9D E74wsFF/H/4hRVaObVuw9FYeMSFvL5bpw+7CwwTr/I0kOhxZhARd0YDTw5vP8a/EnmSrDFDDgZ9Z QwryaiwAXHkE/4+xsWi7/mmZueM2X9nGcTEL0/MilVVo5JdrYbLjsIXerszNXs3t7s2UAlRD47UR vQJSlp+X+1DvawuW3/CSqsNUqrZvO+UmgebiL9pCt1SIisHiDcNTzEL7Avc4sDOV6/h+zwmNWcyM BlsIyw1RKp80sUX8P7jpxhozleycr+ccfah+T+V8tUAA9lQfJlACzJ9tjPMJOk4BlNjLcG+1/7uY jxNaNlqTAoP6AVhhIgVg/34L3Psx9DX+VKyQ6PR7QT7XWeOENF8LP/ySrMaHJin7satHCze6K3+5 su4fvpalGAlRqSiBQqKNqeztol6Uq6kWCp8hpoLzbChuKYbBMA7VqqA7WlfsQsOpmUZXvhH/jly7 FKiorE9fFN5B5DiGoctPc64d1vs0SdTL9cWQviaGYQrWojpbh80VjNe1W6VPZkz9e5ULnRfbJyYm H1DvJ8ydnXXdjrI2HakDq4n5NYnPEhoBIpu4bgy5vQsJk8Dqe9FFWnZ24mJtvhUn5roGiMKZ5j6L f7i61R3i4/ZUIaxyuyft7FStkG3FfkBdrOoK2RtPgoPVYgnjMzYRTbmQidcyToynKJqX0YYenIA1 1k6B0XgutHNUzxK5br3ztDaxvKX+pco0iVXCqoEvihFdvkMaoS+/8fiS/pKMY3iCV/DEwIQb43Pn 2KHmZW9yZcg99JLg2ZvSA2H036eDk47aBeQ4T0l96hCnkb7WLp0iIfSw/hVEq+AfwmN9wkOaZjAh gUj512P63t7237rPMwp65V7jaYQsVl3eBi3f3Y/R123+YggvJ7I9O7Xb5rJBw/hDqojTxLguU9Gt o5GK3fKEO6Ib7QSvpncYJbQ43lDH7rJN4slacMWSDK2uQESp6y2UX26QV9oNtLXU6d8DNYA8/c1/ k/eqjm7SabJ5j31lnAPyZ+JT17IeyD+u+wTJgLMI33ldpMQfB3GIHxQtpgsnfHNtQNNKbTpZRbtS roHDZxcPgkxEjogYb70bfQkIx6+06y+02Zr4GN79/b/2nDXZvq58llFluC07at6t529wfDjVzdAB AwHLPJ4GA2GxcCAB2Tsf0BZc+pBMGFK2AW3JhXaulvs/5LFhhzrgTnfQ+wgP86VAq+eg6bRmFLVo xG7WaQJUG3Iv0vjQmAuweTGuTY5p1YpvCd4l0hxnSLgj7/PqtZm3AqPfAZio4tkmenNa1w2/5LTM 8mY/x2k4R7xdSqHST7ny9gQEwtsbHY6jwJQK+FjUu30Js6TXQvYsRRUn3FW7Rft9I5MUcv5SUAR4 e7wwraLzSrpCAVZh9CCFZrotoAOo4RyNT+4C/Mmkm+enY4EQ6mXy7Njv/pBPN294EfBZdtQnPBNE CETVWpL+8XzSjXAodP68DN+Sjcyfclmd7n7qO6KOTtx+6NTM49oh4VLQc1YKBoYR3OkclNYlep4d YUbXidQ+iMePXuLIa40JQ6K/+EjZWxfLzO6majk4lira0xUQPQj+ucK4aty2S1kTS5NZPpy+HohR e9qnXaxeblYDOGNBh1YOS/EJwgF2SxkYPwc33EZSyLBjMM94eyUr1d588dxAmfrj7GaTRPIywwdX cHDjj95Nr3JDvrhhZVJ/NSpDSrnYwj5KQj42JQzr2fC6WC3JThlUL57cbF5j+qORuV7SjRTlDHww SAlGhnHx8vJR8vKhw00m7zLfQbJGnJqkO7zG2ihNaxld+1poQpNarP1TOi5lJcIG8Q0K6Vkr2vIf GWTiY1c5z5Pd6AMG1Q4gwhV9p11GZHr920AaxRO0t0d0O3icHZFU1VDCRoJ3NCwOnCUYaJiMJVaG htSJ1S/dPHWLf1WODOuh15PK9vRSgIwXMknramJX76AFqiYxRBaYwuG04BK0SXQx7FEbNkP2GCrx 5aWw8QdLxfKClz+LOFSzw6VxviPUWdHubPatOdWnv59XAc8fZQbqDSgIKNmR1uUUl6nNZHQRMEAq MZEUNN5iqqgdcBkKgOpoSsF7mXRcvaLF88daptEnDfXMcyoetqh3IOyOL+2NatNkyfTrp2MCKwlr lC1WL6z9kPr2NpjFVH3U5Nv+oGuWH5/aLK3/mFeFpP8TibcORctEAIx7hKdW4LeU9KmeOtEljnou SsXrq+CJoY4lKQndkIgYB0JKg+lTGcZWguvQng4rPzN2nqXNlq5eozKyT6h1r+1ZQfA+FOnU3sSn SmhfbHV6qym6m1+oHQTt0WaIsMU8gB7bZLnngf00fDzs/S0NQaTXdKAQLfouSbCNCcd8ViAU0jQl jGLoKHGRGNNhawYdk9egaIY33kUS3bW0zvFMFj08864ph0QQCgZtoEDeBsWtjEA5jqeoZeZhQ/Gc 1YMJfxtiOAJHohBriMmwXaTY637k0eY3xIZO0vl4iLIqFOQqEArzkc+wb0MM4IR1KkT4kixfPY1z G0TehrY5CPQK4tONYU4yzKnHboqnkiavsmD1objzaEBIPDWxmKbvZgIe40jgqrArFFPuDfABnKMM dboko7sx8utVBK2QD8zaCVWEjCdLb0X34hP4dhudNLykGmKI5n4OhK7S3zmHmg+tOTdh3u3pstdb 5shdx5ogov0dUcwPZkv2cFfhENiGJ6W7fTimo5aQ38PekRdNuKky9wPIJJZ8dbUuEU5Rnws8iXX9 jJmpasWHibCTMuL5uvHHTTlJb8mTYWc2G8vm/YB2rQfe1AlfEQic8jFm/sri/VPfG+svcc0xOwAy FP4emvnvfFm7sr02E0vkm12HsKaR6xQhjR7OTpiFsy6LP+h/pQi+ujmjLehQJ6UNiWMzSkHuI3oZ vSlfEoTk4pMbAe/S1tUc3IGUTffpy2cFdlwKf7XpWlogV8a8B1cfbLXShra8Ifv2lTfEy65j99yG cXNp3+9Fcm7nr5HO1/+qN0s92u2V5WvFyu+f9obSrakKTM3BI+mOKj/LfxG0ED7Iz+rlIqdB//0m Ght3VaiqIzz/0RVPSg2Cok1Kik1LJlzUXeHeE3Dyk/uBzLGgG6mPxlLfkGGVD6fIj6+HoRgyUn5T KS2DvEyFLX+RIoklsZf3gRvdoCYjan03SAjdXiQ4VhoNoSi6CCf0qR1q98DUOcwTFwtbl1loMbch CjnqgBe4ObliOsCM8ZZw7t1Oqo0ExLeEhgU1/Y9hiDo1zHPI3+DSqVSWr6nl6gGECPiWPQHBcWSz u2iMBP80oi/Ujf1aKQhNcRKaTkYtaQBWQsgJMwzRjJ+xI+7SZ0fQYLpVrNW5lV73Xi/mEzivANCz Yru2QiV1U50Nhk7uqK6CBeW/uRT5PNz6AqDlFykU2nNLAvInltMV4wtEDr1RnvxTS6mxVT/iQbCe kR01T2cJc7iOMFrpM+bWh9p2W0wC02vxgwKRy5mSOirgvzLKT5X8zNF7XlMiJddV5yZ6zHdWKszk rh4qRo141DLJChE1tf95N8/TOxo1Rj3ORXKg8HKLLdjIh6+arY34PeuLpWcOD0cWUqPdLV27ZyRb V2/7TwUkB6XRmcaaAcEfPjCi79h11X6/wUXpabsq11FkQ4IBD/IUVBvouB8rAquFzh8FJ+b0zQQs MrnweLOuULEqwRk15qjBvruNGF0I/NnR3t4aLYZVJSygesgfpjW6yjJ8ivGu+HPJKzISTV7WEsIb 7luK5+S/U07dGQiVX4OCb29712LxQZyBnp8RtNuwfi4+71IRDKh8u8XK0jFRTVVnaxhb/JQhPSS9 m1vBE7ddfMWMa972AoAB6HlVsDzr31AICBtvp9fE2XF+TP58u0seCUAKGrOTUfGHl9kcvTwMkw9c ZMTCymKYmU0uuyaCELmBDMxCn6GwHf0u/xhjdgWZwPlvCbObJ05Isy6ajkhzvn+ZArfYHuU/+suT rveqJ9B+P6q/+iLJu68EECk2oO/GkGCXfFS97d+mtQhHmYsq5MclOBXnY7QYBRozOklNT0a12adF fqORTiYfMr91i9PYjF6DeFBchmNYTgpHdpqFzRgoVT2uj5Ke4zYq1hPClVVWfBkghkVzBdM5iz6d 352KHee3TCPn8ixT2J/bMeHxFEI1us0gelmz4ZQkKtL7jVk1HAS2AyOautH/mcPZr1vS90o3P7VU xGdHkQS0cN9QcIZm/m9wlBUGV1oZos6YGfx2cs7tNB1H+CZeR5Fh+iXiJlUzG0Shl5qynuv2Ybbz 0mkaWUbZXCF2tHd4KDkqnWpinrHoqVPKJlCoOydMzbdYrO6h8l+6ldodxK+plaLsz5uFtzSLiITt +PoxWYqfW8UFjv4MKirE36ofcn6dHLxNkOTTcdAQw/6mBTlv10uuMZC4n0vyYpAUc00I8qnV5gpz DsKUQv0aNHbHjG0E65RlQKfMiZeMLJjQj0b0+m0YxN83Qd2ZfcdQZn61xS1od84yHtHxDa61mor8 DP8mU3xxxX9A2KD3yMpMn6k0mO/usjsWAFlH7plDWa3fV4/2BL1xFjCpTdRl53RJr90cz3i7ddDT fcWZSrt3nG8OXE1dfE0WmAVw25+Vi+37iKP+OHei1BX7ti9AzCAAFWyeHZaukwQAkgvyJw6yj15G RfzcD5SJ/11hL40FPeh529np+99SOeYh3wDFoXqTaKCHKZYFLb2VlJPW/Zg1TwTV/YAqyY8h+HRA ulu+hGFwZk8O+em6iCdRLnFWEKLcnh5H3EAfK/051B1lKm/xfNmDd9DFbW2bCuMuLQQi1/t8LKm5 qXBcK7A9IaKBbtd1iUz0RzKD0Qon8+eu9X5DfgVZE645wng275fLZauCJjRjBNd0VA9mh1AbiUHu pFLX0XPkz0psWojrgxoZGexTMAqSbw6lpNETG9wm4eyOwsdDZvHgs1lv+xjyGIeKm3Dmhpmd4ohH zejax5wl+XrvtnLmrKsnEnzg7WFcm8T7CJ7tc4D6cvo9agVm4JDmQ7xE/3BZoaQr4HI4tjPt6baO 3aKvJUnf50LbuPMZtRRF0VrA0gQ2uq1HbsZVKVHSzuPZEUrPTcfZhHOcHIeTpi2+WhU6PQSW+PW6 KX7oUTV8dXxgvIhnDpAOdz5n+nQbSwQ7lfmE8aYmXun0atCmHhkrF5dt68ma2Jdek01MVmVMmO1H RYeT8e235XI3VOCD3a68Rhujco/NW5E4GlpYxPscw0jVZOBs5414ob9S59rYwVyknm1gljr0nwWT 4RA967S/MOw8MdjdQ9a7E6vjEnCvC484IQmwifkWSnGwIFw8iQ/buFamuP0Vm5R2z2avQJJWiX9Q XFdikEsbyg/DadXoOdIggIOYFHLzaB6Bp3UkIGZDUIjugOAPzEJINrzA+SgttguXFFOmV6mX+iZI DOxwSBL3HKFelVRNo4GPzFDUpzksMn0QUAkS/dUReh2B9jcsSnjQd44NakDzkwqPlFfOc1jIZR7E h6QCtD0MZQGafikh7KzAJpr1sds441ZFcxQ+bYdh+PUQ92lvEm2Kf5QAKJzBriN+w4JOPJ7nCtHY 2U2AvuP7Gh7m1hRw3f+xWx8sjMyUzZVKEJxBOy2BLHqFxOb7bH5YvtAapQInOrWkgUp7SDb02hQN NAlYVZOoTCQGSUY70V/Rtj2MWbqLAP7IVu2AmonFW2+0QaOvOWTvJjPu7XHiSir0CN2FhPOzWwut ShwDVizbfjH+RBrndtrqZnf9RQwdPBH5PWxYqhaaoCvbSJEopn//2fY45f/r4CJgy3sDoddTSOoZ woQvb4t7TkSJisxVjJgrEgx5IolkbUJsaALHUKPLYhHAT3bFuNolHt654t/SEIq1fR5XufjKyyuO J0kVQvRJp1ly4/x6U/8z3V4/rISRF2ROO0IS3LiGsULJvM9RoylVpxihiBzkiUgh7b0rP3LB1Ye4 86Whst30T24gsrInX9eu+saHsCAWLWTS2uIK7eVYgoFkrWExrn9xl7CRKteBOCMUJRvfsFWgKKvI XeTaHm3oKtrejlfoqS2NE7pJrbg3xf8iBor7qjak6goFO1sMJ10lihD5UvWRK7DMnIM/WHPdFg1U QSjT6ORrlWgWpNFjTD2BahASpY2pV81Fqgr0mgbAGod6U9ax1L3N0cLYxsZluuYcD47Hur7KqJSS h4MovjOT+Z5NHLDK60/oIRlB5AseIL/5ixqfHw9CdrKjyrZIfZ85pxdXlx9ibYe76/tBJiLo9yGK Y7QxgrrZhCLqZ+t2btAob+5mdR6XYBjtfDPi50lsXG2bf7QdOdQkCB1W8+WiCWTdbIdN2TMM8bxl MtzxXhAsDP/1Mp7jOaDDLrMn1z5xXknef+B23lITi/+lGgoNuCIjEt3wR8Q5s13LN/Z57j3GQQQW SsZcUtaswMxxCLl7zXG+XZ6aRE470VxQo7V5oF+kerxYG36jDLT+2sGv+l6e+pKT4ZrcAmeMv08U n2xgz/MNO9RFqobZgiOzsfL3cINnG7TsnbAJqesRvFWRdU90KcoO5grmPzuRrzD5NuUYJ7fqkD9/ QudzfUqW16FT4U2ORxiKK3P9x117L+rvcmKgd/M7SbjoX673z4Y2eVxbj/0dep6ebdpmPSrJfZbv 0YLtV6hr9D0WWbEYRlCSY98F2oKXER70LN5nvc0bWE4jqVP6cS3xOSlDqDKexTctm2wnxKbwNI5g uCLzMuKzfszsaudhCesSZQfBA6VE3I+NMPlT9qLYovUbGFExYD2VGEVq3sxeQ49ksBaTd1CNU5z4 zOS47mK0Du7pn7BjGcfFNzmyDfVPZYKw1V/EBiVEDs7b1aS0fYfOi/83ZqP27hIzoEVsZXeQ/c9F 3pCwYFyHLph8oj8nNuAZWIH2deQNl18DcV4Pu//VJE0VFJU8M21IIpYIMTNqhbTltAAt3BnwVlBX OZHh3wpna5g71PWJBQm+eFGDoKm9UNzt3Q3M7E5nyx1ES29vXJILf2y5WyfusAq2QvepzhiSAMsA zfhes7j+NMRbXUVsIeMpBNM3VJn7a97RKLMP2pTUwhdUvMtxS6hiT8JbS7yMjmh5G7qcoCxj1m34 W0xactKqfYAJfOZkBpFT1meb2VVYzEiBx6vN6P4GIu0SsWl/zjTl/cVWfyZDW1uPDCy9L6Ek50yI ObOAa03Mj7I/Qu89VJsGb2FzeIE6XOpkpiEb7jkIYO1EgvPNBfXMXU9RURSqo+6Dj9wizJjQ4sGG 63iaLbDUjNYLqGghmFVk++Hz3WFXCsjb4jEagx8+0iACPthjSWBQ0SjbDJImVKWnKljAcxKVQbNa ertEjEDXUiW0ahSpHBHYcIOIy2tNWbf8bokECMR1UgbRTdqjuwx8sbH11yRiLVT1MHIPT6QDPvGu CpqpZ5nwIbXF3dalJurumwRJp7VPve1r4YaDrnoCBW7PLx7afLdAxhniqpqbgjsHDvPadGKzwGKs fYPn2cJXngWXJM0Pxc2pX5mKZlBZANxoTB6nEAH3iqfNFBtJgNEK/gbVa46YNiKhjZ9m+ac9CYEK /IvgYuPy4c4uwTCrcxjz3eQwhzGJ4KULiXa/XI0EQnfB7sh90ItkGgbd2oeYrx3X0OY2gUTYmfR8 PL7C2u5qL7tPTn2SURrc+T8+kwFx3st4K7tt54UQB9f0rJ0GmYupUA+BWTqtZ842fjUkOVrxqyYH o/8yev6YVSvTSgcnbrldJdgs5VkRJkApGf7w0D8+DpOOYDHcjkbsbZOCbUF/aWc1OMDlWjc8YWvr EvlY8k6M5O/zPmqROPlQQhC/WzmEwWt72FLpaEM6R69mW7VWXxdtD4/D+/EeWSxzRXnr9fOHBqH9 ck4seGLhMBrIwpbDoD5OQ3dFG9UE4U8qpORn/tGqBZ6Y+5mmJ8Lagu4PXasuk5MV5E28+3owDvCK RE/vjMYTPXA/JcIPsL4x/Wvdb0A1ioZg0hqAfOFZr2CkPcSaTNc6bPJds5OvzIwrS3PbVZXLk4R3 wPUz9pXlFfwxkBKyXAAPBizOzfD15HV65wW2deO0+Ht7lmTNhC1XdaYVbmo/PeowXWAbKh04/nE2 yRuwURfRC68860QDuEWA7yaC06UlsZdFLOVPY+HUMLqI3ZO/g8Y6XXncj4L6sybstYKFjfq8OPt0 Mr/IrtUlCf28wq8YkR1pV3IE4r4032cHsgxfv+LU5qNzZGhca3AZI4J/QGa2rLEsgatNqb+J84pv npO142usxbqcR5W5fUq0h+GXSlh17VI4Dg2PIwJub9NioVMYJHz51sKA5N+OAvztEN/X1Oe8uCY+ 1+dKfrZGUZsFWmOeK30PID+IzL1pKqp1WCT0IokB/u82bbRsnD9QojjK2ufdRNnyjj+508IO32uX 2izE3z/CwcjyCsnLLxZixkLKTnwym28zOyQRqL+jEWv+jxHQ72BsmsO88mzP1p9RhbinZfRkUD40 G8C1ZSqIQ4L0auRPt16kugDgWCtahOokc5C/g6DXYf1ewBy2n1pkik94upZL9jqn5FfUzRSsWrEM iVfpkqsLhjByb/8DpFKsg46GCQuFjdXf/hxcDwHJ8I6uHzvEEw4iWvQ9lyh1IazPoHf0N84TeQ5G oi9AywpWNf8YTF9UIe1UuAtANpbv8JQgIOtRDPBbITtC2h40xTYfsIMNVebbNGRSn1xex2ywvbpx t330sEAeQAnQqGvRBP6lr3pQXutnxUrSLgZ6Q/OIwlY4uYXAky1zZc1HwjlkpMRBfT5HCPGjYwIG B3pN85dGJlrsZqY32176e8DVxlaAULB90J7r9jWLgTELagzw1udjsO8Zx1l1t7i4wW5XTd3LxhL/ xgYSJZjmlpFbVqtdG1Q5fqZGaXxZ8Wwj99tOeU4r8n+nTNUtJR4Vcy/kuWkHPFcoVy4NCaTD6wD6 psRFW37i0o85+WNt1rDH1LTWpqU62JBbWE+E3nlHWSHqiuVbTK0eaOr/8Q9hIHgNqyFyA0JDbyZd 1bFHVUNYNdS3B63bi2rUpJ/c+sL2eLj15YJRCasL+3Yia4RVyTaV4YaLcpkezzej/n4Y+lKcQOTd Lw41TIW76aXvKDIBNyyYSxNCg/mkc4RR4ClLNc+UWHM+mbO1xhFYsEXUpKXi4CvjAG2GWZfNkSIj I09Csc+Jjd4jH95uPwTNCoGQ8GT5bXyhKUl71ZYD6llAxshRa+otZJuXtQ0sIolm/ELZEstBb8mi Rm1cNUtlvD/E/jISmYVksGkyzyjMLq0EHqbkr4tdtU583thBMHKYuW33SutO93nZtdo0DiDZPeqF iXQHnycI9FLfZfzYfwHH7y1PP4WMe+3T8xFTTjPfvOQPZUiYXNX3PIreecG1TYdFU7hYRDkapNel 0tyG0mK3146ztDRu5Y7VE7OPj5XDuVxmyHczeqGM2SyTTmhg0fOl35B1J7itXwTMQcNauLGX66re QfGRwJ/2E6TtOLkKJkqV63I/VoRJzERLUtbUdYfwJjvLvd0rlhmNzQjVgxfpwfF1+D7wVjpBvQRz fvGgvQfeKLzFt1rz70VT3BkPrG96uYDc+NoTE0hsPt3Ms3fRtlf0xtRYou6jIE0kgU/ssq8yr76r U+vMUCJeRsbbmneyvmLKNoKRG7/D01E5XBv2Qt4TJO7j6TpiFGh41Xyg7ssu2MXedEDmOexdNJP+ U+f5ArQ15keD4+bKlCgpnSesmMsW+snMURoQtYoKz2xtYVbOCA5XTml9qwVXr+ONQPIhVcirokXe BREScez93ClO9WFpZSXeir9c8mrcYGoawRgFc7whDwrYwsWAny5fYFwxGEkE7VYJw9BPlSkQYGQ4 jFuasoghVEaBpv8ICECS+0WQy7EZymWW4aMBwzgzkMzfzhwUi2PHvxX+w+U13YUV29gnZMYG7bED DUBvvzBegkmgnu501SufrvWTqCGSb31uJkTrknBF8zf7XC8Zvq0S8CoJPfY3YwvD9piNk7dFQOHi gmRjOKDxvQGCjbeya6aHS1eki05TdBXWL1Rs1Al3+UNlDvDhR4evCm3Eqk51rfNQ0XWAz3QpT61s XuLUTsVXmlrFnWxWVrwWKlhOaKTgJxHUZN1S1xrPGbJJMTDTBVDPyvzmf6n+Y06AwFX7NO4QIETX 3h/04pNpuCxQ9xBbWRbFU+6jqNDeiu4H13vhnL67LEEtG5Ua04+3Yy6gp0K8FB86GFbS19H/o4IJ 9AwF42B1qMOLbO1A/Rj2ouaPhj1iq5oA0P2WT7hzbvN7QszQwfHGPhxPzgZFH7/1LeAlnxGl95rK bVk5f5sJ4Z0xSJ4oRlgRpVsKWoeiJROgbDVWrGZIOHzT30eIpaHbjFsAFBwe3M+0sQ1s77tJFUn6 gajypx7e7GYvhIUxHw4CszQsSuNUTtflvzxDCeFIWM4xHzQqYv1XelNrl69upSATVm8NFZRULj+c f22FKWFM5CIoCuo1O60/zHYtO5CqgSdZsLEsqwFqEVhzLiMdfnAxGr+SvZ00EK3Q7gLLwLLaC52e R2YmdYZsib5vJR/FFX3dyDpDywmrOrdI+JBIuQ7IX1PPP3WFSO6VvQ080CzLcmQc/OwFWtnDgWXU PwCCw1spDlhTSiEm/zzldQn26AeFDgIZfydb92dw5oxB8Vev0IIg2F2YCAAxz0zya+ugNBt7UYLk UmXsfZy+7jgnLOXq5IahcoH5D/CqQxyVg1r/VMrO1pBh+PG9RTf4dJfJQAcT2CrIfI/nx8WKh6B3 8BLClRxbQzD4VzEYPqG2TKfMJXtxJE5D5QIJvVDX0mb/ORCWGBLypAbGggrudgpFZ+MlfkpZM1wF EhCZXKMDOz54uTXBWhT4nK3gadFYTj4JUFtzc9qJXiN/0D5wWZh8RsQm9KGu4777vHZjjPSq/una RE3x/UcYnZE9nBEeXBQSh0FLx1SfevedxVBrCSkHKDkb29brCMNcrTzTnUaSSrl/pINu/WAVCrff MSSdSCsvsJSM8ntTP5kTI6Y3ZPF70QinCTOQcdpGdM7rvi15oxZvWvJI8x6RMRYfjcapDkyc0FR2 ZH8MQJXJ/t84rvXr/++XGzWinXs3f28AUBD0jYlB56un4BhYTvR2eEtOoNuud0IhFwNrVGMXIJaK mFQQycHoaibBY6OjrrKUNMvNlS+hfnO+aOCtzzwAw9BYzZ26ZIpFEBZ0oLdSYpyEJMNy4JgTL+Vh Z20QVDf21RmTVMxS3vqwgAHk1BEs3gy0Eq+uM/OAiO9uwYy+lDEXEIePxmO0UtdewuLj8c9xmXww Ee4q2txQ41ptj1ElBa25l+48fzg6WwH4sx83HH0VsYV4HONx41bzNHCfHhzLiw6tsVqd9ejPgkIG kekojguELsbE3VPg3yUccPa10rBd6VNgLJ7ZbNwbeU9ft3BbxnsrI/3bDOLJ16KxGXDOx21vDOPL /8L7OtwEvH6OevR0ZrQgeL0pgngCnnOtcpkwtXPRuGLhigYWVvLn2cZAbEE3stCh1C2NSaqAPR4/ fXc5yGmjdFp7jS27Pdu2O+2RTdXhWuZU8dOBo/5MzkJ6bxyuO+7wOVJQLyUezKsxkycB5SmnvcMl LscBHW18bt7VY/uI70nGw/W1YF1tuPJEQ7mPpYLvX+L9WsmPTWVeHd2+IuGO5EHbIcBWge+JMxgl bP19uBIZulJnssH7UiBEkYt9IVf6M0XeYzdorrJVnT6uctmKhh3UegJf4NfHuldpfiyz8voPL5FH 2fyXL5lTIQf9prxQRfCnv8qM+3jR1ocTyfxbekpoVRy7RAFjcTUxvZG1JXp83H6SJ8IIi9sq8O2a v2WzZ4UDpV4npCx/T9+t64XSf7Mi+dTIm5c1skiYQfY5nucF7JY9Zz7tGaCDrfnj3Qy9EN+e4PRo 3Ud9LrWhOAWjLDRKvF15st0ZJW0CN1pMpPN55mb/jORdSQitx2+V1O6A3zqljWucFLC1NtO0Z3Ut EwPba0kfUK233XEtZcOV/2FvLti+JIjbOPzWLkT62Bn4RFZ+BLv3lU6+K7XMD20pcoJG8W7m0iU7 XsytM5xEnj7h/kBRCMQlKo9JtbOeUZHqkUsVGnVxmMgRSw4uo/PU0emeGaxKGIbQko+m19kxS/JX ECwkRx69SkbMK0Jcu8WeCJQXX40o6j2ZamWq3AeQeINxsxz8UfeO3bNvkhOQTvMbVLLbmeU1cnmP T23GlvIsE9Uw2IfjE6OZ+XNs+T3ZWF8sgYG+rw67EZvxGn4MWgK+ZfDc7Mq7dwz5uBopvGNLmk/E YrgHTOS72LvUQWXa9WoM2hfKBMz9dPax3SEzxkrtABiViv3BMxPGPRzClddGM8x8ke0p7OltuWZn j4SARVJTOSDJcF+58swicpt4U6YJ5asI/oaMipYP6F7IvsHCggJlCLE5R7mQISiBFWPCiRUqB3Sg 0ue3gSuyaCIEXt7BdRtPsW/L7YvtRHoU0AWfhM0BGtAbNG3mWRJmqyJgUjByxaP4J1efhqztDSmn lrXRZrzlQq12A2zXTM0mjIXfyA5TecqYr5cLuHLMJIc3GaQDVheP4sUobDZNarC0DSmaeNqJnYI1 zCBeDvcjz1PR2BwkIcwBUFPaVANuXvMd1R4036vBh1eoWchfUQCtwIojbCJDX3WLIHF0pp5nC9A+ 3r/RaDvraQesU43JR7SFWn9atZJfB475IC/mDkvLUAt8I27gplIAVsCmYCNimKMk0v/2ix2kkuWN 1KVYt5ub/E7j58/KeLZSjCbmtvWo5cfYgN7/6PZKYSOgqKUxvTplpgJga1Q8p0JHYUvO00kVVMQb 0DpgYU/IQbxcCBVwWZbkHuznp/Y0OikGDJ8/Y4yM0yBBaYd4OnMUVngHXYDK8fHl81kBagOkHyV6 QU5RvgxWDsuixp8QXbNFJsvIvRbQqqzSviIR3AkvQZQwiCUZcj3dQGG3ObnRvXrcIasN8uj8B6H3 W0fwPjB9X5HoMWRa6/SdxpELxbgj7/FVtXRQ96lGwuJO0YPi5BlglisWiN2+UDWTG+fkdutNu9aw VP0GZkBpZgnps+eMKqsHGMucSi8HeFQTsMrbYFqMKRfzDk1Idfnkmtd0N7ZgSHGiC8h3ugYZM23y pnB3jh2DyaoZ5BiQkNBGXuNhWA5kpFkbnZoTTIXsbVdddNsVr1+YUddadyf/eQiHCa4ahfOGPbAX l65Cz3l4HF75rNmw40OrnO8L/Z+8mvur4/Prd7YzvygXsH+7jlYA3tHnZo5cpSCc7pGtOocGpOVL IuRxMq8FIbkPBvynUc/QbiGuipSgYP6opzgWM0v7RCDE0y/TmzErTIyTCI6myVmAFUcyDqUyttLI ScvgHJ6LjNEwoIwdldhPVEQabu7fMmczg2bB3V5pzMqgaZtf50zxxsNqq3RBl/l98aArL3PpCdYl 8hbxVCJjEWsTEfM/+zLnSrUTg/0yipuHDh4voh9k8lzNClKNMfQXvysLSExnLI29H+UiLUDmdWMz r3sw/plPpmd67qiIJE4lWN92WyiSzruKYo5F0G1YT4PkSXfLQqXpKALuYJESMT5Co/SZHd5N62iW L4p5nxNifGYH4Xo8o8OuY9iDDOaXfrH6RYNy62WFVAXXUjePZLq2WFCk7AXSvFtZ5f01Y3N8r9vK /z/oi1NJkvPiGR1fbeQWfgG6SSSjahtHoWue8KnGUpb0XFPeKVUWT7YCnd+I5W0gc1z8E8IBYFpp cIYxRUImSdKmqDkVLk7DZZIRndU/hgdymk240WUqGxOvOwZZ+ciFwDzUdvUSmr4vCMiAFWYnToYh zzTq6HFg1oTJBlF6ZDUjce+xdinZSyCt+cYkDeURtvTj8KpuY3Pqv03cQBUZU7/VQYvRoco4GUZg swrMTOThxPH+yQ7l5NiWXbByeacv7oow6HSDGkiERsl8o3Eb3DNZy/KVU8/POiIWAn78woCjz+Ln z6qJOr6KXBbtQNYtIlIxaD/0GzsUoOdleuyj1YUj1YXlP/ykp6VryHNwII83+WpTysA//bDOq1WG uOWMbmU1V3gPLwtXDakRh0nPJ3u2bJXl3iz5m9fH5mILQa0yoF19ro7CQ7RXhbaUv9NS3aChD4za xeXMydzppq2riFQLPoW5mvEwHkQOs9b6CweIj9ElN/RrcvY1ZnaAOSVMZOSaybpSGnvhX3wEUjNA HiuCFSgB3Jk14XmuvPBRE/jdDcrvFX1acVK/MrnXFj0H+CiTT/f9Hr74jKZEdt01/+s2MCH5VPoQ jMOa7WEZVw8BkghZEPt7gjlDY0FFih2NN8X1JfGT41sufJRxRRFyRBKyoyBkJHPjkM7XmI9Ly5jL uMpYzw/vGCcnM68uIPu+/GnEHXXWOapNf/wLWKfj2liXEtD1Ur6OQKlH33vqb7QICr3gtRVBpf86 Hf9+f4p7cEnGFWCnarJZOjUYKEJ7jQYLFHNRyrhb0L/7MmDgdDZEgn4Yd9I5A76Pz98j6Hod9xyv L4YYzN75NMyQN4J3HTwGqXkHIUB45Gvj5AHrcFvMahv1q6+3kcExFLwZFWmHwTkSgee/14x2RRPl 1W/g3jUWW4p/aCMn1jNo9j9dYU3HeiGcHIWQsIOFJTeW42Vh1JGzkkUed4w1u4fchKKvmyS/Ujf1 G4zvw6uqhAq8MuHVKNofnW6vkGOAn3o+UEAQSbyUuXcCLG0xLzr8b5uVo/L++0doqLChxFDIrIqh 1H6Dl9PLgY6aKzWLxxsJKN7Jeme9ROMFK4y2klMlWi9PhfUpjKhZhVIXhj5u9rXH6yoBzhHNT0x9 efOFN+CSltsQERJ/uy2P1NjM6bz2RmnSNPAl5tJ5Tf+Tfb8wQXnubbjFTbuWVs+r/+8SNbZNkcSX bDHWWC7WnwVj1zn173BEPhw+xmtgSCsmPoAniwExbkm3jSJmVcRW0GGicgX3pdfGyfuggoMF+7At CzKqcvpLEWEqL00DpfPRqI+FPuG6hN3rtntWmKBwPj/DH91QHs8ylMMlb8rCONH+Ov+anp5EgdGJ nDfxp5hGUXtZsyCzD44aZWHZPgSXtSGDGc+AWD6q0nkYBD8CxSbMZf5vuAci4PPfm0M1SHv8fL00 mMTXqyUlzl2lxRC8X2hRK8GFQX0INhPd8empTk8oWkNsaYnnMmnniQVIks/2qdQDh4TkAdeagxH1 AgS5Ty+ZOXp7sdjyH1r+v1c6F+ZusOBoIiciqPZaJUAiSYwMSiwevLT19WGfOSwDJtKkgLbtkB0O Oba1y81L6efRGFzeSLf4UIPFobv8vD6ZqsrH+JXS/WVyYx+wJrIeOdNgeYQUK+dJG0m5stXiLwrL riCPuB9E+b7AY5UAloPrevp8c+dEvDSqrVUdoaN6ouqTuAWgmixbIXz9pTJfJYMSA+po6Me3NgLw hM0hk5IHasR5kzZ254H6D1AaIiU8v3PCZjRpnipbNME3gfNyQYttDGByquPpB+0KrhV2OGK48s3Q EeAWsV+dSoRCLQGLMuLZaNS7v/s09yMIscSxxmwBhdRZ4+y7pkVRMeV/KzNEqi6/iDwqIOFWltex x7bfjoa7Yl8ICbxbVDazMKpQ0BEvink8atsoO26uhQr7Tr2lrtTiiPDBjHY/1dWau/fATWkA/JWj B0DZw3PPOlOHLEdOMBdrb7cwcpSwSj5E6vW35GPcCGw1oqdbZVgKw2HjLxpqk++TJrXUy6KOIP9S kyG0NKmDUpzh9DsjVj9kXNhZIG5PAMdl1qaoUkKfRqMca+8JWB5dlNBlhn6c/G/3ixMf7NoJ7/CH IXryBEIA/bBGUeeLmt9gvRg+QTGVLHq6phdnPbrU+HSvUFTcY+Mz1MXnBscHs48QlK7GoJHPmgdF H2bkVjW1bVsdpOYxZ5nEhLxzdTKbXiUo7OT0slCAiYHFpQOKNiKOV8hY1OzJVrvDbu6jMVO3CL1D H9iK36QKi8sEKPDgB+1DJF31SQNsJd2kpfgo5fuEXPz80vwjI5GNCI2Ve7at3QVP9RRWp203z3ir oXDbR8EV6jaQsT/SUnpA3elpyfArxjLWoOV6KPE2N+wAzvt+ccYFJ7s4VLMcxv7KOT/XHBYhEo91 coeI0wpBErOaLEKGtU4OoJVozRTp3cFqzUI9FMmRwmV9rgTHcaklorHUqtDe96zFxmzR49OyAMPl lWuOCnIc4dGshiCKVr1lWJ5PtkpKFGOmrN0FFNt334NKoN6/9YvKgR3AxTti1w39vlBhasSP+uYu uGRNT2H5GkyReFzIOnfCRr6+jEbJWT48JXq+RYoHPDhHFQfyvFtDdOZPcRLLKwpKDpLscXmOdmXl QJJvoHhmEu89VmayCasn77RWuCCw60vEYZ8ZBHuqHSlvGv6dnbxo6Jn2btbMheeVWwocoz9b+t46 rI3NxxrN28/86/mdhXiIpfYKpePKxsV3ZXORwxWyE33w5ZacmuHVllz7BNwyeuLAleM42HjJX6Do Ru1MjHWBuLq2Pn/unGZcA14nm9Dr44UsYUjYG26JsLwA8WwabzNiav66y3aEq7ZAZzXF5MD6wIDo jhaQ2g09VXRbLzWizV/fM03fri10FyeYwl2dbzHnGkMZCIooAlggmKCZ7/LBDvOnxSU5hp3pyOjP 00gnojza7dkUG6DB10RZLPV8nl3LJcoHyXBP0mjOuDHASkCdugUijumkHSeNNcgqPFVPZBExFIMd jyuu5wNeSQ7e3baO0O/HDXXiS5GjadL/OGM+oBaw4PqM6Sg8b/rk9X4tndTn7HcyDI9JK7+FWZ+c N2uK1q0OvKPO6inTc4LWgTvnmOBk3B3fe4KXWVQNJmEx5GNy7sFZ+gxKWYE5bzAtQikoUfaUSzaJ LyZ0Jp1IXrV6Ty48/3XwYje3Qx61SrCwzRzc6GNqM5bYuWDmoQ0NmQxdj7DQjV1QCcyN7F9RA0PA K1fef8sDn+E9hBepJJJXedX8tRSEeqF8wTh2UJM4ABITchcZu7SiwKFdhlWUuiwj16f8IEqNLmZ/ nOvvA9QSNHmsl2YPoW7vCWAB/EAtLxSrvkS24q8gF7mLPSpHhbVc2BCo3VVr/4QOYClgnQ+GLYdH tumC59YUq/vfHaAFwRBm1Qo1mtABOWcuxmQV+6sTj+S8g+LXhq+H+WTT1/eeeHH+CD6Q0kxKN5fJ Tvpjp5BFrlvbHAbURGI5YZDD1ypadTokZ68qXey3ciP1edEfe96lPYcoCh2Hksmk1oz2Jjy4SOW5 Z2DdCLkOvpPBb+McfOwIe0p8J1fA5QlybNcyv/jsuPB7iJP+KNtS1uQEV3zygtmydcGn/J1u/jsH jKNgaUlT/DohP1qKrdmtwERqflUOBqpQStiK+C8qF9jCjl/WXtIV5uycLiX3gLdzBFSucSSERHCh 6Yka+CWWHtF6tXWbtP+6NanJr+Bu3iDXEkSVQpzOc54RSpGt+deUu87BsebnMOiPV3f4AX0zDGrI I4mw/MNM2QvXZB7ppyFh90RjfPpRyQ8hSlRfE2V/YO7HBm+maxLkOn/NXjpoG3AWLRgppH34Vtdu Fn+xeaRNLjEJwQoiaVrKf4VEhMQLcfkJohGH9ZjpDdoEqjJz0t/ag+2qAb+7KBziOB+JD6P1+yk4 JJy7VBg8SgHnJUnUN0GnELGFGon6mbTGc8wFPn8ouaYPzr3Sjs91/+z5NqTxHiqFX6/uyLuMMmX7 /uBOzBUatr9XK+21/dT1Mp93fZO9jCevS0gKWnA5ZLza0U93xGTM/9O11gn9X00DjftrxAWEbV6D V+/99ND1mML11+/tPy7CHl0hMjyfaZuyRees/XgiSSg6QJ90O7mulwav0busg1ISvGp7vLGwabjM 0b7BiK+kgKB2xiYww28wjMM5P0PjR7v90b7OaTVDaHRcDcytw+lNvB5eZLmqkeKjb66L8jpnLE88 21QEEr31jrituKCcMGtBzq84O4sNmBlJbO78hnUkOXQy9c06M8BUeI/93BQO8ncew6xv1BcO84fD Bv/dPslyoZnrnirZKg3vV0oVkO9XNCD33vrbK1bU9EdhvlnQwF2w30aZxiUQAFbu/44DdUjkKaBc WFQONGpts4k9dUOmqHsSHfgpSm+2DkCrdOJ/R51Jg37APJrGE5j7sumuiDoKx1YVi7999p4/mik6 qbJK7oabzd3aLuTmrKqzgHhoYMhUrvBzU0A7uYwTkWDYIXkFmgJhOCuNHm6RGnxfoy2oKxs1+9gg /WGpD7yjAT/lARvzwKKhQT5SqimIOgjtQnwEw0lAbmsqMPTfuwWRuTGHynMD1JF6/arQpGpbn/Hu L9GlEjM8ENiFlV83lmmjKpiA42/ZE2XmnAllcKQK/7HklORg93RwqwD1NbxT1FopICXYIHMCrDFd Sqi5VO7OJia49ZItdKmu/fvmrTfRxdLASqqK6UtIK7BeJdfWySGzq++3ZAA8qhMT/xSn5S+H8e+G luLNHqF+5SeCr7PV3ZyQuEZe9Y+yp654kK6yuqffth7veYjoChfLNf3QfTFTkKBgB3kkK+wLQXq6 JLJxUFq63wm8Yh91ILFCvtW0m14e8e7HX85sz+9bMM04ACrT4GaCXPJyaIXKdiN76EbkilhaQJEv nHiKrisNneL4OjVvNmdr8VWN8C9ca4ZPexLOWVRwKDuxtI60/UPuRs6UC0Q/dF+sKFjeYcuWZBYw oklAiqCtSAXTg6t9Ix7CY84WJTkjcB3IOWPxKAUrRmKrfXWHx/abEHFHoFJUBUyzoeEtZt45mIXq L+p3uI/I2fMDNE/TyrbQNKjR9+QlHIU63q5l5HfSCdfAwwKF3VTuAp3UPNHfiXaYPTinrAgPUtU/ iDgC0kc2Z1MUQq+6JDSYWcWDeHIjsIGhccuy/NmQzekX5cOKU5ltANom5k9YfjEHGZ/6zcdPbxiY FcBJ/1fh7WEWxHPAUUbQcbZ3IX2w4c6fknuQWXrEplRQ4tMasKfec8GnTNlufRiNoAr9fIb0qVXs Dq2RGTYylEDrFgSj/iSHKfcRj4JmRj8sqtNrM2R0Dyb6Hdjz3iz9mhRoArodOfDV5GNBfTmKN2Hb 8A+0j2tJmyPAQt6SRB9+8ymH68PSwjzuGJYRHnXGg5GyBcLepvfU1yPCJvnGYfYDvh4yjimBrJS6 hSTbWLYp1LCL1dQD7AWK4nlyyR4rfNclT85XCCUTf63JRZTtr/D/eRAXFuVaWrlU0SnJ+jPWmACB n0GwNSGqpyzwE32my2rDSOmLwtUiSKcCs85BLJZ9k7Ljtwqg367WMDjO4moajshrVM6pvZM5fLbY 3Dda+mXWtirwapEyyK5OeQ8T647pgLVVTLWlrINXWJu5O4TSuXxD4JaQRHWcEZbHoozbLTJHM4lJ +pJPfcUBXMQyehvavy2bovY4gwzvXbG1yu6U1JB8yDRfIt06Ci2gZP6mA5g9AmzhhMiksE5r+WuP JN2xS/XqKkdO0kagxgDKvzZrZOLb5bflJ5Q8jJcSsGMFK6DsZLLWgX8QDdbDuF1z3V7pXvwAa0dw ZvNn4eZow/H/bpCNVM4rT/kmfos/9MO76VnwYa7hR8JB6iTo/TEvJ3xuW65J6+eP/cB5c7pUyfNX dMVZOL50JQy2GcoWe+4ml9Z9ctPGMug2xu1+ntMIFqM4f/GmmTI1sjoCvWnSBKYcThaBG+0c0h1X /ugeBPUjy1rmJDwgL0C1AmMchSYqBYGjiJeQgANPWRhBAOMP+IJXqvymR5tUHi9mrc7j/bSRc3Tt mGDnqI6PhAvQjtNVe6njHj+MYxJke6RpblLeScl0vP5DZi0t0b0SwhmIpKnlMoHai7hOra3n2tWk Bin9bTSIyMC5psKvxK3t+9paafpgtyH5bNOuRqBVlfFZd5N++3APR1hgkPv1bqBizW4SCW7Ai2fm uftcHTUZjKFmSUwi9CJMkeUZaBOIllP2+ChyvmK7hAESEfq6IllRePCFEplCPt1kVd6tYPZ3y4je 3Y8W3QGJqwA4u/v9ZpQVps85J7O24S9cT4TguknqW5LoC0bdfzlPF5j3K8TBp+2ZT6m86CVh9Ph/ ynYSs60GeOequaNHna5YLGo5KMoaXBiyBQmPK+2Dc209zVvp7YzDwnZYRQY2+akkx2peLOfd/3y1 n2ymy75icidL1hFq6AsyFDKw1WgwLrDrIpIu6PHiogBaN4gX9vXf+VxvY/VEe2fd90gh5S4+AErF Caghb0cr4oyIb59jn4dfdrCGB1jOsi4w9TscA1pqRb+hJUDJmyzOk3T/x/FpR9fJamnrJPi4were dR76XxR5RN/RRQkoT7Y1XW510zlWh6NCDi4OASUrImaK3iDiWlRhX2A5jBY7YlutHNhWRc4+k2NH mSO6UNrMicACyTqJjsGZ2wTH3nq4GuljdOFuvwkEHbPAf5Cfu2OtMX9vmUuoI1KNAtvv2481BGkM scwzOZIkhi9SOIvRVyJ9ew3UI9VoMpmI+OFOrQdZPxFbS4JoRI5eTVXEcv/P3qBMYewhOv5AlJ1C bfP5MMZ/2RIqh3RTf8RUO2tnSkimyC7RUbjGBe8e2S73iRpYw9LBe1piJSB/pB+OKOYpCsWkDuT9 luY5LGb7qBHufsfDB8u6kbEv6OsL3g52W65+FutnJZuCeWNQZyoULWZBUeu/7XBuX713uN/0TDH8 6fc+1dy3CrveQN4yAC2N9Gc6sYvd00qkRul8rMuQ57Aw+aAtBQllfQ9Gzn7BRSGYfd55UrUL8XTE R/dQnRJRAwspvW98eCfocMoL+lmR2vDGikZYdmV+P4SKFcUsjKYNe2lgP/PZHPccOm1KQ8OPqIfI 0Iyn7M2c67mmMIblfSWqvCHGsrV0+0oI5c9AombS+tFIsSpyipTneieD2S5YCP+7eVS45KdC5suF ufbBAtioxZwY7wGadQEkjqzkXvxsTli5brCpTo9xNhr16kyiXylRAURELl0pTQLSyWLHddzgSy9K AtLNnmuRPv+ycqCNyzkOTVBskKjdt2HDK5ouZ6dYJ4IHPI5mYsmY9DGanKcLlPiQITBnb9dFPMRO EBp3CwliF0fOyGBMQ/0GJMvTHVIM1QDRgq2r4ijJgvQFhsny7qjMDLPgMTu4ONvEZUa40z4Wx5fa zsW+4IT5FA2RMRTTX+AUPAdv5h/+U3iikE67GIeEBncggzTW+kIihX9HiEXyhOPJgX2TBTx5ZrYs UQo3ogPJI+dCM/1/Isd4QP3tOibJjPIbrzCVY2xHizKvm+4SgmSbTSB636j9QHVpke8t2N/OQ/LP kKl23BIVTOGqOqFhVk4qHVlqg/6ptOqwYGiFvBNSPvuHdTiEsW2XRa/FYgNhsWexPM65Ryd6X8VX 9FAE/Ga52qk6wFvSwkfybSkSMUdoiQxWwzg/A5Cln35hhLdWCnNW0n2eE9CGec9x4YFpJC6T4fbL 0C8Hbc1ZAJg5zIhdMfY2+F4PmbcsFUNIJl7Ob1KxHFsR6yv0oaKoGyjxss1pIjoXMPELHwCgz7KS 9OBRQx3e0byqmq4GFTwGmKjkY/dCmcUYKQNp+rRCh01LQ5Bmjb1fVoI5mOzJf1eIzysv6mMLEJ2T UIWKJlDKneaw9XFvaJOVa6nOPgA0jMBoDUW25X53LdFSnOr8Km/DXzhHKnXYwqiN3Qe1ljLAbeSW IIk1cWmV1n6dglKJhPpxWreYeVx1csKSg75Q1Zmf/PEYWNYUyl8d4/E3rgm/lq1OhktXBu5liQ9g 9muu1EtGzwKI4zSz6sGIXnUo70O01Pf18to1oOYfFCDxcdL/NrEp6Vk55WUszclQQF2KHEA97wZf mWBCqdL9Ay94yWrrtAAgGOH3mZDoJlnguwzp6EZ6AY14J4lyKn+YnN93HuUv/avA+lRv9KMReRmm oCOVQ3DRfWPQq6lcW74qytNbhvF7Xx65fmxtRJE5WmPjeI1t3QgP+DLX7LAHxtGlpTlyIvT8aR/6 i+OGIJi4G6CNgJsmNmD18pUgvtyoryAp+fnpbgIrfDE7PZF9sy5n7E5GZCZqUJYIB8ZHJSZwu9ws Hz4nJ36GBf8CfJzgBqEIHTBlYl7s4dFsBUl/c8l00zEZNaKrIQigK7iDvC5KjkhDHUb8dEn36Dzo 4GLl/SQMqB2Th6KM6N5GS5uLhPnwTNMo/3e4GMbyRqTF6a3xijwMZcPIXcMKAbdnRLY55Se4FhoB IN3wRaYkfdTfo1eT76ZM3zee5/0eMZJ0Qf+1Pf8LoHraTrL9JlkuBxQDOfh4ziZuiq0v5KEEeVvs o8gj7ByaLAxXUNK9/ZrVlWylNrC29VyCVxfsk7peUBBJA3/JvjUz0rfm7IFJk/Qfy4goqfiroBwW 4+qp5+3Jpgs+R41cHarMjTmtISFChLl1f5RpCay9x2kScbGMNTKv+a/BS3bJmUfQBkIPguH2XqJr STfiDKuH7QyLTSOKofil8OCbPhHMbzOH+3XpvLuo6WBolZOmPczhDKslSzrGPNKg239WTasCzZfn UFHdnmpGhpQdt4pcDhUTI+QGyrNNDKaWTDz55gMFs5FFIQsZrVdl3/qHvSI4IDYyqblahAI1XFu+ MsbN/GQZLYRL76L6MuiY5a3xT8YdFhRnssG3ULRC2v+dV9BRq3komMlYiEJLnS76Pfz4U+117NUg mu1BK8n8g+bjQtvOm8oeKpPs5KoOMoHaeNFESmAkfgAPpL2babI4hTaaLMbfPaAfV25K4FG6S9l/ uDiLA6IgBg6Ef1X/eW1LPX6Ws9n0b7sU5dyYjDPFcBqNYxq6iDD0FYylBiYPol1hm28MnrX5ArYZ /oMV8Bsxgwse0sArsbZXDxBlFlNOgKy1hkiR2QEYJ5342cFbIxZCr0YaPA5T9Zk8wl9FA6wLhjWj emLZJAkL6IMBm+1dP94ok96UBk2yd9/KMetH38DSP/1jmTyQ1+BkKSP3jYVHuXBui2yfS42TQKqB nGAS9h7x4Czpht8697jgjZ6XjDDB6UCihQGCF6cfh0ZCaJHcpS2cMzT/PIsubBVMWyx9cxoCXeKO xaDiGyyPisa919GFjv0uKBBiinlw32dLRG3iSq2uR8rxqks4cUjYIj7izvPRGPqwtecEnl3Mko1x 3H7hCe3WKLZHG3orCnKaxzeZnZCihem7Y06E4Czord1Yam5dLbEZuI2qUvyIvKDiitqvjb8AWJBS 5McFbcCFzblK5ivcELb/QrcJ3c+dLKQXMKn0a97+tu+N7TSzDOCEcQfTtNBHav0tY2zjIAUl8bBl cYuF1B7RBe8I0+zMNTTHiXrvAXWJ/WFX29zY0P4qANWrGx3fENO5azrnNQm+r6C5tnuszr5LZ5x0 D76HcJ9s+95xXl7fBgX/eliERfuERFX2M1JxTdxGXK/7nWT/xup0FiNYS7N/eSBmQqETTV96BnJ6 SX4A/GKDLRBci0hFLdhKR5By+xcYny8O8xpGz2gLFqwjmVTBv2E8NVuYK4NSoqOdFbxZHbfzS6yV dWwq3BtcH+0CQ+ENMlYqgnuNqVxLCII3lopXQFQITIM8kQQTB7Q1YvpXzJN7fRKutxu4E6A85Ar5 bl8P3t0ocwHlJiXGDc1JMdPZciM9hOz5r6Zi2EMNa+L0mi2laWDT5jxuZwsliSJLEBIQ47yjb8AQ Gynptdia865WLcmQU+zEGS4rAr2w/KpkFLopGQyW3t5M32OxAv8eXPnol3AhpTN5jUFAPt4DsOfC tEZUBGUOBOiMDCPOlKYU4NCNQ0iQYnMJBwpxk2Ssn+YjKhiiTHvpo26ya54O4aqtjtyaXh7PzCWY yiuDc8DSefGg7E4MblUaYdyrasyZmNM9c6i86JQf6I04obahMXKwqIbw4yLqxgEVKtq7HOr1ug/c HdDWf4GZ1jzc2K8/c+whcScFiHDOFq0xmMsqEUOp07mRgDkDieIdWGPYjoE+L7lIbYMwZtm669P7 nqxIAqXDc1Tv/OVhebM0lnVsz7Yqon63qJkhZvvgSvcHr+M+tG6dSbRheBRx0nwc6nu5H5tpqRUG 5TlfeYyCThkHEXBfDRPcIZzsiwGxww9guWaPsuvS/e/D5cxOVcZoiHzwWdk2OPQZrVxFyyHRxq4T gT+wR/Ie39hNiY6d3VYUNLeCp3T2ize4hyaT+RdU1MGh2evILMLKcq/wzbaoW3sRbxHI2bVUmUST Cqm9+MO1lViWyGayrU8b2SjdQ9f3Er4J/rQqjxs1h+8GKl92Rr93s37iU8KxW9Fo+aupHY2sotH3 lySInOC48a4ba50LCKkqZBJldO0nosav6m19lwNJRF5febAwyyElNLEYEMFFb/y/pX4Z/af/K422 ca5v5qgu2zctykeuxtUjTgz9Yc5+TjR0j/DCCPL1y3B5VDC6xrvg1QLNPO7hr0OVMgsDw8Di43sz mRNLrRMZLeeIHva6bUD780EMBBL5cJG7gq77PoconRffNh77mCGir936jppfDe2HCNUa2NsVnyd6 CXoh2hqVuTenzegEDHQ+3z4T6fhvct3qqKOmh9ws8/iFnFFCz1LW8ZhgrIkj/J4QGOE2JsqUeL/G YXClePnYpZdOohw7pV9dlukYwuBs6kwPprS1/Fz6dLpj4JhbxuhGvNIP5dZMrvE/Z4l5W7zIO4vB mg8kDgoH6P6xs116Ci6nQhNuL7ES70q45qT6vfgZw+pXSi/pdwkvv+sprfNbBjCWGod78zbhvng/ 7Ffne4ci6Ye1QYw+oREoGhkGUuF5DPPuz5Ztib+WI+lqRalPXIuv7veO+YEYGbPK6cPHzCQi3JUc VnJs3lKUEfp4JxfFP/fjaqTHhyzji+C02DEHl6kfy3CNhwQ9FeWqQHwKtsBo3wDnTufOlYGapvdA KuzGVwaIdCeF598KArVabI/3iA6hYjl3fun6RPQ2pMZ9cFLU3zZhHAZey7OibFs69YHWxKZ0G+aI NcbKIKzi/1PKoNrje7Ohim89RoNrDrID06xjvPx6zSTnZYHPREyFAbODdKLdgeZ43NxmAYsko+ne f1oB7pCgcHtfYmKygyTT2CFLlX8R5aNZiQpVhZskr2QAS7oqvSLgItLx+uYuBjrGQnlQ0xz1jxAB OHGBz5fNQI8+xho7xex2FEuSn5BvLsroSz9BVZo031jx//yG3vw4bFhow3zxgBfqHA1ZlcrZWV2Q tcA5MmXwQ9o8JLpBTBSqapjVQO1vp+FeWBe668SuhTX6k5hSVit4M6pCvOjwcHw2ZBayXBhzysDC sGtZwfGcrZO/snABRC6M6I8F2c5rd5nh82CLjRw0R8/Bmd8DHovn5AMYuWvnTd4jfQOCUbSiH6G1 jFICsCAtmQLiMHnO3JHRhhvdQWEaZytETAUIKTmhYYr2gUMOBfDC/sau14GlRYdlR/zJlEXNztXI UxgXbXgs0K/HXFrDESeVYxrOSJBOfUcemoKWubWN/YPoNNo3E4je9EAfVb1jp5QbJC0q1RqBRbI6 edCXgsi9q429q25jw3MQ6X7S72jQW+WX+JEyxsFTyzbExBUMxZrZMWttNvEKO6rExPMQFGMy0oH/ Mk4hVV3yqYcET466K5REX8QzLrDTps0+Nd580qszXnpnLMEX+a7XukzNjbFpeJ6gINWVgIU2eCzk 7CDfifaZ6Sw+/W/HrWRvy8wtpFmQAxsL+mXWcv+pPPWYkitYizKhNHKmYZo9HJ+S36QfO/D3GtoR KCsAvxDX+UQu0rQn3WFUjj2+3FzlGr/KXrJ1UxW8bznlqnCuAhyKnJ46dlgytFpkh3RKUsu/pm6T FE7TwHV6GFhyKGL7n3sc0M8gOb7Xuuc+mdq81g1bkzF1u88VGu7GhJ6rEihRx5XBR8TYgdQTdv7w u/AhRsDtF1A4MJtaQL01NeSeqBlNXF2KY+/I9VKSfbeOi25g++2jozsG3W8KjKPM56wRT+XjvW6s 73xqV7TwlGsRB8s1SyuF7JWhKLry3fJivHZEGmqy3oA2yABJV5akqzCKjaigfevZWnpQlA281nCM kJK7Dsw536enfwWPrZMgM0vxtJwIrckT4UQyyGStobV4JPRNrC+Dch9lk75aVuCnZH0V37mBxXT9 rTmLKpbLD8vXv5pXVz9OoBuEr3TBaH6MYNPeJCFgyxXoN+/CTuCvhVWN/mPONQAsMg8TNm7UBwdR ildJ8O2Y25l1vsKNOHZfqghSz7Y3OWP6WKTor4MprDzHwu8lvTq+StvsHXLq4pHfNe++p++Rlpgd JyQ3Jb2zcYb2uB8jsfLIdtf5PATbI8qvdP1USyeZs29F1xaALZF9OPZD6a9X+EKzNJvK6gh1aDRp 6/ZnK8RKLVOD/3Xy5BGjfDtE2KhiifjBXGGA9vVwLsQk58ctjAcfAkM5G0gtsZIoRdMYWWxXtpBc TOQ7qg8YNOCebnRkrwkYW7Q6p4pPMMQdiERnwG7cvHLVxCzFrvuYHLw3DLIcHpLQCEqBljeSWHA3 OA8eTC90Oatqx4biHJRvNUtPbL5S3QLfYFsUcZ1MAw9gAyEDqjP26SlJZb1SAptEIna6AxkGY61i UbgHrjmb1/XlNqiTPpIl46aEpCFUcw5H1R+soeMxgcp2EfdFdVag97Zi1grr+JYWNK7X7nlpi969 vTp2jDaCwk4a3QUBhagi10Fs62OJCuCLOMRbr+Y1vD1WKuY7guUBt8otdMwfTOoj2DiT7TbP0NGF 0E7SX6w1lkXqD4CNZ7++1yqxs/l6mmERi20I1nrFptxrNjZxKxqoY5tzgHpuX/zj/N1uEXRgmNSl S7G/NfyNKUrIhi5O2gODO0nX+m9RTrWWoICXWVf3EJAM9T8SJEm6B9zh5xy6y+ZRvangTAXBWIet gkeyad5YIqsMHDEFIDm50px5QDCZIGDM0KPdKnTA8E0paN4h0g1QaE+v0bCDqD/z1UhIXiL+63UB 6RSH+9/g7ZjI1UDVY0tTJS1T1BXPFggRuq/daFnTZoY2K5zg5jJt2OPEeSW+PDQ25IN/v5YZIQ5i 7oMWBWrrzqDpXmL/MxWcQuhinwmD7Y74MevZP4eTqUiPyP4Wjg6sOUlXG8+3JyQ2CyrDuBFOlcO7 GJu377fqp3YBV/SHLNE1ZiHHcIl9YUpmdxfL6NjGRQBpr7jB80+PA7tirLGOHdmO9kUe8kIXe9jl +lUktvzdo5sqKnzLlIG+8d8t9JPxCY9DbroCGlt0PJqrRY2cjhjrmh+sgwYpktt/Y9hxaWeeCgwi Eqf4K7dNdelDZV0KyV5OPk+KXLw8xG3A23m6cavs2lI8zN4g7ZtDvvVlBr5bry9g/UjpzQthuQqZ 3RhY/siJ0LRAnDmTMWt2B/Y5TQZMPSJWynBdp0g+uQm9rnmgxNOevRNYZQn65c0vNb5kpjO6wERE gfRMPbe6z6OZj7r323pbtw2gmXYSa3NoG9htg9XsGndkQVVen9xZZaNcz9B/4QjIYRYnJMS2jTCd GfuGxTk+BTuvYOgZ4MV99mVoaFHD1BVDXwFWm9wA+B40+JRJB+56yHXC5zl3E1aQsnh19/PEW3Ry GALYB6m8NOWgQlLwKPhVPqAXs1U59oB7njItD4cOUd/sbexV2OVVlyW98TKRGEP+oxW7DKE4BL1o WN931R2L+s5kkDgWlbbWTN1LUpMCcp9fVmTyyF5b43ySyCUxLLQGmaZRv09dDailDTkRMvU65C4k 1J9gZWCZnWWPlYtdF/Lu9J3mxlnTkESk5Pfb6GP9OQGsypZmA6KjsJMbF6D+FLPsXRMSXMbxQY8Y 11R0Xntp1Zow+t6VGEYazsGnLb4WwUbKW5KzF5sYV7gRafDR1oJRi9xs044fTbADjHCbwt4DV47Y L4wzZB1GUOjE7l4CMRceuqXoPgOXUWLK8jKncHrgg2q3KNqII7c340DWjwEI5SHAflqXKr5EISRz lKlywD8v+tl+ATuUDKuRx5xXBK64J3keGl3TDdFdwpctq6wbHSv0NeQRDOWro6zJtt1TEXuwwpkG 5dzqya0cG5toEZiekP0RlalrvdAeVNBmS18jasEHBCoB8lsPNTE5NQxsSiHhdHQLWv04ik7WcdI6 RCwvP05kNcUSwmdujHa0qmwU+PdI03vJfxBVjfMwiIM3lGieW2tqNCmbBUW2KtpnC3NUVN2PWDxD 0U7ju05bsALTO1IAkXAhCL4d9vL8bWsAjFtzGvhcZ5Er8QrRtXkswKeXmdn55WsnmITOrBxf8R5q uIr4ezKHUhi98ICv/9MFylt8US84abMPp6pbPAAYuh579WpGm8CNzDDLH0v5JwZzNlsJ+ba6kn8c z4jYdAHAHsRJNmOhwmwT0YHvdBOBpe/ufuYz7vFSNrY1RHH3Mu3PzAbeiNDTS1FR2x5q1BexG00S O4sngboDSAXH085zk2cyGXxKHEUo/bYRRi39gYnPOMOm2Ub3bftheFyXYCelF19FOANZFGlY4xjh kkPnKKB5ul+IKt22g48+bfPL0/TXumOLv+2W6FLXG9welbntxr6jzD/T/fZM58JtDuKyJpMLjr0u O7b24L+Cat75HIFYUBPUxQbhVfVeGmUbI7QiR6hfj0KzOAzYKEY2rJpq6/09t/SJy6J7YerT91a5 wgDYPSgIP1sqg9ufaAesWbAD4alhkP4XDeRbDKbwszwzaeHUDt8b6IX2HzUoEBMRaZn7nsiBJbIv eWrpv8Rij+cv6pynMFJEYlFL5eavkJIUy0uEkrh/MlZTqxWbYpaTLzyaBA/EdoV7C9xA9NVGLwxR 0jKVxDnHJuYN7UWRkN3eaxrq8HZ/PsZ3bNyrRJ+HFDV27sugoc/xdSZs5CDRqVNW8I2zrjkcddfG lfTcMZgOCfrm37oB7QoBbsIdpZzaTdVtTq+udWUj7TMHIN0O3sEyI3VdOeOTA2t0xJz2Ar0Bvjv2 IY+5K4zdtMkaffLCpwkZhqFF+pCYIn42H6hTMN8JpCjuxVwIKqeppaXNYQdsCyO+e6V4ej4v+9Kq qLLHOaRxJJP434wG/8b+7RbRgB+wpQy3wfHrpRd9U3yr6KugRO5qX8mnjDlga7oNHkQDKKE0ZMdM 8Kvt11oNUYTsLYqV4j8v3UZkqYUYoqv0Yjkvl86NSUVP80mFBanqqQwsmcFLDEzRBniqxAUsl3DF IIQO6m9YNs2q6BeucCIXdnAj0fxsfS7Z/Sjq5LtFpxoBTF/Qf2FtaZ/rxrus8Yo+2yI66lxt59jd jLandBP7UadVBF0vweN403/TSszMvmrE1m6CC/+7W+agRk+4iZ45QKM/Y4MtsCsu6yAcLXkMRu/0 4swn+3KosLFAVlExmjILhX9dXPA/IALisiDTnszkBfjswa9ykyBMyNtPPm7NvF9pkJHww/RNTgZ7 FwOqC/b6s2mSqQxO5w2Fu/AP3rtIXSLtcx7SM3lRQN0AZboO2Ioxs8dXaLQRvJp7KSg1BZVwuL8B dyL2lghky63yqCYda0hG8jAQnuPMMTWyJmICtkUt4M6pPBVgFkQ4ISdpmI3lpNUdXZO0xPRI51pB 2emXHLVDPTJNGZ1trZ/GUZKiBRvqPJjO5HwD5t5aq3Ql+XJHZWMHZQuNEXw77Ko2tpokfjXLKso9 0ABOGAmdngojy3VdGsPMewVM4vC8I+Mprx8T+o5N9tpSnA7TVH8AUZ4ZPJEf4a72uqSGHfq3AlCM kjHV2t8tCx9RKlLb/iAMbwu3NNdlBhzmHqoDWpbYXGYAU8yYPWXYPg28T+fFZtHXyWnfZhNtIU3r lZJuffPHfAAPT/MRJQj/z7TIL3kLpS4xvsOY4W5PmrUtf5W+qi1ORBFNQt/9am6TeJDsseildOgN bdN+eO+V/NU4wcsVMsSAWelfe/0Es6g/L3wLStke+uSWnCF50QgfW9ENni0r2bWjBKWU86q6nlNd bgGftgiVtfWLuu0kTsVA6FMXS4GP6zfQeL2j2rw7cKjhu8iz6lMTMP8AzUFxEpMU5+NHv5QNHDLF Zj4Fd8K5oBUVTpo0orKYcF+DCvbENO7QKoaWYDhpiUOEgvhbmWHLUkz6+hHQELbM+3IqrGemb3az hTQE1kM+TrAWfsYXT+vDzlFePdJSJ0kXMdimOMlLHaMpyGxOcR7uEnLl4cJ0JTbJadxrFrpcJTCa eK/n1CLzeROedj54ENNzPeB9YS4DwGs4DXY4fz2/KmaIOMD9WOta3zzhCl7x1Yn0FG5WFGyEpTzi yuaP7VQ4cy0L8s3vn/I/gXSrs8uvgQgjC3zP0xHwxV4A+4HjmBE+qDmDjarEGwwI1rBwebcGYGs7 OjdXjobL4so8osZlhzUsHS2R1RtkrGiE9agJ8KeJbo0cGnDpCzAAaBFa06t+1BrldjYr5mkGsGoY GilGr0N1MqFfw+D9yjqf+luXRrDQI+DzoOBOdVGuPuOa1c3vkczkJJpAsnz7Ix91Hx10w2JMA64B Ap6KP4DJT238eq+g8vwXUlmSIQPU4h5EkN63TwMTGMtMNUDJRWYAJM6CLthdLXbsG5XD7qGptK0w K85U9blhP7nl6JVibovt2Bcb/JBrwpxqabCvaCKt6vH3Ybe/9/87P4SU4DMGmN2yWf0XwFcuyqpQ XUUvIV9PZwUorZzrQR85wGnBvB7QFQ5ZOC0SaB6UCzqvwmWzDParoQ12fQ6y90mx4f1eBeCHzj5U 0iafvwA+FvSKvgKHhvI/esNINsV5lqM5xTlgEDeUQllfgUsD6ZOfUEeDZv1L24DQiVaPeTvObqHv i8fZgWh1cPi1KSL9xtI9RJ7PwyBJV1WLbh2Hw2PoNNVrv52RdPeTjHsP2thlFvjLzJJ/JGk1iuNp mxrJ40XlcjefVngibYMHt5f/n1HuZP61RFbTD8AqOqYY7J6FcuJKoHmn0aP29x7y0B+TnKvYBCPI NHg4n3vJb071ls5a1QPwj/cYyxm81N7qevZQvrSAEqW6Wt42GMzM5gPc2QehR/CsnNCIbjJksyMo aCDVSNVNz3bV0iDr3kDBzUaII/hYIH48BSE4RsGGzgavsCZvfFgeU8Swikc07eEXrS3a9xiwINSx Ep8hVNa23SLU6V2NIsVlX2L9byYlkEZeFVnxVBG5AnVQ1CFiK5WIP5z81cadXNec51m76zGP/QOp To21yl/pAhIf8CWR5du4bfBEUdM1SoSN2+adtwLrjCJAULhwRqldYQujfgd8a59Pf6h8cxQw8Axn P9wiEipJlfQ6XWsCQyO1vMxPzrSs1yh3cqRGNn8JGzQprNT+dj86WFoWEJWndK2DAFRLqgRMt/bG oFnRh/tXXPPrmf6aF8uEtTaJhO9UZxRCwsLRLtWWZCvKD3D5+MpGZcbvACeEqo5PYty44ekKh6xO 3mzv7L319Xja5PBgHzbIyS679HpEFaPEC2krOIn0J3pgoYNxg9rLh8c2GGl0dYxyp5nt0ut7Ke+d Cm3AkdegBnl2R0GuM9xcZvOiHcNMGXNTSvv3hck1suqP5kjJ0f6c4dVkeLXzw6A5yUdVzXS+WoKq Jiiu1YQRKnWmBQhu+kfNRhDStO+/2mFyMYCu92z9qa8lSjSKVaq1H65eMS0ZADlj3zi1DU8VvhOB b06X67DLNn5BEt/V/x8J5UMoblPwwY/d5PYVFAyoXfAxo7NmY4A9QNxOVcEGhbQxWqgRgh8b9ARJ WSsBzHFeRfzJoNPoS7U8sXmHl+A3MZFVKr3FZwwMK8DV6mEI3Oq8IOcUtcpRFdIcfmeVVTbBCeMB Gp0BZBxSCbFF5So7xDvcLjpWF5pV/KyY87LC4qZ6ztWMRMmhb7s2kPySh3Pxfbtp24HVkpLDTjNN cNChY+c/oOEu6n2QAL0nX75gDL2RAz6N40wcamjSvRg2RTl0D5UfD/MER2YFEm+eVQ6ROGVbv1oP 0CBVeHN+IV3uxiCrKX2/OgvIfnsoZ12jC+LZ9si+ApHOHyvmmzMgTG1NXBz30q0kkLl2J9sX7Sfc 9jssn0iQBLQwaVR4kfDMFZMew6gERsaKO/Zo5hMFFy/KQbh5tsitexSDsPVg9S3evyjt0N4plY1f thhBdZitMShFNCNgpbVecmW1H6nfStuqKtEomNRzgIDEvrm7AslCS/SmLUHBrEErZe3LDBGu9aYr mogOmLFK24KLNUch85aUFtTBAMkgMUGrjUyaP66DRgvN8WV4yt9EhmxEXvr93OiDx3PN4UUl9RoY lwNhQLUENfDEhGahz68uNq2Q8Fk9QLHK3R+VWzrV04iKV+rLSh5V3vzoHL++DSpQ98krsCVh3TTb j37UXBc4v4SZ5QXiTXhWnPBecknhq7ilgyM2uCecrMyjP3ui6AGfEWaJxerNNj6MfRVKgFbf62va sdPxx+VUo9fxUmidG4bF5y+w3JNAL11viTyjZTtjR5EyhnfUP4leISQbLBZOmGQ+epY0XZnfqFII VjEltRMYA3P6LgTGqxB4gRIk7o/xNm1UPOLuSgqYMYLsC5YzUnB7aNLPSfopIiQDYcMRMwRlyFlH 1gHO3C6Mr1g3faU9j9g8Rnoz3EQ/URUcy59SzG1UIIRPoUFNCgZPMLhwpxnaZsBIirPVM9fs5KSo JUzIW59kXcM5NWvMKdmb3HK8/of1n8NSKhgd4qfQoES4b7OiIYoH2fu39aCDOb5hZLxn1fCD9M0D I+4m5Oa94cpiKkzU8bX3+j3Zs4kZUmXVlLYWw5oxIu9ffOVXso/1pNnFOvA4c9sbADEIRkYUURzO FyeMPToZGUy7Jz1hClJzG9Yt4I2cMDKDeNc3ZQiyJ2gV764HwZnY4DfOBKKa2GBTQeT39oY88Esi nhR3ebbSCYvsu8/lwUTokW0sR4KN5W6AVHQGoQA2SV5fx1jns1c+W9q8HHVBQSrdvyydm+2QQvXb D6je4aWOXVRlDvZ887cuONCzdRzeu7HP8f9NlbAcXd3eHTwntndaAcJhIgiiwPF3tKHdrYUVtEJv dF4+4Rgsnz2uJdZCgNcEiwLPBxG61FKkts9dflpVJPSspgYJfVPPbAkzhS5YAlQ+x4cky7s9ZLz8 11YE3b7Ynx6AQdSyhX/305vZeszc24Pa+D0OgXad4z1kWoFdWdFWLxWht4QMaotwjHNrZzAkzli7 0S4+49GbGrjP8K58kxbb8+19dd9xzVsSYJj6uF9XF1UJPgdZft2QWWr+l3xwDh57LKtMlHvXet1S bD9W2qjxcb1dcaL0aDFLCmun7CkqInXAHH3UOf/LS5xRv6hDxxUjrQeFmGvVVvVXonywinJ/EDI0 BLz2+SEKpIpsm8wBE3DO5ZwdC3SsB0QwXI4CuA1JboGDGDf4R7p3s2zIPWtwNj5FWXbKgnGRXZVE hTWE3q+C/HrsABC9ZW73oB6PCdZ5kwrOVuuH02/laWhNVKOrvsz0XePhoHA5r0xXBUAX9pBAdBLv HclDBNeHgnQ5DeAcc2lYEpbu1BEN/XpPJ3dc9DyOUHMaxx+HRTDikBv7Ngrohwdt3Bs204ukxuQ9 uutmJNPp5oj1pImxSAVW1wrcYuSC5g7mMuYJ9PwSLgbqvgDUmQXob7KxsrHNpT6WPO/+p+2nvWUN Taw95X+SRvNHiWq0NtwUu6TFT/nxkOLX7wFoOTe4BBvXB9ITlP+J7u/CuFuEo+nQuc/HUOFRwwKg yEDi4g+i0IKkQ3nb4NcOpiwOKfEPVyi/g4lgCK9M9LJA23mQU712/U7jRIWE1+wNFViyeRo58592 hxnhYEhlFjuc5jMyUBa14GB7pZ5I3cZZ8uEEnrlt24T3nLCGydHzqSvQXtrBahEXRZqH/sqZgyEz Esyf4epmqNwfPb5exEWSrEEpg8tUUoKTa9H9S1zjBc+105I61YnVIHtzo1ERq07/cKHr5EtwZaa3 qIjDhP8nsZKTuBPcV9LBd+DoAW7VL0BdJ60pQl/xY0E7Q+Sctsdw8DXQUz1NJ4N4dYZSJnrbOk+Q 6Tz/chjyg0slqmC8GIEroOwLwUTe9SSudK4P2AmwhvunltgR052O+EUJicAciYopXJfbwrrzoA0R uvfxM3U/AnBEz6kwJz52LuFbAgDH+8Xzi0kcuAENUtTxfXsQF4T58Ubh+bq8mbOZV+8HJrXJU3kh ROkwYVqIj75uP81spFO5vN4lCwXGbMpILcYPlwRHB/sCyFwa/JstC+tkuB9Lr8efLcJWeyZuKhcy P/m0czlu6Bf0RMafzcFGdE0zBcsB+80hd8g13xmkX6YExwQukI/haMSOB3mOfPRaPium7W/D7uE6 5I9lN6K3QaBtD03A6bU9vOJaHy17PjfMQkwxhFR4ZDg/mirCq8aCr6niwMK6T/vIh/uFadlwUZQh hyan94OALPNTVlWJOdam+2d+Gmp4gDcvTQvopZEdbjI3Bofl6bhzFETRAFZGxOXt3TEOjfbiZBFr YpVEjOthUtcOi0SOvKtTiL31LnCRQoi3/feWzWCQUuVYBh9UOfDrSD3pB6Dz210gqOG0R5P6mZkM ijFetZesTs6aBwlm5USknbseCxcJKQqGXG6OKFl/yJNHPyZ1m2N6Dm/+huwj2fM6zQx2ITVFf2EW erIB9NJG3RCgs2+6fg704sGOMIPdjW52eCA8l0tRLTNaQ6RWYh0kVdGK9KwguiVYmcg+j5cxikKR VoFwcgpSkU73sjImaVmwuHo7eWcGyqirT0wcGUjan5xs28JdEDnxAbcpr0CWOC22FigoEC4PJBY5 dBb7mOrH4tN4OtnrWwSRBgviVSRa1qRl/UY5RbtrIRszJiDf/hZ1NW5ai/7CKKq3v2MyShr/8aiK 9qCVliRGQeLlwwRHDNQ7frCa+fcuA3AteV3g2pcpMK7PbgcY1un0UWBwsMK3TxMfJCJ7sFoc3KHL xKpQhK1MVX8kKHj/hin4D2m4poNq6Pmyia180anZaUotY4hcH96UJ+xt4Eu0LVt10v5K8KEIYqbE hDFFEmgfg2etJWp6oK/TRnf5TQpwPnHSpYPGx7GXpGBLU9J2X/64bnOOo/YxZSZIi70PpRoGzt6E gybz+yzX98R19jOSziNnn39ioCCzDwukLJOBf5s4HzAqazgKzkpZxpdb40AmBigl/gQf8Y9xlOSp Ti4oPX5COxQJ8WPIQFvY+yDvkBy8QPun6Vsk3dYenjV0rtR9OQ9EhUlfHVQEgVt6mVcjNbYEWRgn sh+XYwSt9jG2xNkzndhWqnj4eQVWkbuxrSk2zmhRcNICI7u8lE2u0ake7V6lVvh0luLrXXsYb8Wq scL1fV4YvMyWoZVjXQxwql8kxBcs8eZYYFRbFvDr9K4eYOmrctoliqiGWKLRDFmTpnmGOlzeG+PC fFRHgRSPumfPZYdFmVc8haGCdadxyEzT33sUhoLNcyMq5AuYOhLLIKdxwXOamZcpL+1umwNIC09u 7IEDmXdjZSCTlGEN+wA8iTDdXeg6rArdPqCYMjQ4E9pGNAdWvV2S3SH3TbZn7Z0Lbc+RY5LTNTaQ OiewZWNKOpqNNL4j6BNuvjFE0n5k0MaYe3d/lX4Ss3umKTZJfXEaZdWu/fsEiadvJMjW8zDd1ASs 1OfZ23LjCw2xu5cR5MJ7/JAhG3jwKvZr9ndO4kZHCjsM9Fr7lcHbay9FgyqehqJfztHsMcuFIscS 2S8OhAyLdB/KDo7jyfxndD2tFJxnx7QERF3S8YvI/tri5386MjZTpgHxgR4lFVlP1MnN6xCKPG3Q V8WAk19hXW3AVg0RayEddp7l3HK1pnbqMKwwjjUETBT/Z71i9ru+kbS9O+vmwm4jECNTllg+gwU7 VBzbcDyrDLDIj+TrYb/Qidd4HsnsrlQEjED9kPn0/6sfEO72d060fjh1dPogAU3hHe3zAXu4b6sz tA41k5d8JRZz65ozApWV3PhNQTd/DZlwiKfCdRWNaEg++OPm66Qntlz+1GvGmjB0gQRmkEfoGbv6 vJUWiZ/wYbgerLkESTKcFVt8/70bTdiDtpEW4T54tfTgcA8NPsnklMqY4D77JvnZMutZyIWSq5Rf 68W6iYSxUn0qmnZW3eWD8rxOvYtm1hVCEMCvt1kaj/3WcFD1/yqHvnUQY8mxzcKxvc2zcNW8N/1r uIq4OVq07Und3vB6ThN3eIGppu7RYoQWLeCr77MIdczZyTxWD6rv6WaTHLyHBWaImh9EugZaCmgX Hwr03VkYDeM8H7pxS2ge4ZWHE86B4RD4HBuX6ZzKLn3vyl2R0kKONK0MqerC0QyeOyjfSEEvPbIy 2zL5eHxTHj7hv7N2jKDJ0HqNQzZ0TZdI3xgaLiqannna8YoSSaFqyH2tzKPuSMsEjkJP3BLuYm6b rAnOjTvTEShfzMZBElxRS1Ix9G8t25W/25my5cKYwaFtL4e2w81YEYX9BQ0t9RZtxhKhm60bJc4f dyMcGw+HOCx3LCzaAbWHoUjuxm0yHzf6A88KRPZVmvFYoXhE/xBCDgXWz5bKy01hVplA+jrgMDtH dlQzLCEFYBD/9opI+reMXwKTlsFAd9LGoK3DfHT1eOq9t2V6K00O4dTxWQqOX4o5XAIoTS3jGvoD exXcQNUlyAv9fs6Gn0+6xpe2uAT6c9h5FmY6258+C6duYnO/ZeWQOMEOuIIKyA1IYys9cMaufwR2 mWWrnFjtdbgE8DXANQTgRWQi3xe6JEkxWAHmUcqc/zsVG+/38VZArV2TiytKeUT9VohIDxRQEVfQ qJIHYr8z1s8EcknxjvXlC1NJ/3Q3qiO+KpVqmRRIWmdayGd3MY8dUohgS8EfQkpQNDRE8d5DXoOg 4sBcyPhAndDyhFRydvU1GJqwDKghBmnDf3zkekhu+hRNrjqqQadqvlA+QGwcAR5KFcs4KzXDDWub Eyj6FU3pHl8R916uu/ALAeE1+K9jKj74EUVNESPvR16T2qXJL6PyFcGG71uY2eQW7TPXPq3jTfhB hC3EquQ4ho+/OH3geOTBPHE7dsxxGbf/3jRrep9iooxJUaOu7GXfk6Gwlclak8JTn57jr4kVh8d0 sfWLjJA+DxkQ0nCEF4zzPg6d3BVl6CjTPpvsQu2hrdHBfQUypnjuFDkTU0nwEd9bG/Gz31BJkdG8 3an+z/VhsCMnIPV1tGDXbdgbzXseAAHY3Ni9vHrCSmPF7VY9y56p/TLsiHJrydb52rkiQEtUCMyM k560+C3pw9UawIfas9V8s+aC+J/n1awfZeHd83PoU86WNh3Q106L+DzLTpZQSp+SkVIIRnvUVwAi hDc4OMcVF7FBZPdEQkxP3Wm+5VnPt3IkqSFG90MbfO4vkvp7LiEuETS0QjSgs7MjTUjanzvOqVid M45cJkRrIi80vnZgHG9D75g1wYRsYtwVLzalKPA8XK8gogCFdr+2Gf5On21BzgC0vnkOdJ59DiKE aSBVOqpyOkNcplx9V9K/1K1MS/mNSq4MFXDmoQih1UNCroKBvWsK5YrZ6dZ4P8uXc3x53pFOmjm2 cHXxudE+rLbQCZgUy/xvkn4/zN6PKDkFISlYsGJGwl5E9HuSdXHwGjh+G4n38Sm7tqdWm5nQrXP0 t3Tz7Bs6sa9l+Bmf5H6AY1IxmE+va8sHCNlXc2Zdj3/VdsZHxQshHPH+QaGfZNU6NT3azPLImuvx AlSNgzvjArrNPeaPc3EP7lFr9Hd/HHjqBiutdYsPCF63PweKWuPeMqCGoROcrDJ3Pe1bWmpDhSnW V6zZXkW0bUvo47iVONlaoR2BPpoxytN8blDnDBe/BJAGICsgynAKm5ukPJPOZL+R2AEdv7ggH1jZ eQ5DLYhotmTfHbqvLcZ42TtHpr/N/aUecpElLOyPtRENyczOVtHHIbjVeAeDeCGyUzKf/fcV9bOZ AxCoIQiP2R+oIIWY31cs6haMy2c+uhEPmgfrnJpGlsP/6pLt8/oGYjkMR42L2GXd3RQaxZIP7MeH nFk8RKg2xZFhyvhQuCWZi6Ob4UUfrE3OIOM7OtsK7ziv2tpZ0p2BELmpg7kyrqeM2M0AsnY1W+/k ho+lm0IcynQYuKcmPwyWc5hyIIU5vNFKR7ObMkhU/RsYOvIstv/OqZbyTzaLkJVNQzZDVjxeXY4G 15h2eVHFwDWyoHmDfxxUJ0ypHZRKKNRBG5l6FiLX6B7gTVo0wZFsN13Def4UByyGP3R72TUFb7sG 8N4YQHFRb9QT326xyrddFOkMRuE1A5ZFsTXkisiY3lUiIiPt8rYc2RPk5LMGMMIlEYotq7ib1+zW SDLyRI0G4HseW5+YMAi4ltFRbG8+q2+kxoKTqf13eatd6baFRdLwLUuHDMjftmh0OyuiWArzA7JR +hvMbyuQ2pOX+Ten6OYIqZfqG7xcnxBADBRRZNxEOIvmAdI+NKll8aymDcug6mvFa2OY3+dXFFC4 uxcp2AQQBllzVbnoR0bKTg8+RdWL/8bYRuraS9b92k97HKfzutYOtGBwnGTJCnXk6qT8a9sw4kKK J7BX+xZ2JIOAICrM4czLJRt8kmc9Gcqx/RkRT/MXAFAuHH+6mi5Q1ZBRGzqARg/cNHm7O8Mooid0 0AjcH22JHDDKP5ibIhlV2aR3P3D65z0ME/Ym5CPX++yNGzz8dxRmnyBBggXh03LgG55cll7inZh0 ZfPvg8O6qk/j42YUIu0wrHwHhwcnhso+uT5rPwTj4F9gKbcMXzQOTPBuHTr/joq4tqtMPOr+0aJZ rT8VO2b+63PxgcC+ibB8yo/cEvo1UIsa0jRp6DVQAbOMjx7l4+mFQX+sxkPDOFDVIWq4sJxxHoeO j7/k5yqSSwCP6L2o2aF2Qu0f4OwuP/nMMxiMi6nL/gpds09mGE3i0epPZAciEecmUx4J7dGUaOY9 mbHin7O+PRMNO5HMzTnWxD8E3fjvP0kAAhFH92iTMrwMZEFCx8Zo+nllTAl82ee26mAgSB9Qod+F DDSoPWu1/phTWhsKox6p/H09d+Ja/yof49VDTOq4vNlOUxvDJb0jzcEZ9kitUQiUy7CferFbL3lq 5u6S7N71EcIrgG8Iqql0PhqHTkBwOC1udUgJKoChdiQUsTv2QDhgN2JeHOIspYV/B9OEZrT9qNKF yI0jCleD+EGswwfnB+4CbbLAPryCqFdxPoPeXFXTzZSgW+WnPvtx0eChvj89Z4OgqOuvVU9KfceF ahRo9Cv1j04R9XqbO4J8QQPxw/hhABF2n5bCa/pxP4gt2SwGV0i+QJFEiAhe9XGfWOWFQKj6YUhI yJSWBZQ+x34M1cIqRRxJlMdocA3sYHmpqaZlv32L1HeYse37rmI/jFn/KyQnHKnilYBBzT0grl9r QZMMKo7ChnuOKNiu0f4NeG9nIIK6ztGYR4V6lCYJBOF0+XMMpcdnRBvORJkamT4t1JHuNY34tA5I u9I6ECQ1ywQ6cRP2g5KsHadiGw8pOHDHXh31oVgF2hK1sddDFZwx0z4d7yw3O0LEH0dS6zOIF7ka l7StIotJZVCIxyiR39bKd+MTqwigEhKe8NCX/KSd9nBhxzdOJW7z/EEkxgNXAHEJjDPnWM6nVXrm DjqB4DYiKx6L4CRPkz1/NsSOfgvXCt1ho6rsx/eB7CVPGQQBt1p+fy1oqzI9tAnsymFhnEgs3Ozz 31gfEoqswRUDIykr8K8RT5n4XWayhqJJk2RjMXyUXY6OnEdbd6X6Yxuj1M5ahTnCX3NuquzhzBqk tRJS84qKKqdygVWKy2rsqtOZfGqf9jkMGb8sjNPsr25YbROJfcSSQ8vCT5WIYmKIPy2Wvbs7SyXH ZKb2TiYHLBHJGbf1iZytwjyazGB0da8nUfCZZABVN5St9GO3UWWnCle7ZVk6kP6Sa/7MBVaGKbjr BqAhmSr7jKvAYS+fGhFXYNmy6gp+KwuMQNMeRC9h3nYqYJxRP4oFvMPey/lZyY+FoRidrfEx2w4V 7njyjXBi9zIXTlsaLyPamgkxZBIxENk7tz5ry1WW3zczpWipDdlQ0HcCI8m2Fca6+TV9sTZ+Hjpm yrOpjOZqUmpdlSrN52HloC3ZP7iaa5Ka29Jzij2bnOKwfgVteMPZyDmDuIhM+4lYtMnMn6jFC8wQ Vau8UNXecZCrcQ+l5uR51M1GfAvWM7NE2rXU9lf+7T3CM2gECa8ztmYx0DGCJHuoLspXTIv+gW0p uKDf5dFyGxEf6EfNSeggrj5iRBKGR/KVawzuYdtijAhWlQCNrwLPS2Ajhhn0onjq0aLz8ZUxqud3 lPMQaX00IbBap7WCnPbmhWtv0x0TSd1cw9Ojy6IKM6BE1NvU4osjEtqxDMuf3OQ2Nr+ZY3+6XJ1M Z478jvJFqVukZlMu+eS9nWBRwDcsqTqQONi8cie6N5+6x6OYlFxrhYm70dlTTZUWcnu/uFb8D9wq 2s6AFvX8/U1BeWSwFRMzXC11V8b1RIUp/Cu2BtgXhyo6qpUI0bFB6xORGBYP92k1KXjfmwxVgyNB u5vHZR71XKRQM+rYu1cYMBYp+rlnNSwHXAwSBIUipGbql00O3vjz/DBGQKCvheUB1M31dAcyN0Jn kaNnMnFJgNZMFOw5l3o9705h2Whs9ddArm0tDtdrybKWZnwWV/JclxciMB6b6+LqO8/oqSp98lZd PwpLeiWCT0nCUJ4mdwFs7U1TYmAPv2Ddz0A96fsBUa+++ra043hD6QOrm+bgWl6AzLU/rsxpbryE OEXG9tIXLP3S3Be750UKWEBjC0loHa9oUj8ucVYF30qZ5tHQSheFTOq5a2BwXXUeX1fZxJhtcB9i I6/Mjo1wwLSqjtowJB1SvvRFb+5GHThuy6pyanf3A1a0MU02pivOQhUzMmE8JO5a1eqyAU097U6c dZwTIErn95MmsYuqRROUr1EmxTxYyvtMHWxQN4C9EkRPPlJbQIZaOEJc9QvoWw9bVj0JVcNLkCLl eLnQ7jBxUXk94oomZNg7gEiAYvqPIaX2zRngrHJa3bHH/xcEfMyZY8TRWE9Qu9BSf+0vFUIfBQMf dkk5ZnqI+RIbz/Lscy4wwWd3yBmg77fX+9KdoeG8UFTTn2Ue5M7u1lil6QadnykyKWJTLqR7qE5y nbxnzku9wzmu3ajqP/KsP4ro8xJG0I+6+tbyeuNyi5HK6XPRg+1A7yGiUxHVScEvROY23U1zyONM Nbec/GHn1GZ/fVl+v+cXFfGmlU4WVXnPKA+QNHz6n9F53uOJkuZenVmGXtVghZlvDUj7yrdiN2rg gjTOKXI6TVtjh8EIilXPt5n+ze4M79lnYkXICZ8uQIy5mKDfGMPI91wGuALozJXfqofiH3oYb4g+ eXfUa/x7heU1b4PXmVwm24+o7NfyYZGBMSOc6Q+AcXmsJevVd7Dgdf4zopxgfWLOv58h5dEGZqwB 4ltkOw98Wo6m74+3nbsBJ+RDB6O8QSjyR/pb2uYux94IFra+sp6+pExF6hS6uHDdcqFyuqtZo4ur /kGmy3AiIwIh7VTrj+S3xB+Fr4A0abEhIToD6Av7UFP4Lx4hfF8LJPd7Vyn1Dy02YfXtGUFEyvRe JFb2pkvLVZ53TWKZgPWiqITovRC/Q01+bbCH1oKo53oxte0pkWxaBNEVMlqL9KMXdZ3Bi2vjU6pr OZuYr2n3UV4rtU8jljxQVJC0O3wWaVszh3tJ5CPzQJNCgp7JXyvt8Li8UEry0RAC45YGWUrhSWB8 MQaxJ6ynPH0QnZCgGqNUy6YvDK20xD2TeQb0YzVYTQEEhwmsOwFkydkJom7RbQnXYckiY5GsX+HF j4inGWQUCBCv6IBKd0PsjgKZyQif/w0dq+krQ7NcI+l/ejgvYHAgaFDf9PuLiH6vZ+Z3MM/7CN0u OAu65pO9Lb3dnmVjdLDwxYI0ehfwZHeTOdOOQ5vAcdJpMwTzdq5PRrpYokoezLe+zUiD8ZlF8+Y9 ZAkCK1ky1C8+eEx+8A4lZacYLf+P3s96L9H8L9m68dQig5H3fXhikqOdBtMC3wQqqCeFDli6Vx9Z rs6WWH7Up/0fqzQKDY2eJPTifZE0+wlSAN//9x6jS0NLW9w7y3b1DIz3nB23768i0mYKHI6i+mC9 muql7uq9TXxbnQdB+Zfb2cYNmH8qsYwkC3W8z7W89uImQqDBgq5fPTwlyoxWKu/1OoCbxFGKKlRa KI4J+mrf0FKW0fhMFdOnZhHCmrqNUlOU1dTjjFzgWuW5ISgUrfenc1nk+ix5agFGWlMyN3R7rUYM bUI818PcZ7TYnV2nJJgD3d4gbaMguaSGDP/GDd64AP2l3TrAUNamewTw6s/uAcwOcR4ICINsQaEg hwaSj2KGN2t75UsbdLZgtxhO3HSXoX+wNQauHvySTXyJbGGxkkg3sqWbkzgJICIzTCP/5hxpog+5 S4LqR0YUGa+PeP2m0MRuFD5Jv13rS0jZR0MV9nof7q+LQTZmhlRMFMkHnVMYP7m6idd08jd8y+24 mU5hTH8SPlz1pGY2axli+ifS0C6YKcNsYDs2PnNEGSrmni8pHH8x7XpjroQHzCeSZS4mPLS7m8k8 NNgHhuBST4HwUPAz0Q/JL8SHu05FhA1hvjPw/hLfxeAGbKkTxdUE0uQQ4ZBOz1m4fznOEUosGbyl /7dMxtZxM+eRsqYu91QvVtRoVgXJljeOsCs9Q2EEZ1X0h/vx7CTsPUSK2FnoKlndeya1qsJl4DY/ u/63z69fH5BUd5tpgiAhV20BXLJnMngkgkVOx1zmipnojn6lFfdiW8rAQgAInebZ2ncQyoPDmpGf xoSMbxHREe581Q2BY7ma1dQa1qHXi+KFMb2z7ZQwlMBjoezeCNfnZejeZnp8FtMio8s8eaGdJW9R 2qbs/M48YO1Kh0OcNwuulJLFZdJcpT6g8xlEjKBDf0QZc7znDh8/bdD9KS+BxgyNL5crS4btzZIi mKDZeZVzgszeMTZAdvlPNGpLCFEM4mhzav740DGNMtpy7B+3SMNE3zrg9/zI89AEOQGcM9ZA024X FooyFEUqX+qsyBCxUElCL2pwKumSGO0S0lGmFVqysiJ7wyXEfPfAOq7VaJoq6Ee7sIDesS7yW2F8 AdKujOx2mMP2S2indqEubxomIDdz/vAz+cVE/ht1fohq/iVUF9ygXGyT+fyUyiyLvVRAK4hUUkrT jpoNmf5kMzFqo6vtgw8yf8fBkHfuksFQwYI6b6+aPDymc2lvw/G6+MREMoagcWG8odUsTRCtvL+D KfWr2Ms7b6pBLhu3aJhxBXFkeVmnCs2EoYiIOUe2GOxK6VJ9c8tEDEOcb+KS/oHWwKkRFgl7OEpj F0LEgnPKiqJv2DARlGWYxg1vjtqniCmG0DXLCqxgjdo41WThpYO+7oKwqP5ND1hR7iZiQJ9nnZ/E B1j6r9SIADieShoI8ot0KK8Datn1qcSp7fl0bemQBsz0D9R/0eG84VU7f8oZoJDHav8sK/f5AIfc irEpSI5hj6cSaTG/uOYCnCtBcVozi+q+aHb1HLu4MXB3cbNeLEjV/5NiJH1AhSJtKmTTuz5gMVT8 DK+nNR03iN80u06UFdeYbZpRaYSdm1qpCivvVp7rcKVKMqGHKGsSYMwv9Fy24cVdwMHLPFFX5avD ZaLbGIWrqpba9pHMhmxAnusDnjk6e4k1IST/5bvSjVKy+Tp+d50TA8Z/aKkodRN2ecKTle8z72ms cKOuGfuyiWtIPBhB3Cvg5Wg/NHS4T9FmDkuVRWjXtOZ58VUYWQgwrSIOj3U5IMglNL8g81CYlsbJ Be/V3NgojvMiLq75/vHn1sN8A4K0MU0mYHcPDtt5kDP+yhe2gbwVJfyZi4j3fFm9uXIlP8adGct8 tMHHJgf34+MSlNbTfGTSvIiEFJTwWf2KDhMLIPVqD05w6Iz4cNDQv4yRP0ClA+EGDIdzG8WpsBoA aVUmnY2CO6XugOKwbXnAWEGyv8e7eE6ezYQpqb09KdonT+Qm0XJfZwnoc2aqLLj2KeKPLI3qp+R/ OTKrizxq1akZsNR8ak4ezD4DTqN2VEYB0l+jM1f6gmGaGMBDtO8WasGTra6EMpaZ54rx6lrj2nc2 HV0MFO6x3OE95ojD0Y6wlT3kZ9v86kf/wrn8IB0MN0p0B1JAZixRaDDMHBdQq0mf27DJEVMwUEAa wxkYXbiH4hJngJug2lnQ4t8o2AawkBQVGakpBGpNDclyVid9EdTDVcuZQVL30VAJAH92nl3QjgfX OFKUrx/no5fBfwAee7BDIy1PzqibhrPq71oAhSLnKmgVOF6J8q7SQgeWKkKNNL7/RupSrQ0FFExT MXC7LnjEW6ccQRBVoR8YKK8Cx1T5Pg94oFCQg0XigNlLxa2DXut4v05fRdi9fpkSnmSZ5VbENUAk cZZPcbCyMqGZcrduTQN2DQDYNGZEB+LO6LVqFw7ZbIlX78Up+QlKs2p9Cu2HadbmQtYNjXkdrbbS QmBfE1eYX+CNMSGgWtHMYfjM4x61xoOreD1JypRSXYc6lckw2jbMM2+cUMny5h728aXY2kBkuBXG X7aDMnN5DPECWhpNKAgIyH7FDJ+LIgsTT/bw4OSEE8qPvXiv+/eLMJD/k1U4b/1zeRZLm1JkywZ0 djA0Vm/l/GLxerTEMe0GrwxhRDdVP1Bgyc8Iaofwt5gNTz6XZ2bV5DU6+4ktOCYAYl4lz1NXsvMP CcduGrMLOdKO4Hua4TDGZ0pXk7NSNkeSQPBkCbqn7oMw6D6BI9D9NNJPIdl4jgcF1VrRQWAWIk63 bFDlbPPFk6nN2+84C/ZEBmwHuVE6yQWHNDwIdnOB+zsHZO+gNv+gMPo5jMosdyyJ7x4mc8Dxrxkg Yn5j783gG2kdbanve+8jXBq9jsbHj2ENwqgypEYSgZigD9G6/v+SR0mhPaxWLmaM6aEgExC/8T6V OIJLb4ol7PEAHdohqyGb7OFrNzNnduqK2c8WchLeeeDw3qWGH9LjkfwS5lo8szYYzOG2zu8Yj4OV 7CxcCx0oLB+kNg96A+QKMw+fldZhJeg6q10wyzNUOQ7LbOI/SuyjNTA5IxmRv25tFzyw7xPFQcJq OKxH4KjFMJ8IOkds9rEOML7HHwGTAHYp6nvziWZ9P14nZs4GAXJCRAlGOvuiFB2xPt3SGhj+3p5/ O4YfKe+38lnCp0lSDlddqj0h1tZslO7y+EHTVETsfHXeBAF/Icg85qGY+tJqW538U72CKpgrPbIy cN0arRsSH0IjhLeFfQ27mo1TqUCh6HkHitSm6DDR7G6oYziaNqol5c1NOvFnnzwt59obW5z3MZ+C aC0IaDueE7S2Co9Brjv+2TSA+oLwkHMKMZcrobIMmi3XljjsGB7i0kMfT7ikaitS51sO0S4Tvo7F 0Whr3/coU8J8vWPNsHl2QnZsQaS58qb1zdpki50aaPl4z06mby8e4ZTuJml7scD6NS64/iaFxDmU 50gI5LR7kQOcOpGZqUOYnFLBYnExA4Dj78NtTgRYtPJQ/14R8k440lSmFft1Vc+B2J4iKAq+R7bx +HH6Ll1GHFUgOfJxeu7TLMiR/UtukV10sHTC0kVKnquVKmKypetsoa5WVPUTNifnuMV56mdPVLfP ZEZbO0z5Y2v9eKl7O2/XeSp3tB7ZOb2V5w0vQLNzlpmaMSZy6p0QeE4visMcDi0TJPaYe3oibtMU YO7Yf2UGNGgGY9jKSK4h1YcU52txcn4Grf/Hop3ye8wISHe9JR+axtai18yVJwj6bZqJdShpK9BG Z5iHNpcFfn4CxR6vKbAyORXV5K7xKmPPIS9wi4XluFoGaKsCcRnocQ7jo1I5wf2J917hn0AsYgOt ynuVS4v/YOG6v54XC1MFGoC2naGX3D1aslH8PAI6x8rEyb1JK5VqIVBKn3SHZO5A11TPiPjQ9rtf mH3UtJGX+N/Qx32CfyED3O40EGhWOyO+AnBBwWPrTGZWNmZdIAjWI3AK568uZUVD2zLsrFOWF0Qk eSDplrcAi7aHmU1TKb5ccpHQfgY4NmSDjVNY4vOQ2chgGuaKTYmVuhxQGbrzHPOtJpmFIFqKvsYD RIUxdqQjiifxehLX4nbCo6Zge392iANA0Ruc4jL0ipLLpKpcy5Iq6ZfHPvtwGzgBtskpghbE44wZ llKZRy5Uc/R1KchI6JfbNJE7hS7u00SDaZ0WcQwowQaczn3MxMN8YMr+15p1Qp7anSpuliD6+QV7 sKtVl/IvKdJDGbMHw169dT6rRDvqwVJz4g8LLbL3hIK8WixmRm1Xt0bNeLIi5YYa+vaKmQLyj4cS lmxMc5E4YxCNnQysYObZdKUntW08XblJGVa9e77ORXwNWRyvzpdNvovjMHlIFP7j4JDN/8aRUOe8 3Y93G628pwFGB6ZRmK/mzkIQbIZX/X7FJb3jcoTkaWz4Km0K7KjNiqYwYQG5sykVF/eEcJyC41+W bwtgvoxsMrOzx3Ay/jnH6hTVe/NBDlqjSiO4QOLcklfB5Y8Gwgu4ud36r9vQZp+QvriLpBoUsW7O Zo3gGwLhaQJzzrphXVj4FHbIwV6i6PnwE+WABqQC4har05P9HM/KyOoJhsXDjo0AwsrEVjP89Qs9 tz9j8vGyH0T2nWbCJaZyuGWhf5EDeUmK0qgbjrUHswaebbRFtASw1bMusHj+0x5aNROhr2Eoeu1f GBwM1/tuKqBVwlbMXOD9nIOqHR7pNb6r+AkYJhTiVo/72LLrmmG8r9FEzBqoSGXYdRaU+wa0HLGa j8/8LExjtdC9rM8MgIh3QBN+LWyNzPNzwjbB0BoP0b+1M2z2ea7R33rRKvWrWEhuxPSY/3Ko5gti L+lD+DcTLM40gRQkNkG1P0/RjQ7Fx1YbA7UG1OIZLKg6R+nTLoX1NvpuNm+cppwy0vrJo/UMwkjb REtI11rjG3NQKeaPMzpNeFeYCOhQJwy+uHvtrR8scEwySZ9uB8zPmg7rV88FIF8U+s2GLwOJOlDN poztW0wkZcxBoVVfhc40+xopCVA+35dhKW92WO4PwY80ypDX9U/8TOOsNcL9A5eVYfKXf6lBb98B CegFfOhVJggQA8WsMPBwlsnXtCH8HUSMrRIkHsNnIT79ZNsYmyh67ded2rnIBgIIoDM2uaosBNHT MT5tumDoNsa0HMB84MJrf4XKv+mbtEHd5KlR5E1hftRKiQWZBX7T7wdEBodBiZT1aPAB3krKTr5c Vw5ueM2bNg+ymYHRo5JCjybmCGHpyaqEKfgos46RNga2jZ6sEA5dZuvwy1lXUBqggy1GNZCHmteg uW9HJQYr3o8qB3r3ue/RdCHG5JrajHDmpA2fZn+Hrrp96oIZDHNrH2cPA8TefaBasB+LrYTzyFu1 8OBb4cnBpAYbd3JCTS/2sIAn2zJY/C6djXX4d0pG00nftHDCXfRtiBxe8UpLONM4DYg/67jAvsHI uzER6SZXgbO2v8O7wCS6CP7ycvLOfQS5+Hqtp1vuxCB4MUEe8qvg3hKt1Jsl5gT4dscOAgggkD3L C7RX1QiHyXcJiRy9I/60Pepc0CMc+yxkfFU0rzBiBu/ru3Ga2ShBT93GNl0CPimhW9iFP+cNbDc3 BqtzXNEkMlOfaR42bo1c/oh+t49tHmf4Zh6q9kaacx9q8HHEkDe+VlNpAbdezhS2utX6Gx989ca7 xU6V6NzeKTwlWVru3lvMNvzuokZD26aDlJ9NA8zC1+RhY4FgHjYxLIWbLqJJOMMPBRqGh3ajxAr/ RevyF1EXb/GYYQDdvoO0nFpdlAEgXO4zRCptnZqF07ugTW7u9QTMYvyDWOC+3WFt60xASBcio6eh 8+B9IfWQ5Nsp3qyfrtz9KJyjuLfwz0/Xeg13WLM8LecHsS30M9s3u8MrAhyLgWrIQs4t3vcZXfEA L6wLSKIlCBbprOfcyEyda61Rs1Rfq8a0us2rMke2Y/3PiCMPbSF7AoKFCtPhpu/5oFq1TKAm8Esh JJbTKJ20pBdNGfZ5R1HBosfxj9hUi5WgC2xEVSRlOAQvL36YU5VfEpLoTeMe2SQpxq5uzdvwizlK gzpgyOdw9lEJd+fMrV83dBNfJB4qii72WWVX5W1qZ+63Dp6Q/9j+/z6REJ3qcNLLiWnQO9utuyum E6xydLbtvJLWF8y59R2NBqHReBPKHSpTRgfz1yM3Qs+sQVYmcQPXKSrB9n2whhBkFPPwqJFFd5Yf SVzKP6k8hvUFs+54juoeka3H1k99mRJii4uMHDXXk3N1UdRTvzL0eISvunuo21npszrhf0rpaceC mEx+OtSrmTFmpDCqdtb9hAXuNJHjDsIS9eXCC/NacNtyEHNukjtIancLtuf4YPnBtNSYMKQXkgnF qMeUOixQGBtpk2VP/Yw0LXNPuumbdhai+VhpA27xA2OYcQARI5fXrfSB+fDpP8lZ7ELEA2OTkq0j h1aEItFzQJqjMkrp593pIMIr8s4ZHbTyfimIy8/Vhe2oCeGug0r8/EqMBllop7BkwCaPWPSBIKAP 25ZJMRLMOgKVre4GP5OP79tClYERyaMd8Si/Hmo9fhG+G/W9g0nOEDNd7/JJ/qGaH8ly0xGFqerN KWId36mUh3mUv3iJBM0wuC+cbjH05jOBMK8RoIkxzPW/m1req2j9BXS/gSuW8d5D2tZH4+gMiZtJ SoTRFMDuZHvOIIM5ATtgyyrFTrXOHfN19i00MzE6MP50UuxY+P2VLaOGItPdeMw11gjU/4kXnb9q cNtMuMZ+DboSflZiZTZkb04zoTY9ET7enaHojGatfrL0Ju7Hv5GDVjtfp/i6LH0zHAzuZOAq49Wa 6hBvIIgh3jAVbtM8Rh+fJN4WM1YqKvwW2IWLVpmHN9zFQdVTmAjkVhdD066tm8yKVV3mddECAwyC 1/yBiGudQQZXj4ncxCy2V4ylnWKWBTHFFtCnFIDXHnAR/gmiDnC9LNGh7o2PNXZkqEn5Mue+xJF/ 7oLrA/+BlUpqSDi1QzZNhoP27ipJETIRL+XlV5mXeBgw9aAWnaSZHlF+CByqpKRw5IVoYmquS13r IY1+lMW4bz6VxJbMFVdUAKYNPTc2Jq0F7OGEntsttfAOGHYaJE1LuMeAaqp/uxsDb2FN6FOjGyDB VzKTF6XHHb+hkc6jGnH59vY2gX401U1rY0SMwSLt1LuFipyPyeVrZysRVDLI3oF0V0q4zv/vTCiy ek5WCJNvgfiLZuC4bf1dWRUAsF64KA17lbayoGoE6yTM1Jew5ayvuZeLAR67ZHyjj0itGQxGTKT2 Aj935XCfGK7cSDnxQ1kgD1SJTyPcxEkHm1NWuV0OSAOORwbXFhVWAjClxVCb0nabfFUb+qBelgcU Dm94TyTbTwt5/I8jVT2wtxpoVQle/Js5lAVH3OyQ7s1ekC6wzZcpURkuPLBT4IXkU4u7m/3q3smw Gsh7zKVW6nc8FVvtG22RdXrGgGGYiHO/+xb4FzKO4cc4LRi1CSjrvFZhZqaszNdsOfudPyZF0Dga mVyK5H3sLiVVXhkOwVF3G+vOgqy1Xu0NceY8Fbuyb18Avrf5RZFBFdYVphRXVEbdg/1bZF8J72Xl njGF5i4HiYV1xgyVY/NnkO/Vjk3ux9soHR80c2bY4TaVnRQ50tO0ikiQArGqkpjqulHlvDCPiZXJ 4KWWwgV2OgVB5zRy+vdN6rREdGweTY2SJt6WBXCjQdNV1H0SSckD628zbTCE6v1Gjzfo1fAPKZIU iESqGVVhbLHuGbY5XpWZNo3icCCs+RqQEc5GDevKdPVJWRFwoukC3lW0+jxrjB5IQ+UquEGGnFBL TW4cQVCUS+jUcG8EIFJ5hH+S34J1/4WqGeaV9DA3ElyNpwLCH0uU1QWZ2Eno4WA6sksKkqWxBA8s mvGcRuoOgQInF2h+P7taOcZ+fVA9NSC7vdunV3O+6g+JPVG3XmIop/iOlaLYbViKZ4MUl2i3bEeb rD2N4zMDCHpm7pASMbDVFzkGaAEIqxVfjGTOGLwPWwW08Vhrz9pnF4Yk+WXK8Y6RL2pgPbAY0hoM wyiYPbWIqIS//VC0aFV+oObszwVAWGvWj24tHVfH3/HZ8EYTkh7WE/CdXiT3VgrjADUTtPX7y/hp 4caDML2qglq6r3oOTxRa3xTDNDSEYEzzM9LFs7t8PkCsB0zjoFq7qF0oC/xMm6uUZfDrICGh5AqG UQdDqSVUXh38piNVv0GuT58iNweJufE1wRocXcTWEfCsC3krGa5X1ndeMahZM32oNnPH/64oztqQ CKnWzpEKKUlgbmQ9qINXCc93gnILKWv8jyGcS4X+kRzBqQlHkdA7w/du6Th0u/7SYHAQTQFUOL4Z xM1RhE/9RBZ3oe8ynWaBBvyVe4Nyg282nz9H7IgerJjzN85gPQapWBTB4YpwF89V335jNWcWnlyE vQe87u0dwX/GpqQa7bQMverqXoVY8jl729EgkG9YRdLmnXJFkLe3hT83AqdKM3vME392HvD+3yHd eKxGuBDmmtUw1dMILfyFsYU9pR5Rw0d+jEqF5M5PPHBid/BPDi8mQ57c7XTPk38qVyDzu9kwjNV8 chnCl4RTvR8N5oYditTn7ZWg1DrcXQcZs//esAQQHWccChHxP6SOho8LpO6S2KKtcl3QGHWb+ECu WqOvjZkjbuCOQKnKZfeE7ndJg/McmwQfW2R2lNX5DsOBHbOhDW8M9/49Iu0eb0XRTAz4XOcRXfvl WpapPuszoek1ABv06+WiqaVWXGlWvynA14eMWGYFXzrFYtIv35mOTO+kwpQBHb8i9MGaLRPwrJ2e 3fSCdYIh3EjZRz3pplsTvx5+RUOwUfKk13hdBxe7GlnxAvg7+cimG2zqywghfOm6FIWtbZqTpaHa ryezidupy8hBstyuvOEhwsLo1G0hC5xoqU38jWAPweiMPCZp49DZWqbCk20x7ytajVUZ2VbX/mdS /Zo0o1H8WaghRG6i/S35qnc0FZ9YTZi4M2tqyMQGvIWzEGrs/n50WEALMoUQL6Q3q4Kq8eWICPcZ uI0kuxXV2Sprn7c7r4im14CIyuPxak80CJXLLbTlrQClpuNwQ6g86ZSp7MM33UbS4EhNlenrQSeL JqpV6C9BGtPyBWbKqpU4sEwN9VBbw1TTPmt/xjIR/Gr4x8t5KoBGjv5FzMWi+CTJ4OVR101JkTsm wDU4eDjtIIiUblTwUq7X7pqmbMRF8yTQONslFFUpsrbl5IoDPorxI6shWAWqANh+r4BdnKpVAgPJ isP8O61s0vkg/j4olVdMf1N9RWpxc1q2Pcdw0fyWhqzWAljH5nuB4cUpYG1iv5uXhaiweeI1cAAI f3nE8JjpDUjKasY+RVwCVvRT3CWsGc34JT2t3BLSL5Rzi/sw4wyOCH+37Y3bXFbkqMoC+5ZAQLLZ FK/ZusLdpwaQTZYDBtwekYzw8aCZ/dYyH84LAD4adlyTu+ILF1GxzagJ+TlEUkDwoSkMohY84Fch ps3RK0pGn3tPfDKGd/Eo93Zjhselnv37JpxPt5fZeKwDcW6BvBmHCFgvi96lek2f8vtD9t9st+oH m4bAOPIqN9KMVcy1RSr8PZ9wC4NScfg3xInaSpYHAXHIeILYPfOz07rfZcyXFOh3vOrVaoKnYgH4 YUg4OkMA74ozWztICco5YTjjotL1imVbFTMPMRyX+dMcDBDefovUce/Aa/6DVqVMHYufLOyHe1HN QALJpZh1ZCLTJRmJ+g6tIeSd1KRGTtXfhH2Cp0PHmT+d/vgvq325SgZ2WIiOF6CjXwBs4ErpYE2g N3MzN2faDwq+BGlXlsrJ6B4MIH60i4TMnHLP+2geqzBsNDhn1JTz2/ZRDatMW/QWPgudNfXKmPXn qgnbyjwqyXceLZr3fPMDyJbVS5RTZPK4UkNzREx49pEfGknvPbr48cKkPjPxdAbwSIC0LKVxXhrD 4M8cfGUUtJA+nsOYSO0iHxC489J73M0WrBMljN+wfBJHCbQazYEFz18mOAVN9F4sHosTG4AUoyPb pMj9alv4jG6G9ouFq4P57qad6g5YiDjkt4IXUbZE/ESyPt1ojnrPE/ifaTJLIXHK4UI8iz75ATuK QLmtI50fuAp+2TmxEbiPeZYRB+M/oYym3Z6CEy7I/wWVwcq0ANa5FdV5qYBrEvEB0czu1HFfGBq8 ROKL7/K66i3qIzhkIT6fkkmGTntCgyjm0k2LXiAD9U/0+kq6R5NZpdHWjrqUEkgVGKVOdPUOGnwW qVQsyuqWL7yylc2INAX4JnhrWvgcnsy8wkcy72P+z4Q25Q5tUMRvmth7zCnM9uyUoHbddVfAxYJL uWumEdX8cIiwKyxHwkxA2nVLYu11AeZFBj9VvlIHZ5igvRwq1MXNUV86X7lu+iPZmoPIDb2fly3A OyJNhhogofkJ1wkQdgNZVhStqsJ8goNTgE3tbx2xFP154YAmnng5I6mN2M3pvvYNCpFcl6+oY3/i CPVaZhZHqoTJ2dEEt6M9hMaw/Ix8xo59CaQk4ako8FachR0kBlyS9cgusZy6Te3S8cArWVEkOM0j q1++dwCRz4IJqcH86HCSezwRdxS1XS9nVmtnbiiyoUIRMYoFLR2kVmin8kBIjlwCywH/FO9f9XPF rotKrdM3Zu0nISwOnqaM/zfyI15iJ3qhlkHcDhR+IAC4Zqj9YH85CV6IlG8IgLXPEYb3764EqPyD JShVEST4W+yejoUgWY4alsmcLIPiO3eRrXnBp7c/RAjugdZMWTjT5Rk6bQ6/ihNxSqDHAcBwUUbj P63XXJsHVPRsv/lyXMAYA/aYTYFYOTecV8aZaAEpLJVbJD9lYCUs7mm5MBZHa3UMu36lQU2OSeIi PS3Z9YzJyAp38RU0M+zwt3EkzyqGUzkS3jsuyLDfQsfMoBzzoJgC3ZvYnaA/J4ZgvvHfwkeSL/qa E+OMpuucm0/H5pBP4+Fw7FIMgjqr+CF+6rCGbpZixRSthqkqM9mUTSGG2IUXRPv0RmPhl93TyCbp lqZAD0Ow5ze64e1dChTvT4RuOnPE3b6PpeN7WdTLopnYFuys5HN2dlfI7rCWwbuP+FGMOwGro9oF izbYXbk+iB6JMqLMi6DX50OyciNNmhntap9wyCBOBhDOzd9lwfecbvaOOQuFXgpE9xtCBOCxIT9s 3vZKOL0EyQ6X1GxEhSwbi3J4JWnnaPQrR29Ug+Ffg4rJfIw6sSU0bMrm8alWO8j8ehqW1Lw8EEjO KU4xgJQ1E0yAFH0QmU1WneDkngig+HO2EralsFowkiR9DyWwewbXRaN3UyPKdCY6b3Tf+wnTXyYE 6wsa1qQLLpqBxjLyatO5+8rg7zpByUJRWfuy4DVhMrSJR3jf27oRXts1lxSbXICmZzoTfxqatNcg BTGCHwRr85XJ+8WRKgToDWzxL6fRhIeW9HXLNw80NLu15QBdfznILzzMzWf6ZPl5LNiYD4eJW57b yeENMB8S/6OQ6FbjGKtt7BOlkNJbTDX5/swUvUIJkXmftZzN/+Rew9YQkc6/uWGwEmw42GPee+mj xMEKkuazCDe7ePTwclrmAQfnN+FaBVF+G/HvqRsb0WV74EBdHUFK4PsH7hBk9/Y0Q6ao5iq+4woy eBGfITHJca3kJhVGQtR5yVnvyvhvJ5n/770vhHuwDf9QIGAwQdLOzIyYDTF2okWR1dzJAEEYIXml Qg2gPL9GmmHV8AfNACSluDXxA5poJDD0Bi05tTEUXteamS4QNFEE4oIJAQG1XU9rhL8oDh7gGyUy LBYxfk9pQFaOu5MtDu0PhAzG60fRptXKCthsZGs2FnhiqFhrSuptpsHuDQ8eEieXsJwo2DHiFoGM UvYrj7SxXhMtmL6TUWrhPMbxRD4A5IYKsNgx+7ctwDw9Ti3PShIbu/rtjhLBgIgveg+2RIBOWaIe euSA1UryLBKRWjvwRDFTzwAzgIVfRfBzL8TkfEY39Q4YYkTteMjmtMTXtnPRy3aFmUcP2YyiQP12 KdYpOXwh+LRy60eZSlNieStloNwD2ae7ae+E7nxrMIc8Y9GntxzDTs5MDs4GiavfzgK2XhypPgYC tDgV3+qF+8Akl+o2rRSS9J/wyOoIl6tiDZgoQtRaIGE9rvrFklZ5hEkWx4FpwCjQlxzSTGlkBFfd KFcYm5n+KF6WiQO59vpOmXqA82/A6pgo7NzDsi/Cwp0I/I02lAu0GTMetl+rIJmaCkzZtbFM61Zj u4avIpLZud30vwOhkJrqxCnbWVDCkkiedoUlR6rGTyuPQFhyvGbX8mER+8HLdtq5bUwF4J8k6gOU khztGQb6xVXoyACLYuHv2cp+UfEczd8t3GuezalpLGcxH2G+H9yqlv4Cgrz0OYBPS+VGh09RrpJm 9OupRvGY/9nPN/VlNQt2w2iR3yD5jvU2lWlOsxkzy9+xZHkCLfIt6tWLyz1PwW0hGVdi8TfB944i 3yKCwtfOUpnJshy03iWmCus/PYRw+xB7lwPA1I3yVeM8aTIUE7gYHHCRsFHdkOTf46f+P8Ja78AS ffI3VCuROhk0kIxAMcHgee4GBB1F6ld7NRqgrrTUoj4GCcbQYQOfxNIvr6sb1IL21LndDy71ytg6 pWTiBncrkP4jTeERwp0/sCI8t8fP05qgbttIDb/ZR3gl0GNPrslZvOIxtTHAH+pvlYEmv2LJ9GKM 0sfydnGyc0xAIZZuca/SJ9x1S64eyEcRpp/ZQEGaZXJdUb+5CptkVSfL2pA8hKHURh+QOoHtqxgm VFwqwvVcCKfIKUXxaPQjRJ9ShiVgr+zYrTc10L41UPEMOzRg17g1HaATptyQ2IO/hzJ15rDsE68d dJ4enDpIlcMZoG5V+ACcn0d+SbJ15OClhpQ+xQXEwRa+Y4wHpBWvmx0MEF9kGz8Og2+8EvzNn4sR bCoYs41xGa8pgWak6oJ4JAfv/YeUfUw8AcyfnOFX1tdJUoZz0vnMMxBWpr8xRtD+YUbUY63dkSeq Ne/rV5oG8JNkPRA59UhGFUdf8MTIbWzyoRr5DcrUMb91qe2AHZFzxmyQsQgEGdeWM2m3f9mWlAi1 kzp1i4ygUxsAxls0S459FAtlhW0xlXBCmQ+nzjB74z7gxIpCxui3ONCmYcyPwELgBj7iZbZ1harW BtWdGlC52MxQsWTMxM1aAx6HXWthmkbJ1bii6rV0Eo0LFR+9wEgFzjvdRABbNqmuExewmjljvwvi JlSZYuh17vCu9WFXc7PPGoL5GOXPYSHZpe9LPdhrnGQrzOt9yq0ya+Fa6KEaGp6swdfB1BvOSeNG yTFUfdltaXNBgNOK4Htzy6mAxs0diTd5sKaOJRLfO9X+/n7XTlB4ALvR9rWsWh+V6h+LlQ4VgMrM M9qRlBqdnkHAeHcK+QEHWayu0k20LF/AFS562AcjuFWa4i9hD6W0pFSgtrwF2dTYsopmdU9I70Q4 gm14s/CP1DAlRwnlgfb1nn/s6u66nZ/ge4vjr3QZt2tZo5RATb9ijb6hPjbXKwn3IrT5IKNT7EGD yVHmhRdKuE5G59IyhU4ZQwwB5oSEBahaQDwJgn0gM1wm/7lG3IW333+yMuShQasiIqV8glleExh0 z7nudHdFMkimhQDhioFpjXuww0bxwknwEGlHJxwThxDT3H7Ce0f5tKKMxJGon6NpxQE4EkQX38rA RZgthFgqZi8G5IA4tK4YKv2eXlYgFvPyCAVCXh90vIlUMeKMekXnnXEn5IskN3vNFGW+rQ6DtQfq ebV5th01hWS7+UNv6hs0JRVbSHEd4uKVVa5kXJ8+936FOP0KoFAN9RBcLbnfIEcevZMWUzjCILmN fEcqHW9JlOY/LldtsR0727RfQ9EXsmJVkq5WXkJYK71fSXNcE00Ehr6yWh3iPXrgvqooVpnZzgJu Uvuwxk/dIY/eR4VjnVV/zt/FJqiAkrDDPObU0+JXxRoXD0txlEXO9mecRFbKdg+zQX5WD3BO4cor dnFLCpzlQnZNk/Ei281VlZSwWRHNFRgzsEJImNJOLCb3yWLENnpi33ZAUoZHDzJe4ZhshJr22fkf jRU+sTjhdSPSAwXl0VrsvitH6IPIBpXf3mCBSrtF0wwUM0qcqDdfcvkRr1W49PE+W1BSC+ccbn1P TCGZ3WPpA+UC+n5nZTWL+quxP7lVFMPulRMH5ui9TAv6NpizCliIrAvWJdsXMI9wBop5Sxge4aB5 lFpieKONJU4TUQe7VLoadhfx+xRByrNlRK/sBkp4M/InNTp9ThtxvU8hzE3dFd+f0K6CFe/Sv8PE uZ3/v6vqLwPgEUjVFfZQ/XInLFCxev9fPYz/44N9QtZZw28scp2N/ujHadOLnlr6sfcGYjWd7FI1 R5cF0+n7lZbwgGZdGG7J+xJRtEYPQZ8jh2xKqEsZemAFfli6MBUZ5VqBNmwdmFWI+JDNbcGE1oIu QhhE2Rsm84hVUKj+XVSQgwBek22nCjT69JGLuCabRG7cB8wVkmyYD2DL6GMQ9j0Y3knDjHbzS6E1 YkzTxbPB5ZgN1twI1aIXjle5EP9ompJAULX7Zh88GOb86fDg7FebzAgvVPNCAiwCCvwWK2O20npC F2mbZjzmKMMQ93d0chUyQKnQsB6iDMcZhEWoEkk7D3+XzzDjIe6EnAiwVARQB/o5lHEJpRHRCfUb KSIo0397WIsk7R+zCgPcWBwSmHr1R4kwEkXi5Y104xvX4aq3wekUQZMMRMZbfX1mP207KEFM0t89 gPr87RNiu3ENcAkD4VCYEmQh4HfnMRhmjQoAx+dELLJ5KdhOfu//SWqWggmUk7H1wnsqL36xJcYm gyXLM7qgDb+q3IQl3T8MwtuphuuxPYHu0HYRBfTHsZoCW5Q8ap5Nd8W78aLMiEpWwaIiLNIR2xkU 9sOUxHqdf63OF/9+/yQrXaRf8Q45thyscJF8bsXn+7tfrivvZFV2nyHUu1aNcj9qYofCiAkCg4bX oyStlE5TPCeH6NDkcJ49ZkgpCl+xC3um7YGNCBZIA/m663iaF/Vx0RWyFbaBa3BE5o/xaEgfPUCR Mf5ctjyBlHbhEynlYUUmahZCnNZUsrlbomgBOMgLMbcDKq3Xl9h38nS0e+2RionfMpcRUglzJchW eLFX/3NKpgzk0CxhmH9Svp5S1r82bq3wjp787LeQ6wTeKXg2HIitgp7T+tS594PcDTcDdOeYMxG6 NNtWRmTl2kKm9GNTB/WAFcXeQ28xkgB4UnYqGHOXauPikKG8PIFUnXNVI4RNG5I7adWDF3CUNkvm 4RtQiVFu8kLQkkTOMUSRT279wLrWOSKXQ0eKTL81bGnW14ya7aNGLX7lcjKqS4c+mMuV7SkXbF01 aytQXGFuuOAzbPg2FHOHx/PRV+CTlEk54cXdSipp/kI62f7GKX7+XGNi2vlXnH1NYbWcAf9ZQYeB 8iBOa75DmlDSzRRuQIivTFHdgWDf16+E7BmGlaL/hgvhTzo/BkmvJjaBLuQffI8cIyFKWrvs5wl2 90u0p58AfTfUZHCgeRbQijHSxdKkf/+61pn/+j1QxuAGfiqlwXv48muvTxy2Bu7IO9HpyuvyRuA/ W4zGA2z1/PeVXEMiyaolv0Z7cnouOFnvGmH1/rezzFclvSTI1Wseagk3FZAbse/z4HP7P0slBV7y W6u2cMCE8VO1a/W19KtYrbagrhE7V1dtj9Gldy0Ff9jcUgRMnZPc5wX2cbir6Cdqu5uWCbWtCtdY hclLnHwvNcK06gSQat9b5EylqiX9M2R/Bw8quuRPT56l2836IoR16UkVdY+feMT78cffvo3pVO4B bZY/w2PzXzhnEyMTYnySLjclTzjf8QMq6aOFFwFLDMCGro0RlpE2lVx8OKkH9XlmYEW4+VqXcXq7 PTs1tCfwOe96S9u0vCBR8sTnzbyxE9VrmnVJ+HcIJDaWddsFfZFP2AqyFDxTYTXpcut8/bUSSmXB Mm4kuEwSRmDfEq+/ugyFf/Zs0H4c6jZR6sNWQP8bnL8YX7splmTchyFalPUVluw4gLwtWJ8UYFUn uidZLvpaBRrzct9/C/GxTyHm4F78CqGeyRfiqvMSf92o/wazOxmQCwzxWest7JMoBoN/ZV0/N+M3 dFNU2k9dpmziXle4E61LDtkEnMUF4yOVia6ebEq3ILtvO5VfjDzKOoM6KLveDl5Cc+MRAuYmofWA OzuIKXlYPo1E4/hKMJsvO6cGEbdPCsYIUof8u2MiCcqFcMk70HtAYhHX2Vo8eIZ3wpIakVGScKSg HeR/8tAcwt3Xf5D5g6BMqOFpSb6NWkPuEflbfDZJFs5t6TZd1YiaWSvvoflsqnkoIcM3fUkCUPh7 QrMP7t/TmeyKQs9xF5XjujzbXVQwi63GqHHlZrHmxbEHgo4hWNoVMYEes80sANN3khrvaDXGlAHp 702z1gVZznLgF3BRil90jeWCjOpMzriE4dxrQ7hBPj8tLTlxlnQxZqYF6vhwv/rVSlaoEewvz0Mj KRR1pyw29QCAzF7L0Rnk8P3yGulJ/KvgDyRmPsGn7FI4IL+olzJ4nHqkhZNg2py+BviGYKqIz2lP Op7gTyDDsjctPMX644M4Q4MZwhbcDNqWLo+ISVTk/v8rfKMLe0+FWo9kWaPxxrMp6xdKTPNMqaXt JHIF95YCjxYg7D3wHzNUCrHi+bLrVy4xIQ2EeyPK6E0u6zOlyaPlVX57iGqFzQp4IxqgExL/11O9 m1YLOqsqWWO3rChcQPkcacF2fLUK23BoX7UFBmxd/xQkePPngkW4yxW+mOZtxcTAJ51oDb61z36I 7MtqXrDDWshQRQDu2KIDkdJlWScmbJgGEfDPQTpqZkIkdSvTbxBhC8t/b0k9GasvZyKHVGpA3Oey Kq4nGswtaquojCdiRa1C2USKVf11moaG+49jbtIZfXnst3GWnYvUt1lkKU5AooworxLs1F3+KD/T y1SoFgcD0EjACzuRNc0jzdaUqj3n8/dmnQJkYszfZ52Nhop8Xsz/zessifEAC/XdEia3Dm9U9NpC MAAdnrdiTs+5eCDOVwRxBusr+JGr8K7a1r6d2mivt2wgxdXHDUFfMQVtAQqQcqlWoc3dc8dz0k64 L/ZHXNtbFT5O6NWjaeR34vVMjjhYDiMQBTveC2ID6AP3nl5a5ev6UzOqswekmx7pjSSIw//TyzWj x9vtLwI22JKUUfAakTL8s1g9k3olbnFGuhx3MoGsoRwqBj+PJi9Nkv1+jIc9jTd+N3CXDwtdjCQ2 qRArDTSfUTH52eG+DBQl2YFkcRHth2yTNnW69NK/mlKN9WXvmeV/gMECM8He2e6JUjxlQlQfS93V NNoFRnhWMC2TCZQ0onjmPQfMjFZ1PNTTPLu7ERiIomcNDdV/vrAcAbFHhpQp3gpojoHzbi0aTm77 kD0b5J/XUDsFJlZodX18xPg7eaHrKZmsP/pep1llhHlddsebeEhdSRbBpsJbBbZapDsyleou1b+B lqEP26GJoHzdQlFNabByGz+l5oOs/xT91/q8Btcxs4g0M8AGtSB8o7hQATLo7WZ+5vZjoEn8GoEQ gJ1zLMOx/CsPf2KTpPy5FPIhuUm/fjS2mvN6Wd8a9zepx16lM3rm+gceWJrVKgCj26fRIGIBi5Zm JEaIr7+JmouPZf1hBEBVadYO/7CSohHovAoM+ihVWSPOxCPk9jiDOAH0xUuAN7azTNtSXmK1evNG cDbuZhMi5JA8x9bpHYAhrC7BRabHjnOuKPxpcl6HLlRQ4BW8m9EJ4rEo9JNQxzdTUjh56AnaV6Lz DaqlLcsopzlqbqfaHTX1fLsjPmUnhMqhkHVnvy5IxCCBUqo4gyjocFaaOVxAUozXBxPcbns2D3yT uMYdq9CbOK2ZfNXlkKPy/M4fqCYxyFLBj5xypH4m973iNdwR84x9uYwTArnr4UEnRZOmSndmkWnU 6O81NaP71HoCJfWa0rlLouI0Wb2sDkYWGt4BTe4wFbO0T2uSsh+1zA55wH4z54t3jowJtkEci/gi gNAfmY6TU4TKXyF9BqmdfsHC2gEJNms8/512kMMkfuKtVuB62gvuONMGRLVbqkSvkMjeM6qgFX3Q mIDaWs84j9g8GzZFtM/E2jDejUv+YIIArsdPAn9kOyL10pIYyijlL5CzW+EiYK9GOImoJqAznto+ TUqNOB9IyviYptJGyXpmGfd8K7QWQu4SaBdnnOFa7CF3W3NQC+DEJClYIEa1y1Q/jpIlnkwPk82U AfqCXCzIJGuC7gpJJDT7VE7yL+Z8nL/lpkByrPT5z+KqvNumTEApBgWg+++v+gbouXIW9xEJTvFH avotKtxEJ7/3z8ZquPdHCl8dQn6jAhlRG+/nllhonR4WNebv/JcYvaW1bZDPqBXZNMeQ4avfoKIk ehADHGwd/9jJ4O7iB/To5A60pLk1ixUGkKKYLxooGBDJ3/jthkskFlBiT3VEXkFlYvKndTlpv4NF /6ta1ImZSmsEsRMWYIIuTdlcyQxChxkS4nSB+uMoOcagKtyT2OGvUwtTLFIPvSHEjT6F/DRgZlJV kptaJzHYpm8+cT7yXDZEIlyxQMfCikQz6CSFUYCpOfpuNzrDYMlYpsz6SWvPqIeOx7n98eVytidk QzTzpmBv0O1kSZLB4f6Rixcqqpi0VX5xlXBX9c0+shC/AJ/bc9wogvFPjoGM0Xz6KUa3rTXTKbMJ rlxEapA0Xg3nTmdPbDNUMTaqRoUysc/HBiFg9lC7XVo4bUdM4LKgvz4Sgxc2ieUba7CHOKwL245/ EzQplDfp0F6MElbjg+NwnqKIYjtJ6a45XzB5pz2BAOvOLIbiEFOZVy2s8/t1miWV2UQrvHIOECEh 07uV49QTGYpntA6TtCHe0MyOXbWF69eQjPPAUoKjUiCPWv1YFkU6HEU2S4qqYEGsoJ5mGA8yswot JJubY12Xw+70TA+W2+0XCXjeho8ISA+wXFaoOS/njie9MCtk++1Xov/iPAufu+Yl3DRjF45yORbM VOOF+UuhcvNetSzDKJvkoGvJSVP4fldONHAxdxM9AMubFrJVHfqTz4n7ULvTABG8rdPiSAYEyTsO FILH23UT8rzQgcwXxyDFJR2tKGLs+2ZOWsy+5X1+yAlkJ8UkeZ0KNkOZOLjuYxfoPxKc1j6U47/7 1DSaDtyBtoaO53Q6VbBUUfoynAbx1BhoUafX8VSwcl6y8IkaE1bhhH9Ehec6vzJPIjoqmH/Ur1WO BCBhrL8yQKjdfTrwNDsUyX4xrLdnjtZKuBeCjgzHNzOVoWk0g79ZMrYBn3i3qzTm+M2ooF2O8SDF 1SzdjVxH6J+MdfkEzUFc104Gg2hkHvtsggswdLrH5W8qcEXnSy0HTD8horCVDqszf696rmhaODAY dy1TDOJeSW/8nQqH4bmdfWjw1yOVbDXE0fhmGt3ypSm4CXjc8vW05jvCtwglb0dp2Pj20AmQcEKp n3TajSQfecpZHvNQhuw9hQ4/2e41wrVXTo0x52Oi20OXGdG477Q10Hb8trGVi+XIDp7CFzA4KYX8 z2IIrjnJFi/XTNae0DNCQmIuiDlgGky8vyRfKLguK9NLlER/rPPV6wR2BLdXnMkDOR3kLHRcPe51 7+a7Z2m6LmgK4U+eyUKPqHcE99mP27XJfkgkjUSdGfoWdA8wRMzlEQ+h6Q5QM3SEBQgjmhpS23CZ RV5cFaCZbA7+D/AvrK+A1+Rr2sKXbh3+Rd1dQG+exnTLqc52yb4JzTcCFVc3HfjAfGsSynynS7r5 XKG6CbHJ02SdjwtySo8P9MGbAojI6izYrtE8C/jkLq1dAHXJvFsAa++DLyXMwFHyztE7jhwxCAKS hO8UDK12cqqvblh4FJQ9TjmFtgDUUQ0VSjhJF8pDvkGgui1Mz3FzKhBKAONAVNsaNuwHSCoG091i 53kNbJuXJEMpCEqBlzV7hsaKUhfcCc4AS9Sew9wHuKIzbpzz+YTYFE/sXcxrXFypG5zTuE2+Up2D CTdpPSdjGHjYwf9DivlVbQOlgW68jQsCOm6PceO/MMmNH9G5UGRknuwwQkhiojmwdlX6NI0n8m42 D6M09zeguV0O7V7Ze+XCYMvKL3jQAZ4QDdemSCD9UDmHftyWiL7u+TSlkI5oznURZq9C5K6+guNv 7qO3b/N9GHEd98WT+AldmqVVCCDaCXh3HM4gPJYpRpeQ02sdvGB8Ltmr6Ul3/tO0XrSZJWvyM1kD PcW4EjPY14jp2bcgBdV0cWJyJw4R+4YYiNHzb7uDJHMIG7sjR5CbwNL62GJAIdfCBsvpXZVpXL6U BOseTf8FUudquVvgBFCm/SuWPiRVhHNHC/Zv2RdgCW8lkisl6nORr+Klfw+M8bgiad1Sp/Ejv/ZC bel+cjfzUQMrgXz6Uou9SJWpFZj82eoWYEzVjIFgJziUznobFDitQrigokYCRI1fxZmMS3VSbVFN bLTAnHWJYRGM+UTBjWxyPOQlbHRigydL8i0IJ0oH5c7/k5mxAR/y7ac/hsv3pbR/A7jJWRwmBi8W JzEPAigy+hdbeoXy4l5VUAnPQPAezTGjHmANlgaPaOeTvz1ndYe4XtWxIWgglpxengn67XTzmQpU nlZErGIHPANqyuhKNxFRJmDXYV6R9k8/nMe5pE/j/d5yfQnAGNAgvFbtOVZlAEaLP60ptp9E3qiO BEl/vLqYlxwUHNBFMRfLks1Mj+j0nZ/rY9Oo95BJwB5ZsXcwn3mxBkqB3QbTpTmROU1cL7R9hzDe tK0Vo8jPWQO2fU/vZ5nL1fXhE9Boye1LHLpgaHO6/XhkZVfG/VzfZ6YXSMsnjvD9GJve6ZKh8CYE g1M/+iBsWpZ2h9hpvkrJCfU4VHfOv8R1zcfE5hbHiw8RnakyrcRiF33pHJWCXfmxqNiDn7gqZQdn bbjFv9qlS2DGUTMm6+hjO/dLNkAVOCBufrg4HTwFD+S6lUoFiJH2byL9tcCAhCwOYD8gUEsPJNT2 hr8VE6eWbqBr4TjK3ACaB5qKzFmPkEkFM1yaAzhCckVnJEQ5fyGKbNM/DXF+qo8X40UofW9bTVvV qq+3aCA+Z6DSabVzQMyYqIG/EfqHD/ewbUIP98Qm538G5UC84MVjNHQF8Y1uynN4Y3o/+Pq8ZT61 cWmcI4aQ39SNTitOk4Yvu52/g5dFev48/RWT15VOS8hMf1JSVENfSmOQYIZ4Kf66u85NSkxkU+Au 5ycWfWy2B/u6MqUsl7y2eOw+t1jB/5S85vQyfctuVc/oUtr5Sq6+1asQP0BehhYoNiV6hDpJdgIc FEJARbzQAgysNtNmH0TqGtk7T09jThgenhdyjakiLXShl5LCO++8vIT/NCwuEIbICZJCEMIL9eth QPK17ZtZ/zrv7IUfrIaP1k1cRjmZPGsefzmBocDZDyxoJ/PUuS7Wk8264S+XSwhaI9Fw/W6PYXCg 89YNzLFDjWWcL280r3BbWRzMtHY3rziIJcb4CLN9osBTG/f24AyCV+Nhzjhx1PlGh8A3PVH0ba9y 5/JLnsOBmqySw6xJ0a4O8lf6CBQr/6V7s0bVSxVj6TqMrvz0KRJEs0K8xOHuli0bD2U3+LYpot6h OcviikKP3F43M9xteBajJeH/y2uEIMyPAF4qZhCu64A2+jPDRGRNqCzVeK86O5cGoKxWG6r0swMG Q6Y4w8YqFXzVYj5eRiSTA3vrQ95w1HZ1CjiWuN+qn8WxK3oGKad+tVfsi2eq73PDfvJdfBcyarD6 7cH09zIWW6LrTUqhv0d5aZZbstIls1mslS5I5F+8ta+zusmn7EF8EYICQwS0lBFryryAt+FrsCOY 0Cwwc/CgApa63MAG+prfHoBpoAb/zh65Tfy20C+mbNsitLHBlkAyD7EqepxuYIH6lffTrSpgfu2F +v36OrZayskp/6394sylalaTRokDYEpO1yqmeUqXzue/KnWaq90V93EqQ+6XwX/esUUzI2R3ZIqr n/M0QlZc3cADpEehdK78w3+iunWSYyRHc8FubB4hgZWlc0JV4Dt7htCPeM6rN2D4wC/+T4d0wZSb OJaxG/7UlvzZIN8Jd4kNJ4KDm2+wKqU755cd/mRo65MYcukwluV4DCAFhKGJ/50a8EVa26oZDL8B j0c3BgOM6++BXTfgqtuX0imXRKjb7/GJI4KETAf9JlqZ4R8b8+S+OCEMPgbSdYy/+fEwQQM5b9pK pE0f/QTfWCn8k/NxcfqC/C3M50+oc3ZUS2oc/gRlgwXy/146yufA+jz9oqizCyVoVeJ5suCWbUxZ Lehy1BGFsmL+FnMXeSx9rZZK4+z0T3B03bG9fNoJS8Ddpls85VSF8yk1UaI4z5j5rW8ZVnkabora fHnKvnbEWDrt3nnb8kAyNLHV2MhGCUL5Rs+aCJQuTJRsbsm/URSo7scrZaZJM0bDnJvnRLJSSBwi NrpsjRn2vvMZurz4whX9ovheDVXX+n3hZmPj4lKqCafH6JC2udeQsn7hsK3puJlBuRVtRKgD7UJO myk7o8dNa+d253BKZu1yW3tnk8nGrKvqUDV6PVRpTPd5c1Sw+sGeWRQya0ZUlZ/pODk8OAYZU292 8tzcfHIdIT0yOJC6U+S1QjPYSajS3sNUJKOVDI2dyLn2Rlvl3L9zAncIbzOQfmQsN2ATd5NC3ke/ U08zgG+junsbAU3+XvyRFNkTxWmVPEqDIKDs+oeQE0oECKwiLtyFrA5unE1lIMo0Zog/GSrytYl+ wuhf2VOMmPDmPcPA8k9P4op+755T4jeze1DbbEaxLcM2r9WEEdzvHFoDN7uL+TiplcmBbkjjvzSH X8rbOrbgqpfr9+mVQPe2CI8JXFfjaBTQBXZ3pm1JHvdeAlnHZNMVPuy4oCxkMuZachYAYUWFZZ3V Tvc2TjuM4Ncm4AKpmB+Z/YnrfSdJr4JfrFJFEmdSGcBKudy6wZQpmm5iBEtVNMnwbe0Efaym5M3/ D0FDB/HzHQyvDqPC22BHuuUqsDXawIax2Wk8UM+fMiHonOrqIzIsKswJF7KgLaluwZ3jU+kGRG3k u0q2dr3MOL2a94OqQHi820d4HcY6RYtSt9IfMkJwq62v0TF9jXLx5/kJunsDEstXeWyV+eKSmf6i 2wQ1i9PEW6qGPsobN94qI37PI1szk1Szm0AkorEgnfHDDMsBEsJszSyM/XNkjGAO++6p0Xb6zVlg aU1bgtQRqggpRVcRDhmzTA5QXZJr52ZVdYGbexwK0NosN32KKJGjf0Zxc92EiYM9/9E2N9ZMZCP5 mJQ4zpmUO3cyouyUIQkp/olLuceWEV0GafZxQwn74JyEupkriMkb2d3AHEdITKnRscrSmAPOkfBI u6AKuu0XmmPlE+pfvH/0Fo4L+9vVH76kcSJOv3SvEPk/Hz0aW8iAyYgLzJ+GPsbhMMmlCXST0Uop O1pLKdp36jHO7E9jg/TNphZ38kySkMOgJ6TjNWUZDrqqW1SyQbKtg+Pe8ly5ve5gdpIPMBpnmO5u dMCafjf30z24gnCzNFzGmExPvAQUHngl/EU3bQVNKoOdneSFOmBCdTaEx1PLlIUx10SYcyD5kDFt MWfSJcoApMCdQAFHw5O05ixUrGL0kf6GP1ZX9eKnrh66oJ664O0HyOdRzBw4eUXovxb+PD8z1ZjP 2RnyFwidOKmAX88/rzBvZydv3iNHfvzTOZdeRFks/rXcYdE9C+aDqVi0LaNhkRuLju5P9NzkL4BY sq8DJdyxdJz9A6rvlSooEE2T2OltxWv+1Xcc/zepzlQeEGQWBW7Lm5EMuMTAyfAyIVxk35BwgLJw vl+edxPBWrLuiMnvpej49dzrA04STNJm7vHGAtt2fvBLube/wNgOhZDuP0e802VRmcg3V7BLF5MX RGPLy2UVuLEliaAsck04FPCdE81MvxWBhXDi7CAOeU6+otV/1OYuELKQDGe8q6EmWBXI4sS6D+96 8eGZQsRkpKnP/UG25zZVxzNWpVLi+jUbvMkSDJF2xNKbiD1TlSOH1x1RDcddtZKWqI1ua2pplmaZ ibG+0Q5vQZryCa2YRv4ZHoJt1oJ9BkDq78w/gq7w5YlijPUp4DKETXGUqbsy+BRQ7z16/8QumvuV 80DpsPUz1zeDXC7HJwSeuERSu4fvDL9L+sNrLyYE3oI8hxWeP2DCfwBWjx+jBc7hjmt65eC0cPGR mpEtGX4Fi8L6w/wSzjhROmQ3jtwCjo0+qVME1eXTVXpVie/zcpbEMPGnrkZ71G1uAx8J2ZMcxXK1 PRqyBAoRW5yTcXBHaXFxd8Ko+XC3ggRJiGU33YlHWW0mjRDa0rDfVpXsokeNNn61EYp9GgYNjmni 0IHYMgs59NK8HAO8WJuY6LTJpZHGmv6L7aXuETsYE0pA7AF+adbEjjrF7XNMo24yxKyatlC0PX2e MRG4rU52z6Fb5Wz1PCf77NARW1oW4gSNdUVGUquKEUCJ5l3LgB3QpVXCtwKJLWEPizJpQ87S6SMB XaZZDygm2fKk7jIOygCKNg+jJGUWFM1pHot5FnzKYtifULELnBXa0RE6EAh/OOu+I0ud9Wvk5e8P ppkJ9c5KaErF8USwyPseUOx3z6WvWzDLdldL+P4WyU5DeTP9VWXuaN2GPOkYXl1Mo3BOSy4vjTMJ VAB+IBsmyByVxGOeNIdvAmW6aEoJF+jD2yJkDVmJT3Vq4U3CxWkqBa4iQdYYwW9XStL/919LG2u4 ieih3Yq/YO/NreR205K/SV0yh3T+/goXVI4UJjUKtlUvCDbOnfSQQolBcKfbUQvqfbk99PGURqHm 8RV+VpJH9D/Mu/iJRbl5cElX0G9w2/ovjYYUqctThQMPX7lX3/kiUke3GDScMekm6/rTyk4wENrY xjen1atYCx8zj/yG5dNTdg8t1ZZaTa6eW8EO6ziqoJDUI69PGf1nkvll5N/5rqujZLjloEwntUad rvuLUJpkjfLeXYPVxMsM3OV/bFHMSncwtuRVUlW60bVdRZfrSaX32scVGJe6byle2xm1avbs3SCC zs6XqxNBy8eMI6NMkhuGS6Y9kVZU1/5HQ0cwtONRRzEfjZsoBJyWytlZ2JWq0JjXzMJSNaeIF+kZ 4UgVb5cVWgpHaEVzZ/lTfsYKkVxQuRHTaDsfjXSUJ0Wb7VQxrzh9BCa31sv3C/wqMws4YEcD4Lpq sXz4wXtVco2PkAbnSnkapRk3hlYVfsSeGbZ9M8+N4H+yreOD8GJps7Q4WBzgsHkNIOU6DGYjG4F8 INxAo96ntAnxBZAKYbz8GEpx6qKgbtBstjACzdyTGw61Mjm8+GHn0Nng50K4PfpjfiMmg4ZawoLR 708Vv3Em94CXWTnFqdcNHnomIs80eBt8nyDYkjj6KXF1fFFst2lO5MIqFoSJjCN755b7gGGwjGPZ R63GJMM87A06tARs32Zor/lT/d0E/iWBPEmqhQa/2bdFWt+ZbUiYNLDDfrD8DJjtyBnLAgSf8RGX awFl0Ei9AuUdkTTaC4BfstfWqUwYtcfEPN01T+d1hmjNtJkiP0DYRWD5YvrwqnfFUgPGV3WatR1U N3wGbPf5Uul5vwNxo2GgB+738jLhdim6kP+TRfFArDcSjhUQ3IIpKdO2BxiHGubxaWyfnvz5LbWM rca4GL+W4LHdCRgwbkZB65Xlb1nNBO7QF1cHjoVOSf6Nif5tKF2Y+2XTv7OQYrgYwqATGFROypUq ihfAb16ggFkIEbnqo11u1is1emZgNgvZICBdFddVRXq97ISVaoQPYMCZpu29Xq7XGN9DyWduOCY1 Xlnp7tpPAuTeNytjpYtiiGztOl5XNzb+/LDQrUEH1g6KIBqvq9J3QuJ9uALyQz7il+yEYrm9kkij DdDwXOIVb8qmjDGcW+X/3d9kwIUJa12Ptarh8YweZGE3pTTSz4sJ2OupV+ZlxqWzopNQrjaVxOyv mXb9eLlSRC39aUL1WReWQh+JqdOxFyE7YQZlFzaGSNfqa5luC4WzRd4YaG1isxMkeoXgjBIN9YqO ZdTrOMWqZBwMXeHyqLUAWpN3Oop6syVj5kk3XepeIOxqQds/jMx20p8paWJho9QpBEKJBtTHNLQJ dhEydvGiT/uMRsRz1X8y8M0/SA6zcbkqy5em/hNZ80lnJP7WaER8JRRkahfSFjT96b3NbR5SWAvp dttKFtUgs/SP83TxqeReqKFZfLOSSxLAKkjWgkNw1VXl4anXl6xfg4+PSp/OmKzgLrNhZ30IAtwD 6zEKdmoMjtVWug3s9wuZo3wvfJX3JWeYKU/iKfyg2Rb6A7Z19cW2X8niryBpaRbhAj3FCvbu4gMY 69ajlqDbcQWF+Qws4OurkkzWMg4SQVuTdWbwpVoDYh2PP727NLwyJzcauEz2kLclK0RN6Fz+jIlp LwMOMgQ6jjAPiWg52PioCG77GNlnyp6eeyNRFt+akPILO0YRtxw9IL67N3FDh1Eam/FoZnsP6jXx 8G9hxDcEgzhSN70ZkuAwJAStfr2c4T+NDYp7BxsoKqz++2oGSmr+ds50tSKeKsJsWyFkkxvB3udk Alx1c7IA7E+29BtHfFu4bNukitQam9/aFK77d433MVKBKuz7OqN7qZ4/1SWfFDfrrbHumUpm/ILX jY54OweXU3VL85sRgRQefoR/H6dliHWEQO7iykofhKv2+FSItmxVVMWLvEDjl/MW/ZB15fVpVGcI FRr1SxDW+d53eECW+rTTM1lpKqkXyeA2hO5+zoqbXV3iZOCy6WXOfneJIeiiYnmDtN/l8zouOFAC x6g1PSsDOVPIGgzIvSe3kdFPXYAYloAUXXkVY2B+KqlsIbcam663TUrEvW9UT6RPqVl8K1IOitW0 9msUiL4J01Qp2tIZRY3mC/O+FvMh5dk979bCBiwjpWYIV7KuT/4vqC/dU2uCZC5bvwboUDVqGHO3 zHAK00massUtj5vTzCNzFAcC4ab38P1sSrkd/YTQth1aQQu6JPks8pmPXKTXWRYMCiPEWy3Txrba 1d7TnFRJlRM8Dub6izE904hTOXVN1hlX3rIW9Qrpu7CwJAFgDwuiWcpp+ZyFzEgXL6euiQKD7y60 DAUyd9bJhYyniEP8ZsOjncul6jcBObJXqz91RDA24mycsGU5qy5ejSjmETwgBq0573HJ5fpRXlxs hPG6BGJWNNnmuJfUmqut20UTQ/glPWVtl0jN+buM9x6CaTaDn2cZL+3tBSXW+rEHDopBxOfaTIf+ a93a9MTaas9TOsXX9be2zMpO0Mdoml4NoK3ra2FjUI6LmlkUyzcwy4rWxPDZrUK0EToo4fkhQv9T B9GZZIZYKjSdWhr+UQKAf20DYhelx/WTvoEtFNTnhx40FnH0N5r0ZiCjPcLeW9IQW3ISPrffoZ7k aTA9HMUIOJdVsk5KDnzODV5TeqhUW4p79fVzUw9p1ZxSRTyo6PWpShYPjq8KvfrKCi5fx3Ex0Nnu kdH8uqO2jl1/u9QrFQXEivLZUbj23IRuWe57CgsInMJVZpTbXrP5JEDsYJvMwcsOE7CKyYo/wWL+ mNY2hs/Z0OpfVRcLApJzlDFDdiTTKIPAnn63qFiCpiyh5a9A6e+MzuEtxSKB32BfBbz5CHr1gYQy CQupaxGWr/IxRU/fGcnWGfr3ebq0DqXooFQoCid6VcAc5evDPk17w+K/vEG9b1atiIwk33AMi1Bz pKapy6/N9GPWTqZaUZOZTzMbOmCzpgC1IkLOva19GRjSNYenrJIainUcTWR4mxE8LEbegRVoHIyE dGOiLsXjoJdnsHArcTnqVOOtume5anH3OEZqYeamwgpyPQCLULNmATgSGiu9OS884dRaWZ55Aacc WmiTJlA7tusoN07w9HO7rw+rONIkoJqMav9jojpiC9tQ697UK9VPdrb7Wz7awjJDdrZahue84EK4 2foJbOHgfLg6+oRwxv4fD0zFFnwfgO4edcd0ptVhBt1tT6UtZRMNrh2ifKB5FAURP07dY6OHEt0m xXNI+oKC0066bbA33O6CN33Tv0if7dq3KgugdXIoOAcWaJWQmu2yeq5fRjDhd/35jbH0qAV/0DV8 +RDTvEOixcryvNFsb+UWHl5WfXL0UdYp7ylSF8kZjCUhfY0pPx+6DUn28R+Pqee97JKEPpEsNeEV rQKQLkfHsLzX8xNPFNa/chxecCHff5YxBKyO01pBtCN9yqXsmbEa0SYAW4o1QsgFzopC+GE2+BDR bYOTPuq28wYONn8Wt3QZlO8GeRj/0wcrzz9mz1map+zRE8IEDPT0ZT3V58CkGR2y2002V/EaQ2ZG h7b3LUAYqZ1CBXmknAmwt3e18d+PQndHdW7ar4AqK6n0PzOxJloLVmFfuGEgfEzzZ4LRdFOdBDCb kTPPXnCC7UpLyQhSKzwwINasEG0ieS1G9EescJe9wIt5MbNonOmdFjkV3ESetZI52EgGyowkCEr2 PlueTO+k3SGdrYjKqIRULdj7OemRSpg/0sW/7RAytffpusPATe/60qlLbBz9RA8IaIsvKk5R7j6k EgVifHEHAmlDpQLfVMH/aWvn5Yf7YlWy+rWn19WRsXA/rXsT3Egqd9fmIbfaDTBhb+VdC0jSbh/a P7ODxD1YzDVfp3eTg+f6f6yzuT9kJDySgsfW/nNs7zfVPePtaEK9bSGbUsWdV0Zj6XnqWnOG0TeU ijv1ytrq1r1o9x6ed2Re3e5w01XUrYIsl3EwCk/i/QbfrsQgWH+QdWmf5luDOfXfKXrYbeaF4Jj0 wWUMaEjvKncO3F0kX8/zpKbXlDkXZvzm23VHS+rzY6i8N7m9tXpyt1Se/FEbKexQ5UlAYCu03+8X 4dobmXgRfruj5SN9E/ppoJk+emW1nDZQdDUlqxqwSvhQg+fMVgw16P1qj6WH4o5t5kG7gnFlansg sDUhtoKfbyUK+Z9ZM7QkoJHXRXCmq7L+D6n+aBfXj3VtxOhE6IQzhv6vRf+P/7Fhg2U+QHX95Ik5 U9xNAQcKtyWJy7PZ6TuxYdhcEsTtWprw9twjtG2vo3QH+jaVzhFktuWgiifsN4WIUxJGybvU4R3A VN2nKtp0zyLEjW+lP/qI6NZoU36sYpQjYJUjYsRqwdY0sC/oajy2XWrwcUol68geOu3Gwi/prO/6 o7K401NrQhBCUT+xViVYPcvHZe/OGNVfom0qZzbxwRO2dWyMoXO9FGy34chd+Jri7HKOfbPlotmA UoRlBCfA26xwmXgweSgqfI1WxhMH7COvv3zvsLFjKfHELjjKMxEdu17WDTZZ25Za4OSSXMqjpjZn JIhsoLJ/QsaGFq8HPp8+X3v00SiItbL2KYS42X7vuvWiBiwWC0QcMcZ7v2A7Ywc7W0xlzZUilMA0 wh3PIocGvREpPivck7M6tWFnZgN2QTb2rzvI8QRq+KSEt282WFu03cFhpAy7BPAm3iDyMzPxx3qe /29IRkByWtjNmXE8N6Fz6zdUYYRHPR6u9quMaXoarlMyIC4oYTqeT5Q/L/nf63ROsifOOCvCz1Ew VfMMbyrk2G7Z1V+QyG70OXmU1vQqRAa/co0hqZGHARt60y9VdNSm4b8R058m0yXRcq/ZYsQfPtRr pSAlCR6E6Tvp1RxFGXBsggaxx5ng4RqYi6CAmiWI9CW7kbw9DfZjSOl21Lb9DkXDYQZ48jBcPx2T FEoQAXINgd6CE4iJ1q8+yOePW+XuGTzV14hM38KvBkJUzSIGg+o+y3eXyoLULcY3M+s8GIOhiZSq xeUSpk7zuWk7paVSQA6goYpQxhpyU1Zu5axRmoWd+tEsMNq1bsUhS+5GcYOatUV8jR+vsLuMyBeU ztzapglqnXUkWBaeyi701IjCwnVzJN4pYmgv/zhvy7ANUr0WQIJo7IKSlVfGGSATKufSBSjTwDIC dGz3UOI0opkuFX/7B9wtmMsvDSYyNb4mNJt7BBDhORYIgExBukNrC0nnBI5B+RkCZu1TnmTzWv3a KTsQ0yPJkaN3FJyP0JUi0cU5i32hNj/gGh7AwybbAGNV3PpMIgKpiiJFvvewy3U66SA5dYoCWzee YL23vTTAISYW7VJmCXzNiClnuSfNxAn6QqJJYB9HyMnlM8hAOYn0mOjIdcL1y6DXDVJyg8hIqgAQ 64G/4udzMfCbWrgtnhg+buI7aQTrWhzU3LMdRo53zO3vQnK5K8OQYtth1eJfokOHoyFLWKwnEVhK dLJ4WuQdH6vzQkioP7luNc+k91DltogkC3gG7k0mepmYdETUJrxNTW0Wq3OSkV8pdjSe4u1mROYD sLKrfLto6vS53/yWElKOi9KEerw6zjSFY9Qi9JMAys9yKTaXfAglBHExijJpxJn+mHzw8PE0znMG Gz51yMx7H9HIMPJXyRMvKCVZdXbYx+VzBRSx3pqJT85CRC3dg71jHR9UHl+/0P+G8IKAhsw+4GR+ KmAdygz1rI8oHGW59gMH+LcDEglgwpgLGoPMJqvlgtXha4swXwG0Q93jmxlBkyN5LKm8TveATM6L NKVyTZdip/QzTs4WWd8eNhXuh4QvoVP+9udFmTxVSYm9LPy4vb84iefUK46emXPx9fHgUcL8AxNs iWI5tYJi6mb1rCbkgf0Vx94EFARc+mf2cDsKcXdN8l4wlNo7jwIbHIfJ/oBArx0E3BKQqf6tG9zR FOaN9NysKQe5na7AW+rC0BmO1Y8YExgjbKuVn0F4gY1cLjFBqAea7LDZLu1lFZlP8vIvubdaBRDV GG1RvNZVsrLK4KRtUBMVQW8YBm2/FlyahER3hd7MYYpyJxOafmde1oUyxUh4c7WnxY/kRzC9gH0w CzScYSiuhayF+7c4pLrk2puDmoQFlGsqVu+kxRNgh1juOqDvdlrn4og8Tj72C0bDUkgMIxUvbpbp tZPwhe1PaCiQdpqinTExFm7FEkRTu0Ymd68N0urGgDnEQZ0sLoyAAsSkWSOpXB4xtfSQSk169aAe he9kDRfu7pxtp07F/nU1PN5xzAThsZYmhdhLBScTQ2EZ2v2orIdlt/FmUeVBpP/7lV4vLLIJdPKF DWMEvqN7dwhT5L+r07UcXzYYP5lpSnDg0YzcnmjHFAG20GuHJjXcPpA+aO4jij0yjIREtCMT9dUi 0rD7A1PTSQk8rpsTdsP3QwOePmh94PjBoEG0OTseTq6iLqRw4eXY/aiNJiLuDngR9iVHY8Bf43AJ 0z5zzHy+BCk/oABV5KWkO832yukyYLn3D8ap66bw6w+D2WRECflLYfZV1yfKEVYQaK6V0cGRQl6S c0byfhYN8c0AdMNgVM5rjpAoN18KDB5xsnkt6DpvKvfk5sNZlujl+Ik1kHsEC0a6ESdw57pVPJYA qIAkfz61fqM1QNQJUQas1N28nb0sASIp624jDvrbxLcT54lHVoLInyo/Y8zUDpg8oRkPZQ28OntW j+ICB3etynbA3oUA0zcgwyq6lF9vH2s/I0G6+zXvCkuT/XKwMLkttiKanlow7zHougJkzSSQ7YYn nxHqHvSwXQ5CBI87sk7aDgbhd5c7rMPeaeqF7xAswPlsk/ziIrU2+Aafz9n3v1BNysWwH/L/xhgA vEY2NIlA9VLOEVhZOdEcbXQ1vDbsgaoMEEsaR8lhTvSJHXA4Nc+suGvcfr76qvkI5K12OfGiKxPM 4yXy8IkcgjqlD8OvPYeOu3DD8TbzWrDB/GM5AwKttimzOep0Z4WxF0R09zDkm3R4HunIRzbyu0jy oW+6NwX0dl5ioNeUtV5Jug9y3vgJ+v1bZQViKUS9tasrcGDAQnJloTZnrpkTNrxgZjSmGtOxPc7r KY6Zuv+9YqUAs3MxfzZB93IUauDfhI8CBTXmPyCEsz8d8M+1qNX4RjsuUpsMMGbxGZJvjiXhh7Kq 95ZdWaVS1obDcyn2hLpXUS8zfpq73/cp3JVHVtMhUuTntveUZNyGC+bUR9mXoSLV05B7Kwu7phcy tMceJtxuchcD1M9LzRYpLRsptZkick3DZj+XE2/tw+T4JV7YK+dbem87ddW3innQcbBx8r/nudfc eAn31FSOHZZawb2LHzgX6Y/QwSLanJrL9K8VPfDKMxCxJ+XGhHlCXcxtw9ibGTbYfbcJhudI1sTF 0Qjy+wwWZ6EbeNUlg/swAuK6ydlt5LhAmjEkSaG0PR+5WwGlA0wJ5kbi3LseFfXFu3onVEMyWuTl GatCG1M7ihGm6jh/yRjGflYzY56RYNJaVdOcm2Pl6AwLPAlAKzYBhqFl12LokJBHRvPD1WIzH2B3 herHeanwQ74YuKjseaLpXF3EY5IXaTChe1L1a/zzg4FshtZbmgQaNlzSEegvqhi1ZBVKNm2IEDl8 ughohBqryvvrfKJJ3Wg1JMRHfkhxQL3SZC7hyKnim5FcmgwyT+rAkEwlPy2T6wUcGWy/GnH6/W4X CaxoYouWsM/R0gB4B45hXh2svsWx4Rz1Pb7vdNGfMJp1jdwUa3/wQUVJL5XVeVPN1gnPRzSGAIq4 eXC9GtLv+y0BbfKleQoPinYtkHWqR0k/ERV0YqQSqAtbiGzHcIIbUA94ykFIkPLaHMeNfkA4Piwf KQ0Q1pC9XPrJKTAijpChHkg5DuSwAYL46TI2NSKbjZmpZKmGvl9r+YgE+i8kyGQl6P/+4z+hcWqv Y+CzYxdgeq6HHWHhtqWvyHHsDF70hbXzTUU9W1khLrXDRNptdm5rUx5a4QG91XMe9eS03PJ9cxGJ O6B7CiS9SZITfzCIRF1kfbHaLDkoDCUAhSRTibmMjpZJWM22njF1ZSlXEotLHhxrLV/++KcIl9gd OghgVkucyxUXQYLSR5emFXljSjm65i3AwGexptxXPeDP62AfsHvlLUzHPExMd/Y218FZtA2qoQew gZJeFzsTB9gbKxyCJz4n7Cnl+xtwLjUgVY6hm+QpB8o11ss2owaHq+CqHeNSURysNi5jYDAG8sBz T6I9srsrJwEiBo363TYSLu0OdEydps5HmdixJEIwJ4lCf+aKBeujK/ERgjJiCpOGwXiCO9H3sUq9 Cd1rYl6y5BhNAZowA0RmNmq4ultZXTvfFWNTXqGmywIUfF7asNL6yJ84MO8uQftTfa4iImZC2Cd7 BE4cPw2J6qFn7AeH7fQkTOIg5FS0FyX5NdJffHjzhPz7ZPgp6PRTwj29eNisFddMuCr0cl7VeO92 lLb89vS2jw5/W7ztbvqx7YD9Vfz92MA8wF86/C59NE77mycYS9NtxcKZFU5BNI7k+Vz7WA1vu4Hh pwe5t+CUAfw6/SJm3Oo79eHOiBsVWEaqknNwTaOxFGQPKxV/t0ohGS7y8rCpsm1Fe3lQVeeiZl0k V5N+8yRFzG5P93OvesZV7SySQWMkUulHHR7E0wkMTuw/RKsoJZr5oRvBfTYNIIODKN0ECNBFyZDL odT9vw9flSgTrT/WrRNQIwt6PrsJpy68lcjjrfgjHfTIkPmr0Fps14WQ9vdCK5M+powSx7oqV03x +Zg/5GQIHMMagAkD0mUufrvb3RelWcjMlDik/ZQBW+hGfWNTbca1xXlaQ6wd/VzGo8ohmspx0856 UnZ0HL1n0Iwp7CLkp4vwZrnYYemawvNEfWx1+clLiDD+xkp/TV+cWljFIDhQIFbK44MH5fNkYXgj ig6zRC4E/yfTGm9ujwkgi+aqRw624w9+3WkOSneC3cLK+L74A0Nh9caWacLlrSgJFYnsslFZl5gW xx8xmvnLtlBbqi2proG+xuxVx/ywJmXF2+btmwvI3YbW0HwaqhDFm6Zp2Ulmy3VYcHde6MwqKnOZ YpVzAK793AIVsPb45xeGN3ifKWnLogA6MY2fyKj18QTcp55S5FAyKxArQj+mDV6LYjRVDPQsOvqK FYjrog58+dibJtI69oKfw8Fx7Ic/PoPiCCYQtzyRmsggkfia8KBkqPhelNWYYgrgNZyXPuq9TlNZ rVIzpuxzRET/DOD5sCi+XNRA1+c/MCZW0yKQsVDpLQwin7FiZWCytUMFF3+H5mthRgFCcLdBbcM8 fFf0jmnYFUm7l5oOVQOZvU6fdBgtzzZPbO1s/9pssoEpn2AuPnTxLIIliMY7HdZI269DZxS9zNkM Z3c1FCHLXvv44iTxSGBh+E27bo1KY8SJCrgQEr1wxhO7L/ytOkZPjy9qe0i1onrQBrfll4WA0yD+ rIue0Ucc1JSpCAhet+bsmWZI7NaRk/L1LY/Hp/9rB7y3KAs8ou0Kd09InV69uAm5CoF9N9BiGFbT vIRV7L9IrVFXKjQ5Qg8d2N21XKXrTVvZXl0ILwQOLcpBAAuiONEl4tFlHbvE5IOp5AOVQO/O1qZJ HaifiABNimkenTOnrUcj69zBiLSN5cFKrdlnOaV9AiFpw/yb2J6tmfstrkFYCnA6RiMs/3umrcMh 5GYKy7OK0ByWbmtE/roAnTtOqZzam8b68s3hZjt/piuISW/ypAAkJXiOa4Xhiw7VUmlkhJ0+/8hO xTDvaFiKzs3vxoGMS8Q1MESnpvMU4a+4rbNgBrPretleqC/i/QlS8KixbObhukePu38AybJ5EYTi OSkM8c/ARto9ZyoPFieiu9l4mNVh/OsRh4/XtqOTbq1HMhpT+0Dg9wVQ7WB8XZIgThjrp0zsKp8f usrZXLygEFCb+PzdZMfFME2TRRuBmntlvFxqfqs43NIDqh2BKdOVHv71GD+9GyTof+E5EJJmaaWC QGRn0II2tkJWf3bQ7KOJaTBM/VRtpxjLveAc7qtEWI4GjvBGYsQQuweISNzpjM6qSNJT5/mvJqvf Eg/d+diX9naO6sfV9V1czb1daAcEHtjEHweGgI4C5pWYeWJx0ZAd4WkiWgVuYpEUtUt+cY9vrJxo xHuFy8gGaPoj6l5oSQ74kr2KIXeRTIx2GRNcfZqhDaNTOSXNYEEcssxL0qQYqFhaRUDED4D7uG8X SCFqJUcmyrNr0M4k6pezm3vNYqqWpS5nWxQIYogXcwbHdw3gJcMudq75IT/M5NQtCmmHz+gdS6dP OvtJjUTwosLtstC7MVCh2hUT3mNV4gWuJwzYaLm7KeiXGZpht3mWtrohozdPKZw0bQ0i+krawSEl wquM1rn+n97YKd/odcfHgOcarfexcwhSbWqMR1DAbFkG9arML+tK71xhw0DnDXnb6nHH7CccjzJA eZUFrGmZBIo5+XjHppHmKutkRQlcouVHRRLu4gyjIo5+Nd2b+XmJOcLFujdzkLUvKEqSaFffRx71 GcIk9DdAuPo149z5gyKWesOW5IIp4ZVTQy7MNDDvaan6sKIDro+WpFV4uKicz3seTpn0h9vvmJED +DtM9tfxqVi1uSZhfyv1AkSz8+Z+uvQpK/yXUxguhoKYwuu/di3lKGFFPxmB+g8qguHL/BxyYJQD wVcD0yCd1NCrH0j2APivGZSDYKB7HpIKhhnMG1pg01dkAIFGGRvIYFrYwsNpGyx2HwL7KgL2nwvS Z7RrcSkvIooKXOJSuC2/E54E19axbXmcJg3gdnvy2Y/aIpLJx2JAud2F0t2e4+Sv29qHZuWSSmfz 5t3pE7g3QLbpPLA3Vazl0PIJVAKhKHfywG+r6PyJ3r6oxldpBD7IfSoHDcRExFitcPaIhmJuAYWL ycqddy+/7JSYsVGkRwC1PmXUBQoE7YAA3PWk8cHrn44VBJE0/RUqLFW+kIUHmwO6exOasWyxz3lp yNWgqm5ab76xVvFQ2gZeVFLAdtcrqQTZhDnj74/EBzG9KV0P4QWtQYQq+HuzcgIseHlVnsO8baNU j8w7iQY3HRAl59uA/rzPOsSZJmY7BAAaY9fxrqOSQbPkLJBLImFsC5wAy8TWdChd3h2mJWC/S8MK Wcl+ybtq20eRQOpKlsloyaUY2a/shsx5/xrudE9aMN3IdOUud0UI7GGIsI0gkU+FnLSRT3D1ZFYB eeZ/MIK8XBFpyjVdD5sPhWXEIsz/TJiJ3djwrQB/0zSiPh9PrW5dyYb4nr468D3DVALDcixYHnNl TRnfVjGcUUVrC7+0QOHC06T0vvQ5rXvBmEWMTk28EugyBeUYfWa+5Q/D7u5XSkkdIep5vYNaKfL7 fEX8GtOp10UArbPp/PSMZ4GPrZM0Rd1592ADSAcONWkJdYL2V0Dk0S79N8LlrZ1beom1DhJec6Gr pGcaokz0eaFKL1VCigRaHVvYs887cvvohZ2N/OVj29zqgApd2b7KgtMFzdoFMSJdGD1L1zxCnn3E MNsVYDTgnMRXf8/t7qd47Zx8EhwISwj4dKMEsj48D/nMKIDmentC0QXLN18t5M1Ke51F3J5VF1o1 bqBDfzeqZEjQTsxIjcTM8titktsAD47RvDwGxILVDFLOWGrHFLaAS/t7et0jYC2vjgWGO2Lk+YZq AxMJBtoYPm8ujz87O7pFiBlEEFtrE+TPa+5YjVNZ7PFEQ9JdBkMmllh8oOrsF6Tcn34ufrMFPD8J 8QceS6G9Cg5xqapF0pvZHdZ8jczut4TV62WteaBLJ4SRwyF8QiKXJSqF45SLvejtBWDLwe1fgw6i gq52N8uVJ/sbv9YkYiK1tKYPSn5+eFMAGjJV3k/13LxEyB2wvYqW0dMlR9jHBKUF5fnDM88+9viP 1fIpo8Q1yE52HSJwVg4gkO0Iqe8cXw96pHVb1Cwss8Z/oll5pX+zXuHgcT7kVMNVOnR7DmCgXovM 60o9bKChjF82gJh3uDFzQtpLl6MqR/tnwAAvCbVzNVerWWu4Aztlcbq4Js8ef5UfvHMzPhXaFGzB V5JVwWzzvrHudSfLjGXBlTASRmxPSLNTpujQRyvaSDBZ3B9LouKxlZ9CpxBOafvF8O2+mDAp6DQb 2ffh/AITYSN9AoXm9yo2Yz99qWmDdXqAUPhKfqXdM6YTGPea3O5+6HdXVXtHQl3AUhG80kSjJEuI v03Jgp3eXwUyoso1Y6UEYJbJAPReBA+zqYO/tqpUcE7H/a8jI601t66D+Sz1h6H1aU6AcBVXOJJ8 Ir8YTzEFXNkuBMQ1FGzd1OLw3UXOIibNBOKMFhUE2toy2FzXy8MmyYMalEGiK/78sj8saXtaxXst YrdytIYJt3HCuETJD/MjOqEogZANr2jeAlFNgi+BlNvBTuDb+jTdfG8SH8CHsIsU/ZalrNQLBBNe edr0oRgvkzloQfiHcVxRg8BhDP3kpus8YOf05S8Ap32EiNxG+sq+jCmb5Lsy1zVmWN8NQYogAYmE MDs1AM4D9Ue+U9yDE3EBIi7wgTSXqAdRCkKkxvV9H0GAY91U4burMzj+NVplF1nPbVaaiRx17XdE 6sOXa9UjpWqJPx+jbt/melZblTKGUTuq8wYo8r7H0Om28lOwtHd8O6ra7oym3QLh0+F6NMSQ3Zt/ 3fUGthrhLB+44XkEsesWC6afWLEGzXcOmqNaYS0EebVEhj3jVo0AncRKn7N04RsIyz/n1NatcxhV QAMhMceSUzvi49f6jmzyYTZdMqcHBys/kGLbmb+AAaJ0fm2y+zhzey6wzoFy2qXQak2fT3qbSQFF P4VoYMvIuMsuU24KbB+zS+ywuvVMQACu9F6ocsqas0O2m3IK24Ii0jC25IWuYQYIqiLa/ByHSzQN NqQIigXwhPR5FmQ13T6miykARyMGB6xFOgEFfqq9R8xk7jzYbTuZdhaA25qJn2rGaGts44EX12tJ iy5lBZgAh9qLtrR8NVb7FNve/c5POznqbQpa+BgxmGnlJl+hvJ8GQMwbZHSsm3PXUBhZWR7DASP4 45uj5uuqYMnKELsHNlnDBCXeo1UhxxyJ3eyoyjNW/ma/OEs1Fukf21H7Emnd+2p2nAZI6hXcy/3y 7IfT4qs0gBiZTIGmpyf0Acj9NJxKK8jNjGj+VJYjauDCi1tJ6KtYLnk+pQEjZ4asiX68iXFhaevC EjKXdYOAoWqhbHc8asRVUiWEezSFE5CcRhP/hf35lzMe6kQ24uODGlksJSkWP2G/xGpmFOxpbPG8 uCpCG0VoOyvRgeYaWGZjFeVJJl/5D+R14r9Qan9VcxRhOZAUyAUXmWjza30PDE+jvhdAQjiS3v/B zgGcsbxFiVlQoLYcWjp3t4G/eQzzud8sG4aa0/D2rXW9mHdVT+5akmxv2s5AwkFiV1hZNI8h/AiF Y4+FcPwzmb49Q8WWu4/7eXZvRSQMXJff5zxDE0F73O7MECNHE60AIl+dP3Pe0A7IY9DZTdOvSX33 RO4ZzdfVBTYQ51j+JWSPmxkJ05KPhb7RN8DceDzjHjX1ryGWy1NG9qR6TMQ7FHp1hrVdn0QBj3m6 FwxWF81J4DlZ9geEryNdIUi+gS+bpuqvSidM8/cx/3oY7N1YJtc35nv7F0Eis6z4q79l7cimbM0Z Ytpe3tHVZSNRYjzROwLaVFtoWeLqK35DoNlmU3ThH+mCiIXfsckRuJBf1OpTLp2lwAu6tWiRzUI1 u1QiA1b2YUhir0R+icf//uCt8pvR1wazoGmqJWiRhZutPiXWgKhDrrROJwBoE74EF0JjPQUu39CR HfLgCWoMiGwtrz9ybRtbCXpQGkCrHjM5FWb3rapAz7wZ3nTIGjQmSmY8dPvoZ6ecMR0Ra6OpR5lI gVSukoaMkEhDAaIEn6G6G2TMVdmt9vkdhnxwkIbY3G1DeBqueN1b/zwbX2ctJFult+Xn2UYOfrex a6rPJ1w3WsBYEvBbsUCcPjlaM3dDD5/Z/PZDm+d314CmYDPYGZslm6HyOu9MEkWTSRK22M1Khm27 dkX67vKbBcZkg5GeOw11VzrBGlircpc2R9LrH9ZGBCuzdYwUCVUtNRYgBOUJ3FPN69BJWbEqu8E4 V0OzixsPSc9Pro8WNfkvI2tIJ03TAtsuDx5IBB3SvppwpzhtT9vcH9PnJ+udU0B6yjRaQc9xHpau SobxmUEzSRkjQJRAzaIz7JnBgKsfkgBVSzcHNrhtezpfWeFDdSEDRxMK5n3ndgdd5reJg0L6yQ59 CQHFDrGT8TGKVOBxq1BZmPjIUBJmeEjjSCA/FaJrbiIhnGxRYAoeeaprxsoiO4423bhKWEaUnIci WmjXYvNn+PS9PnOuQYq8I9ljTur3DGRNddTNlKCQcD81AsbinX6TJNfbFmcDoZl1ZD1nQ85cBqxE /MnHrtGDKjN7IUbdlKsv1J67ESVT0DH90pmQ2mBMiW8/aR4l7Q3Kq7YrBNayANyvSADR6AcU5WI8 yshBquZipQ/w2brU8/9ySxkzklCvHaI59njklcBC8kofkcvka+/irhxVtPaW5N9Q2lvWgiG8s4wu A47JK50qSg5uUXwFxqsN6W3knR/WmTBnm9ZuHJcr0IEnu6xrjeqVpjuxREMR5bngmDEjMuBMrCvh MdlqAWo22wQHnEh3k+vsBJAl4u0Y9W85RRk53KFwR/aKysF72Xdt0alBgOog9s4C7ptj4W4+fVH+ BPxQ+KFT3ebhA8AfIZY1ewl+SCzDw+d2axVkQrZSQK9k4Ym/ij29weLInFlxQbBUrz69qmIFlz5i 7gyam/5ufeKqLeTjlihVyizGFnwEnDXoOYwXBfIxwtYeW56SQqjISGTJqizyqXawVEMSrqHjquN5 fikLh7PtSoMpDcewyUgl4xgUlOFLD2/peOMqq+KCfPYv9XU2C3z1C0t/Jr/ZYS+RzU9y0y6KgXo4 u/Z4QEmidijJvSbUPqICUxOZ4vZu4vU/bEJCaiwMEb/bEmbYtSXeAI1o11sz1yNgI5gdzJTwaZyl ZzjvAKs5lKq6H1fakJO414Dqcp+T0Ngzul0nuSkfi6TGngdMJes7RsIXY9ZoeBZ4QpqejZot7s+k +DZvQCHxPFGYin00tA8D3poUxS7VmPtKa0D+O/beqbA1cO6ylyJbqsFdwjwpEdN8DuEPlAfz57v0 rAGWiWFUTh36oZJDDLui0OaT0TkyoLtBrw9Li9O89pNCg9ZWyqSwFPlYPvB6XR42csAW1WVDPuoV Mzd8ZJ6zVdfH5skqDs+7Br/ZCXAHiL5EIcJwHl12a5/zUC58uhV/pMy5JbHnEHHydj/f+73RsrtI ZfyLCb8yX/zxzuAbs4HvYijQYbtBuWRFUYmAyCCKSl4hZ3mifqWmHI8+pfF22indCWJ9iNl0TQbJ o15iuQrkj4Qfv8ZqxHSilrwHgwK2Zk1RJfZ4PBa0iUYCj92haRva1fAO4HqKRonXNGdRvBc0kQV8 EcjGoraFmXN+2vRuHj5dm8+REzYGAfdbJzTXnNJF2xd1ikf7Ou3oj9jcBVZQEaJuIXcZDEUaSbUr rERpTt0s4eeQ8L/eDF/KF5Y9UO8NxVctuZRK6mL9WvxLz8pc74rgX/ghYAlX87uEJD8i+rgvOAPy Lu7Dx8kkqPcg4du8G5toV13AsZbi3xDspxo+eWwDhzPrN549e7UKK3gv1zaTjnJ01eHfvE7ip2SZ 99p19WA0fIhvw1rVxSKGcbA4G3WMzIbrNCzv6SqwMMdetbtMhFzPlhYSatbhUMSX8dybH/q4Vvis DvoMh6mPxffekn8glz56K4cpjYr26XCS17rdzyQWYYnlK65HtGUws3r8TRgUizw7uGqbH8/LG5io MYfxKnVAKFnMCf5kR6QbUQdj0jbP/bWyxcmo9F3ajrSja9l3sJz8wMQZba2tWgnkp5MqkHG31DyI z1tWJvcYmgw9BfPyrBzCGL6e2i4wUluAbSGluMtb+kvebU+XTYMhcJZKwicMNF76nvtNt55QZ+5R SYN/HSz+eO3odvlw0vlcYbCAc32Ix8Vn4j0jPX9oQP8gD8pldv63cSFdZFn9fppaYNTfKsqwhpJI Y4n2XedXdWNjmwwd5+QGELYqhqEGzPvsK03cgTteU/Seyioh+3C+EES3upviUE1MrqYwa7FsPd9r W8jM2Ua8+IJnpMTCF5xEvyzO6/xVu+b7xtW+cfDyzISQ2auwyL7oAlekEQltjHd05QcyVpWzOdgk zH5cImGJmhjGJXwMFT2GsLzaMEWBmiCygTZE4C5PXlDMlQoxc2YkyuA+i/1kVaASBC7HJDIq/EHt 2csEcZfSBO3Sn+6YLZvvBwI9MrB73WoQ+ZInrHy3Wa9mK0nsy72ymjgIwvuDs0msVIqylSp73eFC OtkgmZSbyPGbYziTwwE3AzmqSax47F/1gCOKIzFvZ+WurNkSZXl5jMOuub2rMHDdHJI91kMF4H8k oIXRxoUvndL2X6La2BnBHwTOg3E6sXKxmmEQXR/rjhQd3uG5pJGRaZB8oKUYQOLvkr0LViim2WJn aOZL72EqqXJTtdJXbr2wegz9pvzenyT85H3u3EzybAq1WnhUjHngihWzI5oVxp2S9QNsS2Wruidv RkM9cbE3/iZorEUMTVP7FzJc0MAwp79gZcqicwLfJpaGX+5qh94ebRNa66mC45d8IORHHmS7woQW HW8SF/1fXIh68HfyilXBWi+F0cZnW3FTIqhgELWqNgoKeg9Zq48A3DhpPxhtKjjB+KtA4qKxtzd1 WV3uy5LuUzGr16W8PnRA5MUHyysRiqR8y381vHKnA2PKmmbggpJnWr882ADgqNwriS/fnMQmYaqc 9I1x6J1TlA3LkWlN9GSbUeA+1p+lNNA3w8n5OYzfjbkBHdb1vWDCK9iv0iXBoMVHnCNJ/t9KlCOA tzSALcpBbpTiTWQlAcDFNV13GBFiEmPf5Mqz4b7J1+apB389RptXyMTFNzF7k0QNZ4KTNXl4qW4G h6Yyc8nzFcSDgUEuC+7ESY4HRFzM45Gd6zqvO0j8G6D+rqTfNHgft/mJ3Ndu0RFkEBv9P9HV3GxJ e+XDLr9jU8y/JDxqQRd9C5DNr4sx16a6gguKfQwD0mTB4JB8t1r3lYnaBH00gcb8ZC/LLQnSvxHZ SVZA12h6uzFwf1EdlhPyh6vCdswwqiUTxmVl0G9m8aQseVwP38eQ/0YLZXjaztfEvr98CwnZvK6L wPtlhblVeK8EsMsKNRjIwqNDu0jYGAGy8Zbqwy2HgOipJnDsr4YeQ3o/Q93UmYc9Qg3Mblizdi+0 xVqjTHfIDGTG9XsSZvoI2EHuAioS343IUapUtKVHK02g2ahHGPQv/pFB3nWy7o8EpRKtQWyfaLXu 1eqOmITuRkwG9Rqao0nBYyEuQm9l+y+htXFqB8BeSvP5X5jiZeWIpg65bY6ad5AnuP8j0+N2C8kC hUT3+xD0K7+tfqiLfLpybKjZeYNWgCK637ezn3AduDfHhENIPixxx1DgUaisQocmhElsTUDFGN45 S88b4Ei9U2JtUaAcY4wNcYZI93WbqtCPFCxR8+oyDAsOLzj4lsXqNVWN0Fs3LvF7fwdMHpsSFYg9 137DAFbvs3fBILxRGt9PzgT7x2wHu6ox/xbD+JaT/dh+Vxv6W+IfD2YUbVqWOLmfhnXujHB0qlyc vfA01UvgUlXA7IwzcEaeCoBi4+VjOksGmQqp2jUfhOY2vEysMGrzMda9qtnvDUrFZYteuePrgHNe m7W0pBjp+KO6NOhN7oa2YxnBzjWdKz1dFWWEWXOxMB62olqJRF/17SQIJ0FyCFjsp1tLfT/XPyZ+ ieKIZAIHVJ5GvHgVRFoDkvLxVy98lZtJYtWzkDynet+SCp9q7FZ6c3pCL5P3RFamV5AyRpqTuLcB 4fTCs8nPIOtpuB5twTbBYgcWYQSHMqfD3Cvh5TfxhgWcERwhYS5s+pIadu05cR35qb3Cf47FOUhJ PEGDVCTEbS6nWIu+i3T9pbwwfC/PrIg8Gx6MkYqSkUDEqAr6IskCDyEwvk/lMa3yc2B0iU5YUaax 6/3S8AUrgUuc+LMY8mE72aZ1N3hn/MyzqJ+eVs0RoocxPZHWYzbZt6QfKkgwmx6lewWbhG409GQ4 3KGcJQJdyZu8neoNrFRiV39C1+Ema+2clZd0iEWhpmU2HERsDvAucDr0iskE+Pyd+z2zpVTuB6af m2S4Zh0nqeC+UNYLBU2jJZziokLDui1vcoFKhR+XSD9XF46usBYursD0yuME7xykrauZaloPdzOl P30izZ+ATwrasuUqDPPAN3Qnxc2RXzbhaPJSypL+qv9O3RmO+eF+NSQGxh35leMWtu/2vn/275ey KRt7jyS5Y4lXqN3mTxNRaLLnCS8Vo/5Kzwp56UeCCyDoAL6LO0Ke1Wzz4GQDjP89bDy9Tx3NmJRx wAX8cwFMg6VDcULNnE+AdTC2pbtIZimqoMwIQwzkzQBs9SYI6lzEwc/5lTqfqKdh9qZGqIH8ZT6Y gC2ety89Q10X1lIKjsfXDn8WO7JIx/rYYSqIjtp5mSsOS0Fa6z0JnZxyafX8lGzy8sL0cq4+vtWO OSkBy36OysKL7y/gOLTTc0kICyJlpLOZ8tAbz45cLkZlyAzqWJijABMLOYAH2euiF2Y2aFzR4IfP qBoNpj7MA1QoBf8rS7jk9dg+sQJw5ayU1LRuz5oY1fzGTFCEFLsvPo0+hTgrH+aP6e4nZyTi+4No ND37y4+9WR8as9RGgcKnnSM/pExqiRPgtfteEkMSL808VXA8/49xCp0Mwkgs0LF8SJnsQuIe3+dw vTb/x9/z26BENJUBbctJlRCLBfu8692FD0MX9J4w0GRmK8jFx6YrbZYXxZRiSEN4xOa4ABsXWj65 dkAVTG6XnVznvUViXb+7J65UmmQcxq4k9VQMLC/6FqFDQvubSybxhjDq36iUzrWAxRMNt8jaEJnq T5gfwXd27Klh57IzKiA9m6J1PjPxbJugsweKso2okfRub79dMkMeFWnTXbWDhjiq0ffAFlGrWZo3 eZtigTU/LNk5dykciSmBB+/QPReVESLvmD+XCtcIyOCpcR6+Cttjm07BdWlfqT8ODQb2dWHz3ce4 2p71D/fmAqPXNh18Ull7pciTifiCVTKV3+XsvIk8uQmdkj5hI1Nr3D9ITxjkQz8b7B24mfa4VK36 B5E5z430eJEWu4tfo9N2C5EVxdwyneqkJeGTHbbAd/2fotBIpTHDocGnK4mRyvwzYz3DzQF4M7Vw Ne5kjS+cyNDZ0nM/AnQfvkaiIz1j7Jqi4HIhGVzUJD38XLa6b37can1sSRrwifIg/1QBlEYJlUaA Jz6Xk+jmKmdrsvxV/9DY2zZsITiRUnKb/4SkXZkn6lk7MdojaTgAeLXODLkLW4b4dLLGg79JnUMy 2IukTXjWr/UPEyAqrzQS3x40aRJI8+69P3OVbEPc/0q+oZYpvAdI2nyE3E7fA1npRFzXvVqtPukM Ld49TF3RndrxYU12pQ3Fp8ugXXSFgT7V6BwzU9B0nPDzbqR0qJedbK9fXRbdORf7+m0KkI+INFwF n/hbFwS+VZifJjZr5gcZE09JdaDDNqRtE+4uEexc1FBnJD0bkZF8Ku2O+p/UmvA9dItXLpsv6Rwm 4jS7DwUmcuRI9xZA9XNMB1AdPwGwApDwd4ryZS5rx94omgyyvIoo/ncmcpa4yYhzkq2RfTRJ5ltA 9s7kh1Iz+D/AnkRQtrzDoPuAFDMSA9qtpjUHP7hFzEWePTovugwiaRXxyStMeg8s/jE9YtJ+rc+x poAIEdjLHksNIS/v4mtD2r4NYVgUJY00bz67p2E//O31vQgcXzp/cAsLfu1BNpd7p8LeHugZGCnE YDVuUm5sZ8cbb7suwOcpvLrVG8Q7yGElf8USs1YOJdA2gDpSCzi43yQQE/hnbEa7Ivq+iVssKJpe NbKwVLMF9k/++qJ8HuRYSg6t7DmINqLPe3pML8IcbcxgcZztgfkVmxA3KHRapsIdjxDDakIzwRNe bXtww8h7KDg1WZj/zVjW8xlrx2ovoxeCLIwboyc73zQ6B9gMyRdBZB8pnDR3O9S+WC3rcBDyduoX 8+7lYtARZDgEP93K4w+0YqYETuWnD+DHmqFGmyzw2vGuHCwimIFfD5xIC2nZlon18JIqlzoVjYXs nnrkjDySse5G6gBl+aAkGclxD7UJoOEUrLf2HeO1LjOJDBy600pVtOFe7nSReEACY3w7LNj1hnEP 8c/vW35sTokH6TPzwVVfWa/hE9nf5RKjzf0zFWaFtfKz6quAVuSnAxX6U84ZywAz94OzXVkq+MJq V2arAIirnYRGuchZ9ZCbk/OHYzjXtFkbObW9oOT705WScYBcfs/hxT0RbYXZZHmDWs/Mld56Fugq yC8w5vY1J2BgG2v5qiSrmuZ05ESAEngS3AQCfxtxmg/fm/8MrDApskdB4v+RVVkhBP47bTGJcdYV oivdLB6hWw5UcdDSLWabIyWgkH57eDKASFpfze44vyAqgqBLjur+Np/+WYk7XTTKTR2IepV7/ZFH ZUjRdcG+dzyLNBlw0VlUSoJ94b4lirL8xKcHCvzLxconOYb/eYc4TyTLRUXqJpiCTunwDvBTBRN4 FUAmxO2WtnHIu0FVpy3hmJG9OPMh919cL2nA6qswYIAHpNCx3iwByzq3hjPOPrNP6SuFqs1IRCP3 JHsN6IhOY41oUXSl+AfcEI1CuGoCsWXrppvhdqsMTdoAAeXsKuIHgGHGQNpee/+eDvcI/a4IIZ6P 7b/LR5QdEKfQpQUWNAM74VGu9SbMfOP7+C7M7FrRFQtI8QO07etvjR7tKgxZHklH/q4KDbTH5ahX HpiZSxImLiiolAsC3vOr1+Ck+uiRktUV1aaq3Gu3roH/YXg7plcymNtNbbSDeJiYjDNcvj6Vbw9E raInCJvTwqfCnaM9mzVLOOycQtJTa4LIunHp1RrzAd3R1sagDpbCPbP8WxZIMQH5UZbYkyYCnKgW Ks01hQPytTx+EHFUwjNJ+/uRJTe8NHnzfnRKY4jxBXbrJyM+Q0mN5ymATShIBQBFYWETtEG/zqS7 3OvGAL6JfalMns2DpFHh5/CcHRbXgLB3wluy5V+Tr9htn91jNTEp9wU9lZsDuXZXg6qNeOq728xi +ZhBQ3Yhc+YDvV5YOvWMsD9YNPz0VtsdAAwbqwOS+/X0d4MVRV0WgQ0zdTKuWSvJlKwELLUPF8xl uKRmTqRwc2H2J4XvX5W2dz9TfISorWxM1+gBh8buAV00z9Alq5IX6EuVH6iLjnYhQSh1c3vWRHnf bW1vEDPIYtlhCgN99LFCORyRk6Eezm8SbqkQyuF8EC0Xoyhq1KSuUKvAUMBH3jnG4zOdm6hg+O1w zsoEIERTx0vqZKhHPRf4OQUvRdEzMWNJEY3dUH+oqr+IubzQXIsS18Nk1fD+4nE7ppKrPtheMVFm E3AquxOo5x6cZAJlmwGexysnvnUCVhpxwmvLlJ7DUeSkxy8wqVFFc9P2rsSQOFE8QnIRrp1n4g4L pOgz7fgSdaE30Mdf31+6IYXKSsQInsNZUFScyPnD7b1/T8hCjxy86TQgQW249plxYGvNtZHQ57UN flUhyj4PwMem6L9VUoejHJAh2SmLeuQzxGlyNjJIsjHAKs3Sbtt2NKXDEXl7p3tKoMlKO1YOUnah EzSOoz0JqO6OAi7C3dDBzKDemeZWB4aSGYDuGdUsZKjgD6v9RBNCcxjVeJFdK6xKZ+1wHjHBtMm7 GOI6P5xFA7Jgn3rrVGczYnEbC40+MmIfzDFZaU5zKowI4h6CCN9dnMo8QwV/2OEGxUe+afdDx6az LafF8VJEvHyMOumVcnCaesT7pfv/fhULT4/D2gtj3YTIqiUZfGD0RS5OdIIGKRRDx40N55lR+yI2 MAoqpeqBl7VMJyT4mDJdUFf349SNvz8T1VUfJvy4czADjIN5X5AfW/GS+jjvts++sYasT5EBBn/p 1fG3f45nIT3AupYc8SXXPwWpmLl4FUB5ksZvbZptBZogJXyfjIUyuB7bzOhhGueSBi/4noTn1De7 TkuOkhuPbL57JWVHvFkS22xHbW2jhr6vxIAaEo8/8Nx6Mjboo6bXdeeAET8VgDKBqud53Kn/0+p5 3fXsu+qZRAAhwoFQboU+7Xb6HyxldOO64WCJb18ENxwWni09Cp5XU6LW2qkTe/2gPZBB1ESfHWjF JYyUr0ZeE6nqOgJRQ8Kh45MHW/cgpNOejw6dqjQuhqtCagsh/6DxhD4g8oq51BBXqxyZBAD2u/FM 4YE7idIH6/HyHMQtXO4L1/ZpXFTrAHgnjJtcIQCUnUzdwogefOXPXkzTRY5xVqNteY4Iy2FHBwO4 e8D1GcGTODqT+T58d2KrB/coC03AqC9qd3CWEJCZArER+J7T9qpf318jFnUWnxeXY5NkrwAm1quf Kr2fD6RHgSEPrOBzp+DKmdPNMvddBHRUO+8hL0yPEbjhLi4FPCgppOaGVUaUDhYkoLD2UT/YxXD4 E8qNqrzxEPhTn3ax6Jfc2OUNdPCH1NvmGiCsw/mpxwUN+87w4uPBJ4/aKqWFp2qJAsB6/w8gP49v tPPll89IS0pR7yzIMy81tXXiHHaQaWi+4Nuii5K4Ux+0z7+rg3a7YAhk37Eh025MCY/qbo8Gv6AY wUqpABTf5mpoX42/CPW4+haghDcRZwEYcq2u7PIw5Mg/GEHqBMUUgitgaHdiUkBgauMMdfrpctdD 3r6G+oK0ZW23O2FZyJm5GdJ7hPGQZMtBpKSaq7PnFkp+tEEUt3tAdwjNteptuFinuWIMb97y2QcT f1s1L3M4aAxtAnvjFQz5+cSg+IFEh+TnT8q/r9vscgaYytK5hRa7ffDB1np3ACbXXA1LTWx1EHKi +lE15H7jJ336xDNGaY92gk/NobdD/QXp5kyBpHiqymKy6so1jzuqKQVscJ2eumd8tnuF6sfUaSum XNgX/a3I7ZygtwA7mVkl41afMfr7oxc6LiOYlAcP4c6tDQBVGcSns9i5kAOlwKuzbTkpL+odDze/ NF1bqfwP8Zly6HZm2roIS0g/0UChmIuaOA5TS0zLsNOy7f42eKpkUZptwMX8v9X4i26hFpBsfiJa S6HYgQEyjoe3xiEO35e4sHxxKq7e3hnwfZAqLHpsxK78i6oSS2kgxYh9xgb11n1qc3Cf5p0ZptUy GySPXoQEkeSmXPW8Ol3jrx7INfhN/KOVvO970bxhKcrSK4Op08J0DlQXZ3IyEpZaOlxd+x1zxVhT IQGuUPsfLwx+cXDWoh1VQXDR/sgqRoWdVZwlGBjlir/OF84tEZplUH5uLWMzZnYQV/4yxgSEWfi+ NUt1X7VApznvW+pKHjjRZrIJ3kW6LntJSrp90DSbB2vXTouQI4yS/Gy6koIIFJ6wEJlk64JvBxDN 1MqYf/qi6oDVs+IXbJUM7hYrGkbNYtLF5TlgXX926P9lIQRbI4fUSg4aTF7dgjNhQyg5wyL2lXG0 QEgjvbybR7oZteU4y2iLGFRlPdJlP2y7WWEfUZSF0ri9bTXFsgt+/1D4NseWP3ZtEyxahfhiuGdo 3MALbyGLzFb6RBNBTFL6YJ93rzZuP6oXLrcAiun06o4QWbiQovvm6EgK7KDrXtDil3VQmHWQhZzO B3R2HVkxs7iydxvfNQRqhEbOv3Vx7c0Y8A+4BBoPslSDnUylqxfFGSlbRAXfgMHda8+2WRpyiIXl guTkiHGXEHKepjgixzjwe7+qi7xNnZ6NlJoakfverPjOxWaAJyCKSQXgBIhY2ToVHr89frp6BQyj Yc0RvCugwfjGWDcONYeNhStJMyzb4qahMNfMOOhAm7gT77R5kOVWCby2Mb2/NwDnbTcvlIaZP8Tr 3idIe5RRAEYUiOiks/bL+0gIzbGMbd9alVLFJkdLv2OjaHqRj3/Ea4RPIfeql1psYR4fuwQxNDDu fxlHCdrzg8qhVSF73yVoIsKoWBHE0ELY6boiZMuoXP+3h/24BG0pm1bJtHXRhuPVIcpYNSc25Amf 8hU5n1QfqWsx8iB0WGcmwjGwD3Xw4adnN/zATU4GR1OtjGQ0STQgwq0CvbPk+tD13HzJzPEbFt8C 3JhpUDOrC0Ejg76vmTpsgjA3IcJnmvhWk3q2cARfobNNHO49snaJ7Wb9lFH0g+TxJNGsYHGmrIT6 U4sGrFqnAZ5FdsMMSp07xpV208J+nnf1zr/l0KdcpaMbuc1Fv0KwFfIy7ov8t3FUv8xYN9bll4w5 BxG6kXtttG1RZQn8K6CThcXjooQf7Of94loN/H4EFJNeNwHsOggn9lplu3oAgdymc9N3lyMrxFYz DkoRJNRjTcDbn4gmFZ0uRmZuYRrF83nvgb0HFozDnh9XHj2GyHyR94pPFxMs8TNa4K8FzflAuqpQ DdMEJOlOQD9BRmnZxnR/i57HvOPH9TtfV1eI9J/dDFzztkbtJ/8FQqfCHZ7uO6TPwg+uc8mgf7dz sSApnotF9I9Nc69/5swdS3cHolCafWkGVRVZ3v7aaLgxaQwm9asTAbP9otVcEZjM/ZZGaQq0vNXX 3CiGDBQR0ZYCDS7Xiy6OzJjn4FUSieUP4hgssc8lGaAqn3uqA9duFatYYln4KUcJbF7ZjXmlanAu o8pRJxwOK8j0BtxDQCjMTjL5WF/cmgiCh8SK/5HDlz8KzSpMfmZj2LSwJKK4wobOeaBoFtuvt5Q0 J1iKi9NfxvMcO4CwaD0/ZRP6QaZepZj2tPeX9hrXjdmNxsMFjPY77SxEzsfmx9LDpjOyYlQVsvAB BHGBOgEGYhQ67RK7yOXhNe2B2OfI4k+8+hWF/R4oFpJi2NsG6PooBewI7YlRNnAxVG7MH2ALSqwP YqauyDWJ2qh3JJ2vPjeaNTWMn4zEuJ+Zycgwjj5uOmnr5Q6tzrz9bQhVdgNoWR3TeiJnpRm9f058 Gp8Nza9oRYNMRpFKSVi3ti11Yc8QWDeKhK7WRl6b1IhO/porJ/MfUzpQqRvHFcSaOB7EU3R5Es0c fz3mvstJOIc321/2wIQYRTvcIb7TlJQ8XVD4IcRqMT29ERJCh9ty384HBOpezvQwvxaSdUjsjJQ0 k3efydQGZVR5QzJtWkO4zdQz6dcC1sqQfi48U3r+XHCeCVZ9+1k2jlYLA/az2ThYkP3fcYNMB5t9 GivYvHOCcO8PdCRtJs6drL0/epaMuo4zaiVNW69y60OsSu21m0gF9bup25OE0eEkCl9IOKsoaRU5 gCS5w8aGj0kSDjzn2PihDdd9XCSJ7EQ2jew55vU0e+y0iC5L5Qfhx7ZE07KN+Y+MH9cb6Bgk7N3I +7mMjOsUOH68a+AKDokl+janvFGJ8q53fr3I8jTsoJ80Ql6yizLw3xqSYVizSw9P4i6KRaSAzoyg 4N1C3kLaa/TeCsdtIpKpqIHK+Kq/SdykKAUo+qlUDj85zx2KunZY9QhjFovukZ5kNTIzyRkUtWac sa3WqVgNG8wywLL7rEatn4eDVwGQ8AQUK++AK/3Hh+0sFAFclyc4tGXdLnwXYqhcA4bwq/1KBpvD Y6ZXrpq5XSfA7DiKHIciqpn7WU1RxZ4lkJTjL/JIahfDyfFcK0e0fu6O7s57kENPSwYIdRTXscVv 3qzbd5pw9oPilH22Tvkglg2rPyAD5aNdTc1IqZDwTK2GirUF9fn3dFo5iDapqGyqn1iYa5+vuOKc +St+rVfmZfyZor9FN7+HNya12/ePW9ysZeNaVPLz78uirXb9OaMzHJnX/sSKiVpCGF1GjiQZxHb+ K2ADbE0snNFBe9GujmXrPTO9ZT7QWJ9DhaXiYzeUJ6n0TODJwxvuAAL282wQujSCMq5UJ/8lsZNO XZBcuFyr5hKgYVvBwJTBJoqwOysT+UNkgXwHrPHxCw4UCM0ltFNOutqaFYQ2jtziHy5Sf/Ym3XAQ AdhAF9Wm4lzD2jxOhoOjUddLJqvKf59IRFmJPx1PJ2TEYFTWpkhEGnJbsRcGy+qw1f58JzUeU9uN u8mmrQNpkRnBbEz27wWiQY0sN/1W/bLBFvwa3WRN8eeHXJuAq62aLawK4uhgH5hyaIT/mjABUX19 MNsH/maxOS/pX+8P62jFjhmL9Q3qRhzcZcSoDHR0647Xj25cVyzin0a62Ywz9VzUk0QoYI+8fdTy hjRFa00g37IqC9zcRDjcTCNZtDxmfXWUbZ258p0ZjI6/YJY6EbAlFLhXPmKfDu8XUjIv7kYwQlBi w/2VvAgyZucJFi70W66EKdraFPpzHE9C18FzwXLvRgwzcno0D7H5HjzOUDAG9DeTPJnrNxqfQc9O INPg/GAHwuHcKmWSgRsxiKDCwG88mi3HxPrLbqlsGKXtJvnJ7/DuFamaZ1X3MkyCHK6YRQ3SA9dk cwrwRH0AlEXzT/DAUFAr1hdHKYd1QSOoW5f93qd4DI3c6sSXRratcAJAMqICxNfCEDUy41yEAWJv voI3iVzuygv6EPtUbhcYEpjDoFeLdDv78HNzI7bdmqN5tU6KEqcpojEzaBH0dhBNOCKMGVi0RZXJ MAR6WqzIWww2EapNcBhjtBazbj980FfRxCL4IvsZjkTwcnoGdQrSuiOzr4ez8GooiYJ/l0lH4AzE cUGXUW8mArr1dHoZHTPSs7X7LiLmOtRH7sBavx3cH0cwt8jQqFC1c61J4cr/dvWErzhdYDJskwu3 M1aXJmAAY5iMghXAHaUYxP+Oxw8aUrLmu59ta8H0NQvkXKL8y+uyYT87g8SOTcXEespdXeqlEyOp mwJw086olVGJeYNSw5sHvNaDh7UZngZdX51NBK6bEYO3FUbYiSQNwAxvju1S69YvXKLxkJedQuZD zbn0Q2DXwCSL8KBD+DuhPdwiOaJo7tXmzOrCe3nj4QGiYHTgGw+sm9X+uOf+//isD+AMYDFBlGy3 QUPYdVF9kryhxR/LuMezYYG5s6qaL0lTL1PhqXGKnw4Gi3G9dif4lyan/xLouVP8MqjwIu+X8JIY VBUXdOLpRHmvCHRXx6h29Y+v/wjLLTK8fBe81FabGgZTMqFQwZM36XlUFVryMz3XhzZmHh19YQ6d 4sNiP7thByfEyS4/omH9Njx8wXLaNVOTRWFeyQ/eNzNNRnD5LlCAufJVfMHG+0GOLRvCZVMvUQLt Q9IXc7uB2dmoaipWToFotpu29dc3KTnXFun0iaUMKgA54092tAv2Tw5SuosAo53G1o09oUNAD6Vl uAebuT73g8cT+rI8Ktdx8NuKsV5DF0Wp8nKeEOAFUiHLo7fYkuSgv4oudToqShxWZsX8QqHp7h9f N0VCoq2r+LbA//wJi/1RAKywoOFzy8JLKYe7FH2jvZyoJaibVlvLNLnE3O6eHRG3eetaA4pcZS+R hEuKJFR/nfuLBvoOQAqB8gdE5FdrVfCxgqjHWr9sQVsNHJ9vZ0zlpZb9k74I/51wVufD6vMyem23 Gh8ochW47g7HRPlbOy+j7/Yr8w27ZeGWYKrW1smwVhHlNwX17J5YaVH9L7XbqrLb3vcrOUbF8Fat /rprVmYLVjR36Tq6qQ74Frj8J0QWNe8Ptjg67m1TXTGzqhJjo3l8lCNLgri12UQFOuGvi1mChugh gNxcWsROzDt1vHEZqoAs0NOV4bWa1sx+eZq32UzpzV2pIObJBk90bd8qRa1PHWCiQR5/7/l32YYy qBvZnC6DGfDKKfKw2mNwPYo2zrm6cPvpwZwf3esC6zuz/xPSIK1PVnSJWVPkwNFF83k7eaLYMmtV bBNz7PKln2cQh4HLeufsqhq92HpBr2oGzSw2Bh0xKljhXMB1sJxwM5+/trc0qXknQK4tLjY90cQB shDXUQCc7C8rTm+N7qEf6Nj05ZXX6a2Wf/YUcSZC/5Uxz71Ps4wJPfdo/4qLJZMfAkeC8e7TWU1h i2hq1/ElCHFbkVnd/h5uHJNMNviumEIDBVNzBCKsGGhTIcH7DShut0aOz2jwLDQK0g9G6Om/kFBi XKRY3m/ME9Vfg0zooI3d0MD5qCu4En4zPsXkWWyD6GlzyMHvFyaC9mu0PRIdVkjzkoL198WlEN3H AiUk/9K7ZYVzVC0YfRMeItPfDg2WAXbM8GP2NZvDcAgZXSjcZHHLtfF1y8AXmO8zvNDIVbHc3+et 4+YIIW74iXwxlWXutLD8ttW4LWjF5HG9/3kHNQ9kj8E99uiwAczGNC2il/EZLcS0FX8+IuuV7711 NTTc8D6AT6xNksBtV07SJ2qSjbNwc4HNOJ1PCNBBee+l5eYAdtCbga3mHLT5ZbuLkhSC8Z71YhUB K56nPSLAXQs0J57XKCnuTjl/c7p0PuZOIWxTTKYr+oUwhK/WhWwqk6iwkYqk5+1v5aDVTFpdJDwJ Cboz3DP4hFsRm2tZnp7sUe19PKK2ZL/j8W4Aj8mQ9Xe3cW7ZG65StnlhP2i5DCkIpn2oiNylCUv7 z6uoCAA7+B2jwMjAjRwrfV1SYtNC46ZGi+fPvfGZB22smLUTe9L6Kax8D/RGVeXRjm9OWH2ftLJ3 AKXG7ggXv3PJJDbSwual3nYc5NcN6Eo2g+/+4lO9rMk1A3kx3TFSc3P4LpTmlaMdpdcWuf4+e4GN 1UPC6qtwaalk4nQk84PL1obSHRrYpUID2/4Tr7gF4L9JqraC406SN2zZz2sVDFdGb+hk7ipZJl1b HZDPhur3ZbijUscG7pCwjAENWXMNQ7fywz+ot+WGoOIhlyl+jDHGJlBnLGctrV5lOoEze7RMEwf+ PEZRoFyLRMAs+g7wreRbsZoLzUT62K2z9IDMYEhASjhZ5uQ6YF5dYiHiz8tbmaar83J9U3G2Vzbp FRO+46PmX7waMuX7/jlnq5yI1Xg+jfXht4d73WZNRoynXgRXMx8r3bahr+BnZxnf9hJye3NKqkMv GTkp/YItnzbkG86V87eU1Ya9kE5ljdsnKi918zng6Pmhm5IB+58uga90kvpbGa0rsrM3dQUFXDgP JOnpe+UxQxOi+ZIA4r40Gk2X5A3Nl0H3p3iGeyp6JkuqsAwcnJcyYUYeLiMS7RrU6wdLGOTZdjaG TRyF/BHMM1YFd5XlsWWk2i4KOUIiWDT9ZnL3fCMa0Mdkr26iyE8cJAF2cfpHJVZ4we3OHSE5pyzo nXcfSWjPpLPnuT22oMbYfvvlSK2Phx3bWOiKspOl5rVeEGOf/MbuiJcxXshjTLHYROzt2vXgTt0l W9zVWxkINOriz6VcPQTuygmDWiGIkrBdI2ckb+QVcV+kSr9SUx/10z7uiQUEN/e1hS0oMLaqX6mY pGA5TEDEQV6HqpVCwa1i1K4Lys7XsyQIZ2pPS4FkGfV8mrgvOyNjWWHQw5gxMw5xc9aAbdWCX54n rmf/JU7+rLnh0abeDlMAhWS4TXHlbDwQcQQVON28H2kCy/OlfvL82wMnfUBXEYXxHvlDVRqLRekt 3k0iaT/Oo//Ot3EKuqZdI1EPJ58uvV4/PJdcuXKaQ30uTym32hqlG5L8RYUqsZcmBALrYfHWYF7H JbzeOwtmlze+GmSuKa1Tz2Q484iUSWVO0veIuk6zdz8KwNZGKuikFTpRcSiI8UPoRo8+K6qZxS0l T2xnxxWnWp39Wtsd2dqLYmzr/GmTotG/aDLeqTvFpIZphq3sZNFgNhfENRHAw50EGTtUT8X1Lm+L zUQQBtrlov5jj0cDCDozwmUAVAZwbAqSl5ADWDtPWgA3jH+4Gpq/TJshWjTV+FSSOe50Dd+1of0V KDF1DCmoen/+Lc5BxiJqdwFV3iaIBHJ8Wy5JtbYtPbHaT/KftHkqEHf2SX8KxML0JnDDt7DBqw6y bzLED0/D7/xgG2SsUpw/+fM/Le20aAIoJXMOaFyxOXE/94qawqcpIVwx48027rolD+ksqiwGJbNA XFUg+rvQE9xTxvYrknU607KjP/Zmra7/OcUyJcOQ3T/eLRiVbVlaID2POKQhjxQ2Xv7iV+6Igq9e wu91ZAoEkBbDFqaH/Ssfeaup2COr3zED4U5RRdvTwHQBKtfImYjiYSUQZOwM340tb1+152wsRLRc NAK7SNcNQ3jHyjt3LMzPZichogNYkmxOfz38djyj6avFXM6d8LZa5EIpx3j217E0D0qHAQ+Tt5xE ORAssZmYSQ03xAXchKPX924hp5FVCn15CcvTga4ccIP8Do2IwpIOOlpLExHcMWy2AFXvf9S06Sbd nCO2P2MA16dzxck9B+dOUzNmVM6Vz3EDEDpDblPMwualG6mZgGimiD6nWhNTZeTypM8kkLQ44qms OKh2IyHXiM1wuB7HXLkZY7fPjugirgSYlCu55BFX0g9RZ/kdlihVtpyHar4JlUgHvqNh2MDoiCpZ 7grkEzIbFZ+z3lTykLjNA3SWqNYOAjF6sBIQGS42uTAmYTaPAspxHcPiye33pyoNoXIT2caooFlj YCFzZgYXMJhCJrQztoCGoMnRo30MWwnxq6aV7bp7fvNz6IspPwl7CVMkeQgVW6+pezxj5oHtGrML v6ifoLePsQrUYz6bhPa1NU0afBxsvkMk3iQN4hWT3XQBtCpg2DFEdHYbdB3JLzPRJB94OKBr8PMC IBxoieHcy/kb0qFfGgRHTNJxx7NPWNc7QU72oLclJOQY1e0+C3WV37MK9K7ZZeTfRKIdc+2eaLft 3kya2WHEbULbNHiB6ITLNw+XMQI23B5BNIMDdJyZkGvMXUsImeb38hDQKXeshbWEefb7IUI4LRYk aZ6AF+zk2LA3EOojoXw4baFTAzPTNfMstnM+VWJkUZVQO/NShxZ9OZGbqBTyk28Twhg8WWlAA3yE QVX9EcLQfNrHB7Z7EqxNAKePqNUlQIDvPj4iSrxlIGX4peoFoA7eKL1bKj7FbdOH68xhlaP756Gr FwOnhNEDfbKti502NVlz+eERXxF7a6r07qvRdhiiY5pyIbfRYhsjOwJiNcFy5R8fTb8spQzKwWUf 13GCkdf3O3dIP5rG3j8C0iabOulEjUsOOyDJhHAhgk8VoSpQqFuiNbYzyEJ5HciCedusgtX2OPbg dmVFKgSxoMLUEqGxPlDX+z9E86TJSRAVArrWUeYThuDquOUoIW+FWUI4oQbo2HMblBBGKJv2ZxlW u2fVob59wysxJKzQeQvHWxjogVEkWZ3IzX/KinyEJj2pvHKMskgRSvtxPkBIWyH76WdB0wibNHhl rjXHJLtTCSbPWPDOlipL+FzavoEmVYHQzonML1H36+1eTOcPNNyUF6h4LOWHBygC1Io4w8yNRhzn FVg1sFSjAeMsWwAwXPuG6nAmI9R40MN/hkZveEnT1vIuCIY6MbgXY5GXJhiW6FpbVkcvrcIur0hs lQIQaBgB4IcbryxH93U05FR5vyu5W6m2xStA/Bm6mT42NUP8yDjwWlHEybEVM11ODt5I4HSQSMLk JgoNYETSf57nTXJq5xNd51+f3asXgGXJfIrcSB7DuRKbpGjH9O5yDmHayEs3NVZqJ7Z2yYne4xSi niYrDWJ9zkCQcCruQNnu3AR1xgn4VDRdcMQZwY6pfopHS9/Od9V6sao1vSG8NDl0u4S7ufgBzUNV c9cLEnzx3bEl+5E/7B9YPn1Atp2Fkfue6NFAmtd+9P22TkPBRxWegwWGyP22l04M8+pWUeD2azd8 6iTH7vfRJQrhrEo7et4LvphiUJG9v7QjKFHFdcN1WvzOszXnWUWU7IYZ8nIrenVPbyGljV9RbKHc Tm/QFultzFFjpe+eujiw89ekDRG26nt35JpLVELQqtnXqktvDUAoHLes8+9WEBCtfI+lqBi6becN AHJZchG2IXp9LHqwzIJumZgSA6C4DCpPTwN36QuIOi7ofgUdG2bnur+WNH6EVG0D3aNu7lGC28K3 ydo6bRDvtUxI3gvSyLibPp/B1o/2mGjHe6cJMZ09T0iMT3m8MFYfWb9hScEZudbBwnOxcU9YH0XI PhLZIzPPjsXxOESTiEv5RvkuAQU8h6s0YXWbmWOn30MAnoVgbmpdOOKhZAUMdsU5cmy5cGEVZSDB jaMcXgnJKLabC4e4knN1I1T3xUmvuTtDYPMLrAJKKcNxb55SiBRuUiqB5LJZotqwJb7n9WgG5X80 TzRVGdnzcNjzRV9ItkLtTfMkLzg4TBgNLlOESylgqZ+RJRQcsTqD0PyJ4LoVEqAx5YMSdjrNdTnR HjbH23s7e2R3mrIopKCeV+GXERtk3DcA4lXlGgywjpkfol5T5kPblmAH9H2zDLUZ2IqAINoS46jV WNz/Iu4NynWVOXCjIjodh5t9Z9/sQLVoOsvJxJcgRHz1oBT0oPcYet0GA1HCOIoh2XIwr4qSZp4p MmSQ8i0dJqbBHDzGNWvkgSHix7kZwDK68M1Erne9yBLTTLKTDerjfKM15ylaTO5cPvpTf4QRGSfG vhU3x2/lEHIXHhvBLtXDg+yPnweogyAg/6KZmTZYZ1et6ReQ4xWLSg0TAB0npGun7bC1CSPRvCd2 1z524N7K3QCOfKJ4N/0RmgMzLoc9J2fZKYUWuTHbLWcLfWlRtDqcOxUHjOYoLnHZHr0iR6YLbBi9 +/+SF6m/C9di5iHq4E2Zj6mBY/ngfs0+oYP58kassAbIAxgV7cgVvyPX1t8cORWVlEaG9fREeBKx 7K8pFrkZHIxrKqiyKu4a+iTVmEZWRWi6glVzW0HEL3TEy5kLD5/k8BGZIE7mVPk932ZSZOHeL4Be DGRkXxwTqfDZyWZ9Gyh2rsp7fuEoVi433c194ZOxz3njoNpbn5oGwEr/NvBgLphb/Wsvn4SCeXID XvJzC10ckEdOmqPpmcpIiSSTGWPYD6LmDfZ8lHooUdx8REgzK4qFPi2OGekr9gRxBLRKCayEWA5M NGj5Vi65TPRxB4ikTZWx/7WE9g7cYcGzaKLUslN7SNVuxYWbyojxaOB8EL3lE6pSmfYVk1HKdGZv OWTs2wDu5QgUonn9Kq3VpPMq8tAQMvPYaWiyb39Zb86xGdgAh/gzun74wnmqeY7yYs0EvwYT0Kls XBN6YRccSku9u1ol+x/w+SJcsJQkr+eBG0QAtcpBpvlFFdjgRlqAwIF0jGDQyD44qkTK8Y3+zIvu oM+eBwjDhq6PaAV26XiKWIWJAKVZtLNZVmNRtH5vZ11cE/jpeNVZCkCAcdqfCSGArD+06MFPfusW x/nce0iFPQMfFSYEHVkRXWsF/UWNuT+b12yYW8+1XPAtEM4HS4JuIZM02j8eLaxEzJnnpHKJmUY/ G5tKOPw7Nh1uHT3sqnessH0aGU6wzGElk6vuDzxkh/AkK0BwTiblpZ3pnwGMjLNyu/vuSpTQAJ4B vN/tqU5lg66IO7Q02OjMtrJSdFlcz2h8ow1vVLi+JUvLGPA/p+G630XRoMDJUaneAmcOdRtk5Zqv PYOFdHLwF+cBTf8uiBmebLK1glWTBJN8Lr+TzQS44atq5Mm2qdwtj8wbjZ1iW0uXqS6jWBg2RNAu MLxKYxrUUKHaZzKPOiM5Ech9iMzYRtFKUXL5aNdc4aFmI+8EgErDuI8Y1qhVHOkO8ZmBYAoQrENN ZrhpJEOWW1K36Sc/uTFNv+2kL+3eD0NS97QSKKXN/MfBiTt1rH/VrcWqHhHwu5uQOwkiwlbVmn+y yFdRt4rTRtl7p67FKiRxybzqyz3F7oibvnwCdbBZ0Ny2GYURB+GA+kAx13ZjhhVqnIwPHjtjIROw sEqqQ9ki7zZ9/BKNaKw+UkwdsK6oyctt/FtQnEQTDGEd7Tug/txiNmmrJuVwjLey+mHzZozVTNbJ 818qTo7v8yowMJIPgXq3ZSjOKpCaYeZNQe7jM5habdtKIILmZmAAm59Q2fR2DRBTSRy6aW0rNuvK 9lnSFC4hCeH7Z0JQcMnLZKEPcVNDCxv84azke3xd89imuOPaJJZNf0Wgph9cgRv6UMzQiq+21bw6 EvZteUO8EXIIUe7AorLsHqHCISJjEbmRkPk3Rw/MWaccN+i+oIPuKr1q6gDukam2FheEewAaKz44 XhMKCy2i8ep39NPqlGb566QD2QHMi9qu4D2LGaRPssCvxLl3mRT80tdtGX6CicxtC1d7GuR3T3Ul ID7wqnCAUTvTqDIrW59HkDHzBWxo0zr7a/qelVLeh4jY5iB/HZV3shQmSvCxNPfd0LAEF0amtaTa CEmpC7i38FtqEJO8kVtifFq8mD5v1e2Q/b8Q7Cmrd4DdbEVF36ZMSl8uNhJvv+OtgIXMZgRjnprc D1EE6vPPo+kK04mMgvGWoaQb932+KLuVRgtq6ga2V8RoSGKfmmybiv3WQ19VVbnDxEmFMgagpjLn sHvBCDtXqEk0KM3pSYL6EyMVEfpMTnkzFWCRxsmG5SJ/YEtvAwVXEA8f/yordqpMqi7PWTKZpiwb 5LYzUFS6xVs70TPk4rPZtFE8AkkaUeK1tDlS5nOMggA0/wpOAu6mxD1FPl+Zl0kBz+w92eLOB8ju X4pUej3r0O8ASnPXlO47mSaJ+pSGpQyDFY9S5GoDJOz4YKIg5LdGSGvPouFCigCvCOkzQB9rfagI KofSry4nqpCcOKYPNl//sb+y24iav1THwo3lM/qZywOR808tD5Zr0HAEW6EgR+K2zrtRNXYV0PrI sfxAM+Ohi9QQ+uexdq11Y+4iNsozpwXsz4KfxnZNMVXuNXuYyMJXxzkX6beLFK/18RuoCE10e55E leqsXezsHoxrn5lTFvPoAySiDU4wexwsPUhjvv45fwQNhSG/hSe3kR/l2OFD1DOaFWFe06ItyC4G k6gSCFJ0x1HQR30ui10BYBvdQ4pDV5auJL+YSPVtlCwELC4rSpDomJu0SiRrlf+k5NtNSnZOP/eq oc0ON1FnGdQY48YZZHYuQKNw74IPI2QnqJUsENT1gc/7U71xXdEo4O9prBFuIn0kFzWPqqdHRoQJ Lz8w6zecCyxQLXovpawIOs5kBZo9WoccF9thCgH9c4DFCq6aALqqD6rEwJiKC3MPpzp9w1nBGFxo gRhFwHdlMbTlMuqjX8fYx0WL/GQ8oo+k6Z+SB7WNtobfX0+rQe8saROBC9WrHeKabJhin6ghmLv3 5am9E5J3BhQwP5OAd6KUmWplNnUG/EKDRwJE4y5Ep3Q7eBgqkRzNM1FgZAgoE2C0MRqWAI57P+sL fj1/+M5jqW4RivTKdrtb5V2EoAED1GIeyxzu7TzB79pHFr7AKHMKJ1ncj2V5BP4pqJKp4f+/Ia7x F5BM6bFq+PKCjt6LBT0ivrtoqAYfpAZsMFr/YtnFvcm95d6D/ATpdR+NWg+WAY7prQ8KMARy2N/6 qZu30c0LyaSWfbQWKBqZkZkdCrLbcjplIG1GYSd/icgChGJpy6PwnIZdKXm7PCpFF+G8PzUrdoD9 viNLpV65ydGuuWFEX3SL9CVgaCCJMRoYDqUCBYVkfYWfxV3T/pKgjz+uWmIZPx8bodMWNgKKzcjC f/pq9ZRE7/qDHbcprXcec+VR9FFczXDkcLCIIJ2ddxHfw6x88wMISjA40jufee9x88REnXJF8g1K ZF1edFuBrSRRzkdb2lZfJohEQ5sgMpHl//HbXtXpdtrLr47xb8+iVu5ud2tOivPbHSBtqYSUgucW E6sa1r/deouCqY7zo7zFTMCihL7KPlH7AV17zavksYlrAz5j3MMOMDNmiQ1hEpipVYd+JMD1BuRk 6GQRzZs+pYTBMX2b4Ih8ZxIbK8+2qiRuL0iQPg0OhDDOebQfWxbMgTHLuEXISFEr6LrGhH7h61Gm JYTTo0+ikYKJwaipFm+VNs7BBjSNTwJmk0sb9C+hefBQ5RoV5W1N6G1o9nYtQSp0I/ZXzD01Rq5/ wJCO+k5sjgVmd8po250cIY71BTZdbBp1btpclKg/ac5vl6C4CJQgaVb7UTIQpD1/8jHP1AU9BZQ0 jiWrDtpwtwXnAyN5mhQzpqN5qddpPA6chl6jjk18ibUJ0SZ5eA3Y2oqYVcfX7CZUM4C5hvKjwVgB xjolj6r3xMQhaxouAAxDNuulsQox2tGVewRdMZNc29s/Usx0mKMOthPIqDZJHCs3wt8yBo6fQYrA eRmNRU4yZRq4ZPMGMubvujAjt4QM6+RKQ+q94GW09hURvkBFSk9VaGfAXlBS4pthFuDbIr0fjQxj u6xV+wqHhea3L3Imf/MLiXjPIUmyO//TIKKUtLSngp1RISL71yvoToO8uzR51u1dCfGIYYc2wiU8 7kk/Npvz1FiSVWu5VlqZdEtMi5PI54tYbrDuTXJ84YKLEOhMjV+Se0HJ56Hh46C6Mj6+4y9wJpK4 4vo4v1gpszAcVpKJBZy69IaYmssK30twut54/KTiyPsFyu3jxQp45qEPRlGAB0pJ+MYcs/Qvd3Kz FwgtS3iOWpkO2jY/Kow6bMoLE/90tNuQP+YWS7fmOEVehiyqxIDUkSmYQTyuCUmbBBeJlv5JWv9V ahxyxCAsgeRgjuKoMI/kA//04JXKa4A5guyc4TVNvjvFzah0W0QG/FR+ugSvgYmVk7hGKReNGgeE xpnjoSJIuwmmxpcOxdvKp6Hwhdc5SZGAfHQN1xSdHT9NaCYr+awpyiepWqZ/l0unnDZQYPyYL5t+ 7EPeaWK28KqNC8JwldM+Tu2PxymzJChrDGKjCHuB1FNHNRUhBrYvlo5yBI1iXHkSrKZfO4rUu7zc Azg7ehF+cDwTSCQbKyMYd+Pqu0o4xv0i+1St33vGxH0qEbkhHWiGzkzCIpm86FnBzniwEQAJlnKk 44N68AIqCDmrEdkpcsI04HXIFZ0ZgTYwsnUUiGJVHWDjc6tgVu9PkJv88C3QGjAo+5xw8qvhmGFx OUYSDcLg1uQ2z6Shd0aN1h/p/rVGUJBzKIbNvbN+jliMEGSkD2tgRREwfO8NO0BF+hI5cmEAeK5Z YdaVY+Y5x+WTziXw8+1trAyHOueXq3IgJKwNLOCyMv1ujyThJ4L9h4cpc7ZDrU8xH6pHJSkCGZyY wM1+8cVkip2Jbl6Vf92hHVDuiXFd78bTfWji/tE9tHFw7AQVQ3UTVIn0GYkLQeidEuM0e+c/GT9X j6xFlHbCehRQHVHh8gAy1tMaVkJCqgFiv53wXS6twt+YEA+WfGnoUUO9DnC5oqspszA1D2VISdrH O9+ZVeiLcOiZxSwKEZsqEEfzGvYsgeUxiK/9Fot84TIAwJctDGh9UKY7jzKsZlyObZXDK0nznYm7 LIx8kAWrkpKlqIXYAG/AtoUuL1al0cu7JCIiwPbx0kv4GiOlSpovYWRHgvbRMdVjOQDkBbxIQK29 TZdFjiK1X1k74c0mWUA8wojWs3ZWFDSendGNHLvn3XQW16AH0uoKfeg78ee0k9/HQ54rVRykZ4aW e8BR3z9qZ/BEpB/jJuldRSdLJHL9u3TEc82vL4eyfAaQPfc5MiFXJjkd9+UHOsSwn0AjQyuVjrxl SD9g4GzFaNlTyaC6/xnjhkIZDc0y3/oO5fyoDGX6YGVUIC8fESzkkVwuRiS//QiBwtkGgFDdCild +KERD3xP10hP5jIpK69f9KileS5C3DnNsnq7mte5zhP1ig1ZfzIJVGqjkgbF5mA/tI0NSg+b0fFh 8O9U4sTzwYDNEqv4Caw8oGo1U1xrLn2lYUjvimFqbu+mgGdoI7YH+JiXa/Lt9msKJdd0e7HIsX+I vJpX7tUiULODUS8DxAKmTNOR1AvVVGyvyQgM+SRFBDmk/v5ovxkPXI+8buFd2KxuFQkAIR7jcNZ+ ErSwl2LFWnfPv+5Rr0sjRvqAJVWrLqAD6RiBnRqVjasn27xTLK+o3bMpDul1j2sLU6uncA0YU6/h pARXC8z7nmbvbA9ToCXPSrpYubmldfWrLIqWYdbM6CetYGZLyaSgDLDsCsv96BAzPROL1BQp5/og bXjnxsE2r07tCWMs7TDzHp/9I5xgcX7wnlFgDk9nGNu0qHJAtVcnfTA6xGTWVI9UakK+UKICcVE7 YRVKoSy4WzaRJiikzZzO0RaUrrb26qhtvqgoyMf8wjmt/vqyC53GFIyy7H2L1uuSoAVN6J+uZBWj Inx9rqqIQs6ENzU0SbJWqGNixy+uJl6zDd/YYvL+dpjSVecfOV8pp4oZfZ+Xzm5sB1ZUA6utgiIw 3jqlWfLhk3CaWswD/Xqg40Z8Qr449lrUgi+K8k4N+qaZ0S/DufTfgZjnE5QSIuYGw7L32JhRyb3G dRARKwE6a3mfdhAEC/CoJS+iD3qZit38X2eG4FtercRvRn6Heub1Z61bWCWTkjBGjOgGOi65W2oe otJtAXW1CSAXYrdQqFy+vdmNA9+fVaja79yaIejH2keMSAUDGsn1NCtillWRO+USdusBZ0XwVG7I vqF2W3lZk7j/+qUjTUbdbjlvNh63aGrl48j3BsuBQtrKs2V6v1SXmavODKwqRAYa9RWDxd0dI52f HUYvdHRZlIOvccH53l0qUINg/uwTG0SxHZ5rF43ZF96rvEX76KCH+6q3r44LsOsyWoukLV4UQDsy sHXjJ3sWJivwGmAFNeKaCzNnpQLBFGijKaJcfdUy8I806M3kPNcDIFiKFziAfzXZHwSYhhL90a8L YgE7dN/XOHuZsBL6NZVQmgHbz3W5FNBpEj5TeUNM1WwOSAA6L0HEiQqvTCQF4VWuSRfzQEg3eVJn NHraLtYyYwvu4IJpPsOBW2exwoK7M+lOF6wquWmImbE/r73ztnNR++jlcEaRghEarzP+20lqwwQc NiIo4MucBSuQkR5LpKz0x/OdhnOq30o+kB7nFXeE6vMldgXTm15AzuFU9HCUTifoIa+B9CkUi9tT cQWhQb7JnwSO7tlqws5T5DbgA+22rHD0iqoRDZWbvYi2KeQBUtghI7/iCG3sgmqilLKi08sorPRN WjhTvV92MYS7wzMtS8LCzj68ya5fhTgrsZgcKMjWyAuDoQeEoJMVv1K4PJ1TIaXJdGt+MVKfekPz atYaqIvDfIHAQOJNH4ry+CWLSM0ciiEZpMKKb8usuHJl06f/lmiQ0WANEyuN7l7PnnFCPjEbhjPN HJl8rwwb0ahm+FHV0dTaB5YFWdK9UpG/5nnvEVZJ3gM44+IzPbw22mvUuw7lMuya80qnVx0xbbYT wlH6scJbEbLDnABCmcfoMox1zIuM7n98ruA05NhwLAkzCYweOukxDblz5TpbsrG7V4IDXn2Z++yw I7/dX/mCxsS+y5FVDgeYrJi8a4kZ4p0soSN4Ff8bsyhQpq8lJtYlBOJuiY1wee8b1tfl53xgTJjL VC2izbo4Ke1NTnuGVEZYAGwaJ2GVHjKhj7Sj8qD1fdUvEDyOlj3dkk/63qBtBMzxH3ur9vKmIttJ 2HxM4M34p1itpjAMzlrnUSkdAsKwQ+0KmEFAmp/w0fVRf/a0EjNnTz0+5hSjKK6xB2LeGw8z5qos V5jbp0cTglbgKLbtyAK047pAKgoQnE9z15wcW0Kdv9yrxG3HWtAg+bfzmFT6pFEPPFhFf01ll5LV 0zXl1aW9XCDmOPmwzHgk0X9jvCwajSj9xK4teSqlXADbjYFk+TsuKa6/3VNyHXG5JRiP3TWUVK0L cFRAC4ptX67DTOEdiB4giu+2uougT/dpwtRn5C+a80MgmojachDswwJNlP7dxxQ9sFmT4siWVnp4 8rM/PVN2ponQ7yccxzg49hO6NccA/iivOqX7RyagCg7RKgmFCBKsGaKmiBnWctDi92dX+ZjIkKKi q3UF/ayPuYG/hk8JEyL0LnbGxgdG3ChsWqhU151gsXdszpmV1k3dxZKKA1aLW7LpCz5WNDV5ga+K bDDTxSYHsXMKNUNH8Ik2jNQgjLmHWRh9yCU4X0Oq2vUyoffcWnX9Sbw8ESQLgqi/VUSgL70v6mod EWoutJpfy2UozXlEPRPfKd1E2Ek8PAfCWbv2hOOkxoBECLMmF3X+HR2bcdTQknNz0vSY5LZCAtp+ tks/Sp4X2ZtHFs25IDGuyg808wt1yiZ1Kcux5riRSTULPvPZe73qWauy8DE60F7uH6ahHBsxV8+9 kaBwMZ0ubJxqitFr5naQgalt/bClgoFnMYV+YPTi2gr26K2PYnvSDASQM7CeFHSQTBaRekdb9row QzCk4GANdYhjqyQtILNLE5iwt9YgnEvFYcBE+1u0iGyrKn1QxekyVE9V1ywjk06xb/+be89VmHA0 1QarkPbBs/q2mcf+ZaHwWjeOSi3vzrnRvspcgi/h+0SeoXqfQnuhafr8PfXtMqq5Pgm6RDH8Inb/ CoNPZWVRlXGfHnkuLH6M2f33LtYzkvBoSN6JMHHQbfLiaphmEdkKkG98TNNzpg6hHPr6mU9SNXfy ClzbqGDycURXs5xyvfTp1miK+/wvVWB5FkW2fs/m8AlvGh3OipSHAO6xTy2fz67lN4yIL1vMnpHY WU5VwnCmh7z9bwv2aOoCuiq5GXYlbqG7LnLauAwQVd/6cVP3UryIzLV+HZ543SvH75AjmAkfVfGm D2eDcThtFhwQiweaLIC5ysF9yit9SDGP/E5gZFXik70B5FssR5ijM85jHQtaFyQ6JQqTnV3ZbwpC UEQw5/HqI0CwixZrmGKTFIAgPwXPVzgxX7ENycN/0NZbxyHcy/IFVDKH9/+o3nkOYGNNSyjiJgWv 5xM7skt4fyc+v4zGNWRbgN7ytNOoOA/9wVzE158XYDyle269jLH+GFAbCij3JdC7PfG/zYgBzRun XXP+zyGRSFSet8vvwkuVNY9CLshc1yaQ/vpioGp2/JptJkB+bm0JOD7XilNx2OR4NiYlvRHIUVJR 32j/unr9oDOKbtmilEj9hvtINVdu4obAXm8oA83e/EmRn68g1uccNaOMUo1NFLETtvXPfYllwrB0 tA454zQl9Ea+I2xfGNcgy6l8HAhrzeWxpnZ3sCdX6fISnqVrkcqzuxc5HsCNeWwqUy+TZzm+uPte s5vjsqJ7sOUbe6g3vUXr3b7lIphUkXJMmO6teQvce18GQwK4cqTD/nIU+8+M8mzACrFmpFIMcJU2 rCdYX30TSSGBOFrvbiWnj3rKvmv5QzD4Z6ISo35/CZdkJNt+XK3BkZ+y9Q9lboRfbFZGQ8HBTDLw NoA65i4jxdeZoXlKP/cs/Ve0kq4Gyg3kWkmju3VaTRGRrJnHUzKbKMOHmTWhEVcGVxaYhkIvUjgs 0pcWj/Nr5ifDO985/cClc6lx+T49o5lGAzf/1bJ7RYMDc+DliY15om4mf0vukocDOOjFtqkaiiVQ lLc/w8hKrPRf1vbbZRj79hgPkN6YznOYMhfolgtzr/NpOs3q+jcAkeBVaCH7kQzYlbRj5EkGeb2y FzR+ttqiMmwCwWsnFKEtSoZNokeEJ2p0tptYpThAMzvE7rnkPN6ii1eomsN5xDZK6maImIwNzldK 0URzJp5xhxExSzDJnzz0iAJMOIVnt3hDt4D1nCzYVI7HIpfHe6uIPu8ZCr4uc4NIg8U32jfcrTgQ Sq+wiKCfivsfnqgCXNbhOGHyEMlT3cTucTz2xOGiL2hfxCAqI2VXTR0rAYOV14y7tr/KqY5c0wZB zwbyGid4b+Ja5wdTLZRzIQrSuUqIPSNaCmp8Y6ez3p699kK6D3+fhfD4vOdpr/ArZAf3ZTkbfo/A feqMFYJndIB6UmpDpO9aOEJ8w+3f9WXCKGihjy42d0h9ARy8+FZlPDoj4SipwfEir5hczazHs++Z 0uJ2ow36/PmxRr4Fh7rX/FEr3uR1CScAp/R7aZGpLBO4yFKZOloLOd0TcbiUhQLafpSeN8oHU8Dk aW2HhpMiaEoWrnT0W5kG9VTIH2r1qgN+Z5Dyqsj4MUxKuIpjLAN0+aetgZZ2x03BsrBuq90x1t2v z4Vht5106qMfi/vFWZEAKkYlRmWl6ABHMtv388O5D682RnsxhGHuMDpK7nqLl+c79Hd8xPfH/gH8 EEIz3WqtpdO6l/QaLO81vVE2JwJe3yPASQBWjI3LxWjlK+fw4dhiXOMaqq+OvoFKkv2s2dgEosAL WFRaFPhfDRjKhBMEEpnfP2k2CB8Rs5TFrgrXN1/TkmJVAtBOaxZUZUHgenSBm0Zdrp/adcxMVTFw lmsZlfzWSiIF7WzydxvEawVhyqU5V7Xgclxn41nTScbD6BV6limWItDJPkB1WkvRqpicRkvBVbaf 9mRMze978wxyZA/8MEQbWVd46KYp2E4SCPXUwU9xDqcz7tLw3TbN1fja9GD/PW8r7/ba1PWLgPC8 v3oe4hy2Ze1nsVqCZJKVcMyHfS4LMPFjDmLcd034snRh0J+bSnYMR5vqbt5hWPeNT4+ioCElYSsW cBLSHmzkCN6hDjEH7ifLs0MXC5mKLhrHkQDLC+k7ASTJJlIxwinW3n374u8Q6rNDEMaiTdOkte2I h/5KzeFhXmaAHan0kAeCjTL3LTz41rusHdU7R9sk22mkqk4fk4mAnGdKGE5ZQZ8oZw6soNNgvNbW jLKCS8XvMxVCm4oH8dYsSh6uUU4XQPARIyyI2h1AxozY7plTRS0kKkpANppog7PY2uqHHlyWoFga EKIpJ71p4T716ukyWI0MC0+kBgGEwtCis77VKBGnNUmmPkYSCYYKC/v37dOUosxOq/9n+DPaxKAP jDNurKOTqxw7VTZmEVJ77pk9WQZgg7emH5zL6kNTUM+fBWXaFhm3DbZBRlRe4/hYS61Py3WxU/0F q81QX7pa27Byx9pLorOQoh9YC0QYbRNXCL+L5Frv+XdjTTnVoIXI/jQBTVTDk73rK1k2sft1yXwQ Rmzzwf3WzWcK8d3+JxE0+GpI/NaDlOXxuHm8ulUyFRlb7ATtWMuEYhLgA5OFRf14qXZH3J67pwPX f060Z2IiJ89U8E7lktUwxI8+lDD2OcjacVXbvhxTiMdmHt5UOkb1GhZJsfemp19YS2Gq/mhuyISN I+yJUQPMqxdkUHqTCkCwipFyaIM6P0zpmPAy7+NYQqAZnOE9kfd7ZSzU8Ed1M5RPPKYfQxRoKMai 9OtKI7Dxmh6MFAhWl07+YRnsqLySMpoP79/sg4nyar1zTiIP87kBZUhc/sPQOlbduSTlsQtuhJZI 54yuc4kIrU0CQA8bHQKl3rfDjtX4PCP1Pkor8Cqk6r65b2jrSYUK0YwLuF2MP/zdFbTavrgSbieU cCSS/8M+84guR4FU2SN+gHNEtAzBUJmEHcIW3L/YJ06Fozo7TROoSu/566uqY+l8b3VyQmBkltIn B+jLmXEUhfgMf93eLjRAphMR7hfhMvwZDdN9gxjPv6kh3XqLoM0HeOZZY+Mh90bnQpopFpTZnGmt jxxpOdIJPMkG+mQQh7ROeyl+TymCvARxxvoGyqgyTVtiR9yoYPl9HrsahvkXmaZz/tdeIbYdpsy2 ihicvG0VuI4+GWPZFYlCpH1MGASsPH4b2e1MdfO3vfNGCJpd6HfhHsQ886iemOYjSZK1g053uATF k4EM4b/RfsCFuYlzcO8LDjkt4vOL4q+yInPDp5TxMuarvnpQrxitN0pg7T4Rzgqw2te9jI936Zs/ 4zguUZxgRT7mkgq/dlgxNlOAV1wTiWJHHPT/0sYvm6Jr+Y+UQ34yixphPfsSEIdOL1oktnMvyDzV RG487GxpacOH+qTVRBIHppfTnSuLlPyuo2KnckFq4BaRIsgFwOzSeLYsemibu4O3t58mrzAFK8+k 2dMgG4FA/O+eRWkWjElfVaBz9iO5I7qoltrmLS7H/IxP89jCbIU64sV/Y78CpnVp5hnbyFvt3ONe 0/3Kpc29q82EBUC1dLNuRnQffLxXi2TWoZYPLK1rl+mF7Sq+qBmVK42Xl9jb4iXgWwOuOe6/KWcC mhrajjgIIAVKpxWhoqlk8+H3BCMCDQaWT23vTDUHhx+ulEHi/kgYfvwTC8BZV82eXlkDgFITqo7f 8R+2gbkWlgu//fEOxnd3tzEh6AIh+InKnFl0E3rt9kJpS0/FYC+rp5ZWeavbn2u3IwJWOA5gn5Sj tqHKCSaGzjnjE221/uQLr6dQEcTGfOcdLIKC2LCsXMkLE4v5wxu7FGgMe9lrBdqdNeAU/TR15fZJ D2nzuZBkD4hCooNyl8uaUhKs8/3lC/W38V0H2St9U9LiASyNxpqCnj+f/sZ1GdqX/xsoWR3sWpcS o4HLASUuBC40fEYIWW2V1l0JV9F83sQEjzd01EDz9pG4IGbF/+Nhk+Wtpqqqz/R5WJI0eEq0ok58 44U6lXSY4n8cGFhQDy/dl9P25YwJfAQzTbd6veCI/+JLzwcfriIIm/t5+R0EY2PqbBEiMvA9zagA OgJxMLpUVhpvz7NobAM/hMQoQuk6qKQQv2sMtM5rFp8PqnqlDnyb1/aYYvJK7y/J5DMYVecDlcXy LtkAt2mYlkb6IUUAm30ruoxypGR4Me5UUmjfHuLgSWtTF/oPBBOFmI15EnVHji9iI1uNf7qBiMhg 9wwROLC0C5d4zS2/WQKDeA8gelkc+7H3ssKVGZILNarq+eWxP9vAhzujkyJWYH6CI710WzWyRBIW HNw2xvq3YIG+V7+4bRLUAqUajDZl67puXmimoKeKzwsloW7+9Fr8/9ZgiEq9MuvWY7/tc0w1UjJG ent9OuUbqXbWdlTZDfXcyFgyDoKZed0fsO6532AyWtr/ygjUUJbAKh0tRya3GEl3m4vNalcmqIOs IT6+DeTutW4t5agIszfNcXlDDxNgVLcsScx659lQK8ZhRMacct+fsatwWn6t5O3yYND03VTSmSf7 FiI3U1jJYjVQ9MSWf1EVX0+cG3lFn6TfAmyo+SIZ7+jTcoE7IPM70a0a7FtdghikcA2eRnJi1oqj rR+++nFPBjEn+VQ1DdgilkWV3sl22v9kDdxMm8OJ8VoRB9d5aI57EamubKcuMO4i3fGRdQetleCP nFgOXPlL1rpwzXMGIE/yVqSEB8cZfhFsPj5nAmf8xptwV49/CaxcSHlV/Xmv7/GFHcSKeN3c8s/O Jd8okrffm6j7S1AHapNCC7LJ/+w6kcjyEF6WEsb3y1IkwkBtJWeoBhieAHTTFI/hpsVnz5tQGC4W J9QT6IZ63msD/dh7X0kAdY9uwFygqAjw19/b0JZHbBaKQUbl3GwJGjQUqDy6u+OYbfAzMjFUixly /i/3NBRx7vTSiDRgKAy6sXb+ix4kua45bSJmMvrMkjYW8fpMlOyla2UfaUfl7bD/RikWGujbBqbc qVBPkouHclfGSWayeWrFvragf++AxR0YXj/aEiGbAWqOrncd0kDJ3SqhmUDIRWWoHVCsvxrWpVQN 8VQhvyEx6lTj03LO2P0cP3myyEncR3tHzhmnUCcQv/I2GZZyLEA9Yl5TALcr8qi2aXxLeJCO2LpB wOLXOv//7sSBfQ7BlsX3yLpb4K8nXg1MjtKBZUEPMWxgH5Vf6LXjuvixeNAeQEI2yogOvCqUSeYS qw1ntX7iqHsMWqkIerDpK3u6MzG+oEWau7MQzDely+n1nuLWWUWb201BV8NaRB5tBqfytKCMZHPX 7fpKH914FkEVwAzZWGcjs2MquxqVnT3yLPUuz0n4TRPYgHpjFKH/AzR54YkLORt5fdeFcBJp1AZR 6J6lkw8UGNWXYqMU6246fmDJCRraBHdafhjgOc9fXg9G064qad9obwUmRI9XQvbCdaVjbynef/zH th9sJEVdZF0PYWcqMfywaYSZIlIy3xCpL/yGTC42GnLkW10nn3ZfP39jrudH6sLSfZTDenD2Q1Cg zARzsSOcaL5p9hsJTxqkz2ttJBUT6Am3jBH6D0FxfUI4S2GnQaJLm8paAD3joVzE53oY6j9gwx3T OSFmqFNo0weDbjf8lzyzvTkwsMt/Q1ExabAUWrfZoFhjTnYUyIFYFMO+3wfMTVXOAa4qy+tWaAkw a29cpPn8Qe022RCE8d/zEjPTlk524jIJ4/9RDWdnR5x9R+Ljb+flnjn5CAYlZUkd9qc/4BB7RUC2 8UfMufBqskcQafUrywkRujtyjDB2Qhuqe66j3KX946DkCyah+rcSDXbgXLPUv12W7NFzs67ZZOxg cVjMpO0+SBPXjnPbjULBvNhBLEOQBjFmwinpuSQwAo7uZ7St/RMKzsQWYculqFheKMB6PzC6JURZ 9UbmCdXGYQtJgbajAE3+v2vUif1bK/Vf+V7JgC5egpq9DAlDphOcj9x7kVxVHF7kX+r7Yel8Ay2w +ailu4plBKfvr9YQbBUL4nwLZCfIroFcgdR0AyvJQlUgSiyv0NM/u5FxMS8age90WWxV7jQbokeb ffeC1BqE+Zte9AZGXtaK7ZWcGcyn3vV0JRRMIW8mbCKzDJRIN4ii25i60GhkhAljNUZmGd0A6VH0 NtPK19KaLsNGcfp9Uoe7YPntPw0DMVNiIvcMMIR19z/X7rQGeNeiFJ65xNktadK3P/ijZS7pVtwS LT9Mo0GmsKLeTnCfum0WBBBZpyVlbfT2WD8fP/HufSvuX7SScBbefAss3LoxSgigB3Fp57aSrceO fPKZrcxQtQCPlvhDdLuf7xchvIaB+mRwPGmXsH5em9k/lCyBy9doydDvwzuV6033GTCI4xDaH1AP pUms8o59IFe/8HeGq+fY/pZ/3cms9i8NvfExewmjTR1XrMXOfSX4W3hcgDdubjAuxR5CJSMtSyFg OLveITaE7JUSrozPdyfd2QqDaSUNR+Ik+1HfDptgOaNXczTNwZleqIx4k/nqKxl0WyQqq4ezIAs+ orXwy7w8zORhJpFYqHVWEJoq07GeWrklgRKCP2WaB46R0q+HyR0kwcNcy1x42u8LUVOCEGgXyxlU NYrg2fwm0ayVSNB/PEnCXhyWgTG0hpv1SD1022jzhC0Qc6nDYwnrdeV6oCRT41OjjkZSRJ2Et5gT FFthr92q1CF0kkHq9FNm1pvvr+7IZIQAsdEchU22l5Q3f9BSuasJ6C26VFHezLPh1Tn76/n3vEW9 RPOExUYUErgvasv7u3bdGdl+xalx/VGbUi5bJYJ3z85HbIxq7OZF9/z6lkRnwS8eE2JN4/GVAstS YXuDhkJaOlpDa2nypnCPopsK7n2gW0Ijz4EuGHAzsMytKvyN4P/LPawDWuLl/jG4/uNsgBG3mT6r 01X1GP8UE9yS67pDxvUu5fFZYDSWwaJViNgokwhEruZvE2YKovmoYxP9Tc0qDxD6Y1Hvg5ver3ga jSYlCI8wKcXzwd6jR9b3l127q6IjnhofmX4wosF+iFLzhfp+5ERMFdOVXVZhhRHRi45OeEGbk/La +panpVysmBRlcSX30WQEs4jxDf3HN7zGLIpSdPipLIlOmWB6ymXeJNCJzSZeNI/D7wijtLhwHZOA KBHANUKqcqOtE6hNYqgeGBWJ+kTvc3JC6miJOH8QTEb2gA1yF19pIdg7l1erIEgRWn49uN/1vF7q 4/F+KiBe7iqUcILjH497QjqR05as5aFcAI2jPnXq+eIoiInerDqF28vsEzk+sjbZeo9cVX1f0blA xsvtsWk4lOIOag1Qh5X3wChLYM/fIt6aru4h6mXcjqan/qXlWp7/wA+Ut4MnKlSw3Ebvrby8lSAo 3NdNCCPfb+jQA0a+sK3uaPJCtDa1KCrOWUej6Y8P2n59L+Qx6DTuyKHSgSTrRzFtbtMg+efFBdtp MWM9aGzmLOJG1GbDQje9fXqrz7xFWreLpRic+uFKHqZSCyoq8F6wixZrLR2zYbQjY0MAc94Z0fc7 1wjfbNv3P5nqEnM+lWodSdV/I0wM8f786ABQ+S5tiZn9KG3Nsq76cbl7eo7VtR3x8S0YSWRzXXbZ bzaknkEovFNyihyK6NBVGL7UI9/h5EifzHBwNIdVNIiHh4zrcLK6+AnjXpEYtWAVAwaUltIvO33s 4b4BaEShyBdLpXAc/LeeMVpCa1uKnUGVOFcBvmNXtD0oF1rTMRjD41ModcKJzIa430NfDxenQOeW 2JBJ36ELSdLQbr5Dcx62I4rmJ7yUrUxAWe8HpkeZLxtfF1G43I8lh2ddLVOjLJuCoXaGrjwWlHQT nwoFOIelQ2/Rg7D87/x72iRS8fzqj2Nfk9883OFkTQSYZsu1F+NPgm2ZMeSbVGqa1ROT3byx3Zk/ 3NXlhFvgyYHew7nwcevNHddd5PG7ZOBa78DtVQ5B9kj4Wbvjo5AgK9mGTh7QSNSxNSjgPvsXvR2s qY/hFz+8mqtD91YACfggd/a7c+fo4eBIlAIkzn4mjE8xhjfeG8K72EUHy9nT1eNoyhFs+ioODqcP SOfmht6ZbmEcg5wknt+3XEgkn7BK1pen7YHkwrxyWmO+oRpnjF6y3EeM54ZcY1apYSYAhOXEsd6N kd0cUf5JWpMSLRqC2gBr43iExWFcDMe5eJMy8UU0PeIyoMVwe9x8dHXNBKE1Ao5sHTDdZsK9gucW 2J+WasnYNLENoC2fULl1WZA7g0R2PsxD4u+ULOp7zd+UPgypqXDMH6oToERUelNSnPw1mLVkxrpy BrxkR5UxHAuw4hgH/X0NjEXz/cb/kiG8hPyCyWk4KqXsfjY7h3qvMdbCv7ez+BXx+PgoadbGCmsf rp1VFLqRk1DHAA1gCsjDHEZ8q4VcW6oPMgvjTkrzKqqszxod5OXx+5hoMOeG6gDr2GiRFVltjXs/ Sx7rqKZpVXRRWiwQse7Tg1EfO3hwsBOfjxC9iULUrG3y7rm8bw4AZU65h5tJX0omwKka5yD7LRZm xH9TpF91LuE0dQ8te4cf7kyhPfYOwc9+cXJg7FvVH7jD/3PIvGieh3vMWmIQ4I46l03h1r5Lu8gk 1yjIUrf4QRPrTK1Pu4yhQwdtQVbks5LHGEGbwc8std3xbygMqytkUUtjuJQZfab/CBM/mpwQLKED aloDq5zZphV6POQbVAj4zrk6t35PvtEcX80/vWcjVDYIV6+9PcrcGgfD0CI/HqGpZnpQLRhIRANM ep8b/gw9+tgfXEoJQ8uy4Wr+0NwlTq5G2pVVg0+B0EAcPg+H4rJ+DWZEFiVjO5nx6YT8vwcA9rNY 4ZF+PDRf5Sm/kQOnNTeJxSLDNf3GxDSdd6DdaJhByXv7D9ZkvnGsa0Ikj6lrQTgZ24QP2bFA+ZXi xK1DH8OOBmo9QxeCnnVqynieIxbVVveoDZkuSwBZqmWwLmx0H5/kwzqj9lk2GsY1dLGIWp0OotzM iQ1al9BwFF2eAZTv2oT2C2weeGc1JSTh+kyReWjn/MzXHKlr0a3OOzRVSu038N6b1NjYdFZDPmio 9ElyQh0ybABksW5eIvzo69D0HivLW/1O6dwhUAlgbz3UaXJrhq4+NkTW/KR55yxigss4vzm3yGEX 4NoJL+VzXOcOtiKaWxNw1ZdIzPTiOmum63Vo+mTXBFsi0ic77Bo1bEsgQqnAtNLjg3kyLM8T33Ve feinN11HRy3TAjp80ciINksSPjyVdeOyvNxVtcTd87Up6X5Vh7bJXcrDz40N2ceCBud6eE74eAOH VigClUZYsJfX7kiVpv7fyEGyl65DNtIzbxblSCRjJy4AMSM2BgMNpm/jRZT+sg/ZgsUmJmzbqEI8 r49W9RqQMlAkug2Nb3oj5IB46mClPZRTgmaLkEKJoOcJ5o9XD5SInJbmn6m3xu1wzD0AuBSxolJA GIyKbK4iBQNNP6fQdrpqGJlLZ/YCXZkuD9cfnIyHvgrlOff/KuYbkX3KK4/KYS3XQB0EfH7LR2M5 HW9ELYtQIjQBaeWE3x7mNzMXFdte2T0MiAJQVJo/FAEqKy8bJhUMU3yCY4fOxZxZotLje8EzynUL 9hroP8P7ERv1Ml/gmxyC6clbky/i/3P+iO0F2iNnWdRVeQ3XzUuG4stprkO3JYjkf2lVNPbi3BOh lSwGcke1WJwXSBZauCSmd0PQx6slZBA9Siy46Oc4wqG3owDxxBWkK/5sfIKPryISA0VevXAJwn/n 9RwXHXwisE422S4HKjW7ysDhl0ATx+sUCnbNm0Gm2rcCULIA8xmlZiY51hc3u4BNsXVHPndewBkh SMiyAup42npmov+eC/p3E8UaeGmFJoFNejxcMgNRW/lLJLABEgjVKLvoBFt5Q/N8doM6/ljOHtn+ zkZofDHZKpwofPm2Hq17SQajrdyWGQoMOAwC4oDxp9wJ3xqTYPyn51IU6QHmMkVS11vVXFLq8lKW BjMXz5P0Rulsu58hx1+OWIp8edLftDRHPkRrzVwbh+U4kGw8yxUdqD1yidcImfkwhdoY1y7paoMe FDm4K9b2G9oDte6OZKnH8D/DESRu2qz4uPujrrw90N4b4+vihVEirrc+6ky8ycDp0Qty7b7nFUZV ZEJcpuLaK1ziRkGwE7H9AtV2m/CiHhrb6ejaRcZjSnhEXtT9BruVdqpOT72at7tYrNs5Lk6iHGu1 KRF34m2l0CLUdkrpZPKCmAcN1cvWiVSGGvvmPHoMO5FPQlGBosKt/1x0wQR9YEVQetrJNSqSNrXr n/NvDqiZYFmmnDrtmvY6ECQqAMwNdm+X1QOikGcFq/1VRxC3hm3apO3lThIW8Hlo8imFpeO5UjUI Ai6XcaJBziqQps9HJduvfOTzwVvJ2BU21/5/R4NeM9s9lSs/JoqBV3D1LA8chTSjMvbY7vqX8xLi 4z9pX8dbAWPJzI41xMm9Au96MG9+v/SPpKpT9hX4YxYwxh00v/t1JCuOms9yJ6/UWKFNsSybNLwP 3Gt81/FbrqDN0+nAcOw2SmPW+kXPxhHILbSG/cASHpwUWScEaoQkMxv91nrFRiXiQjDfHdyO44q8 6IFaoZJSDrwFjREFaVKAtBh2KO7EN9MvhCrFQB5FIS0jwPNOycGU88uQwYGrkxrXnHLcfMbsGq1f ItUOxl/oLw0znNvnTdfiZ9OwAZmrOaJm+YXTjoVnBnI7m7UBd2Wc31coXikQtTG25+H1Eiu0LmJt HBbZSlGJj08ko16I/0TGwcvBv9N2gxPzoopaLB5MzuGJYRheSySqlKDEE5R4ACWt7oeOHaLoj7cC N/zR8ajJq6E1B0JNAWKQ+nb706jwEfUOjONQaN3G/176PcPlnx/H47TGtDTqIIz8CW5iq3soOAzh 8/ez/aI12YOQe+egMrKED4BggnzrYsAdxO6+cjGTlaEaBMWWfP7QTKIzha+LmbgD5GZsebVgV+m7 20S0j7UsS76ZRO9ZsMItUh30NzRFJ7L2nCLb331T3vpxKKXX6YQH2Nz+OM1Nl6sM6KWkzhv0nLfg 3nGBxWpji4ACZht9rTgLHYtfrdZmSrO/Y+fFraj0nqLuvTInhASzI3CAA4xN/WJwfdnlWRORK092 6ZZsyOVFfHtKc9FBAzIXbhlP2OKqm8ri9i5xL4tW8AqrWquaXfaVQ1/vew2zUqMTYKfp5Kg9T7+P RLtA+b5+LFAbxL5Tnr9sIedYvdonU6lPlaP6WB5jrVCjCy1qKBxV6pRwGXaMLKB8JhZrDywjmYpc kI3u1wzjXfdnkuR+xopc1ShO2sgyrCoAtwwDPY8PASZc8avjYUg5XW+4OQDJrfGOBERgDk850Alq 94f3oILZ+1bmOTiibE+DrxYyJC9od/v052YP1h0MDI/llhF8jQ3mDBXkybdfmB3MQMoAiIArbowF lFCnI1ufq1VAG6hs8KBuR//K96Y5NKQKwakOmgiUH6fKGQViPRdDvHqpPVYzx8cIuaTvRrt9kEkc 4bpluxE4F/hIUvI5j+LFFGgWookGOqZIZ/Jr8ujz//BWFBlb+bT89PAOhjI/HF4QrNE25Y+kkkBU S5PsU9JmupBEnug+bVGRxCo571mzCS970DQV9LKz8dy+8MkUSGHo+Pi4iQPWG3NBrL72PUZuf2o2 pEi6Aumbr7gqX6gRoW6/s0GezNe7r1H90jLxbFx/qSN1qw1GtFLN259ZI8ZrMC/RGucXZEU4VSv6 P3mCKd/vIsM54dzUDUwNHWm/AZepGPFhry855cygZUqIYXx8TWooTAr7QPmS+Wqxw/9xnFjEcx83 1aglq6bXsmJi5OW+xt99BRNFyCFFmLRyf4JGcYFAIMcj2NZMxnscazi6dV7sEFVh98CTm6GlwvNK w0zI5fzJMCXCGH5k9HKoaQm6fNmXJbf9ezgljX/zIWPp5CN+hUi3OHxAkQIgo3m4xLaJJClZiQw8 Ab9jm6cXewsUynKp1OL3Zf8fNrq/kgUY1dd1AaWeYRLAsbcM1mhOEnuyQAK/H0Igdt0nXrM99qMz 2iWzg0oDUmIdJnaPCSYL9diupauz5bH3/1TylEtSIxmBFdzkMIiiQCtu0aIgt/VoGdXOOjpc/cYW 1wWeN0ijNOa5A8rhmtmNZPhJkP24aNCZMagGTOTdjAoWlIQrBzbfOSTQGKvVfoaybXYX1tfBB+gO NZ5oUoKdZZAeHpgTKhu5baIKoPor58Sh62hg0fyX37vO+Zt9Bfm7sR6eFbblU4W36kX0jfZuCNHM ycbMyV/V3+n3JVoMP/2ptYlDyfBsfWn9MNM4bDDgrGDEHrJrbcDdlfdxbDEv1o4T5b4HS+iL0KKy HNTRTSM21Bm2fSwFt/IhlDN7BB7y2nAdd/3SU2OhzxVFqs1lbyzeq2QBws+j02Kft54dQtRBUhnA KadGtUZYiel4jcennwZJ98QDsVwqWDaBBq7FQay0bLbYUIFb91uJ8LuMS+q351jMQXuLiXZCAhGs xxcV3ClpcBTT8UaU2im+dwffzoZx/BEndVZNWNrzbsy/K9UT/GpMK4bkBIPz7uVyTTcZ4NdW8FE2 y9NHTkbfBoPxzfrKvhC3JFuNwO+lkQP1oD2Y3hY/AQezSAmvICuHjaSDv4KBg5c5WHd0HFFle12T x3AxA4mqQWX3cjNxRFWvwHGXbWTyWTQIcqh7MvM3W6tT0thiGawCCEx3JSEkQylvVr2tpO6TRt5A QLNQhbP0VsWqF1aQD3pKW01p3zbYURa28LdL+zR5UVBpPAkrm4IAh+7eFaszGCkqPuSsMpkVSTDq 0uj0QSWclnmMz0giJ3yhAbxp+M1M2mSQlk7M1uu+BYlWFBB3c5IDPzhsHnAC4JAsme/rmcZRFpz3 TVVsA426/zjSjy8/BDcrpJ6uBrO6tcwWOObfvHjOSy57M1UGgicm7s3+fT5RSvx9hpEr5XUKbaD3 y/Mrs0moKWT5hBmlNTjUXRp24GH7zv/imlimHlKh1caXzXj7+yDxv90nIxax4/CbT9VZGPWXICbr eQ9e9Tq6eWt+SNb9OJVKXk4aGugwsXA0rZ15tqD3JKoaFToba/HpV/i3+b9DLxNTSoXnUfZB5N1L 67/LImZOFsxiUs92FE12QwKV4ONzuSMhvie7hGE8uLIuLk4S+ZM9EOLLTi0W9YqLdllRwoCTogcj ScbWk5NMsVXPWBCFsu58kGbsx27TN0jc5GSGI+wz2RQpPJFrkXp/PFfzvg3MoF6sB2nUcgjb2c4I 4UeJrve7Uc3HIHA6imBOZsE/OzoGWfegtxLQ3U/G93Vi26mohd5QeGsVnm+vdbOfK29CN1azIfv4 XvJyCCCvVxY9e1uXa7JLFoeR9kOrJtPL/hjiY7gpH0tNjD7HcvpynfofelHBzchbDUjRpxFLd7BM bskDb9BTRb1BFhjbeibM4kraO22x4jVFukKjfXEo/i6SisLsZRyb0m+xePJSMdL5Jkn5jlbePnhf WWvV4dtajviyWF2jJk49/lORLLeE8AFbIglTIWXxi9DOhG/tkJ++ywPPQcpepsWb4F+BCoIw6jmO 2ew/ze6qW4Qv+UgVVcO1aCAyxMKx+6PFGXtkrOijO4mf47id4L2nln+JVERwS7ILRSHbFp4Y0m+e rv2brEAo4HtJeub1gxLQEwWKrTHo/hNDiPrm16bL8gLmrAldDKOS1KwyQrRNBsOpFb/LoHBlA7ld 8bGGzl87SuGSJEX1dz+1x3MVdoRLnEM/JRZj2+SQ3YG9yFHkR/7h7Hl597xGsXnsChw5NAUnVEd/ UWVjSGlQc+oyToCmJnhxsoyYHa+iSfzZtk7GtHUI7oetptmjFnlRDfPRZe4puGyHGNGjIM7NcH9H guKVVmWmBc0MaRRTYaeyMMO+Z9uge1Yzf0pLmsYhBMLGkEYmNh1D/GgtDorOpPuf8jB4FNGhg+0S ViF3w7mFyjCSj8/O31IiXEM+YOF5PlPSUD7tGsE5rz5icfZYlRI+Acniy1CLQGKUDzIzHNY/X1Os l+9C61idD6tLzaoDCHrnkDnq4HUsxbOMG2Sfu6SBbCLK+MFpizjTPNJB+Q3IQ4eBo5qp2JPRLEPg 2uto1/0aFGfV01smPCqRRVvuGy5IJIakXU9hK9E3SOOGmJ5CYuiNm7xKxmdwoESsWetlm36l1ERr AABuFwS3272SolMO7DAb3kE4snmk5mUOEKZp6c0pwFmPBnVL3+EcRn+xypGsh+ziNPD7scXIm4d3 ujylMDfx5oscGpmzolhsauTu642of5md5hXh8nlHWoTwXRoMmPt7YB7hGufLuoHUkuFNagZEhLXd t6PV6NmunHbp4cyWj2s11X0HETR1BLmp0EJqPM9kl9XfPH5NgODSJzqpSqCyDO+ZCtAWXyDSOsIa 8Fhyf2MKOkoalkPyXBs7hrZsa8UNqWjfP9OH6mF36YVIkCHsVNFOCN/RFSL96fGjOuLz+vL53aBA CO4bmns6lIa/9OBTw5NeDQ530sdNZt5TCPVi7/jDr0XngecydVk+v0oVc0SPddKtUmH2EZtb250d aiEovGf4pkEvIClp9i8+oaZtLgPXxy6AmwS7VJ8LhYv1CPo2x+8ic7mHDcv12PPCBxRW1ZClYExI MTPWbiPCCSvMzzl7pZe66qU/rjS0F9iaBB/RptP3jPU19teR6+1FU+bYXQxoxyW5PKEzbbe6XNUI q+a2bXW8nx7McyXs8u87MRAZEQdANanIAUXOUMf1K9NaVRoitVZWociR6Eq5mUf1mgn0V3TsjhMa edZ1d0ogUxTuuawp2WfZm7M8MffAY0JoKLMHSSWNDGr1gn+7U368BVC5vb051qPjNbcOGjzmQkjT SzYa60/haFQTrbTugar3M5IioMzb6oFGS3+fJGTjaqVOIy552L5FiUjx10hKieQTDdwxyVQyXojn P/Ga880pgNeV327p4VXd1c5Z/xsuDbF4g+uE5VUavCcKDd1dnWbpR+QZ6Vbnc84i71v9vp1hdMO+ ATGEirdCx64XkHFE92k2YTaBvvgxV3RpjElHGhftNRNUTYpRWuuqPi0zBybqbrWPDIWatG62ik/q ERrtzjHsA7NbexcQsdABpspfXlPQn+DukgIyw7lT1tp3EZR4QXmJZOrYK//7aSK/qvfihCF7OFLJ SY+0eIwfj2AzoIZhPAyCv1T3aYkpVcJee5nDBWhML8GVz44BaYLcemp2USk7r4tODgxQo0Vyb55c R1qiIz24G7kBy6ATn21axSnhZtj6+wdABJLrIFXXeRShiNjHY6MB6uB/yyCE4yap6yKrjb1stza5 PxpP/toiVYB+6xsXFlItsn0JZvNEa8Sg7ZvSYzzluWU1tyQois4IwZiAUkM41e0ySLimcIxR+zxA TiGFsn9qZOZqZHAaGdjuvfEpvdPziXtGB+SxJY0+Rh1RIMVty4g3cmOHf/Bz1q63sZ03ES2kWDE3 ggDmflfGFvKn7xipuAIiJ8JE5dL3RV66H5rOxIYGHU/fXdTInNMbBPC7qqJfsdQqYuhiPtmm9HTo 2ifNHmhwAaVUFTEjj3qFV7jCENxme+BDYaOV2qRYifbDn0RVI2bgz0a/kW2eFz/HzAcbZRBAfsKh Vv8njVqlKwsx6zOA6rnb0bTKKbRwQdX6DYIWltikD0e9cbqXNw27Z2yLlai3Zit5tXtXi+ONhfaq S+FbRcL0IA3PHxw4icXt4UOoAtGoPyu2op6pFXsZlq61s3UAndUAAvrVjhi26wNR6WTeqnDbHVZ7 BMum9fOPOSfpDiE5ECzySeYE8YpAQlskcTMM963Oa2OFfYxpexiCUXNt5eu0ucX40QxIM9a3Yrbn IOx6YsKdt1FttrdNWMWNpiuOY0oai+o9EXDNo8lk+EK1ZnkIRj7kbyie7jxEchGEMHQ0Of6oDje/ C3eMmikLi4tuFrSNKls3XzywNjVH4KaXmIvgVlxTLaR7Y+KVLZN0zCexMExry6ZDauiP1uIFDgrg qGH3pLq4Zey4n0c2H8II9MyLzSKbY3JVHHIduFNptyeV2+2lMsFrfDZblDD2ec2QVwhWAkjkwLiW Jg0Zmc8mcvgiRzCaf2az89d8nB09J07UiBtZTW3s14Nr2uWszpHn31X9sLB8e+hLxY4JQWX8BVuC z/9PwjVLmiwIjxedxJKs3asygav4Mq4hPSLBHRyWbeuHTH3a2hLTjl0QW0b5EWbe+tMFoFDcq28H 3qYKBCIHjMbsSDWA2vMNQf/L+8j4JwpuvVbfcvsFFVvnojGHnLdsxg8Y5AedC/Bdz8SoBq7sl5uZ NoLC8tJPOYK6EMZwBobIXZKZOdAQexbEOIahGF+dOuVrIlgFqudXch6gdxY/xpiZp9MJXrjze4t0 yWthU8hA+pW+pkFrqR8d/YivSj3mxa3v5n07eVszZtjpYbrraapdpw/OYzvwuwRUhICnNZ0p2vIW E2LU/RkA4YvFCPsNKh/DLLK5tZ+DcifxBcSBM3f4MpfnFpMC3JXJfKiP2G2uR94zDaWO3lf7cV1o BUf5SZC7O9yiexyiOP5qUXRa1lQKheamYr0OSITiaR8QlIdclf06XRueA9kD53hkdW75bQG1j1wz S/QIqtoIC2OaJZmfZW4uyYiZG6DNA/NvMd5LwcHFH6Eq/unj4BGD3ZqdT7WZD0ePLrEjLc6adC74 aMREwqKI0iZHzxnu3jrsn8y4kMvh3SE/oJnZgKjkvUTs7pbMp7DHts7P+yw2mCRGQX9xDHkiLksY ZDqTN5+CsaAGUVLpGB9jpF31NxBOoCP5YU2DB8ARKx7x+A/pJNI9raNbM10k2AFgZ00ojHb9UJEh lT2gAfI18ufI0BZmWYCJev43QZaB9IEAb/UgxzqEuE8o12skhKMjaqEd9+6bx2/L1Uu19oDqQX5S 44LbXV+NBuO9x1F1YJZ/V9a+Py2a1EdZbVVOGADCnNERzxSFoiwjPu9rEkx/UhrqkKeuw44MRvhO JJgCe62p1gVHWpEhEbmC2/Sht+xdYYeFgPPqihjGQMAmAFgkbA5F8dxoACVArIPkY9FzXkJsvg1+ EtHWAJqIBwHqu58E/rPjxNxaXQXx4GaEOEzntkLhqUx+YVtblZgNp/PRSoM97yYnalwbdxybZLne H6IItK8GH5ObUhtsXUU1qxOjO18+S30LRDKHJsqmL7tlL/tcFgGIJ8HcJ8sEHO5XZwyg+1GbckFG V3yJo78EkCtq4kA+/VXw92hZwMVoeXryOq3VhsPUyAImVtJHQyJwjLKcBQDdWfMxOu62JRJoBzYF xR3PZGCviuGLIKLtZkNeYPsPcEf6KbI+uEJoTuRrMsCTBQx3dzTRBTb/Sy9pxUFl4RuEpJAMuHWo hNJ13fKItAGuKEI4LhBJQJapE9mogRCc8NPD7RXx9RIbQNORnlnIlqyPHm6Laj7sqAJ3J/ihMdCs IPgAGLLe+fT/7UwRYt1VbWMoUjD8QZj7x0FP9Fq0lyBw2IvGlnlfi23NRBQ5Mz9OK2cvFlVR9AaZ 2gELTh/m7xKuTvikRjzFUe4zHLElx4Kaze+yptzN/5Wmk7Zp7tjdcTrTI1j7SpBEf3Zpa5thaNo8 2GcY0IM//9JjekIGW38maulInD0kcDfqxbpW54KN7257xAY/wffzit/V1ZCV8HelPGac4/flaubj ai8UrXn8HHjxNLCHKhUNujVnZUPFrfudPib/pMQo3ZVTZLN3IZQoOEqyhTA14JNGHyr/f13QUELe XNALb22N6M9YD9KPnet8BqNzs43NThsJ/suG8ITQ3ySgCY2x6RJd651oJmPqK12astYQVVJrobBd ytkXSfxI3cbuoRaWQQyAu3Pt031VdesP6qd5N2SFYCouV9mK4hTE+HA10o+ccJ6aVv1YMxQTKR3j r8XxX/lrp8oZW3jJSseB/hdnrpTQM3zV9P0uWm5/H8uCPqzqYZg86Exv8jv3Mntyyw3CToI7sjbw WwZQpapDJnFpvaWwm/lOH6yEl0myAYeW2taaJgrZvpWbpB4lgVNEciXKdiFBLW76OyPuH5VRZlyv aAtjiR1JUb8wdLM/v3Bz3qfGgy3HfSoIF8h8OdCN5beCow0hB9UbFk6Zlg6kUc5gE5twsLVZ3aUG z68ocu1NbJmikP0cW2pglzgy/c90HZLG6TUL3TY0XjCdsse99xnxaaBmVo90CMZmB8I4HMOqiElV Zm4qNbdcFkwf6o3ERFJTNKbs119/Uqc34Ktkjn8ZNL3Jmx15EKIrU9iIiyUtQRrjmPKQZ3YmlktH xbGFv9iKyxLlzlzd7Le7wHuwDNZMYdgUZta0xYks4mwzj/P/AE3mo+69lLrEzdXE55Q8h/LZ3TMN enZvJan+ETpQR2ZDl4fWTWJQNqiGDJFcLktpVWNBi4Qo7anZxjJbvJll1MjD2hi1M/b7NHByQCor /B1EI4ODG5Z9bejpKuwC8shJNVBiPnCeV3M2qXfgc7dFPjaggsLq/aeW9HawcJEZdv2fX5tSRnzr QFDqnAemvd4I1nWl2OKKxcfJrjKnGZvkpL/uvsg2RG/d+AQI2RgV6IiBM1dv7nPFJx4P1icvdzvi 2ElEioZGjzc/KdfeDXnrMqMe4eWbMGbqN5YAgt2RwTIf0Z06x5Rg9F14AbNM5KYe6jg6ppeLo6oS 80uoIOGd4FZLyIYHoSqsrpv6JSXDUG6pVGQh4mBbb2VjzoY964PSbGVDZL8qN5H4PalKrRKBnCo9 m9YhDE6SSkwujiY3b5PvDB2I2k5076p6pztM/Ppilpy+lW+V3/6XNn37iTXD8ad2p6cR8uV8i8V+ UVe5Sgj8+floSzja4UXPjT/Mp3gWRxLCa0YBVBsTnX3FN2xojIAmJoY+7lcfdfj7te8YKQDDl9LF lH4+IdrnfHTIkaMyMa6CNagl7GM5xV+oSWkBdjuiDAVkpJ72HK+jFw6HvMWlSOcB1s0k3tc9o0db xFL0INP/Mrc/ywP8bzgfvezVMVK9imWIQkt1i7U1ymg3OOykpuzjPyRjjR9Z1cu1eWS5KIpbHTX9 MLUsJfBe7ky7cLnh8ROKfR/9Ds7FGAOZ02+jDw54H7XCma+hgdjYr1py3mWTwy6bPYJYc3rJPZbK efUZM4L4kJBQ5RsIExPhgz0eaWZdqskm53Q9CkqNq0kVZe0BKpz32lHrsmpjw9CnDQC5t03u+0/z 1eq4etdeU7+9qxIhGMCk2FxBHhsiQWwW+WXKUQIGeMWSqbyvki5ch+U1TUlIcQUqaGmjTQQn374F 7jx4v3+cSDBKgnkTlF2YAD2NYxQwnHVhSGQFYAwrl+qvYyCNRyeKwehJKGQ2+/4C6p7xUVuioeJf U86Gf5wIAC1Om+900R/WWnMZr1EDkcAZGGd1R4zYb/5rft6raSK5n5ae5mZU2KJd5aTG8SmKugaW ogesZqvMw7dezO3aXFkbnInevTjjbmLqol3AVRtZ0S0AeOq5wxXUsUKMBjeYjVghI6R1QDhRmLMu xtWa/jFn70u5maROIBRg1J/HhMbzW3Mu2D7JepW0cKsbZzbAK+w2pEqvdKR6P+ioQNJ5+RSa2GZe cj6AE/xzeAUbT1uFKICY7Y6+TYOkYxQ/zfi+EI0nNJqpd8c+DvcJAGSSNgswZp++zR8wbLD0ZY1v FW91YSDtEVn3Nwzy452v8clQJqE4gXPRf3t9XThZqpl6gDhZ9cO1FJ5MsebKwIp34Fjxe6wwDB6n mJ/MGZOzwCLKL5Ovs63NNSQamNXIBvVd48pz+GBKVtlHc/Cx17/H0YtidS/sB2cuI2B+EjV4u5Za 942SBVCshkHPgIUFoy+Thzf3owwmOkCzP7foceUEPbgXVn56J8Wfh1S2JmFL38hMVD5XHbTyEaDY 8Oj85t+nxVKWsRKzjcrD4LvD+7bzHUDMfudWmf1XMcu4WUjRJEqUw/zVk8sNmpssF5nMMDbsh3g6 K5M9kB4hA59N3aIcIJkA21zzHau/Ht8lzRxvBBQLivJY+WRLHnhwsN8UH5IzYxcAjt67H/ffOA1p Jp3ic87OdH+mi5VwKjTae5UeVmpYl8VnEVA4+cM0U9g22mA2DMIsurQLzkWRh2dv7VJ00uW6o7F/ f5Hjk2HaA4P96oY/vE+HwOSEAi1aSf5It+b09McXPmrk4c1RCvYqK8jPlNik+qrTizJN+AFtkyZz Yq0yfGHpaDBLKrAVomGE0O6DONMDaqAinGMjgwbNIMlyedAONw5fplNxlqrIWWuggfUTxNTUI4nl 0PIDLX5HDC/3PeMvFV2+ADtCoOzyLQX95UsG8qiMF2gsN8WKvF/PqIhknMaXfqetTEdH5/O4MASs Uf7GMlWq+JOtsdzMPiM83lADAiKCwOCZLq792A6SgZKYF4d4dmADbHO4yFnIsmmt37DsZ5q+SEA9 6QbEJBPXAJw1a+Wuf5DapqFuOu4YomVv2jpCSaIGNoA/ghJ5JF+SVY/DkyX5wiHmYPL9PbJp+m24 Iog/BWaLgnnmW33kXgcygwRVfI5QRI89OkVMYYlK+8sa6CNXEifOzg+bl/b7HVbVbsMCGIxlC0KN ntK4R05jWG4XDSi38PUn3wrt9XHklIfLTwUmbmbgeBbPwsUyGjFA6KqIGQqXMz5+GJW8JcsR71kT TlSw/0B7t5VXlNu5UtL/kNeOtkQW+HUgq5wbeKIL8Locsp23wKWKtdR1QUccchL1+DUGldVfRUHa dU5B7viuzwqQtgbKSGZQPLiP+pJXo4DvbPBzhXkqv/b6MNEfML/7/+oexIYf6Jxspi95R4Jw+iR6 g+kW5anBGDipxaFUeoI4PUhqNvBZU2isbRth+5sfvJA7o1Ti3RmvNW7psxhvdDprtUcwRATEAXSy VEkN5h+iWB/N4uCu3N1rG/c6DT5ZK+ZVmfQHadAS3u2M+lWsUtdWDKGXUCVbBeEF6Qvm8CiCzrMt Ui3O/KPoWCV75ld3qZ9F25Zrq9sm501mDvmeB3roZcvoY7kOW6FAr2v9AV1r3V3sHC5bicoPqQjb ZmKNwrgKRhUZAE1n4Yhg/oIauMkoIxb/RC1CeWJddTHi1mmCHSfMlpp1fr7pzzhp4ov0xY+xVgC7 O3rRsg+28yaettbdEqk143YxB3UIX+TNjEgUg7hn4gFkphfPp5/leoSdgmsssGvQV0QZJ4Tsg84w IFIRxy44AWy+hSSb3G2dJoYjXJoC/O8vhJlzrKmS+WdINwDWNfr85BLFBk31SSW9euv8MTFU26fj 1h01j2jGXMWMm5PzNjfWosY2wa5PzCDEI0gZbx096XanVdGBDswP5tE8VVndheGf/c2QXSDaCZoT qUPWHIawBQLYPcDlNzSGAJw68X5yDMmqFZtehKTiO8HSYhft3HGL0QmmjCw0cu5zZiq5fZHKE0Cj Nn+ljmtB+40IJ05z1sD5b3mWOmm4+L9YumAw9L2X+KmipxlfInNm5TNlS6/dFye9XHYWhynCxdHg 1U8IkP08fB7GI4nu9PgzZaDN9Yx7MvjSaLui3vffQjE74GWIoP7VUX9+nV0nQoKjsC+nE5F+wMLf sWwFN5PweNWxO88OSi6llYyFQZYs0Rz4zwN5B2TcGLYQpk5VA+Ydak2J/zrKVwfQ85c84pzYsdaC nBLNi4ZU9mDYe7LETYTfyTr3KlOqDef2NwFmChg1FcvRgw2oiUOQcJqO/2E6JGuUZzvR5950aK0o 8HHAuUAzK2gV1gs0pI7AVEAWwo/qU1hxskjoZ6cgmGVznwsNY1Q6KMJ8AyHKSJ8exDlcItTQ3ltA iWbMFSZXOZcmitC/4mVD9d4R027zWKSuuf1dpTHYzGiwG3W5lgQAax32bIkBOZCaYEc7tTUVKX9N N9LIJUkZw2Kn+x1c4eYkeervMSS4Ln0PeHEeeSV8ZMG23vqA4Sh/QmMmuz165/6flA7EH4eBkWAZ XUFEH/0ztpjgErm18CVmRK2WGPg0xby4TruD6URXhWYNSTTGlz3lYmIOB8MFUjRPXjUNgpmOUyKv 4wfheFR2EX8zHZjkdjFpcx0Q/PLCYS0AgZmLssZQxm7H8lm7Nudzpn+9rAGPoxw9Bm0N/BI6hoC9 13zQHNnUzW1BR+3M3+G+uoB3OotKm/cA2b4M/lQXFrf/YhTkcu7P87N00VCjKUH65PfSZ09748zI DRQqVnTjtRp1sbHL8OP+sbs3EhUgABnYw74J768sUjEO8yhYh5afDln2c2UooyGjiW6diGETneNf I9gZKf8OUm+YWPq4uZ4AnyY+LLOuCCCk2Au1sxEySqny7UmhSbOD89MQ8Wguc+Y2g1R0o6ltGuOa ir2Kr/YeUqJKmEKkquDXEX+jw16o6QUVLHuCzJPNJbYyE//LM8HYYmPPTjuVrzG/QrkeYj+hGXEl ImA6q2OidAihQFQMVFjXE2s2xBeq61GBvqSm6zr8IqmlTzNGJ13DQB+EzKd8uX7JNfjozmoBt/Z/ gluyLka2dczEqdQYPR3KvgaNmAPIPWPNeU7DdxPxo+dOImAmnMNARczeud8sj5y6Rfor/ptUG1Sp KWshhLXm8N93UUFGitY/i3wlrYVgyEpI5CoqGr36zWOIrgQOvT8rP2QtZkuFL3ZTwluaVEqrZ1xr zWtPiXaGLr3HmI84y6Lqaw781Ve3WAz8jMrNinL7bT6v/HIvhDCIgMxen2SqlHGeS4hdlW6iRfJi GOGr981OZgqzaz4xHD4bRraWaTnW1rO/re3RZYOhGafyHO/cpmZfTqU30Dw2VU84K+ilIcqUFIBJ o+lkXuRUe/iyR/Uh2mCDVNKmPLIkl7zxr21nCYqE5Ovnmc7lEITVh0hTu3tAXHohkYhSeyDy6E8e q3m7o43e9pzXuYAcFwgsnWsTpqkeC3IF3AnIep7LtHMeYJUyy1JgsG5YFmkMTKeY2YZ1jRhH+ywE ppkTf5VwQcxugEERgzDOlTB2JD9vM0ViMzEkOCZAEvgyJ2kCU6fWNkANMcFyEcMNzGATN4tJ8kBG RZDWdgUeZL86V+8IMzTXybRENQBwXuCzakwS7rQlI4CYZnnNuLR87wAoTusCg9U0/M47K6nCFLcy 1HkXMXt0Sq0GhdAKwUXrcxxEaWeYcuh+zRjiFvhbIEpULbLQSLEN0QXr+MIr+wYL05QLoB/dYUdq HOo9kzgTGu7t3OFA7N27n7XCa8nfbi9TomoSw0vxqcki4XMu7ZQ1A43CH7Da6KFWdKhn53nYIPoI 6hhCd5Qi98pVy6SnLvwZvIRN7UG8ZnbmSYQ3rdOABY2fdvQCcgRc19jwcVmmoZDkHnz7lrmpHpEw dA3+r/lw9hPl9YnbHV/57IxwDTj/gu3ZRo7l5r83s9rtvsWj3+SJZUP8mGDIp4Agk3ElOJp3fnNV pd6JFVmfA99fhwuRJNMIPYw8J/0nU9uxv/ACzvtJI2fBHTkbzi/ngmk9phBY/oGgbLOe7i8dosN3 LOATz4PwUvgKLOthg6Rphn0SxZgrei0AsnMKaZ/vQjo+wPQNO2AlGFdkPXaBylWox2C7sfG+Srwu iJXKkWbqiqo9CSYFW+SnY5SIyF+3WrAe6JpWR4nnd5jdpKFvv9RfckB61CRKpRpQL3RuclEh3erT xL/0JALc0bRg7mei831VjHXmuHQYuvg8tGuvGh5supgpCasloi18vk/eIGspGoPaobSMK2nPxlU2 +Otb0REr2+T4guJoOUolUs2wKOfn+DVSeCqv3c1lGYZMxxxVd/5pU1AlypxLzRjfKP4IBPHhGedy 4HMRmTwfSWa/dg7ZpqlEi/xc9dGbTX2g4czXSeRPmPvdLPnDBbHILCy1tfUvLIPVfQNzh3nX+SBr Od8WO3YdiiMYJ1F0KEWZlnx9G5HutvOT74hKi95irQPgCLm4RkbHDVXe9eeTdgR5/Mh7k7jyLt5P M4A7SIcVG5eqR2YDC9dY+gma9PB2oXzWhHeTOtuxzghAbVNoVBO7lLDzMZcoKgfmJWUOtughbQS5 a3zrm2jTt3bJ7PUjKcZtsWyVRQ/PVUih6MHxtTU1DgSl3IGqgxcVHBEDHuGtoJeavnQie7BnrHvb p6Yb5qVGw2hmAlQviW9P6YM4frjT8q3F1wPEZCwhX+3Dt2LR05Y5JGl50KnqUhblnnrNI3RJC5ud LXs4BBT/LRboRSpOEl8z0NoeoRMaJ+pi3L9kResHQV9IObZB5HqHIQQFx4Csg/454xzw+X4OYKwB e4X62DoLORVoivHTDOmISsOMiILQ5KI9tgNm6E/fLOv42X9BB3qGwCflulYxou6Rj9uXlmimOxYE PUWgF7G/ZmtViyYenFgCW/cw3BYtKHa1x/f0UvBz2KBRe0d77OYhaUqPkvXDxtnZwc29C8Jny7iX Ie5GXhgpUkbCvk5trFQf7rci07cTOWNXn5AJ8blwOxEZpodonDIXoawX4X9gNQtYwMGsT2Y+Uqev wImUiynHWcNVAvYTFNfliwcf5xp/X/m/OFd7YMnhU7A21QmmgUgIOarvcIq1XEQ8CJAD+BgH/v5w /1N8KyIUGqWq4glVoop6d3xnp8nk0tnA7UQnkPeM9WLWXTkhrO/LBURKJnLbS/MzooWQfIpbRc3J ZhVEfmDNWbRVLsbai75lwiVReOx2zGXOokVpf1zO9Ox4ECAPaUbLL60+NX25aF/TKDEhWRCeTJLT JC8xhHx17jGr1UZBB0ldWkCyGXLVz5g0wcQ5FH5dbfJVD8cB85PnB1vsAsJFk/gEWphEwMM+cT1Q nQhMuZwFrC9hSJCLX58tm9g2ADqq1Nsc2Qf5yiBFEOM7nFWXOWWuJtRd1RvRogGoKrX55vNit+qb KosxRdiNmcpr682FTkpFIKNYORwi3n4dNHajkC9mRs6L0xG3s6S/xszZ7l8AAYPH2lYAns9k0TMp mYf8o22B02RoecHYS+P6nMDIhTYc+qf7pEHYyvsHQ0iaqAAUHNpwktr3JVNy5jkqZ89b1dc+E5tK HMjNQvLEiA8nAS9WZZq7183aKkXp8kziZWTBuBULi+5JFawSyxBY5zQsm9sRSAW57A0PP4xpTkZh d0dYn1PccEQSZQsfQL6ucvFVP616+C300fDn1HpV3CPL6qvdMr/l7E7UGzJDyvbVfpTGIyET0vjl U1JJWh+323xv3pALJUMs/jeyFqVfc4Y7Fm/YFE0S91AJJRHW7ZgPkQe8VupXr/KsG7F1EpLjbf1G +HDg54TSMGnh4Iahn959yceI9fjXnMwqA7I5t9nrbN8iFwBHfUd45Q2y2YVSJ52ixemO8D8E/GLj iD0ybj0XaI2z3PnF0UrSgSB3mrCUyWuLUKv7iGCEQWY/SmQGpGbBxqfdxSYzdrVVVFs9r35+9xUJ XDz6ZAISdoxySAP6IySa3HQ6Yr3RCwsMIVntvXF6MqNJnjtok9jGrj5YOzM0a3jbEbrgPS+dXjwi Yfpq1a4tLDHa8GQm0FJVg2ENha/Boc/hGRylQdVt5xatPSMTwhSd4RfFDBgRdD1XHHqYCnjJb4B5 5cgYwxFxEYUX1N+AJa8lBRb7Zq/xdXVBxO5oSRloQ8uyMf0YLauDuUr70FU6d3TTJcfIY58bbMu9 /e5nTM/7lY4whhnaCtt+oztkYVwkSOKik7CowoOtythaXTMDlw/TaUqnf3+2sr67ImVLPvmBjwEb tmh5797R9ZS6viEZSsEMbUYtrmApES3WgExcPgwqhziF3eCmITNTAGWr990sg+6sZ4FvcGvohPb3 zKUlh0p90MBgoxuJVFabaCQVb0ntWRqrbSlkPU9kuyFJXCHmknJ+pJUApbqkuJePGX+ZEsMhbZoj NHjltwAar07kYGnjwJFH1tOiiEtEdXkYFpPSGo1q2KRMOgONzfuCdQmhhrx6ZCNE+7dcL7PuI6Yz 9uckA7cPJIqRJxfHIMIBMZmyrYXjaQHKLeYLv76R9m53m9o29+Py9iAeZIDUJ33ayrQgWN2FzBhp kKMCT6V7me3JXxMiXyfNfXKnz2yhbXiUYMyy7vv0BupQEU/dXSu32P5j8AZwpeaQqzxv3x/5wzdz nAQp5cuxSqvizQyjrgFNriv2VgZA26P7FglL2cWnV5zYw/jU28i70OcOSWSRUJb5L1FAP0hnN8WR HGfYDR4LjOMhlqbIqdTnX1T16R1TwrWPkX9yZtu8HsjMS32A/kBQ2RZZ95gDzAewWXUBvxzs+2fn DJr8EfTrTeeQBiRF4EJA5CvA/TrSDlI/wIEAWrmqFXAPRvHQORef6a3OSZwXFx3LjTb48ZeMHU5X PLPjQKAGai36EC1E+NBRyr3+Ilzo+wGtq6PBleG9wrFYcLPRzxqSmzDkJtMhtI3Nj7Hjr79qe4ql TrEOAkIIOkvO6LnB6n43uynlE2IHLrmBIwVv7NIqirk6ndiqa/cWNYs+uVfuFoj+GPWuTqsuHiD7 lCfXDMntfVWy7QQYzODy1Y4xTtYrISCuKskqC81m3j5juy3aXlGoVPmTmrJOBSGheR//rsdbLUay 69zHHLcrZfVHR22b5f1mNzFgOwmlM5wEwU0y337AuLATCdVc8HsDnkMPfq06FybMO+OVThFkyDSm zcNikbKhpSvjNHcz4RdZtWpd1dbnvpk6lycLm7/OJ1riYdyOHg82tN7qfxm4OP+RncgAkygf2kZB 3YmY2yNVzJXJO7VCTZOFFk/EoMAobNj0+5fjN3fKcIxtHofG9IB7ToFPWWt0SPz3+Z3KrTSrZ9Yl 5WR580FnjKqYjXBOw7IMSoI4Y0wd+2b3Isalue+vFt4ZYjBgawFb9WXxPszYp68EinBw4cqbNX33 pi22D0pnlfsLt8tA9KyDSDPfCqTf4/2YhA1Fw3S1bCxqPkYgF5FEvToieV1SKZI7tH/uJzijRXid I3d/BHfbKnsnQKu6nRTyk2wqjNuEVG1xfLAMACkj0xLmYxOn7aAvkEtlNDLqY2noAj0cACWoqAqP J65NbuVDLaPLAaKn8MUHIJ1IrVvGMAVXtFiTsUz5Plp2kJRi9wv+CLJnHYeSQ7F+40ruT3SUb+Lb QCjACRRPMqa3+kTw700pd3swiZ6kHwIq4xr1yzWDa7zhThChhtFZgfRW8XEqdjWjiNoJAtwWDwMK 3MoObP4EJGvqp7hQAYMmGAQfRCCrKODVzZAsFaW90Ox6wGlbjMP7V0xHxPbkd0n1GIcTSxzdzpYl WUa0M0mJanc/lss6MmuGmYUpdOJjEYSvp8MJZBtMHt2Kiy5xQIvnc5/o+NCwxxlZGY+hJO88WrYt MYATc2tE+5m95gMj9ej/8qKz6mPHH3aoGWbrKdiiZUFjCUPOp/zrUWYeusR8Q8ugfiLt2WlYmMbK XHD5x4QV7FAkRDQMpvCSglaierqa4Wqb3cf9bIf5l5WXijl1kT0Eps0Y5JAL+Ba03PyHhSzYdMGi DQC8MO7wzuLsH8mOCmmRRoxAt7QCNif6s+hIqlHvJllw12kt+2aQqBy5S3xgPTlr+NlwxnQmvhVx Pt258G4Pbl+Dfp9EqhyX30tYfCA8iqM6Z6xWF5r/PRTwmuNa9G+m/2//Qhp6eLDUSptYCCSwxkUF UxxqB17ZerVGcGLBgqEvTuZYBCGukuasKFtD4iso3qDCADWjzFggGcSThZIOU3rmqQOiEBSH8vea oK16bAqZAuS9C4lwpgzk0GAt4TAMS0Yx9KNyNSBPHlpV4u+LVd3Rdx53zOtp8ymHSe/ktonMHDid Yvnp+83Uq36r6XAtXVJWA1J8egyMSHzMgijJoIZdj4Fmfg06/lF68bKm7UtUS5Pf8jR7GZ1kGcFt aKhOampPUeR98FUKC5dLhFrK+EnkPpB/4Ue8OJDbDGjW0ig2ClzpJJGMbl1VYx8edZiTkVbQDOws kme8EwKYsbkJFWfeR/a6kE5QYElQxRNqCheSzVpA9ryIdBJvKXEi7A2g+VrWfzi3OPckKjNXJUmR MI2FeZAW9z2x2ICwxFyh8rS812wyEh0FW3j/IApA2fRsLIdteA3uwOFVMZ76HFVgpexlKl6I+bAz 7y91OZbsnZqlsIPPtohDAnb7Sa0O6Ri/4iK+SASz+IRWDzTVzLRa1ae51J4ZZrD6stgl8LrRHlOX oRBhzUWF5pJxxXLBGU7biZlhauGMlOrWLWeFygKfJ9bm9qIDw7YwxrRsc67p81PQ0yGI3dw1RD6j J9xTOLaaXdk7dAs461reWJ9in07lCcCzuRdmZU5FGc0kwbcG5tHuRDd/nll3KATpRa5wmHy3YmOP AbZODNxXLTtBIn9I2DCHss+yslzzV4ad8Bwr/l/xuBMIjEpT93Ew0zOqEvz6vvg3x1WdDIANCzUJ KHLyPMXeRfPUS1XZlHWozcoSsNskNlF4SUuJcNglfxGOU551zhbskUWox7DJcmtzz9eHdkZ+iKts d7U8jegqOEnwjJySHWLdCtTtn3eN6UytFEp+C/sieQEoKJBGNAL6r6GQKIkpwvANYa24+OVo3G4v W7IIrmYVxLpDr8iPmKsfLy33Vjdj2YT0jTppuubN1ffWuMSH1/U309G8uSOntHMxUs0ReI3vkgi6 qEgsJftyPI60int+W3czYKJm/Pnoj8mGDcUwGMKkk7l6/9nH10jfSs8U7ufNZP8YI+UBqO03DkEM FtutPc3D/33STcZBbGru3MnSD1QTSoyfqmMzSVs59UDZEqY/ltn4g2pTQ3dC9ZWAg+ZNrjUn9ujz mwkuo+HmYcFVBxXW6eP5F4d4t80cf4N40dWmq20txdU+jqoAFnAaiaV54VQf3mfgz0KYYGLtYYHm g2Gtsygm3U4qC8Y+NOMWNmRMI40GepXIRhu/4IGZ1Q/LhJCUwWZ+XVvJqePo74pOq4W3HtzlZBwT S1LZLzDW1fBXu4C6ny7JepBzns+6m+Sa4mFgw96nUGUG8FnhPrEUgO8VoaeIizs+Uzina6imCD9/ 2CDKHEF0AS24JdXwhLHejIy1Md2CfnSmVVyEt40pZ/IJ+2YxYYIc+LoWJ3boDLCZYqVlLsSxFs83 sMgj0N0MrsOBuCbR4kv+T7DaD7Va2yP7QYw+h5/BHmKEF7C2HQ+PDrS2mG+msp7O9+TDsA8MJNOG bxLHomliKLoECJHzd5wRUjZt7UGY6fQe6aIO/ZCjZrM1FJWsbzxV111lftiUl/ZnbSxYhnuEFTcQ v05pduN3CAhJSUA2/ASSXZUwmw7wfTb4lYkN3azVaNb13jUsR8S2ilyfP459LWUsnoO8sqFFq7zj REAJG53c5SSEGj09YLTjAjM9+1FXto3/mzq728dHdyb/cSBT4lvRKa7FAu3TOZvC+qw/bGaY+dm+ mt6GzHLUmk7gF2a+05MK/a3f6a9A2EyGBWOk1taYQB/u7FeZrMZINkqD0wqbWYPqlbpGIycmEPdh +M2nLP9TEbUH+IYOENKbP83i8gstVHQ4hhNkMUYNSudRIm62AliN4i4R9fzhOkj+xM+lt662J1ai KMLMNwQvsaQtdlhBDdB3vjSegoUBZtlZCWL1YiEvAPPdPzjaxdapwZV7aPhsn9+qpd0kj/NNpKDF d9RyTik+44Ifu1Sc/zGdCm5wTSQ1hpfGyp6jSqqzGRL3/K024kkExMGPzjd8uRFMcdmgxrOzK17x yTeLFfzUsNeIlaoR3WoleY0puBJM74OVr791s+kMAqg0fMQEOkgtXGnSyeLsBD4xkDprjBhjEmsS /8xs3DxUOCJ1Z9N0K8p+Y0/XlVBoSqI6NXMdJPzshYkBKtBroC0z9FEU+Hyz3QySTHw7vNlGVDYk mZWHMjEtGKoeuSdX9rT/FCgZGd7GwwWtJRUD18OvFQJJq8qsQxf+3VmNeYnmj2nJv0rNjXS88Jjj vhEHKe8zjLjEHIBShTTSP90kYXf2Lej9hGrnViL6ihOhxvEWdhXVWjk2QG4rJcYnWSurBDFxuGQD 7kg96D6Zm0v/s/hF7YtGKHgbYpNl7IIiGGkzV+E+TxFWJk6bb3y9WmEzZhg19uSDNR/GXFk6kxcf vGTTkpGJDN3kq9TKioWV2DnjUr+Zz3gGiB2gRTjfflWMz2m+skgHvMkTmm+TTxBrnU71VLxwuD6f b8gYWHk/jKIinR2s8kMiNz6fjU6jyq7TgzwUXoLWfSJYTzclhPkwmnaQJmEA8kJkx86R2BHIIBDQ /c6IbW/MCntGLKqiOOtDKj1rSDMo979DNJqrjjxH9ofNCoTLzB63aK+5D0olyBJikBZ80pwr//qT KVdPmvw8VxyB63gfa8p0Kd2fiw32V4IYZBfjUkNeVqE1OSOpix9bsq5dQmu6nfwfKxTBmCAzAKOZ /Dw9HBP21dkkm9M2m0sWTUSKH8q3v/A/loGSqeOdfsLX5O219uJYv7woBige1P6G9xLS0C5hARp5 lgDhFEBK4JrD2hNugq4JEtJLXYAfnhr60VdQxoIHhp4mESohYyPWhZ4XkT2pypZ4QGR2yWfPxOrX 7efIQ17vYVoko5TjBWOe2f7FeHOoptzfvhrteUGBmPJfkPl/BjxNgZcwVvy8Dctvs0sHlzq0JN1a penWrDMoeCHqlTQDHoPiwrIvu9YVikSfW7hwBOUQzh8+sR1ul8dFiCDS+QlzbFU2K9398l+Z/73Q ksTjHET960Le++FtIzJNJbqEUA9RrEXWbfP8pJBp8rjc+5KXOMvwX54Z/ZwfbRDbLpyNYzrITru1 5Lqx4DVRtLaHwRJl4m4ooLnXPt9+FzVPmavgHU6hKoUPo9XSanmZNV/6d6oz4Se6AxKE1qgU+Zqg jA+8TbyQ4ubZW/FI/56KfgtFwJWYWhlJJv1hBnZw0XDwZ8wIY7D04cH5xYudec231gomwOUZN4VS HKrBFHJzEi8c7DE2A9R2DDgoKT1f2K6ijM2wWbIW0OaooBA5u137XjFf7uCRl6VbT+c+yS5RDsWc C4hK2A+KK7luASwyLHQs7fR2+zmRTDDaOr/LVmLh9QkK+/Nt4MjA996ehqqfDiQp9fd9k8ToHU60 uozUC725fxsBOxMq44VkRLrdY7nJ0rjOYA8Hzy9GUcU4yd3FzxeEZ5ZGR+YWHTcXsJ+TIzR8wK1r N/FF0gEVvofvhTVFpeKcJ/sWphRYs3iZf2AemndRybS5TyChpC/DEhexwoEjOExKMolvcMlKkRs1 crx+8nR80XKI/19j/IH5p3zGKaaBGtCC4F97KoQ0XqS2YYFgtuMLWs0txpXLPbpZtjbl/jM/bymJ VWJqJxoetwB8zIx5/8H3REwpUHcMwL+Je0ACV6YwNgoIS1Q4aS0Dffor2FnbaROtXG+SaQAWVlKB HxLyKCkaWP0o//Cl1AeqGQ5R4ZIiKsu2uKbfsnZnDDZQpGE2B3x4iEZZEXAnukO3FXlWR6SpBdvn OFxORDXUO+p7CFPJGYsmUj9tDuofKctNlvGuMJUPQf7veVeOFWsbJO1aaHxLewBZ8dn6FKXnyI8U K3N0T2eUZOoh5HoCC5dSi9/hAJwiJwtQBCKG5rfoPxP5R1nHg/HOvr9SZfqhtYBzlHz7MTiWf7Xx ir2dzCmeMSRM6LK9c9fG82QpYlG5NiFxJ+f48EjiXQhPJNHRhA0FlMdMQUa4J8YfVlqeHvfKSlh7 zn1UFQs3m4FRDAmuhplc5A9ZE1SAf3I+NEpF2PsVBpGddVzmG3XYWkydwH9i9t4fqU7kZKWQGNn4 yVRQnNpjKKDwTY6AZi2wMCy+Z6mMjHlyvvPcbiHikqUtH2Nja+0Rgu+sIDrC/Dh9nYGg0T8AaRDv 6ohiG8cuPFoE45V1ZJGPeQD+jUHOYhs3+yppSPlqJdLMzVJ3WXVwUullRxEqB+wLB0iFzhWw4wYG Ojrr4iiWITfxg4ZkjKS67hSAdOF4SGBvEYFtMQ+pBfvcMW5Eo5QrY7P6xySqCgF3heu2rHLMDLzT RH8EcdGkG+3ASC57yBv8+hGbQOwId9bdBDzIXhME+QW5fdu1FDD1La8+bJJd8/NW5DXP23wqnZBq sm99omvuWa5pkuM8bgCgyNSWPQWiE38UQkTfKfkPoWNzjT0UheNWa+8b7bgDpZub6kyYQSC35ZRZ TiBpXReWf7EXj+Q1i3HDkXgcltav4FNegfXkIHGteXEoSYjXGBq/zI3zpwckUaTMYNcWBm/zvpLE Gny4W+Rob9CcUnF3JFrxtTKAh2WQCWXVTY/uABg9EBKcfv3qJ/HK3BjT/zHOUkRBjoTfxZMZB8vN 2iq1alemPeYDfHH6+js4Vs2bvLUdEP7vhFpPnLBffDEA6YibjglKKSGu2tKYMwNSlgLbfHKsf4eE SvDOIz/r4wG/bpeq57ozFXQAWkee33kWzNp5pwadLBgj1MBFxn5NBepiY8JzguQqS9Yy/FZzLJE4 gbIZ+2irN6DB8cxoae415m5aZ8ynW2whGI0xY6iuVRTAmZK7RxuG621hHF9hevASNeUzqLp8eQjM 858JpZjwlXgQ5bSb9FkObICNidl2pnuZrwc3K25Bvos6lNdIvOyTTbq4pq4Iq1kUvTmJ4Tor1yDy QVsfo9/xngbj9niLUm1fZsZMWKE6mnhcwhpNGNasQC4lnDNkctXUZ/6Bv6cIiXbcA5l1MLsIzhwI VjXqaxU2xOMChp2fzVvJUSmRWuQkLybk9uMIjITBXuIl3FO68mxFwwO5uL5J1ZKK1y2em7ZNCeEN 8NSsTAwiZpUB09NM97frPPIyKCHKrUNo+9eh+XLpDMNvJgu728++WnYeb6Vi+VhvCCPmNN7iaJYk 0Pemy4l7OAp/A70L5/SRRCKtn5A+l49EaYrgpMzqLSy1Esg/20kluFxZCrksegzKmiuRVXRtPgRB krhfQuMk6EqqjwjAoWDsAkFI8zgB7ZUEOO0c9pL5aifY6cyRB1Ky7i/XBl47Rgg0S4YsQ4+KffbK gncQ45xzjTnluNeD7npEV8z3RttLatYHoXLIJwTemSeQOBWxSyXKX4ESp9q2GDfo7ykmA6XcMg+a I55xUoeM+z1AJ50URtz28ZayakhXP8a9n72tCGqIeI04pTYeSx/MGRBLH966MhbtKVLH2QkgRNQ7 4gaebZeJxfanQKMop8vlns49YFpuTObhwdDYSEJsmZRKUTwCeYKQDd3XJm+7S0ZN2fgociGTq0OK x4hynUGTmGP3fX/HJQHhq7lZ3NFEaEb3C7tvFCbNuTP2hXQWLsxOUrbfUmmKSnQKItqV2jX4sBev C8Z4Njs1DcguVdr2x/U3KVmu7GDqXRzKvA47R+LFIMPB9YuT+Yg1AbMZgrSrPN1RIHFFRbI9NDgH mePHj3JUL2N5cXj9706nYsDrQ7YrgJJiWV3S758h6PqOOfNq76rMv1XjwGg1ohzBUUKaX14RtBpF z8ZUME09X4XDK36mu+m9GTYTm/0LWq5g5GC7gte+HXKQ/f2VB24x4PLkaU6UfBkFZJO69n0XzPs7 deEA3qZ0bia43t9qKgOpLhiE1j+nZCURVh4gxAvJDeQH5NcX1UR69JZrJ+DiCUKpVPgXKS2ZtgVh ZiaB2syvCy39Hs2SSGDRnRGrbWbilKjByfLeBmqgEY+N/mF9BDlww+FrsZqWYFfZEXnDO8F7ciie jSd9quTuzBIqvx+vbjq9DiyTX8Lb52CWWy9cGuq0bDRdca96y/TJkjheHbJyIvBROMrIl5Oa63lW CE3igYUxR60SMM+XxhQ1Ct7ej5fQWSHK2ShvQpMluOZRuv+gWb/3snrTUlUAanmAxUu157KCh3JM ONYfB8rNJIE0SLXa6dYBAUjyZODnuOVuHY/Wojcln+6VpqXpuBJYJEdbSnRR6erJt1MDupRvL2eY N7Q0FdxKSjTDnmMuAGUWK1zEyXaoXgTUfDvL2BbhdE569RZXuhYLhEQ+KtXsW48mEUGGOPuIDrF6 XFCl4sY9ST1Q4mjp/s0Qy2lGK3R8RMv2omUMF+1uhDGDGzH1Dd+yNt4CShDw6zwJBkbpcwrRDfn+ USbkyz9AqywhNNzFHJNioAq1jIDdCbf6xMNH1xVPtzvS7wREhuGcVUDsKjtBAOiMMzvpbxqQwKjx OJT7ot5vzOwYpOLBKtdO7Ll1Lif9eeUTw7vuGLeZ36bSLeKr7fjJmS72iqFtSLWayjUezM4xHnrE bZLx0NzlxhcJ2JY+6TPc/XmUBqNriHmlHPwnQV8QuxnJe1q+7fk3B2P9Co6DjUxPTrRTu5Sx1C4V A2kWvfl1k0+MbQ+GF+ban/40Ydp7A2MAlC/RAuQHitpIXhUJPbQA9CsaR6erdgt6DDGCnl9lVMaH IAeJKE68r5OUGFHujuFp22+JlEKdkGNGwg4SndFND8CcWyMvc5l8XkHC5ftgJGJvrxhH7I0V738m Wik5IEWGiGWulDnfb3+4WJu8oyIw7pwkLtctE3Dtb09uvUEM8EAGjwrLoXDnZIPkEuXzfufUIDBt Sh2b2POdAFEF/i6E/MIXEpWsJg4gUAVwYlZ1wPBMAFjZahfZGqv/G6XH6OunO1lBiDqLinHddgDW O5AuZvumYPwefuyHubdRTDdHWiTcsXKjiAziIs7Ruc7/1pIDPy154LRGXjAJ4NfhuCjivVqxrXOW Qq0blnr7mC02yYeOn9QnSaLMWYq1UFXdLUBviC6Mm0RKm0BuKwDM3QoJQWOGwyWo76t18Rqj/bU1 CF8lIcjLhVNAQQP6fuP7mbqecAODheJ6FFvLrlFb3qovV1jvFw5G2qDAw6Pbl1LPTh7GFg7+9jCG Stz7U7z27qxoZtpqQ3RcWalIBHF+WRQC0S4xcMs2tq/dXGuDdXozeU2Qfg+5V/R7w3OY4lVQFnDF VbeQ8XX+CplmmgM0jrXx12v9S8m+sdoqL0a3eWdCxQWDiYZW3jLquvqiANUjxK0N9B9A+PJw5RcP /o0nWRSSSnpT/0bYDuA/FoWlergQgL/BUPHkMtI3Bwj0g3fbc5Qf7uQaS1nMjBDji+m3Qu86qMK+ rOlScp4mJKIOCOme2xxFPq0a3xyxX9dIpFsP3PnczBEveuxlNMJbOsMriTZUOYCmd9Xsj30bnZ// k5qFYygcrk6l9Ui2N8HhfL1IVMXp4UnbOlC8Mtp1ks5ViguG1YljpD85MdoDvyOcc/8i/1d70AIb Hj1dZKDTpcnOWROvtIRirFzz56yWSUbioO45VZ+Ixd5XO0A+s/3BGJI/EX5PJdpG/A9UB6g3gUPp 7gPhhW8/T3j1Nac2cei+grhhU9wPsFQ8PbUs2ta+ZCQ+hebVNLittiXyZgBr0hWPVKWP6p/YXZKH /XKQB3i1hA1htk3M1/1SRxX+afrYI/6DC7NxowM4l2EcDPafqPbq41plmkDzoOIgYHs91jSPmNoU XuOxVRfZyNi0/xy938ZqkTAtEPDJEIgVooDzP2o0BoJ7QD8Fp8jUpVk66VNj+fJ+fkJ5x/bmpDYM VjS5jXj755svWUi57dvk6SHpnOZwnoePLajBNvtjt7H7Kit9xsH2KnCYfuqPgm+uBEGGXYJNqa84 iv4zMC89DH7pNGhG+KITCpCObRh3cMb400/nsN+j0YZ6hjeyejkK+ePGXTftAPJFEHVlmc54fuZl DN8EIj9dlb8GiIzqKnV7tpCRF93dxzSBUJu9ugaXqqdlE8/FfR1X+oNCZdgl+RzxpEd29TXjRAsp GgrP81gVXsqOJ+2Lo9cjgYek1FlfO/M1xfQpSHIndYXloFVnDVCXc1WFXLQNLW3XbnDeQG0dAaqO FdxrV2tyIpLjw3Rn1RODhzu7OMYo8kvd/gXBHiB5DMQ1EClAYN+FCmXzXRNwr0l0SnW1HvtVXMhG wK4Z7GD5qmedjAmzx5d/TIonjrZlznu5iQNcrGQYVKPU4Kfx00D5LrCIjinYPHMP4VoYzDMgRlw8 G5oeR52E8WIk/4tnJQf9/npqpFktFM/0chAtuxKlBj4tKQKds25bdClX8f5QctaeeAwf6pVuX9Sm Oo7Mf8/kxpkbiNo0yXCUmy5W1YcIVY4sYXVZHtwRgzzflFuyCxb4XHIoH3NWI60vCkgrXrlw9OAe iaFpXF42vl9Wj3XP68uBeqnxg9ce4Pdg4GEKt+h1sYlaAs80W9fAliiN8ATX7BBI2wtFssIlW2r7 5Q69f+Us9xY5US/elxEjIT8d2g2dceDsmWGE3UJ+ADa8jVFOLCxTcixmgflZfxLdTKj4KMcdoGSX AzhjKPG/Z/sP6K/lFFkDhAM2YRybam23g5rrwKCLn10mHHG+at/n4OySdEllwRU8WHMkjASPbhys us41zl5zCDgZuP4goaN6gd2Sukllm4VAtH/FBKOfvKqmnjB3Y1P5+Oi8Y9m46X6xhAexNRxnNxSG pRnTO1717zPGPxt2DxsbenxjuUX+kPt55QuHid5kqu+en7t0P9gYO50xGutnhF/05SoumoJGybZL KJDPufasXOH74KcxHz6VEENTiUc92ePjFksXIjFx5+LQyy0Vgg9w5Fbp1V9rSQ3QmjRBu5cGWFBg 7j04n5NOS5gygRvnFS6LADHsJmBE3U2PJV8WVfZNFUmZtEk58q406CrKMI9Heb3wzepP9oJazv2C RlPjsS0QaPGJDsH5o7PPUif5n4JwJ6HTxH0u5PmETW3XRK17j6XJCGHAvPlBWns7FestzFV5ZsN0 iThdUKEVnoDju4mHdhqUNoACto8JkOkUWr8Aogo305Eoh3hu2LN9BzyqBBTxiFfWfLt04Bx4ndc+ ZGNJSdNVFhbqOMp3qd/dgPRnKg82oX3m3Vhuxvbc0bITozaywRxQcUPkdrzTkyzEhPRv19cGl3xx 6ztTEt258Q8pw6uxZ5bzTeTUi/6sc20gvl7HEFHcJizo9hBc1T6V4Hq/MjVIWsXmhbQ5LSbWZOpj +JqX1Yyc669xVLFvq62Mts+mL5tRu8xVF8OvMRrn26TnY9Yje7Y1QiJ9IWerEzKlNC8cKCu9vQm/ PfGQeGVjGdxmAY1TsxNVgdENbR3si3HkF9Os9BmGGFWv7AuQNbdiwovwxmbxhVUfobfvO9L4dQdG MX+YaryoyhgmB2kA1GrQALmmgf951EiRGVeLV2zDH0ovFHsg+xrqcyGRdISDE+ndasm8UDM6AEz4 MVEJ8IYfMyV2mvjE2A1kGwVXy3hsPl1Z+yovsJr6hTGWQmdqroRfEHZjS7d1APk72qXCayO7vWcY 1t8WxUXHbS5gQbooESfV3N1PuExT4SeTNDqZjW1I5V50VGZTf6ZzuW0RYfVJRfMT6hFPdLJxHQQr u+pQDJp/84jganIx6fHUBBMOVwiPc3/vvnJsWTXbkEz3ohLcYVVeI9HeM7neDGGpef9u4ijyV1Qc 2iF3ZnwA+a8eY9Ekj7kgJybXe721V6XU9xrDbavvD2BD9nUWNUfa2ZO8wpSdth8zkdN9kdpZrPmu cmhOaDrtryastUiRFKSZ61Hik5yVgmN+qVJhLbseNIURZMqu2XbH3YA8vUGed1NhkxuHno99bAC4 47X9cwptsxOrwi/rpcYY+bKUGue+slSi6MaUUed8oSOqZxbzNPdP2660BCthchvXIdXnz4wqGncl 25wv2DgESwuzUuF3+qpBJK1wt1GuwA4YGSa/xqHrUR8OVjZ2JZPhRPK2Yq7wi5V4Ww7XADVZJOz/ yRHpmipRyfO2jLA+ciyEodHEfgQDAZu14LGSUoJdlonGLMtB3rEABsRN0WFvCxGdfT9MsELwxk1i 5VvA9xCiOFzx5g+sdclrtKzEKZTe5fQvAEOe5s8iT/T3U1fy4qewTMGvrr1eI0ZNizHtwYEDgUd0 bLDb2HoqZ7eYm29eZgDGcwgZZl0EsWU7Ig3qQEO8dOjM0bkKy981GmdkJf1h1GPn+iDMKn4rbocF YSEmDbr1iGpLtjtXYPFD6K0ATSLWkqjFmeMYH5xNWCk3UDc66htU2FlP6DQAlg1IqS6AfMiD9XGN UcRlewPN1my8xF6+xyQdkIINMp3UAgEW8SKh1MS4PMtJEOV1Du93WgIACEYOYURUYysAbl9haPaS lNzGS/JslfnE224z47n4Ib3FzPr9qZJHueXpCsHhmZQNz9ZqBisxdiM6u+I+uW+CBSW7Zf6tXZ5r ovYrXTMSNdpmm+xzOyDY/BgyhpjVDR0ULzb0v7t3ELuOIFIZzdGfuj9AQ0tqu2Pdz0D/CJqxRpRs MlXlwTiz2oMPlbMqLjqxTUZPdQLsAKU70PJF7JybzCDZKSV65xsJ6XXiZWI0DmoyKnyL7uDpxvqE rrOYsr6ycTBUnELCy7B/epa5s5H2ryHCXxpQltoLLWIuRv+Okk6JHEe+4iRinmf3zsNXQFmrL27H EAIQteALfu4tmp6QpN6pXlhWXtBiWXt0uPZnhdKMbhsj+xMaRIfhf13huvyr6y4zCjCr0fJn6jND Rm0cj4IZ9C35U2RJURiyL5qQ0ZM4T0hM14xF89McjovTXOxLvYU4p9uWBbU7oFvqP2FugwdRmvZo 7xdTRAGyDzBZsY7Tv2pFXFUMzTX3miO3Gv5fjvJpMWk6K09hfPHSH6/UP3X9DYSMSetjXolf2wpP 8mOYI4kmgeK9ONfEgDvwamLjeu04slCEbvYb3i/UNnGVZnh1IY95/rMIfnNmyZ2ZSSjI33StrXHN Nir5NkAXRrXecZQXq2jzUQv4IdmnrccV5Sg7LoQG6GBSDZlzgp8B1pJq3QnexVlKYEDgtqEANry/ v5ZnYkta7CbBqQKW8BtfhSORC0cmCXaKAc69FKK99wYgmz5oE3CcIQCqP4qCoV4/0OpCtCfYdQ07 QVJ3hGaAlPQyNRS8yIZVUdKtSDcd/A0OBxDwAEdU+ucSwGdQxq79k6bc9A4W9UvHetl7292gutkq TSRoETX4sxW2vX5Z93oKPv0rq/TTRoNMl/Y/fdrywBfHBMIC82Mh6MPnHQiqhRdQxWQ1jhpFrQ7+ IJNkTCIBLLCPYpby1PW4usA3oiP/nAljVVeSJ+MyxR2FkhhQjfMRysa9oGhk0PN4+9A3vh4dY0nB rs+pO+JZc/qWOXNXHGcRkos6+/Uy4Ej+nXHb+PgVMpeB/0cB1WGrdxk1SI0zTafTdZoK63udFeR0 PGoxOVQmyy194aRH+cGuhMTN5S4RTrQCE8Ylry1R/pctYoufss0XE/2Iml07aXIs482Lppnk6984 dXx27FG2HJpQFXbaHNhSclds6bXSGB2oWIT1+dMjo35ANtGUdHcrodm5/j2NSlch+umWMMjvOqDW g8WkvZ8ClwheY4uKWI0wJ5qHrj0N8jgeEyMYSXqK4WfDc5EXCpllBvdEhD15Cqf+m49ybrnFabCT SUcXoAXO2Et9JcllJ+qgvkgji3PRO/ICg79rdzbVBPf+eUDkaWTtsQEwNOTf7pHYcklf7dLVHfaU lCCa7dSUneXCFvn+QqJNHuMQrmRlDzQ9thkfxgU4YBLQMpWVheUUq3sMpIDsUWRsAGSen0/GBRYu ZiDNr3dYu9E6Oy345xQVQUZ9jxkOXAvq5Rt03a9FBxEuIaykHHAXm+YqYmY/hjkRuddJgYuhYIwT GdjWkz9oOfg8S953zg2vtZVgVB8WnA7CWTgG3xOfAXFPLZOn1/tivFxw1KH6ytEmRg7vSoWGvgFV RhuHDutd5rKSL/t/XOMjPzv9tyamUcfij7wL6HpCtiwefAWZ8QO55vmFim0M3AnUB2SmjRZgRCtu 72cfBKWqB/PjFqE+ra8ygImpuIWM1SAudvOC6VPE+VT0bmfXD9BdhijqPphHr91xu/weSxP8loE6 gY18N+hv0VWxdBlZmW4rvDYbLyVXcqoKlMgTpDOZa76nRCGN9HyLzEZL7TNinH5xJwQlE5+n3qtz w3IQGWcFLkQbEdZxI+ZN5lR9ymTPUy+1HZP8u4lS3yc/uqvnDG+RSOzvFyk8Gky4oho4QiyKPfhP 38N0P46xVlV+3cXKsPPN4lBAPU80wP9QIb6Bsl/TuerwD9EbUOUHguwcAiDgSYWvUWIVjwEpd5GR JslU4IAJafnoWEwkP7JuLL8kRrQW/lqJbeRajQpI0oCzPElwRwh9GCpWx9n9ek9HtzZaW3wus4IM aKGaG5YnUCbye3a6/awUf/h05lwwdQER3QWGcxq9JVuBwI0B/77FBktP0VEeHpOPsKMqR3e1vwnY VfdTzoGFNKE9vtLSnwd/L5Aa284akEXKuXawRzFuC5YIJBTGLPmqcoCLuv19MFjgC0Mjr6NtRJhw fp2CVJ3+jvom/lsilmNMP7ADaliQPFgfkg6LWP1upj8b3Z1DpKrYvMGpsrIHeKBXjKEZK/1MV+Q5 Wdxnk4EOXYElB02zYubgKTTYtj0/FaSUAALYyCSU3gFahL6Te/YPMfPD2mTvGhzcDYWIdLGVEvLz lgpfI3cfwPC09RCPVU2ghgfg/hPYc4BXrmuxl5dH+66oOvAnI8HBJeLSW3/qIH51QgRpk2QQU4i5 ps4OhGsrJkI9NhdbGJMo/BbopGA+y7W67I/eqmRlUbkBlFeLJvaAHxXmf2fB6Jafhz9ExWcTcisp /XY6nmzIaLVkuAzzPY1rA9D52qyJzCsOKu143MZyKRH092aPNFV2ymItf5o7APMtnfqv+wKpLyIV d+SSIYF/Cq5xq3++66ZX+P17Cy34vdzczAwXl86zUZen4SgrmpwMm3XFWCo1v6tjbgVmao9VkDaG Mmd81k90ILGoZ9RApz7MYlYPo5KWiYhqAgqgegufy70zMGtTF1f50EuROl/s9gvH33XbU4UsZzQ3 oeBklnc+zqnAU63p2nD9pV27jGug7PlLzD4hYWyXBTZycOr9+OWQV0yUoTzSfexUQOIZT6JpgWsU YVK0bMbr3Ql6nhUjipVZu5i6TwTifWTi34p1diTUKGL9TNQvYp+ZICNEQb1btSs/FTiGyVrfSqOV 6+kxJHBanDD3oTYDTr1ckZL37R6qxRU80ciSExQY1u9lELQgNNpAOK/9xlKzzZlQ4svn5PNpb6vz hlpBBbEvA7RMCivnQ0yGkhj9uSo+CK0g84YPVkkkN3VHP+5rA3YYNNUKmlDg+yNksKpZ/6TghzhM Cznhc31MxJ4yHHMH2Q49+vyBXr/rowsVOrLL94D2Kai6rNjttIyUP6dPFV8TBbl6Q3vc5x4z+E2h dzetPw3mMPhfUwo9S6e8/LMBgUxSxAIJPhO33iff7zdoH0QlNeDdEaWcwYocDcArhFtG3KncASw7 Ce20VaUe/UD8R/855gmtPil3NyPY+DudwtRS4OQJFh82NqQqpjBQ7i3dKN/ZuZMQ7mBJX9DxNBxU BLJ84WWiLWypGw8ghRcdmVLgC+wUTyuUdwzQH6gV4bKc4lCp2q6ME61vbd577IvsXV8Oql5llu/H J73g8cPjds4C95TPi6f080mX71a6AZUy2mNiJQWMvLYhco/pizKO8yATujcHjtcyqOyVPBKGs100 Qymonvrvztr1F/K0ZDcbQM/wvb/lrqnGjRfRRG5uDkJUFeMlTcZk4kMEc/vEyTGOpxZpkncDztqs 6xmmQ++qVydHTs97+KMohtBj1OnnVRWad6fScQYnRTLo1A9R4gC5NjjsyLMC1ys1+7XXQ5nAPOx9 OYtpFD8IkBVVTTb5pJ3uyYNS5aHo46zhICK8ScUosk7Jts00sU7kl3iMOUK7nvPMtGtzNN9cCOcX irksjvDbjXkSrq5z2Mzwzt9oDUntfaEdBoTmGPgtolMydYzoleVkB/+AFKLk3bQIQXI4Exy+ZRvX q9qxOWblTZzgwJ32ya75EToZDECPTcRqJMrcM/13h8925rgx/ftl8xWaQLT8/evL3LKks1OzIavN opSszxxwKpJRVt9W26JyrEULrddHV25hjQBOPaBEcFwlSyJjU97+7Mk/R8UXv6AIarcQK9tfuLFI zdFA4tKSm7gGBtJjGKaoxAxOTi7ExXFbW8QtsGUcB9CerEYtX/T3uzm/CVPKRsHUILhY0p+xp8bb 46J/JsY4HP7/oYAVI5QXo1CdqNiQ/p66HAEXEsznCeaCA7U1r0OSYF6GSTApywwqRoQsga5hJsr/ TZAt64CH6qaGdJypMwkaMDROYh79NK6cNGiBzzuu/XDsFW72CkqjKhpMSKVKrdX6QVfPabme+c36 3M/6mbBNBFCWuNN9d8G24xK/adcuI6tonUc4RG1J6f69n4gF1OB9b7WU9jiXT8TaABPUzhNy6SAT jCSBMltMS/eFe3UGl/lbCJPwi+w1ybbIIHiWTZ7Rz28e4OS1G/Diz6TLnFhPv/0bJEaHxLwKJIK2 S1Xf0RioNwVf1795GmJYdo102xXIUMXBhevNbNNaws1Q15jxntbEPzyIs9ZvF1euiQ4RxQ96kOKX CmWFpi8bbH3YqbazF3wserafe70T2tA1K7D/fe28mK3o5SylvFM10GwVWfAyLlAFPHfCTOREvYEp o8ITCIUT1wJrS0VYg0vJX09Ya+ghFkxsk4Zelk0i0eKVuVq96Ra6rzrPUzvIG12TLlwGCQJ1aDlN 2A5bCrTPUDCjxn8WsUU8yIhzwNQTJiBqEXuzJPaS+jQzlIRfRDh/BUC2bAr8FtlfVnVfHjgjf0yU DiAGWELt076GJonoYR8Fiz6Ac1SuON0gLAws+cKauDu3ZxxkAD8t1juuruBaqB7ddMQb8Kh7gqFq YNxZJ6F8BCK4DhQWV+OQn7tfm69AHnELwZUKtul+B4LIN1tN1i+kqUVgGKv2C1i+uVq2iMclCKDU mAchJjlmxtFqH/+1AR6Qg7LRAGeTMKZy1IHfo6M0oC/MyQMGrkMofL+pUCozwwRTzpEplDTNJSSA UNe91QubemoLBIsx/NJciBNVgcUvYUsK//cPAHsBlqC8KfvelOPXXHQYlC9R8yyBoYvoEl9bJYA8 fwXRZM3G/0jnvtJt5DU6XTnT2k7pwurJI5IvEHIBkUiKQQI0ZINBUegrKjPCtrpjpIdk5qZs92bt eGsxlWLodJvZXN75xqSiELfoWVApMYVKIUApfkvcv3M4pnr8OLJLQP5bC9ccUAT6a7zwl0MOrSqt 1Sd1ors1fvCG9IUeY8AS95bIxbaSIZWywgUpGmiIcyqhzLYrjJmPWwd0Ss/5yDq6TnkoANlgzW1i 9QtVkmL3bhoj1dRD3MR0CKUvvn7iQc/t2+lsALTk0OA6X+kfHkwP/mVsO+3ewSfzC38OqZibSm1/ 12TKQaPw4HP67bhUhF/4T+3JvSTei8BLS6jmiwqyZ6dnlnoDBEUKSK4NfE+B4LJJ5NW7dJzvyC0t z/3M6lQjGfZUNhOqUSU1huRZxaQWCouGo2knEYu5nGrUbzl6NBkcdmmRk/MazORwaOvBSlgktFjj noYThClVESClb4ePUuW4HrXDiUvYm6WoLy4+RCkV0pRLuEducj8S4c6b4wBKF67YghZrxByTWG7e jOr8UJoFZs3Fe2GEeN8CmRiZNMojFyt2nKgeUy9CLOwifqa6lgJuobFytEScsLzVf61v+K5MNgrE jRdUA6as2yadHu6M8QcxY9IrnY1NDu23prqLVIJeWSfDD2oLJvYXH6nA3XwQb/IQJ5rt7xyVpkJd sXXSXvwRUWg5F8HMKEbrG22M5ISVRLVTitEnSy+rL0oIftOSyxCqwHInbSAF4AJF5JAKN6I9r6VU hRyhpKnvltEZgIl9Tic9yVMbGqxzJZwOAuuIlDhMxOw9WSvewZ5Evq/dIce6I6HsYCrg80F8bfJq r/je/Xtb2FSvV/LuNg87LGpyCCc47rfGT1ssi6WPcbI+8nWzeFZOVmnhqiS2B3EWdpVdenQ656RD 997QGS5E7LNXTgXiHJN6czxEMT86wcHN3RQpreTVTSHGkpQ/ihl0h8oviLMzrbjE9khrR0lznpG2 Ssb1rIlVF2vOW2v0NyYdNnXHsmBkF7p/cUGYDOII0G8ScMm0KxGUXBcdhwGfzM83cl8k+gvaIM0V 7xE+7SUSqmrrnhckl2WDcaxR/FzSJG0DDp8cjs6nUAEngjcPXJdW8LzkjFFD1Xk3XJgd/XB/+SEE YpkmzelW5G6uJz1QMROT+PodH+/iecu27we+finS2y8BJCS0XSg46Hc/xXAbJ2yodvpRMwYzTzey Nr27mqmTI5jt9BHDXMkkWYPV64jlu/LDOwfBco6LZ7IOyYkYw9LvQJPSrBH9CUVF2Sfpjh4S04fh KhwvJ8ckc8e+wsoGwaNgNL0JhoXpggdoTnUV/oH7VcX3r58Q9o4kgJZiIFeOy9OlECByqatV9TLu VoXsiqt4p9PbUbyoADQgk8SYAL1E1aCpdIEAyejDNli8Dtspl9JsSSzf+D3Ij+2hb3DhFroo232R 66FRVohyeNU5bzFwkevbQTKOBLyohk2WAd5NmTaaxnXuosX4Wtecmj+4lkIk6ARnTkogClMApA9X X2BvG1PZ+9BvoA/jWXsX99zTQvwCl+bVKUINbt9KC8TpsFIfFdSI4NT6FK2TD3XjL6xu9aQKPrBJ lCdPAz40zrl33HWUdVsR6LgPIzKm37qUKlTKolsAJYXeO/VT+AuyzRbmLQVi70vI9k9+RpZMtmv8 j5ylFHIhFdOTJVExKWMXOQ0FIjsMtgTXxjebZcG+JTr33Gqw9jSZIxyAT5m9LL/pnVZmGarZ6Ngq F8vjI6iTX3w0R+VTwdT8Yv3aWPX3HC88cfE5dTs47D0FtU8K/ArggtBQIrvAAFO1somHlYt/s1V0 OMtlM3o8iVJo88XWsvd2dC1ex68g1oir76rRbzaoFsXoZ2IuhgxuVacIVqiG/Jm9mUm9d246mRUv sAQf8l0vD5XN38RYRAUmufhYWPmCPoVikn8EWfH1sf8Np8cUOkm0J0ygK40UaO+ZV3jgyPBLWv12 8XWY1zHtvMOrqV+Jr1fEs80OCzaTerZF7DaIcLpqA4o5lf8pBtlrcB+9n24bRuKakW+1pWglg83m nRTBFtNq7akjcPUpHNjCKSj+9c8OIB1qFJa1l5jqNMJ31/Hny8ZDW3zNE5hmLtywTWbmkWS1nnh4 H/A7qFgeFGQAl0xe4EmTBqhlh7VU8aRXwiCLphhFI53JkRh/kYfX0yUQERXXt3jzvko8L8zZ+8Io xLZw+v2eLypnzB0wqkSGZV6PF9j8Jl11todnVNxechFFgmzAu3T3NXLmRF+peeTdaBWI/BZ+SOVP W3ATIcAPaLuyxGy26lr0h/rPryJ2qVmOqfeCKXTC9HB/ZqP7M/ziIBLyFh22LK85dmD7/QsDhMHb ppc024O6fZK2qUHTPVJq6Jqu3R+5Vk6D5zSFlaM431AS1Fiy+bulxf3zBB2A2x/B4ZS+t4NKyOeo PVG8aMaPVp1AdQ2DKv/8dwy6ykoSHIvzXQrrqwTIWY/3RMT/aiA9jDqAq6XmdBMlg4xd1Yf9gQD4 uyPhQ541FCuU6Gu0XsT+onW9S8yet5A2J6iE43ZSvhM0G445YL2PbBXc1AlAXwqeq5YG/jI3IZ8x cR2YFmFh5zo9GVxiNKn77gWezoMZl4SNVv1IWUdAi0dAuk7tEvjtD/O4Q3NLWSkal1rDtmN5mvjx 3YGWefj3Oabq3fZlPQWY7XoqEm3EVJUnQnCQBxCg5RXknNgGy0TAu1kxdOrjVB58QJcmTrZhNKJi QA07qgGUdSEdZ5RM38+I9Ns2u81awUnaN9DcKaouHplkOXdCZKMWYvxALRPGGn6cMaZSxypFJQIe gg2I9mo62ExVy+mkg3SZWd5cOpKUCNho0fGknuZuMpxwjoIZqtDaodfy7NQS3EOiIljj4qEkdMIr WX/i88my44oJJ1pizHx4mq+vl7ehh76bcU+UWoVEtjzsFZAgczLrcrh2yB9yit6LNIUcrixDP7NF qsGB+Azsfa7xEYo/hDPQ9EG1qDhb/l2otd5sYJ2+MfadEDm+vLW9Fo7pZqTfwODIPivmgZ0E8MlQ mubLF8HY8iyjL/T4J10HTcy/Ri2rzFdfctgA/ThJT7WP0vXMW7cc8Dn4GjBH1c8UanlIrTahOvQ8 pWdeTGxfcu7hRT4DuJgKKxt1qcj4CPf1v5a6tOPZwwW185HARVapeuE5TRNpMD+6gVjLna1We/aM 3GQ2weusUHo7dWslD6R3C+7ZYNDZCEumzBzedh2ZrVxKZNqfqzM3PJllGu6V8roExTtopf32kyvP 1uSQCyk0Zu1Urnk3Z/Ww4u+mwSEX1+A9EyiLVCZm5gzonRwnKSLGUMR4Sf65+GA8BMxwmfUx8TBG /TwcB/wi/uBt2kZa9asI6vx1WFQLcFfEJcCJMN2fji50C9Btn93cUMX3wOYwRJCo1HqH0fRKURjI oFG+YzBOI5Y+s4Mu/ORcbaPqPpnzPz7Qdb1jWN9rA69G9naDgKpsNC8CNzkGUlssBK0wSynyAk5a y/b5EctEbukMcXpchMXwwwIseXDeD2E0kSKUbccpcfvKnv9JLpKDfA4kYsGdiX3c2fjD2EQ/NoZW TEtvtbo7R1tHjrUVJAdat04JIOQ5P+yoAF6tVciynwxImz4MQcTkdcUH0/N+w6KwcfiWoZXNdWiA D/Xw1g7KvIPQB0iZaCvm0tBNjnOKAO4wMsF7OC2v7kINUAbcZcUaKxvN+ie6pwQ4wBXvgfYraO8i Zw68faOuYuoa2JJp4jdYU7SmZfTmZcz9ypJmTnt40PsNuD9PJiqkIhYf5vsHWwbQfUZcYJ4tHk3f BiFM3XkN9Nqzw6L72qeLLk5eWX+8sx9qNVrr65dYu4AX5E1yq9OhKYsR2Nf28YlliKM64rglqYgW IZNeIwgfMlSBnHQwcV3CKuwUAPEJinG3+ZRo+7DF1XVHfkWNoMwPzQ/T2sgjZSl33c0MEvCZqams /Gus+g+vUZSlKBo58BHN0ZKCM6Mg666j4leBXom1TeFI7EEO8/UM/wl76RQABt3wdWo8d2XYYbrZ pMmIBArY6Thz1ikZZenctM/51SKGnLtM3FwKdCsyHtqjf6iHCRCxCifVBaN3LGspktWGoAZKoPjQ QL1yvfZIzZeHT/PgquEIilxFinaMY+z7clSUaZhj2T4CqIc3uPc0L38e5Jlp8V96YrihhcclSNt2 ceYjMSO3cECw2wi5iVRycDQlguQmO2i4MlKyUVz6GhbfD3ibJik/50EudNghyvJSaJ5dFGjmXDmY WunUIsuzuG0Drh+QjYh9ubn8/5x+HgUlQ30IcQKy495HxGpyM0Fpm4i4xGZjwP8Wi1A6LahRTdIU C96G1T9OhILTTlV6bUvd1YzdfB1vwlccvb3sOrs3qlVN8wuWUDdmeC37ABTJOWJYBPDgm4wsTZzR NiDSN+6rJJne41DBpY17sWkdfZXqqWbw4MCyN9hs9mEV2Daq1cM9nIsRFEoHS8XbQj9LeY34Fen0 q/ynF4hMmuv1c3Hqzi/cbJqXIbx7XRwIUqMeGsgh0motd/ujxWVYBBMMscNCXImqNhnZ7sYdjm9z Ae0Qcq4gyuCERZuQenxapcSCMwqAfBsP6lTosBOGMyXE0U+uYhm8gVXOLKeWsbduOwYo4yaka3BG xoH3GHqOIgn9ylDmWxeZf3HcgaL9JJQSwzbh+4ejQM4FuR+2QrOY6W8L347KjjlAFNtFl+54hitU HYkNoqsH6sP3aFhFWLfDp3AYzTTM+97RPM8SxwJkDRkbiCiYkwLYUSDKYdOcF0fq4pAWp9Ho5Sot Yzqg0IQDR5tY6jhTe8xYYqrG22914+i66WqK34s1L9HszgPglu3UyJxvAbXPa/qt+w+FCcz93FqN Fy6mtrj2lTI3AxqbvMcKOQ7e74f3lR2O1xHMH/ByD4+JOxOHe/b4wJm3HPU8Ka0W5MlanpCvRnvu nO112Ni7HuDFtpbQKsAwZZuDGNm5mSIwJp38gjTcGgI6cxFa83eil74ejOm5hlO89hIi1/AA2rJZ 8Lv4OdK4XgyEcFx6Yw8lXV6A3vocvL5clm70LI0xPW4JTOuGRggWcmZ5pvhL4hZZ7Ir8b25WAhg/ slbzVirCyesyLlqqDw7OmXo3NHSfSjAJWvbpRyYbyI+cagZ6s7C34Bs/hdAJPt64aL4bedcwi1/D n7+zvLXU9pDsFW6bjmCV3M20BNKUzvNzsuEluCfP8ZtQFMZsiFEXv8daUNLfHVZxhw7op60NyitN yjYoXUQI5gzIGkv6VWvnibSz1j2xJlRCrlaLucpoUhcZppZeZ44t6KLRP49TciMHJA9GMthWWvDg QyGtOKB/bmGT6W660VuCBnBypHKF+8Cne+2/7KoJjgl7EpOhlUCGpUqncqqA5PzR1PqFkB369OtI pJvcZs/iBK2i8qsIkQwXP8/sx/81uhkfoWUNA7FJXM/AbT125BWm0V7iLQbERyqK+alNbNKHgO1/ bALVaKtOqliwwY3LlZUzf+9jgzAbyV2TT5pOA5ZTCPQeuA/NpJM2Ip7ab+KKiv+MXWVXOVAGFPde I+PKb9S91ITEU3y9llVaLfC+f8NYdfhSCbbv1H///xiHC8r8qoWwdM+MSLpPLqWUek9PLtTJkguK Je64HEzWQ5kr4/x2eCvZ+tJQyDXCJi4KXM4Q4jG05EpEUbhGOAg7Pc6px8mFf6gWoV4wHS+dpRRB tgfUzHsDz7l9QNRByr1Jgyw3ZLMD7gmq8pnjmlbuwQSX7/cwNhCYWqZiCYxnl3A92N/zbvW/IGj2 sBFlpbbN8KyJNMP0I4ata5pp23nwDCVURi1cvv8WIXIzUAvByBWzm/JIfrYvInt4IRMssh952Tz5 wsAKCdZe1KIIw3oTxTYohMp5VVB14nZUfofZvQF1dRAUScbV3T4Eh0DhyhdXNSfwOZsZZMByM9fn 6bFCNBxYKwehZ60twh/4oT9VkTJcxiYWMlLyJyEmOSjkflSJzG3K2GXFPUuZEQ1gwK3GYkwNqhv8 JSiVo3ZOOAyBKRPiq8bW2xU2BnxDFiUHxLNXBHW+DU+NBnQSjyBo/rCepPB/6jH+PGG8hJh6fCAt a2oNyW8Nevw+sO6OHDV5taPrj7YftnSOwM0Rsio5+ZeX/Quyt86TYN61n6v8ty8rsUM+/VbJezNr StYbXOkwWb7Y7qQ5lK0TdV9kWT5QKtLOVNAOKr7V6W+L57QRqIjjaQZ59FBkK4TbpbaKH7chiarY wttdGQVE4ERReZDWwFUIvaHqxNtUJUgkYROHOreuzGZPXpEE0ZJxTL6fzO66L2Ssttm0v+jQ42Dt nlAbzEFx7I18QsFxlSDyRTbS0L//YlOiGoT1TgxbkQtJROyHeId9RXSf/zmrWQciYStCaPPWh8BJ n+xCrbl6N+tY1YZBe90WXq4ow6UXc7fdnKOxqdJ85Yl1QXbaH4eIX5BmSWblQ1WucpkZka5sLX4g ZSmSve7Iq+7NXAo+mqv3GZGFGgSetNB86WWqk125dQeHAYNpSosqj1M4NoCVNpV0aWTs86Bf6yUi juEeArcVQS3yixdcPh9dYtvtrt5Zmd7sORUYU6ew3f+YO1Tw4568GHo6OdBgKA0ebKrvHPRreEuM xPZDIllLqjDFxcH8duiIJfP1LBjBC/X0VIoAob4XmYfbw6+YfjQOhsPzqwBd1ZzTGuxEytnCpOsy oy6z2KUXB4+n5XAOEMpdz8Hhk6jWwH28BZBWTy3gT4fIc7ODi2i1YhfJ1anP18bInkHlNAduYtZ/ 773aJc3kyGqnK9VbG/zoxR6a98bRQpASC84PbdyjsggprUJJvF3JgDVT1/6PZYnsimdcsGGnX5w+ jR7sSMDjylTKfSN57EMntDI4GlMeyCgPRLLAPulkX7+Cc6kuHhHFfmcfSlr0s5bniXM6+cuQ5oZ6 2LlfPzk252q1vLTuxHP9dujLIXeEDICl9DyeRViq243CThh7gBj2mBZAQZYw+lhvveZeXWDRLyKf lLVqw9MO92GcvbUDnjl/l+JndFI7jbLwRdQr/TDiRQhIt4l8YHT64GqDUav0xzB4wTAivCY5DZku q2BsZvn88XiVl7RWeuhoRKCS/UgASmQH6F64MnIVafV/jGImySofl+SDZl16Kht6kE3zlk7q5XZT lUyl+rybFRhu5jam9TUwlFQpH00UbFAhbBw3+15a6V4PSOxqQFyu74xtWsNOuY/MZ2RZq53U36lx UuB5krt+/OqffyL6YQZ9bJptsau3484mZrvhi6AWEKIcVhK4Z/FAeO0i7NsS67PTKenqoZeBoWhz oENKod5SQYabxU9KVdLU8nIayMGk+GmChx8cTxjRHUop0nAKQDZv1Pt66WyZVDc9Riu4g+0pn8q+ J0AXz8a4L+HQh66PBo1I6DeS8ynUtpV0LlKJIK9Dzdxyqg6nBbOKPGVvqJ1yS/XJ1heM1JsQWjwd VmUQEoNWiKaeVwA8imB9BCwgCA/Gmk6y0ueEguk7HOZqmHg09RbvAHBWdAKuF8uVIVudAp+r1s95 k8xFVaGCW8veSscvczzWgMpfIRieIyNcK0NGu/xPZUksY3u21hu8O/XDSrMyWTZhtdTgZcNxWHfV 0e1Tx8/Ft+8lJc0gOK4BVEisLbuWQfKAJ7TaUXCF7ipTAjF2lEwCokhJ0SjN9Oz2Y7YRHI8Y+TV/ LCW/uNL8vjMd1IlJ0hzvst3Krb7wMSjDHDVeSzalHJdctyVo7QvlsREcqc9xTnXzvj6UA2ezLKVv +RAW9+YFbget0FPWNj8ZRptLsRg5kpwfoEEKggq2hjjMqMMb4cX7ogox4EngfeUyjdytTDcd07oH zUUwhIAuHU7+dwwmojmy7gArhOklj9c2WFKX59GjtT/LhFuANkJ1xZWxpZHMa9TAZdQG6Js50iHt 3kKO0E/GUIlrowbIfVkQ30k3K97E8zyaTzsHQhdOPfGPMZo7K8D1QRk2qCentwLdj2xqRwGvdL0m Dd8YES7Ki7wyJ7CvWcxKz1A/Bx6/Yq23pJLiNegnlQrBP06U8jDocYUa9EzPtF+sgjD7g+O9vbz2 i++dS4IwOGEQP9lOAq/ASkw0j941WpaM/QETLfp7uUT2N66Pjdv+h/qy4q/015xvZzro2fVMhkqK VhV5kUJ6h0+YFRICsmaBhpgIOp8AcKMeb+Eb1rtOXV8cdLBIduxrdp/p00VRLMTVNhNI6BhZNGM3 6evO5Kh11IYCaPUjSh+2s6CHJbOLyq0weIHNeKwQd8ULZ+t/Veb7bQpWgfNbnNnHzFEA0jOuJoAK OFyj3Bp1+YorFH7hPK5sVoqJtjl1s6kkhi9Vy96aGG8gvBMu4Fcv2EYL//xPJQBlTJX+iPAylPEh id5GBu9j2vkY87RpSOcSRxh7sdLsLpaGD+edso/zMC4s/4H16Ev62ClMqBOOD/yNtkhDcHbYjIO7 eiWUl696Uot6g6qzIcBwkzlhUBSX+6tr8OTdj4eIf/tFCElxV0gNQ8pAu1dmJDOpOZPSLMP8IGMr vWZ/HAZUxZ2zgFgTPGGEVbvNU6+QmMzaok0RjgSsDasdUGfRQqniKiC1EInmuaZkwuDH6wtmcIN5 TCHiHXxo17+fXFZd5DDgcyr/3oJsozRlqAYj4q1JjcWS2qQFiEd63FIxxVBZ8uPuOyjw/cnK41mL DzDysJMQm6XlUu+wYl2z3zEPOSbK3ouiK/GuooKs6tnc1WHkvwxi3R1ot98ydWkDV3CvorJAb8uo yb94IaS7iDHjtFV3YGsfNYnITNlaejk4WkFQGo6qfbMcZ1srrZeM3lotnLsDbJ554XjyfSZLJS+v 78FXtOSYwIINFmo5CExLrBBjBQzUM1ybLjjYGXpy1oMiE96z0MqDf2ymGogT8ZYWAnO3YJfXw/vL GFFF/ACzNtxMjF89O78iUjyKDScxTEUCWWeHJJiMV+ESXmpRGE0uq+8RpP7WT41RRXZTTsvKX/RX dVYU7cg882cOQxQHo18yRaPJJcOicnCXMHihNc8XRUJLIiusPNTSIVGZJKZgRL/fakDy3HJt5BHN nTWc1TGYm3NkopzMoIR3JDN2iZOjs/LWA3BFdrpEDPUcBh5jDpioBRgv7ftlZdtHVThM4KyZdQi5 Dne/JU3FFm92rSP3chSaPgKTgtWqbti0CgYT7p0/B3S0cEJzvTUytyFpOrpZIw62bEM8T1zk4NvM HUELSp/O4eiGWAiUeNL/MJui32Zw12VmHp8ylI+ZBag4MWbTMSgvryjpwVPKHNZNs4FWCJM1zYUk fP6+C/aeJw1mLUdTdYF3H63iIdKkYv/y2fPRGP12TRwY3UWyReJZtVaWPe9UaFzY76UEv7a9LIb7 xhKKwdsgavvD26cTIukieAXzjF5E3Fx/RsgRsOHPmHV3bQ2Z75d3mePM7r0/8QegLZknhLVMMomG fVtKx+d4la62dTG8WS3fusJcmuZhkmF/XdJ7Qj7KEIddeVp/BMqZHsvLdV0bHVyXaCqrA4MyKq59 xPt6gXIXTZVWhH/pK2WOtqcwQ/zSvfFHpbTtbdoNtUqX4Dlje2Bhauh01SEvBAgbhaIWqo46wtfb d7e4LYG9QMCyzxj0ttxp5bkvpntoGoyAOA/40x/WhghYz0TT5N2DwcOLDhqhTriqo1gobfw/72HP LtATM1EqmZs7vf+0Cy8C4NwX+PGhPA3WuXju5+CdNxzzpGvgTQ77DY6MhitJEzqlp3h7SI8V6TLp d9ak3QNQHIkmIWUefaoLdNFXbXydAo8CYN2szuYnaAPZTILZ5LBpvnebFRfhbJFdv1U9OGlHR3La LCr8sRrVQzu7I5CzS1TiB3BDVqCpU5LpI4y2EYelOHEXT7Sm/EQNFh7lr7tiuNed2jvi+hPUQcQJ OjwkwtGRCrCI1rHUBZ25VLmTujJXbsSX8vmRP+Rxi4xyjCGca3Jd4bDNj9reaFDNa21C24kz3rZT LdxWoZhjQFuRSSQGjGTYfKV864/R/iC+hQwU3nrlsd/J+VCBkq94mhfQM9vBITz0Bl4N6jWGkHpK Ktv1gFQNPS3Lt4zbLusZAro23E+7f2S/4BUEe2aDE6AI0FuiX1Y0IbGDk2xtPqTT2IJJ4+1yQxNI RemkUrkdjJSjeTAJobiGIlo+IhBxQfX54ZGM0f2c3dNvnTseTkP12jkWfaxSg1BQxO7oqZr3iz6E 6QsPQFHJkofP06uQ9N672xK+RsWwVY6l4I/g8FWLlOBLKPpYx1ZQte/LjofJqttQJmn4W28a96TD J5Tyaz/Vtzfk3T1yq9y3fJE2AgN3DIKx4abEJ5Sa1CxdXXz96jBQN2dm7Tcaj60pW4LfuAXePIqc z5UEe5NIWhZMX3lSbHgEv/UAwBQvI5NB9LV8rEPBU4RQKwuE8OJ6EEZKkL5Ory6G/swcWRl+ghrm yIp93dyixWv/UJNMR17fvtpDtoqWrW2OomWwX/OK5mEaz3nEdFPCt34lHU2ljmzQmfVrtjlYNPSE xggSpPcnrNLib307cU6bl3fdvarSxuPEOjxkP2oruILCsn3NQFbVxuDGpZbAiNJHEG/eoSktZpTk FAF+kP/YVqXCablr9M+EWS1R8N8nbK8bpEf/kE20Lau0tbRpmHHL4jlpcoRUWamnOZAfNMbhWdb5 kYz30OrOPzYgDSiapHW3WEGClqkOy1/cRnC59a2yYysbqUiS+QqvHwVwZagBktuFgADGXrYWzWi9 cQRMsWQ9UhAGYN8353QSnF4F4w/l8hOiWDRHWpyWdIhtKhDV0WTNlSqcVCnVREW7xlvMmIv6q6VG rBVZ0+eo0kHDIqj/3Qpzlu9oX4Lkf82TfuI3A117w+1CErY5D/s2fkwXecEDkQ4jWLkrlH6lZaLp WqX7N4KdxWKXG9Z3yQRl6p0iBwGv0d1uL8zJv3gYuHcmUPv6HS14h1PiQxcw7DIusWws/YWM2iLw BvkRqWddSwaOWfEhYp0nQttdtJiPvndq3VAQceuC1esZuKd6hEDNcvXVhwvdSknjjQihSxB7ze0X gGVhI/2PkPL9DakLchpz5nsAYJeLWVladg/FY5fjCuyMiKFxLV6hsBIP44ENvuo/40zdZeurMcQN IXVioCcdKcK58Q7fr7xkUA/5xc5SjD58rZeXImTyG+g/f+QcEjZLb+YY2nfsRAV3WCPsq01Kvbn/ BepemMCIrI9Z/45ljlkGDxphaui4LvjHtKhHVRkuXnd/p18M1cSvOxUmKuKwqfZsS2Furq1dl8md r2CMcKLPy8nZSelqA4uHO14a8xdiOiKSIi6vOdG/B3VA5Dehlq1vICfao95HLZS7FWXhrqJbvsmj HfU1m9I2FbSPcJDh/PZtpC5R9zknfjRx+NVA9oZXhBo2IHOtvVfP34UriPmbQpIEbRuI1EM2yFNu MltEMeyPDIUAenU/nNohPGn1f5akkJNFDto1LBpE4HzBiwjc7qUNq1xI6ING62E5kd+qlyX+1zI+ cArIOSJ7vGBkgrcLP5OJQCDP6WoNx9nqJAyntVh6ErZRjGg5d15rbJ4SOuoFWWuBbAaPiEIw8wnI NOOHfXOSLLfCVAUp1WVCG5yCO0ebtO/gm8LI9/6+uPLH/xsMSTB8diHyeWLM7SnmF4ghkPd4+q37 KO/+eWg22cMrFCDI83PlcsoK0RlPzdIPhsE1w55TJNMQOqaGo2vgYRqNlwnhRvJxQpn+OkBwTXdg 7eoS5CJmZePq/zCPPOP+aYx6i/a9vLQ1dJqErlm8jJi0P0k24ZVYx4dl7GSEjW1T9/dkHHP0qckR McRAmJKCH3cEodSFM7jMGVZDk6dn+7pmPV2bvggEqldSZj4Ik4qy3t2oWKlS6akqWCC0HZuymSHG BRjHiUpY1L6BTkf6IKI/VRRdMaBqRpBXs8Khcma5AaoGJzJydEpPcRlkef6IcsO1msZ2nAEV8Ele ZnoWAD2+D1wkyS+Sa1GRpCTUzJlVN6/+TPU0VUZC6EbovMmNBB7hJGUz3rbe1dD/WwytTW/G41bc C9+buvAluApbCRyJoAfGZq7NA0W6tIPgqUaMnyqFWdDCOlHuF8RGJSMVBHR4YzJvW3XcEemRc8oI 4A0MBrJPu2xp9+/V5ztnJuVQnVu88CT98zPxtqUMg/1LkkY5V6nNyGYcPko3GqGw0NqbCM8zE/Dy Ad5K3I+qBnVn+qTBHtmEp5nji4yi8fC25heU7Oa5sQHlmwkFcPiodRrGICQXRjjhghd7KhDXhz2e qfC3Vl+3Z78gjAShVXfoMu5uOjLcpTLFmJOm5cuel1FQZTNgzFHdLEr5mlhc7NKg/hCpc8+N3bw2 +cUP5jlDM5fY0PmDKhGZIIb3/alURI5PzyG8sGlQ50xFWKlahte1/2UPRRSqaMw21S6O/XleVjrb LPvSvAcaR/Pm+tRPS3YJ9S/GunYKuN6eTMdWShEHS3OH3ENp+yrzbQ3KOuG5dhPuoY2QaNrMjRbN Mp+cbsLgaHLSOqZ50qBwFD0nviz46U2xDoC1SbJ57vs6BKtWA1Z720aRingtLWZEOdTEeaATHnz6 btq6xTTSnCwj658cZ2WAt7H7G8zr8yYkrJPNkdBIRdNxC5ek0i0EPDCDVbF1/U1Hk00CqP2ggXd+ v9J3mEO2MrJY7xEsuIq1mz1P7HfB7yUIlxelmYb5gKb5Sr3MQw2CwqcNnPW9uFPcPVryE1os31js cg+GbFGxmm4HZH92tmcOY6ELy3g6+sBjHB2IEZMqEK+aumN7emSPdCWBmdLBC7EPaQFteWu4k+/7 F5B4gPuFGeNlr6Gjd1IEqmkn5MAxQpgw5FhBG0QpK0e2oStlV/goZbMh8Jbh/V+1VGH2FYXoZ0MZ htox7anGt6ZDs/fcWH87JcemZimLLWk6Ud0M71RjVAg/ABhTaTzK3Hm487PHzC/H9h+k2zK88QAr j8MJfuCVRJjgW5hCwCu/GYCUJyZlQX6VMDPkClOFRvXMdsqcigumu6doype4U1rK+12JRKYBXK47 GCeyYPIj5SIWGRyfCOZ3SoIXooYeGFNnY2IoCzTiVQ4sOT1DXdXBs6SeIxRD1+dQL99gZVmd5zz5 v4cLZJ5lZnMjHlK8LlgZKQBMpxDdt39UxIU6AOJJXAvBSRFMV7f6zofkWFDOLI3P975sZpJioCtj j3lb1z8WLTZqkmndXxVx97t5o0gg2aJLnB35qZWcKg6Y1otfB9eZHhkQPoQ9Wjkl3+fc2nZ372Ec Qes3jFUdsUEBnYYswih091qWwpNu8TgpjaDJuBUpFZKF4jWRgzMxB6/akdldxrYdOy08/1iIaqgO obi3aJv0U1OTSSGW9K/wv35T7764oeax770AO5Zxf3/4dp4iBKSZelUu15Gc5Z6E+8sEVEBtEAt2 lJJ3AbDAEX/7O6ucbn+3m1K7CTXWBv90tjCd1HFCDFkMr55YzubgUAifNKBwMe+qE4qdNvQqJ3Zo pWmR9Wux7XWvFimYNJI4xRsPvRDqr7NoYxnb16HwU43gDut0nUujJ6xbjoX+4wWblopPxZai7H4Y 5RCSJu1pS3U1z3vGuFAvIMLpA0h8FpVNTCU5Ku+ME420hb58PMb4oz/R6gsW4usM2k38187X2ayF sQm60PmuciKNKLQczqSvVZHu/bIFrNs6JCVzFEMIut3cip+vxa0PSYhZM6HkhqY7RiIfqzIpTWhT gLmG23I37BmBZL6v3FsRiEXSxAQvPqgBATijShprpBROEDgGURm/DJt4DySVDumIA6SpP7ToPpm2 QuDTeu38xmrcFwMJ5k//8qemZBc45iEj8Q9FLqHo+oR32gUg3Nyde9LQds/ErIT8UH1F9sgNIJq1 oKpMSoMR5FPCQdR+VrML/hGJQwbC/3iYgQWOIxbkkPcZ0IQJk5MAr2JJOwFH0bHyTu1UawbEDpxQ zRoMHBmZzcW+oc1IIsjakJU5nEBwfIhFH8cIvmbDA5dhd8orkzsoUnwISKgKYcoXa4LXljUpaAnD hQnKbx5/bpD+LhIXf3eb4w7iBgY3qyZV0XM1cQIHohj1mJ0n4jwurgxfyEYKbgFRwnsihPVaGenw sKkrOKh7g6j8r6203b7jAxn8n5Jq3TOe7LsE0W/aHG7ourMCzJbplW5eDzsn1al+T/cKi0GCnoeR lxe7fHuX72PIKIXsKKaCsV9oCqe/WYOhlrAlLqaIYDtoOj6AQcYebsuOCVwxx0TGIfxFRefmpqrJ S15HepHYcmxzmv3Zr6RsPssqk22VMOAvS1aQywWF4NL7QCC/VPyymqi65BKzpTxDDGcj42TGmjZM JJJJkPfmkTwOj+ukNGoKJGQdH61JmOxBrz1lNWH4QiZ0WBKz3Evho9yOJ1TGmE2rhfnX82b14nNe Np6AtBjoO73RTUzUVUxePR2mvwDA+0+VSbsUYosfw2Ux7hJFaxOxgmoGuuxHQXqu0yN/VWvfm3Ac 2UsHraZkhndxecCvWwqZIDCq8mjCi6pAl36+czAPGZLG7mfv44Gut04hTZOILtM2q3tdg3zUI2BN qmJW1mrwfX16Ef4hSQPBvC/7sITJgryFl1pyibzePoimGCn1YT3y7uwCIIE/ypDIZdAJ3en4Owkn yHgmCt49dGJzex6OS7XB7rKzSRG57pPksMkvIp6bFdlwHPAfP592jtp6g5yYS7+J18WzYIxjb+Mx 4vr7ly3z4e/wP2btXLjg8P01cCN9EN9iqY9TuuyKowQsTCSlhKDduRn8RuQTfIabWyVaa8I08YUS 0SPCVsIDFDbwu9g3JWpS7Sxhlpt5qdGrpWoDOMlrtWyXbs/IXecIpe57wc7gjwrz/RsqBb8OJfkK lhafJst3z/RsnN8njzOOu0Wa0RJ09XjJihdFuawwF6paSMEvyG/KEgzukUmK/7L1eT3eYamWOqqw b+ScER/BOmPZZOtPoWrLNG8PqndGZQ2AHFIYwNoriP6HUnVshv6eSIiCdUu9iCXycfw7HVDvtELl 9xOaGcQyeo7yePTrhftIubV3pPh4fCx+v+vB6/Irbx37xHXsfg5C5gXJqChSljN333dMuQWtJntK i3EHPHmnW3FZce5DkdmydKNTQVNfnDTgappqhv2yUHQuByKZwVKjsiGKAEEbDhw2wHIihljoiYOn Ui08A5v9zsiX9IQRpxZlsHX9G4Y9RkGc36N7IB4ZM1jdrgnZylASqVVrsMKID1BSRehTvWxXMDa6 wfI5z5TIkWofxzJzJOnqCr3mvvvqqZB9keSnFVbwhYn/NrgUvMLg9sShq1BkxNJf634pII+rN2Pa W/K7gheVgu3LDJuRmSO14tw2QP6OzNd/BvbOdiApZiRAp58D+g54aFkY91PDRQbCUDAYKxDK9J9I 4oOC3CUdHa4SF2Z9MheqvC6ITui1bk8hUWYc4MIVusmUmDhhPcmCeybqkNrrVd7OuWrGeCrtSjZo fmeH8hN8xteUaKonPTfCj6tj/oQpH4CFWol8hZdzqRv+qbMgdvzx7n8w1gEKFpgxuiBS/3QguRAG 7tbPGGQ8NpuBfEGP+NI/tDjdCGktcy6STUhNnv1tVWUh+PXRqdjMt5aRCKzYfl2N11PML8564V3K pJsFOtzrxGpHYk+x8973CRboQA2PWBCxLblYlmOPqHEUaur1tjazozldUkkcX1i4TwCRrJIuhezc Kzc29TCwc9BU+pc7jC1UJTibyj3brraienQF/98rWrS8oebgYefEJtNEgyQ1kwqGbmW+OwdbtTY8 AQLOb/wPvyevZ6SLwLtcCfB+VdBUlaMCNsGW8NODGjFbaulV8vjTe5eIij6e86z9tppyxT2yNwie fS66zuyrQ6SQLF0CSCZyDpYNN1hBdbT7fAEgjq58sc+Xp/omPxgE/yiG8wIYRDuSf/Ye6JqTZNdu TpLJixLd+zN5ZorrCyUoNFD1xMDn/C5ETvDutdl8Lwu+jfNZ33uJPpwDcBnbH1YRdmv7xdas/w5W wF1ipWHmpe1OtYl25d0WZyYYUpRUKOTrJTB5xOSfC7ceLqgt+otB0JSTy4oMoBBSOfMeWPfuviIT Q/OTiYAeDfimuZ6VqWFKPE7LZBWr07plfSza1Au62T4XmSvf43OpBJr09l7PA4CgN/kv4q503hFK mnCq0RsvwqVyF+HEHSwMTDjEZrItwKEc24zBydpNtQJITnL7Q4SfUFwQ2ON3UetUaWG5hxNnfN1R JX7EUTCR+jzY3akJg4DMspUIdimYl3RaW9is21XHqC27WXpbLNNMaIGYHNlphyoT8J1I9DC2LCeP ozT3aDcVpQJHdvDIsbksBcNdhaZ9GHr1+clcfSLI++5wk/rCZtbOGi602rGCHAQczkST40mv3IPc 4tRroYTr/iGs2nlK+WWH9Ak5Z9tCW8fugP7A7rjajhB8Kb3sSTkSK7BtEuwjeWRhTxF7/VRub1im rWMuZ3zUcDZP7er+uFGLVELizg2BGcytKDgHN2Pho03TmBPl2H/Sd5lizHZ6s2mtZU1Ht4A49vJu E4qydiw7fQJekN3DzViidgQ1ggEJCpy91SQmXClExYvPQGCecx7r67P079OEFHyiUb9B0hIXTU+a gEHxOKDC0yrPGrKiXJ32VbqTcWjboSP4RBNnFrEJaJ9jT2LPYC9iQ1bj5WXxpGaDKiLJ9zs7hfJ5 /SW2UjJN27rSwW7fsJF71leUaXpQQ2J7DetcFpLpi1vqC3ODmyenNpGh0UKkP5uUyYdj4lMZv9gG 2IA4/JmSuJzsYKefZcCxSrK+o2xUULmkXIxF8syjfrWt9Bwb/s0gwZEkOAvBh2N8CJ5PuqWuY9ja OXlHyMdnKRwtwEpxWSCcfeZmEKj0igrBxrbTXXgb8tzZHGvpaiWvLF1qJ1tbDb02H3LidQXXUWA+ gpEvspAsYAvLNb3JFWVzEaVTOz/8HVePWSBq7STnwaeqs3rIui8D2pFES5GNJ1PTDtiQxC38NGno 8Q2vgBAWUg2wmQhsyIeSYUK/rhd10qVReftiFpnX7oFWYO3I1XwoFklJN9nHidsb1NNkCOcjm5No N8CdC5ui+p7F2addpIR4MRkQekBr+cbdK9OT0bwopGcPMVC/AWSum3d21j6uLUkkuxPFQkPaY8UJ LJ28oEjq31ttDZFOQ5SL/4rIlQFiK3UPlO0yovRtQLXvF7sRc6Ba9kEbKc4ws1A0KKTqzJ7/4CBx +lYVsXWUcopBpAYSNMT7iyLkKEgJqTy4aq72nGsVDUzM2agzwqXQioo+4tNPbxJi3b5zFgNorraP eOHcZNs5YhqpMTom1eK/7ihkOgb2RG21JMpumOg0rdongjFCBmue4pYD7FrGkmPeiBa24FzT418N mnCAzXlWH36oWVbkkWKBAIJPz2BKzLemzHrRn0UXd7wHoMGEMM9SwrkseEwIoM9vMHMAChim3343 26uQaOfOkghbByGVvrL3gYDFL9dyC8H8HouBK4e2/HRlDP03nrQPQxpolj/cskcvbdne3MruFI5+ buZyQ6tTaLUpXlI2ruf4uVG02rEUr9Ekv+OYDhw7REncK0G0etRpBUxAS3PtujV6sXz3FMORelK2 X718SkzpCv8KuvMf3iyWA0/z1PG4Yi6HspYiq2P+wbEXlN35iJzOLAWPKOr8//aUQQ5Wyl+OSPxg jSSfvZkFO8iye0nYFwEqWUAItwo+ReMRampHluSI1nfPCZrUJ2wGdxRhk5Yky0vvspthniYsVFUf /aD3wsWKjCiU1vCEr6nDRoZag+m2ScTl7PkaOA1oka/d1WJm85nnXBxX1dbbLDd1lF5Ui6qi77jb g0wHXbqt07un8eIuMCwSEDId/vLuZ69KWczAU1RT4Z2aWbCV7GKjWV7aTF7L+RxiLqdqnCm5mC7K 9w1PlvQCC4Ljb1VQk763hvcqugbbdvSGQ3dKRmEGoY4Yu2nxAcHKGrRpheympYlARSdRq1d/GNlW szYirg3lzKTpWGnlsnoZBhgu7hGd6TaK9p14iZMvseuvv6+tNDtOfjUApsmBjaQROxohW2cELw4n VP5LsW6TuW0Vr8uI05gfwNV8LdXDTbZNwXVM3kpZ7Xfg76vHhD41ok2AtPfrs9Ut4n7OMZXyoVx9 RZLp3Ci11y1PViJ20p9YWbUWbnAm/oK7ov0tRjehCZ4jue5VaZGTAKxNsDx0c6hylpGq8cvc67qM J8iCbTZkcCpQnFYalsnui1kDHmCUvfz1clX7DyXOgLbvelhJXWY9zNfoL46dwEj1KxsW1a7yH5Ob YoIuythEGdfdJwvozbP8qaoBvwcahVIplYGDe00rQb4ZaVCeBbRKNpg02W9ta8wLV6GWckcUpJQn eBslmVsxRABK8yGDaVb2w4KdcbKSrTiWZn5yscTejfsCAMsKaDC91Bt+pE12D5xTQxwhSAsmJ3iP 8mR2hS7eyS5RHH9yXz6hEnfUcj63T71bA6lyMgYTwqmHTZJ/o1yH5iR4I9n0Va0La82qhL6Mykrg CcsQRGXNHpc6XZ6VcwJ2PRrv0KeQH6bLCM1qndsYdzu660asWbDuEgzaBFDL4X2IMiklXO/cus7a wrJcnxx5wW0d3NZU4knYB6W+XXQs8TmujCrVxqZmgtFxIp2b/GnP40mUDdMhkmg4yJBiC+BzVHmV n0J4XQg68fm62WLUt4dhmc6AIbmJLkECmARjR+v8Njz2lc7jryJAH8zBqWVAGAtUuta6X3M2nAY3 2alan7q5dvBHy3HdwAPprgjgejkpQQW08ngYfScsRrPDQuxf5HPw6LGWImTwEAvnMeY8LGfXB/S2 0w1ouT7n2GLSdCm5pS1PfulO10qGyhfR4twohcQU0aZqk/155fKEBglseZ4hQGEAqkvr6Zv/mGu/ uj3ScAb3zmcsqkgH9pkD7B2e0EzEfw6r4yG6kLxX2DCWNP6aMxZTuAKAOsOr/dPqAAO696/yepSK AnSXhsoabY2V465KliOvTaeeFyd/2WIg3sjb1RvcuaT/Ie7MeFsg4wB6+c5P9IupzXEYhVSDDzOw 5KxowQSIWlEN0QGZBUfGSKWNOCKUJmfQg1JegEoLecy8BOxPKTJVtmMARCWdIBRd9qZJGZ78ggIH KS5jx42L+0syAB5/0kkZRhIEc1ifaJcHk+VSu12X3EuJiCl6TqPlzIVrsHWNx0s9bzjJhlYXfadW dS78fYgRBe9GMm0YR1F8ihrAAsFwjNxaqvRFR7cJMxwu615MNSTkBUz3HAlu6NITNhj2r4DtxJPJ qiddOjO1syX4MUFkDUKic84p0xS01Cgq6yuzZ8t72SHtsfb4oIHATr0mbDGPeRAmDMfzIQ+EMxBq jbtTU1nlRVj05aXPXYkr1PlbhguuEtaUL5xJNt9KWyut0gdW0BK4nt+rP3inX41PikB/lDDtMnm+ /UAIrbEFdyOW2YAwpjaq2gVvXUOZuPOpFubbhjf+P3JMWqBjro2dcublHJP1kFGcgl7QGdy5yLRe j42xxrHxLM/6VkTCaFPfOqEhAVdWnti1w8SIHjzKNz5cenqbhaSK1abQPZSUkXuHULeW9sq/wsZk mIEAxaBOKX/MCTeBSVKyeqKk86k/gYz5bg+WfryjCnGlkRa6Dykrd4ZCukW13WUu8IsapDmHZL0v VSB/JIPi6s2W86WCK5QMT5cu5gm81y8H4SsUCi+wH8tDuUIh7teT/eTg+h0SkmZRNKP9r35sCJNH TYg9ziZOGHiuGaUK4Zlf41wcfgpsu/jE/OvoO8KhjgaEM0t1P21LpPVOr+ceb/LK7toMa8Y3fPph oRaWEHpJUaISfKHayQ0oKZZz44NRmMQBhgEmhoHCJxH/7lOL/nEFhaUwRgJAmko6zMb9l9hKSP3Q clJBwDov94gf6U3tDjaGX8BYnpepFCDARlS9TIP6J/i8zyGgzcU3E5R0boGZDr2ug1wbWM4oV5d8 JHtpUCTPv63DW0Rzcp48Hx1da2irS7eaTBVaO+BEjVCJVA4a5CpiULuhV3GHGw+zTEOisWcwThAp WRJYQIA6++lW1vwNcVvuovKvq7l7CEJlrLIk4htgK7A3tlKuH/lPuuurI83iQn2JoACNVuKZ55Su z/WSlfaP49RiRzUbysr6PFW8CACPzu1M3jacIfUuYAbTyXR4bYRbfyZT+VC1nB7MSdIi0x6XdHdd iQ3JG3k10JODGUxhMzduLSbA3x6RSD8veOEBwk60GW7qxpjSn6UZieeFaow9Vi6QggWW8x9hImDm CePm8v3J2TgOdwGYUNIGgOAkJkbbiNaA98niYCLdtVXudae+FyInrBynw6FeaAeewAwk/CS2h7+E JYWK2DDMS1ixJLAgnLyqvuYRIzWvuvg0NKnPMKmmqeZ/yDE59ZTC2zVQyviOpdhYzidmV5OqczWG Vl9HUfeg4F3xIhjZdBXYBRbjqiOa2R1YMWpiy07yjZ67jphPFgAQ0hzHIe9G2sNBX8ZYysBAH7Nb eHI7Pd17mIkofA37kG53Cz693PEujFy+bqA6QEtrDdmZDapTjjYsq74fa98zHlu0f8pss3WIE3wF pLVIizTv88q60wRXoj6Hf+UbR6PqxIuoodR1YIwRVTQpLa6hOOn6XdPxrZAvih2vuKEOjZ0JS+dE J/eeMFgE8dYhqe3MJXzBoQp9K1DJ5URGQ6AFYsHg89d6JqDfHQjyanOVOGxMFCIYeVzYiaZ4p+Fo HHRVJ8CWCVZlLXMFOjy+/4nBUVMcb3iXj8Rj61ll3HHFmhAv2UnMxjHwYYbwBOrPeTFTwxTr0PwR u+3KGdaABJWNEz7FJbt/5PkWa1/boBijyt8IGAmx4ihQFlt+/9HzbZewDLpEVBZJfGHH+cgU0L8O kOl79Ji19APqjnuUx/W8n7WL+TXel+Nw5/L3wGIUcdgz155SQZUUAGD7DprenjEfnlN52Vty+4xX Fp6N0qKCNTTRRwpXUFKPRbq3S9gYa5DheVvGWIq8cMU2O22xAIvRPB3vn/2Bnp3l3eNaLbh5IbQv 1J0ciixkx79NrOPI/JR5Xstz+BMJx9RIwguvEwvpUhaXALznyyPAKKzN4uMkKBKQJVm7p+X4Pw0j iM8Nv2E1E1hlNUp9Pnzz+4xOIhOsGA8lX0Qcpi/18yX0pJAt0rxi5SmvfSRdWRim2nefrWCF636g GeHaNTD2jEU6cngwN1Z3K4CskvKMVPFRWkImoYdOakxVOfS2tCmi2/n1JeFe6eLOkHSwO1JOzjcc 1H/OuEQO4H1S4EkPI758YI44Da/P4MGIXR/q+vIxtqjmEAOREbuJnFgbTpUK2LN3fcJ1xZv2TnxE UIrv+NgEtA2lV0AVXxENhWEO/WxmzoJ9CDon1XcN23rmPBTWDqRz9rjZwaryLTfOXBvgVZm1ymrA 1fe2wZ1sfe2/5gFSpJmJFbqlLKeAkkg1aycxa3mx0TPbhyjWZb+odEpTbdC4xG11lYuSHbslrtSh fATN/tdQVWWQvcGpJcX+8fmHccPeUFk8OpAUHpTBfoxTHKLe0D0vI1ejdbaD0/8wY+/3etTMUCdx nfakRsZbgTUF/KCCNpirPYynyBJEcTpJfPJlUARmHkutwbG9dkWaR1d9vhwK9Uo1Rl0PQXMJ4zxy /yot16cgHdOexxoKux41gp/ZeFSe+ij0/L12zS6n3ixm3PzXqm1qboqYi/d8U66C0qp0d9OQBMy1 5Wp9ido7CFKpvvBnFYMmB96BiFsV5V/1jQbVydy88AoRCI2cBF1O7r+xOSvB/V/YD8LUkL5TDDfZ O31VuEiyB0i8rbh+BVGGyqc7l0zc8X0QlWUI4t4Jk+jRZcKWWOUzcYk2oq4Yz6ZTIUvKS15XzbvK 5KDnswoZzl8DgDvNJ/TLPakKTE/I6cmC3mbkTzv1iIm3fNfJg8t10bSxIVIOE/Fea8jYVoIM4aWa fl0K3dr6U1zSxDpA35sdgmLFB3WTwE9tpBQnMhMxRW9MK5ItlL6ECfAHr4EUT7xhELN0xgbfGMhl JXalbXsUGeOXYSk3ucZ+amJoRBCeg6SGaEEOdirmpKN4emjT5eACtwwgJp4xp5oQRjVrsylf6rhS dfrUPhcy0y3sw2dZ1oTYFpNr/230GASqlswIniEosx6u+vlzYgaaD9U+k6ZwCoX9vFEcjvSnzcls 9cC0IJzoZW8p+dM6XqgkeobgSCDqE728K2L40k7MlTEEy2W6WcTRezqN7dLchFDX2EzXaDbdoC9R hg1coc/XVM+QhTjWWtDOuhfdwLCHsFjdUibo4IoUmPmTSBFVtIenJBeQJrsaJIPQoOfn8L/pIBJO 5mVeP2NAJ65iCDz6DJ6RVVfYOzwUaCJ97yZp55HEIOdkyGfe316P4k7k/8JbtAuCuvK+PvU8GrUY 5Er/1Hrt1I+wleiOF0NMi0cAiRAKegdSXy6E+gUZlZyU6hRANzru1dpPiT4r1kWqRokUuO9gpFtG b+9TG345hxILr7T3czPlgH6HNPs6mrBVyTWw4e763oGgrvqYJONsVftepYHdDL3bZ+Yb+LyN2oWt LKH3yVPkR2xjrhptH9BlzxQVbBf07ENJhMpG91Ya6jzrgRacM98WI81Vc2PVpUeb4avAJqLpRVlC n7zyZIqHKRMtnjQRww8gaKUTFKNGjChlGbqXgQv1BrRiNntJSkfqHaGsiye2WqD4UKWMhXPD9xsL dL3MRytLITVGC0yW1fBW3ZEVc1UHI98B912vAKIbr3CCnqCmI1f54T49PxUmqrHb9QVtnCZVn+IL 0dbb190F9LLz3mDQH+/xTNGs9nwmcYDoEfwrce5U5r33DBtXXe25SD1Au+Z7V9AuFD/M0FCPCaa8 EeisMsOxNBnH3HTUSbJ+KVduOzb09f4JV/AdBPqLj6NtDSlCRDtpyF7ZSNgemkQfOH/HPE/HRmgR UCi3b61qvNm17nS04VP969fMlnYRcQiPXIsDV9JyzvEaTGUHcmZgyD1F0AFkf/u76RyD6W7xK91E EEHXaBJkriVsQGEA0QWQHFdPaalT5vXmoN3F1ZohcHVtgt8/YmpFRmAhf9PA2nd7mS35upMPCaZY CVW+A3DDwDGPAyIQAOlAxAYyMYPAsAynRKwGrA63UaRQU+M1YNe3sahqJjYUWQC5wSmEtvpCc74g u1XJfi6ZDFOphpKQamZSRYBSiEXhAbLcTbXWPTjPCLgYvBIDfZufML8ZCLi3Z9O9GSXqa/Ak73jY MM1hVZ0fJ2YftBT5Qnx35ku6S3TRHF7viZ/7aCKAKbzHWG7PZ0UIYoLRavdU7qZrFTnoVGGKMl0L XEA3Zs1MekOAMhdPu682UKcEim1DNzN0ZMVA27Psd2OyrbfbrhmY1uDPl5vUddKknkR5FGklPWJC abvCzU2qCihffVrw/2wP38CORc+ogK9CyfQoq8uS03wnvX19SkCPQpEME5Td71EwcPo0+EkxYj9C S8POe+XvxrWIQEFzHwIyTs1O2IurpnNAfa9fiRTIiJu5iv/YZ/952j/U3XoIHJU020KJQequY6W3 iBKV3O87ZmUKjw0K0/t2mZSboP0whKTdsZ9IdXV5JfSel8+ePPG2xAvOIKUd9dg+LpVkz6rkC2Pt nNVCj8hnktRRi6d8FixS0F3iZlkX6a17n7hKZweC4BOUX44ee5/NqIyH24hQwRNwdP7SKTXA3DFq E88ZP6B0SmKM1TmH7PyHHc/tqXRJkxJou9c80CoTB9McUzPmL6vzb3eCZtLZkqQnX1tMEZLjS7Av HF9Pd8PcePEBydapQV6nXnN4VZLVukuu6lyA+86Ma8EhmPR69izW8zJy6Hnsu4/Qlfdt6N9atp9Z GUtD8T5Q8gzHXj9IwSa1p598/Wm8503oOvCLYeSFQeH36w8zc04Qao7K7Go8PUs/Fk3Byo9B+zov O1T0UtsvZjW4kXBNZFkdB6XdkzY+ObRfwxj0rnlx9959YsN2A23s4185nkjJDuflIrk0ZolOE6eC lkkjW9rVJ9VpxuLtL8vtZippZavTadeVrLW8OdQnJdpsvgIJwGDoMmljkOW5LzPVCsqlQOBlsgyk 4JkI5wyLEWMqIHO3X/9ZHg0loBKSl5MreG9iY/sWeYHCEbGqFDUwjDS0tgm2gqeiWlA5Jsc4/rKe lAbnJQIpzSmLmfG6P1Fjo4SmdJAwiZUzmprJTOCl2Ork1tFMLSqdwD5Fx8bwXgQPGJW9dQ1Q0YZq 86JvccEHJZHA/EQF0W1ahqZPEQyFH88Z7F66nrByKGlad5H3ZeikXZ8Oedr/8uGEN1vnVjFHJBwI AfyNPU9TjKsZ115BAfmWLFmFRPWVF+XWVyPQoee/fRK0h0QjIWvA9Qvwp8vL6ERFGZLVP6XnIk6S 7PRQl7sdGYp/0B08oezqdqI6unwd7NwBTxKBiq1IJiAoDTTmE6XhLP5DbkqdWPm8nogG4wl3BgYB V9C7TVqep8DekgvZwkZqrxiJrWZm5VnogSInhZRzi9XkS4BhXRzf0y5/qM1pTzgLMl+XFk55SD2+ fj9dw4ij2ePuWyGn/kvdTu9e7Qc6Lk5ZK4Rq27ApiQxrNO9cz3Fwgf6lUs2cKYOoN1IOTiFEQOqz N9D0kJmqE+RTpYWv2Lm4AJ18l5P7fAb0FP/fdifyqeMPf6LeTNS0/7uBzgTgnYaB0FCnUSRCye6C 5gDk91xp6If1HUGdss1TdkU71dOuYv5/xXfh/LRUinizi3KLykC2RorHwoeBNfEMBVyR3EL9xUAu cRqVyA7bxzYEU+NfPX1cKJ/Oe3tvvuwG32Q9J4hTP7FOX/ohAV0SWAtIes7gVnctY6lmOUZs+O0Z rXZ7BlzIMnmpxyaS0uIqsF10pgru9J92CbrYH/xYrAEMvadIge9IWRICO8tgG52sgxYghQSU/hzn GKJwYZuXgOaO2d2Ax+0A9o4k0FCXbuYc/4Hib6iehXJekL5hf2oa00V5NfiVKDOEx1vrphXndBmb SlcxuidWTcRkxFIiNXUyIetqCpGsV1viapWn405zj0ir2eI5JBCre4TbLwj8Vw0sGTdp8CxLGJtA 7lf+MccIt9yTZffLzq1E57xzWkpQOaQXjoHkHAEjbKb2uYjEU8hlHZSQXgQMEQvRiSKHDCCYJXKc 8sQuVt+2SkM+crZvuNOfk5MQbsrc4peqmdaq93Pu834vNMGvadtzJyNxwg9WUvz7HmXGy6aAlFoH uLfSVUL2x3iO0Bju8kZmofS89a4EqUDG7vu8As/T2d+RLSbGIy3tgFgkUxJTt5wojJ3ewWh1pR4i aSD/1zKshs5Ba/x7x7J4SJLLg5riHdrf9RFMLsaX7OqKRf9w/lM4iMdfaPYZZkHFzoDIJtZht6HW 4mSJWLrNVxfTyyE//yLEEN0F+ulaZIOjktr4hmSlKTh2coHCQZbLhCnccB8eXgqjFgnHtRjUpb7T LkkQnEzxBAelxNTQe8FClF1JjNBTraxV2S5Szm5fFWt5SJq0pSRek3k/NIdpJVUq/j+l3OW1zbJH JzmmtOglfytHbRkkhSiMlZ5OdT45hymrGj7ASGsG5Lwi7YKQ+Dn+u1qrG3IRFy/gXTeIUYuBiyp4 axrUIJ+WLkAbp7xNS+Omc8gMF+uCmbnpwQE9eSeELL3imMuPSXTZG3M0Fr3fLDG/7x5nSiLB3QLD nQL6kQBChykozEtvq7oqNw3OSmFXeq6lR5IN4INLYiRf4fjHafE/uXt9jr3ZK6csg+GqWgOREJ8f BjptCd99tYuT+CTyTHrbB62gZAFNIIB77DV6hKonzPm5hpe0oebl061eOtbZ1uKGmpXMavKuniIN LJZnZV8ZdWLw9aMAlLjvlr6ZSRmofyUo/PTgDkM3Y0DoQlyBAkJAdeMyNEXia7OGL5mc2N1wvK/i w2XASBwb4uf0e7H9xl/8yIOg2J8S7jtBcEQMtTL4IgZj2nzpN8T65HYymb1EZok/BYZpW5AcDpqK N2ay2E9wNe9y0kt4MQD3S3pJl+WrmPSUwSD1Sk9qfFGqrA+yII6yQBseohpBh95n+NH7TwoghTAF iGW7Wg4UONLmRxCNtMF5IYpevNu99ltO7E2APvoUXOJGHAVQRiSDiFIXkSGqkb0GrweS3UY5rOaf zv40FjxoLiAPWFJfAqbz9xmh/4bQnkwvLApvEfC+zG5SDtdDJMw4MUtL66pMD0ly9HDIRHF5HCBc 5KgK38BLmz32pgS5jHkb3rw8/Op5bJznLDJ0gyKy21EoVoaOuJhbWIQ08viMQDq07AnH2eRYQyJH V21+/3u4TM0leD3ze8VkAsCg8Ze1a0hg+PJ8yLb/iNFbxZrpI7kV+v1OUgph/JKI0a1KbKgRozgr FYh61NsK/Hm2jX5OZKtwueaX3AIB7BDLaC4XOi7uuF0CdprRF5Z7EjH9Pbxqx45XaNffdCz/KZld aKcZYPlIgnLs2QsuBpBxvkNJI0LWeKP34R6gfv1uc29QPFnvkctquT1E6VakIkC+WsGkddzb478o g/y3rjtcTRAM8s2Q2mtWdPhTeWJyfYEs70PmycctZWfNusrNw1ag0QlijMjEydJJZyp0H/sZCJa2 Ftq7FrHHj5yTSfSjsrMPW385j87VeMQzqwCpuOyh/4GSx24u/kGaC1iRzhPvgg20VK3+2RW+A3Sp soUtKQNcSFf6uZ2YZ/5MdPgmn+9q3tRKrBx1Jh5GyuEh5MZSP/h+0fv51uhPbG8xUAtUxc9kc6Db mdd0xxV/6pwtjJncxp8mNEIfxcu/rVBnL5EpB+AKjBHONq8PrkVNE7ubQ1g7X8J90mUyTaQZALG3 r4RBn8pp7CTC9US2O2ydMlppZGB9vA6vesUBJftQkV/yV38B4eX8Zm/nWcDgNJ+5R7wUpmtThsEk 73/iKH1ZtC2RrhpLHDfjJAQst3/cCKrHAoQE8N3X46XpbJWLztryPcj/A3i/Mre30JnFlIf5/j05 2y7sMWUbxNkgzNjAk5SAThHtOAQJorFUOJAf8kMP2oaPlBxID+ll5RbML922ytkWoDuRO1ePGAx4 gc7TFKM7E3N1Vyh8hao0b6AFaScPU1dnAA9w4k43Xl7J8rvaPsOz9qh0bysoZO4H6LQlW2Wnry+3 N8t1XPtWTiARdgELhrlcgyH4RyDcxM1OMh7gPAQca0uOnKkCr0boWXfalcxQlz0prJmo32SNe94p NpdFTjJitD6sfYsoharsfS+jHl5VB/DVOUagyEqsM0sTtyWmCq3TqYOWkfV/VK6h3+gIFCfwPt+2 5muU7TKaoNceaA9OEhY59BY+GfKaXLOQp9nnZRba8S6Bm5S56qT2VT7WhRD3Keo7XR2ULGNQSIu6 mwbUnyFAApKuF+0/WisqauipM64ob0M/NFL+n8PGgui/yLFngbUcpwwyOLCYpB18GARLAv5D7A8I 83hxwLif+fn4yt74eXy6n5brMHjuD0aGz7NYCoMka1AzBtBUkqyneTOxQUpWDNvT8MmFoPeGwUqx iTURLN4IZy0Lif2US6EcYVmy+QbR2ATgyev3XztbN8hWS0HbtLGT/pisBD+8faWfVsCln52RLd0o dO4eDQTMiqjsQ8v0L2zF34HArNuZYnXzO00P6n4J02bTGiw5MoaK9t6BFh85mnODb5rDL1v35zvr f9g4/mWJyo02ovb2ZQN+lmAs9I/LmG6hZNG+LWLA3lJhVKkLT23xFR54U/vKKz/MOcN6TD39H7MQ R4aKrt9fvmFSkSYZEQqRC+D8v1GSlH4hJQAiJoGYaG9XCVk6umpnnR69mLFTb44Zi7krkzg3fybF AUSqFmgOCbcRM8Csqjlrkaiq8qQQoASLqahrvVLazzcTIiO484s9/QJ+omHxTcHpQlgRP0u/k5JR R29VZPvOeTiKoGx03C1Dlc5aHYSWsd2hsr0hdm+zFbbNkCvAu3xhGtjk+vFUNQk4KBb1bvW/U+lT TlfX13Y+mnnf35QySkv8oRQj/a00r4AY9a+Bya76hV8C5Dyj3z8Ivpcl7cOSxDOH0r5l3lqwKseE 9erYt/OTmxIigzlGbwzpGxvQfwRr14eI/H5oQ3PcYCyKRNRwuARqv4R5KPIl07TU3do1feyr6uBg Rmgrqw1HpqatQvgCLxPa4Wb/BmtIWb12tu5uXIqzBurfnCyKdaxVwbT7fNywaNp4ox+tbLBT324P ggMsVC+znosal2HydtAgowTrMMi6a5ItmX532GEEor+l4J4mriwm/hIVUHVmHo2MdzU8uazygUim nGFItitNQyubtNfyrOfAdEBpujRO4TzaVegw9verPrHqXW3zJM03CTbkMcmDIO9Oh/9Fa2X6pfO1 VMJYrNlSfLahZ3urwzL0dFxQoUipM+UXDPNJkmA2Bs9WH9Z9SS4aUSSKIXOzySBGLrWO7ADFAxKr 0hZVzsf1uEadJF9VXtHrZE9boHbdna5pZFIRI364haY79Ppd9stSh2sx1F/QXw8Np1wl2tqbWvk0 MQzugT3ZkIxOvJmeVmghxp4jnrBxfwIxstt+JvaEadIijSfFc09RWETP0x3ZdsM3orCcPy3Msmjd hpX9GMmQEO5Jym55UF8Z+sweIi3DkrAcedS8nkmFm/9fulD4OObZtUFy8u3TJrrfFM0ouyCXnOXk XvBfyXyU3yYfauVAGLpncL///60omZChwvZTvSPUeuHngRTlkAlFPcsthYN81ZFCthFh7NZABLI9 olkgHi2IY9w7LGW5qvjIUrrdiW3TXhqRExXfzst/M7rR9s2FNZLLKeGXykEKJ/XqvztDLjARHVep 0sDejZcPCG35aNZcXtxggiN3uAS7iqHuTkaCFQ8rxXgPX1M/ZbMRhlcBxBVYCOjQ2k4KBCK8W/vV e2v7cv7E+09HyZqQ+XaMyEOTfdGBIy2f3d53AKlWLk7v0JrT9+V5YjsIG1kyyVTReBW9t9V37IAO 6Rfl+/Z9jFir8xGXhcWLY6ITHnarJbnjCt9DgW7J+pX9v1HydvMLWWlUTlKFFRhKanCondDrD7TE tdbnP5wl+z5DGNAfbu5d4MMLjgkNi/m4LX/OI1QuVlnuAL8veRBMODTS5xOcmiYn3GxQwm2HfN+E hY0B7jxX4eCo6qYf7AGnDJf6tjU5adffaWJTQWLb2l8eudmZabJVKP0ZI5P9RPY4xGM3rS+ggxzw 6QJ6J51ohEo9CbgfHr7pBe9FNpmSPw5VBx97al2bGVjwLTDBeiUe7GSldgp/ndmerbuRwmtZL3zZ qLqSYeHM/3sHTYS5qH3AgEJ3PClpCMP0WJC3HLQHcHr4tX/kznnHb2QyMtXubE4FVvGrV3cW3v7Q K00UZiB5semSH7LoDWAdj4XjwC/8Mlgbgddbhg26coUvu64BzRGYUysIPu1maeXe6V3W4G617G+l ugJ6FdfN42hCDA9AuA+Za6SxNqncZ0aZe9++isg3K6s1ssdW8sibXGJsLmRrDCnuQEA0Irslh21W eNE/Q9NxAwbJK1UzxLBUEyEcIimpEDeID9yyPg/AAPovASkJfq1KDuaPT0+CzX+Ern9pcqCthHhR LfqZSgwuP8l413VI6RwP7569SK71Dwq1BLM/zTZqaN6pK3fVpeANizGtJKd8V9gTm/A5wldS4ta5 FcNk8LcrQRLkEQQBwQsLAyWNA0/YYLyYSix59xSn4c5tszhOj4d7E59AS/DEaaZWuMp4zwwkWU0t UwHijsXsvh0VbVxzs4CWOo/YRLuG1CT1q7CXqOyPdshOhvAplGDvzCxhED8SB8x4Va/khlTh0L2C 08104i9G1+AtA/QnBx7ZM0M+l0anG3S0cI91TrBhiMCjS/9fk82ZfEFTXwXeKEMLQILkU5Zmf/Ex b6ire6t4hIrc4SxstlU7jiIdliLLyX3GlyNn+jK8/EkQLoef4PuQO0qRZ1YqDJ6OJjYD/3sRm10K +5baVbB5+G52ww8KGld+2FZ0oUQHXQBFBfRa/ohhtRRgEDIvUNMZSMguB7EGh8A9ttMN9X6Mr1Iz 0i2gVAXYReHnK8ZydEMNvGUknlGmLJbV/5pJJkXfL7xKsohl+ooNikS2uDPUOGM+IS1YU7huYXUG WAruVVvV+UaHXk7ajH6FPySkfbKAPyIp3oiw0BHhb0gO7minmhBBv4jKI/DcwokE6G9dIeF9DruP eU6bTKJMGv6B49tp3VQ4RLVQWn/RlOuUv/IR28lgogVAnoVrbgiKATrLPlIRJRbc84AtvH9zQ5Tu pM9jwMhGii1m13PJpwlJ+cL4N+bEPCjn126ZyXE7Xgv/aI/se2BB6n5VYG/ONcnP2GWIl5LevJ6a 2JxvPLPxaFtuAxG3FUNoc3k5Crj2l4Bl7z7i4RaCLDp1xP/LTyYbJ5HkLVU9Vq2ze54qV0ckReKM VD0bcKaX4pko1BJ9REVOVSoqOWaQQCjDFI5g3/4OMp1gDYEuXmRTmM/s94l7LTGUwAIyHfLQxNwI uoVQ4unRWRlYRbNPl418rIAsb5sH4EgN8V/K61GcdnWGid1IIZohlX84j1vgMs2GhRoTrK3QORh/ 693OMbG4kAgM+tQ9hdJDIvWnSDau5HNlmaQW6bC+4414sWoJMKb0uxKGZYDI8IsoC7cVdzshdFSu 4k3hvpwM9a8dlflL1H8nq7DkCcLWJHr46z49fYASXe3rOphCashRta/SuiYwAilFSeunBiNe5DPX fbOomPMknQ32nUL0z7LhNZvkOvFPMOAu5ulO6PloaMjqAC25Eec8SWoDrmTcGk8fVplJMdkY5ZUa 0XlKa9jizgLuLjEsgZ6xLqzE2/WYvqF7wyOxLbrX7Yv8ngXPSERBGVsPuOoxNBEShohIpOCI+oPY lbH9h/llVfWu7tEJTbIg9SLS/oO/jwu7sX/3Crh81ZIZRyjkNIMIhTQ7oRqun49RB7HuW0xZjgnr 1R6Pyv1g+VHIbhrikUBpvE+QFQdd2zPjtl3M74cVPoBTp+MDSRjb4IK2GfvN8Ng/NffsDY7JYUpb HLcU/TL/WQHuSC992cOvyOULXTpH2G7Q/bM+HzCCTDqTagcvvw4topx02hJboqc2gc0U1Tpx0AxZ 9b2n8+pJgPMEYCXt7o1Z4boxMbcqdqzPtOdY5ts5vF9ShzZPAcPqBuASD+roEPpYRdrQ1h4r2yqF C/3IQZJTlU6JBype+fkk9lfoR+df1cfTk2YahPs2E6cPxH+Y4ags9pTMYryhiXFNeHpWF5C6pdsm sY3uaewTcMKdvGmsUE9uft/BGR7R8omNWLT6DBQjfz/BHeuaTeLVX9/BIlvFeSmj1V7fCVVrV0aw uVUeAXHaOd0AH8ua2pF1ak5FIX4M0hevw40eNFUJRxFoV4LI5k2zU/lcRZm1XFcUS7gCcikl04Pu HJdH0ByELs2uNr7lJYOq71aSwYM7uNocgrFeHeCeBMzonGNtJO/EJpf10iTeErQCEKxKQg3quIfn Ja5pE4rf0SwDkCwiThseES1ZD7WbOFmQWjcyTVgc4g20nQmNpjfGArObgXpX2+fKIaGsY0fEENuq DhDnooRWxOFMX12VLIDIjYpAzu3ZWIryqL8IrjWyWPMZjMq0VxKuS/cErt800MA3f28vakKkUnXC NAI+EWiybMiLK9MpTwVifoEDqZKh5JaBgbPk/khQDaqgmRnxpKKCCWe5x68ROUmGLey2i1mxlK8a 9cWKN96EYrKIFbT7VaQ750zpiBSpuSlUFShpYV/qBAu4F1hb8wGWAcN5DEFgp9Ic7qJlJmVUTdzd olrtqVbATsThWKyqVDXbaHgaSD8iX5cPbfxp5DL14QX1KFyFj5OyPS+Wkpqeibv0B/yaSNr18am5 LYs+ojOH9zV4dKLMa+aunX2piTb94w7VZ7jAm1MLzHrcev4cQj8uzDFmLOH6hosDWp1SC0V4qmz8 M5SM+vlSVln3n+8e1gbd9p2B20PakpJIt4F4BakyIZ0mHT4A0Fq1PgYd+FO79p7sVlfOQCh98VLq HeSeuAZH6oqZ+hmqtfCHyNjosN1hKMG2ZtDeg4ISiTsn7mLuBBiYn3V9tuYUpvlfGwJg1rE/Txwc /TFJxZz3DDNl5E+3N9TXflt92w9dIf4NTWlmGUExLj5AMvxdzyJN5JAsUs0K9CM62cyjK26w43ty kZAFQ0Ie00laayYfo9wItD+M+80JFaxmx87OZudINJlcink5CfwytfMHmNUPjhwLp2V+U2qCg4FF y0xt21rG0Y6s5Znh3MNc8hZrQefFcpOqJyWvOxxAV3bnn+IqD5f9O2KJzuQb3hiDeN4xKrY9eWuM XByrrzp/YXIuxhLcTWJsqGSJYyhaB6sT7FlaoIK5DfjhufuqnXtqJrVdBZsyt11z2K0UbqldTVEN zsQVg9mp1Py9vILlpUTKCwKFrj/QvoAtzVE+n+yAjJAm4lBi+aL2L9vfaky8OhJNLcbOO7ZAD+Jb 37qBRxUEMpUFUn0RSOikHlfDJGIm82FpBHQybDhJCSxacxbOj4WxqPvC/VtRLkpiu+6kr8ukYMyy MugDSUQMKqVO9MmIc/9M1hEB5aiGlZUE//vFl273P9ELhX2lf0GAFVl7aMM+TBeVqfUuQ1fLk9WA PP2iCZ0LSMSGLwD8ltU2LuyOG92y1nW7BVqGjfltwgrtbhQZSEHmHyPTIoMbAcq2gXipLdAP/Wr0 /RBWIO93u6QcWeKVEIn95Vcco1pGoZS7NEtyt5IpeGlWSOZ2ulVR/1Rk5zdJSaz21oQyq6gcGnNr oXMU5zOJq6FrTRlFNfWulympb/4GmFVeo4ZPfjWsXY3vh/b7GxMmLUMtrshKQIOwwQYpHLr9Ma66 wvpEoLuNCczJyArevzYVsIj878NMTxveti7mvuLdX6UyYfE3W2dujbYflrCbSdtqySimofnYpQa8 RAsLKe2+Hl566XLsijnzQ1SMc4MOL7ycpuDMjDwnal7g/dMfpcVBpXwueG3L/rSDJBBIO785z0O4 /XpwSCcvT/8Jkrdev5ftYwvx/3ELWKA4AZp9u2Z+bt5NB63rXqnbIJ4Ler48o+1DP0F0mVrPUCq7 6bHxjVoxWYsNDJnEV1x7jT2g/L9Oa0qVhli06hq+me8UlCIySfOgXWyGSDuzN38dc+jaxkVy+y6+ M/ojrOchbJ6oFxwI44Dr6/vgsDQlQ0N9Y3Sd4qxwTv7NHW5H8m7/WXmVlBVMgl/38GPlTpm6N9Zm zdODXKX06lPew+M47JZf0Miu/UgvKM1oSb8uPVeDD+xtZCZmWOsM67zOiN2pP+b1kCsvzuD9o+kX hIDtesEzhFD6fR1XOJ/dKdLCV6HQWUkSx0fE7M36AokCQeH97TljXvmzIQsPxOu6+4P04FpXfgWt IUiAnOK3cQNo4yduUQ3yT8+DX9H1sUxQTxkQW7GuaTL7C/kgPWRcYyqzcO+AwXXwxizLwyT2O5Mr yiID10MH3bDP7JE9/KRxB81PW9JcvGGjjoCwufbtQaZ0T8T87fIGrf7sdXQwFD02E4UH0rpKDZYv hI3mxymVqIJ75nqWV0BEK6Yyin6I8/OXafIGpkR9QiN0TUniwuMfgSZ5jQCvQvnGzNnOWnn7OQDt VGWkataY99FDiloiXJP5+W/T4nqf0yXkYsliLc/Ra9yRumtzA14LikFenWRZRtM9nzuyGAuZ+F4y N0T0VCbTlmF19YSykjlqoPrYueRmnawWcvzEtGd7pE7GPim1JbwkbxpF11w8mYRZazcdvkckPDWu PvrRIwgydQFtFgfqTDx9Wltrw7v0E/62yasEXPzi4FBoh2kb6cZTDs5VnvDtCMjI63XkXeb1MQoI TI6SMnVVSJoCCxlCngOkhZas0Y2Xg8gMHBxybNRWIv5qlF3lMlhzrEhAWEg0BSU6ceEUxUEgNgFH oWFeSgE2OJXHjK6+LxhQp6k8h6nBBt9Bb/qdGlVLvw253aTuEtwq0mXFTt76wzrFjMUnRlTna/Zh rIkix1/otu46NpygZOqz820hHO4g/v7fvX2subqYgBQsJWl2gK7KkVUCMtonDFWP9WHZWjGb8MT4 5373ZKaIEqW/JqzyCS/sMdLBpORPkt7oxk8pEgr6xiYQQHIfptpLrR6N3WJuK62U5gxp2+TkXTOr wIWH6KyuMh6IGuOVj23MLtrVnSiy5W4t4B8TX6EdDTPCHcTDzF6vGc4jCM74hiT285ikXckp8+sC Sld65x/39xbc/190aX0U8h+ZNac0rYEy8dz3di1r+0EecNAa+Jpo4niCIR2Cn1jHhr1DX6THDr7e Eq7A0SkE6nkM818Ktp7/ar6wFtOJZnexQoKtYshjgw9ZXH31+T7FohNnSXPttICthI5EdO+zYV8O 9LEN72KmsQtS9JNAWVGqrdt7yp41Ski4HJhl7ja0Ggpg3cCp986beVuoF5YbXaGZfSSdmcfZc4vW dcZ9KWSFFeIiRyHwr0QgX2B2bsahRj4knygrnC19QuxQWvnAOXC6Do9rGvEDuelQBvY8O6wwpZAJ LkYs+bgZ6mxClzLwcCr659JffvrSmqJ6XbFqDPDEoX0vX6xzpm8zdxjniMaBfV8TvgFxfvgpKhv4 Y4gY2XO0HUD1B059mIc6l9htQPBSBnEzhyrFut9/v48x/6CC1F41/2HesUVHlIgroQkvr7pbgPYE u1ayq9dw6WIlJHptmSrepdLP8ltNYatwPBWO2sO3lYrwxhAK4JXkLu6IH+0B7buHwmQi9jdDygo+ o9QjXCUopSLfaybrh7q7vRbrk9/suaFoOwJ59veBFXPfgTDm5tQ4cQxiTjX6BFjyY4A/QdZI2Nxu ahD07FGnWPRBmrDnsxKv7oMe0B3bv4WnzOmgbBQhIVjCTnmZqUNushw1AGnpknXVBoRbGx2LYKV7 LGGPpmSdRvXHEM83dCmf1ASQl/ndVZNBTDkiltqEfOw7ZOpdZhvG9WCLDkZyNqr158gnlZQm11Aj Rl8f/sI6nYHKX1KTaoe+HLWucm6VB43rePNRxbuKQCuXB11N0V2FYXovQTJ0llHnhGN4Yzi/+XlY 2ymzJwG5vomJitds5+EzfiRNcpWierKN4wYZ8IjgznfO2ApEyBLRXrUnYlJhuUfHregjL0RsfuqT JiCi5wjkMq6mMg1qcdzLA2hkCyELpwmKDU8Ujnld3OBD3smRjI8blaNBfNs6ppvnjalei9dpQDy0 r932vHkMnWB678X7ii4i3R2PgmQBK+8R8R4dFsca8TkHxBkfkssH03bZ8cSn0WtfcPNQgHzkkk4J 16TL+acT+8MO3ecQQ6vLjnd9OGHBPlVm6bmeomsyY80W0jToW1MNHlgCisGqAip7Lzqe5XFu7i60 fjBLLwUykc0ghLX/EJH8il9bIWjndK1xZnk3qZhBSfmYderRGGxFkik+bnJRsir08reVo3YZAxCQ VoWTo5M4/PLkCad5YBoeTgQmcsgjcQag9eewlFSJ7XOFS9u/QerLe8zi68UVlg5ipL1DiqsHb+bV QhRsn0evnKRUeHQ2oztDKOVawRiVrIOadkyuUwlqSALPj+JMyj1bZMLqjr6ewp52qNHPr//vJee4 9vVkiJLpVGeRinZmUVt8t6mcBw64JbNUUNSE+LZge46CUGUGbrgA6yxUTHiKJq/tTSZJJJHRSEUn 3HBi462Nm+m+JUlPShYz3YjOCFJ0zJpS/0Y23MmDAWhf+68HIc2jytUrYtOoXwyrUo8l+4A1Lc4b TB6AWtrOKfWHDoICbLyJZIhcvgFq35oD2apShn7arfk6kCTevVEfMXnSPb62SHstPhUNYpd5/WNn FVug00gtpCpEYmGEDyMae7XIsnlHlo06VxoEYgDnGPHYPVGY1eV4qTs7ub1j6rK1cD7HodIdJl/o mGT5u+jOzZAi1M/3Ny/9Xy+MhyG4NiSk9p7AHSagOxwLAQmsVwDmBSvrgfBAA4C+yO2Rb6JVSngi INxmUDrUxe2WSxr0tzZPS91CBzgVKQsEPGdjZSPPBi8o17XNytBUSeKDfer8SuxhSexsRQUEgjNq iZ0VvxweO4Pl5SQQdnxn4vkl2hTUzZ/pvSGkvGj9uZvuWw+7ynHL4mZP1qS9NQ2YJT424bcBLOJA RWbfgdBMRUR90d5EYaAonOY+8HEbeBcKSkG0j019QSDKvtaVazmPRd/Bz047A3xbVR22gKIYTkSs lrm783nELdN5g9R1s7V467X6mU0laQ0zDOL00DKdtMs/l+l3mUl4fryj5xasLB4OK6SxwzNXzcz9 2fyn0Iv42jbQ1FjNbJZ9cjPDremW2K9fiNQ3emZN6ALRDze+2fzgEdI5dW2Kbik6ZGvHXX7jRaac vkxhjM5kBwgpX1j278SZ4ezTJYE8mHFse70j/dIx2KbAbWU0BlivPBkde11LS70a/wTLY7MPW/1U ooa2bY4DFMSxS/BDMcfLqlkJRR4MKNDBrMq5NO3UJeb1CS20KG4eGPsrGtNbV7D/j4ZWP6ie7DwD mDrLiSfjBdP/4AdmPvMKg4i6EdMVh0RAXcFHdTpwaHWN21OQLAmm4i731/M1FDiBFFEygtgg4Pbl TPMB2YmJnXejrcaEaRfIWP/I0igcGn89KZstVe4f7FiTTyxnpT5oJ+fyfrCpG50SRdWQ3Krd/O58 YdWgrq2kItFXGFD9A0OcSSZPg/lQalNFKcUtT6YvLM4VdqXmXVg26LRsyxL4YC398unoSkxUwbmU gdF+DYilEHTuNKYyCo5CpewexmGJmuxdwb0qkrGc9EikaqKGYrgry9NcniwSPKU6yrFfOYjEr8rk 5APsQCoui48j/wF2PmWz/+FHXpAkfte7S9NvEU543X4oTsUVhRERh/v3W+TNvswN/c3MJkYI0Pq7 RBA7ixA4RTSTiM2NJPsZp+zcYDHEq7H49tyEkBs+Qpzb9B4d4eg1/Ci13yRA+dn/RZPzXa2KbKql Wo29M3xQFU2/JSrWhbhGv6/GqAWq+UVC+bAX8iWLZQ/wxflm+BSK0zdo7e77beaIRQf/XeGQa3m6 6chozePTYrL0wZZNWsDGp4/UxElJvBBwzJw97ioMzBp6rByZAhybmALfTCirCeBfOjeOmUEMFKja Bff1G4Rm/rnVnFEDyRWt7xVyNY6FzHfKPQ8GPPZ0ptdlHZsQVuQvxPJ6yXH8thkZen2jMOHobKlV GaaMcSo8SRKzCkK7x0mGNJ+/EXLtQWGSi9yggtMM01MQOO876q2iYdocmRec9u0DrB0gZ5goXcNd LGRNUxm3DCot9Hlc3BqnW3frTTAVC24WgVCBzpk1zhseu3QKgwL48H9Yxw5Vkyw+w0vc8C+Rw4Ti btQuTV/hf0/kQtC0xGaR4Rb4upCG8DOHEi3NdU6sEWyEiEyqzNK07KDzsc/8ll3XeaMTBiARUXFL 5GRh+yh1h7L4hBaXjW8xe5TYNvO546KkuPebYu4p0rTfFu2P8Xwe6AJz8XGvgi5skeOvUZw+UxWe npBlx59RiJZLiWpAafasINgeLbf7Bp5xHNAk/Wbt14wwOpB+lyoAk1kx7DCfoQVp2LfcwjofMSjA vD+VRATPX6dvrRBb1LccF5IwGbM8FcgSvoYBefbDm2eRW3mMsW/9Os1n3qiIj4T5V1C+FXA6VCqR 32ejSH3YWV0QNXqoA6n8BTtnsEeHG3SLHE5fhcNrLcIvkzPG5DTDWtPA6kmsx0sGyeBJ6RowFSNO A7LDaDxK5T221c6l733qIlYvVF7AxeJEm7Tc/2BADhb1ryuuUlKHL4pt+KngYe4aqlOy+B1j1Lnp YRHgCaked0wHD2BK3la26dff3oDrSXquthxpQA+7PlboZ/4jasqilYgtedHcRpPCGjtRg23hv5Xb 23JIUX05D2ok3R/nqMpaAk8sUwr/i//BbuQpndk/WKdDj5A2XnhulDZDonIuY39rzNu1CQQ+te0T SrC8PF9ice0m0JmL/DOtkpXrdwbIDkRsK3UO5qNP3nnXCvgXGvqdCb6BlfsYzaoHg4r0JzMHBvrE 8SfRsqtRi4a4rx4wqrjlMjE3zfRL/mTfZCneGZRp+suL1V9X/EXYVWIMwpZqxc/gWh5MUEKogTh+ x8Mm8hC1NLt9iwYpPCUuKqk3BE84QBbIsIQgaEm3ZWeg1iXHkTcRg80uYsUMR3k4kR7WLfXnGkHF WzPndKGyioCahduWKIp2aYaq6RhHrXYyglxDgVepJIahq0vO+txEJNL1Z3I4+tk+ED8RR2GJ4w4U TWO329BC7r5hfWExglQWTj28Io8TEUJpy+WuoajxS/IsdUgWAKlG3TU+ZhTKX36AFj9jty0Ahg+x 4ucvx1T8+vvHMtigwus02CFlca0hgcY/BoTEdkAshnGc9lOqFWoQ4oEBxpeFhl+Ono3e1k0YLEUD hbgpfLz5FjkIHCNnk2pe0YA9MwfcZQoWiIR8BA/D2UxRcG2ojmp0M6jQt1raaKM7WtwvFRmFa6cl VZek0rIofT8fmqhCKhRp+W23vlVaQI5ts+9k8/FIkKu5Eb118tHRLHP+6aWohRXmnXTt27ja2voz VnEcUXieElcpjzDzDvW9omXC2FUeNQhdketpB1FqyGf3FmofKD7KRTlJJrZxiEF2bobs60sLrxzH ely/eie3gJmIeh3zOwVohXDqV1I91Ikjsrdb6qDTuyQH30NlJW9Zkx/c/7feltIHfSlX3etv25U6 FcthgMyNTdSmzOfjFo6t10lyADiLO0mQeZDmvnc9GxkljXOmGZFpnfxoh2asX+t4k6HcPoLXTQWt WtfFvnt+OZ6UsFjzl3qs0irauwmMzhNniJdpHs/FppAWfMYDyNn+RTW61eLeBHCy6j0aUWQbN3lP D8StcEq26B7olKSSCIQTv+PJZzAvb29hMcvr2YWM+4mkzGx2MWmQs2iM9/OU+kUg49AWCa9+h8bF l+gUkHe298hf2ZD5evVFO54T8boJj5BIB8l/8H08GZmpVX8//gjaAKxgzeKZbNeo5BgefDrEqbcL +nDVS25NJEURZDAq1PF+j9wsblfLPBHkAUsyJw3por77bMaVhKNY51xIjjxDftikcCuRcK84EBIt peexht0dKMQ8sPvQ0GUtVsnBkAC1TUCz1gunfNwfkqA0YlePUMHYaVMQfHUTPzGdtyko4z6DTmzR PM9x7DTLfqrUvdlVfWFoorcJTd2Xl61s8SnTqLdQzP8/M96u+sQon6OwR0E9mBElEeJIKSQt17rB L9cB44U3QnkqTe1Y9ZFfhrzGMEz01Tt3TY5BXUWwgr2pxLnkadT32L331dcdSFTvOotn8PcIeT66 XYccEvyQxdURVDg+udTLyfit9+BCWvocUNLYwxjXU7VDrEunqPCnckzINZlejNkGT3UJaUMmbL0z wCn70PkZ0zwuuu8NXfvHjpZVMIGHET4EFEMDttHTBh0TLFHsGpacp0CkUXAx+aJjnR6x4lQ4Q4Pt kjEI4eroQVOL9bM7nDLMpelzkBdpknVyIbGO0b8nI5wpux0K0kK/6OTdVzkDT5raZCOqkruv1y66 Ug3X1qD5oWy1UC8h7wuEgXKHUiwyytccuUaVtB3LhBLAmL9rgoMzAlWkPjxBodUNIJcm3IiTeiu1 eibrMMs3RaCV7eBX1PQGtjNJj9mNBIGqPtkIi1kfrhtd1NMsSRitc2y/OPd5OPvIyYXmDv61Q7R0 Ip6ZsZ8vsM1wAfXTixJ2b4+uAkUV4vlEmle6NdNmDGgJUHWOsDB6o6dsbkwOe8oAPjjLGJJC2ScO STHJ2ZE/s1b5CnPIUgoD1QSditgKgP9ltl+2MTwbVWYilVCtnr7eC+nwd30SC69Uj+uIaw//PyDX l6Z9UkLtULvO5xfE+bJDy3WjwgyDOr5J6Ckuf+7F+quP/XWXjjpFllFrpem7zqQsUGRoENyMWr4j Mldo7+b0ifHqjkBL305wVTo61umGybM9ffwdJ2HfYGCUmxUtQv0AwktSidAeaxVd1IWiDnDJmXFG gtRRtNG8c5kzhuYxI7wFkKdnRqpplSyKMy4f9dazAnkorAvadFvqpiLMsnurL0rACbuSo3Bb/OCk jXCQCvpNI1rTEr2RbDdKFfB2rQZ9ZuZLrwyWxMBFWk1n65P5EiNPh2I2kZI4475PsSr9HjmgvNOm JPNmZMtQ1RPRuvaZm8xCjpZrM7GrFWOtJdPab+DOcPp3YQClURH3z8DCOSdQTw71QYDm7zHYSRCO OazRH++PMjSNwBV31qTeCpp59gtB2dezb8kdwqJVBM6kSVTtFh/ombBFsXcwaI0m1HiZQMPpjh+u tO9hNHZ9HfkPm/6AVOYmA92BCdUiE+QnK1DRzOR7amDWycSw3C0DK6bsMAvyTIvbfs6Tor28UfC5 YCsW2hbq7ARUkCqAQxETijDLgeIwsu4P46JyrC2WWYoHMCGW36E8FMWa/haRNwLIGfmW0/uy7wsF 8r1hfzmQfnhGBOxOoIUn6dNySMFhFYxfEAISW5Y35TtTDYGLjZbSLs8gDMI+L4qgwNOCg344Zqay Pwcxz9eQdGOsOb1JsawMc5k/5xB4PjRWLNHMHsAZ/FBaR4v0C2hqcdElLc55fkYqjd+EuiP+1Uvx i1MF8Py/KJ/i2+zay1S6E3HKPgsK6As4teteCDjHxjU6bLplKp8XGArjhP+iL6gB9XDNYd/iewjE SooNFUkL5QKCyI7YsOvqHHmHzUVVgejrw6mWFWpgpqmbR+fn2tHPCpJW7sv8gCUHnbLnW4LRtH+p U39OWLhaaqXRu+HLYGLTvgRQMEknUNFV63QkhKLIMRZxMVlDgoRL8IOgnwjS/CcfSHBEu7jUn+ea y0RFTi83z80BWc9DceqKBazxt+QFb7bciHWNlJ4YRu4aPiMqtGm5PPOqw//S0QC+ebN9rgP9rjfi O5cZoIHQXjadh2D3Q8QR63UwQUbxvF/35P03QghBRUzoCyp01Na2ivPWfKzOzANG6ulyttHGPzSR ASU0Zu2641c8cltuSp7J2WMRZbvbNQupWKSAE/wJr/o08WpkgpSGNyJIK4xvU3OJVt9uNGCcsk5P fbGN455+x16z5MMZy2e14zIqD41PzKMVzwW+8ShabBAn4lKd7mU+tZd6pK2P2a53ahiueQnENM3K gydhkBewp4ETkulDgeYDdF0OGfM10LsmcTYpHkf2miZ2w4utYh2l1zqvz3PkD7iJFJ+lnwvSWC77 6Vd7qYooWh/n4KlEKaboCvxsaeWSh3nJSF/aElxVnL9KsvyaLj/lHi70KYJh4BXNGdrmS6Ef6UQV mcpVaTWZ3I/cR9fk7qzjrobe8sjhsojaZYC79H4Q1FOms1ehF7rSDJQ/MQaDJ9tf+UbR0Tfe8P9g IJjVW2uZ93FhN0ksJ3/ix536mPW3MRSqZrliZx3a4H8trVQi03oUD9btCLoY7s6HmtrQq/g/cykz 9lZ3L97REZmwxZrYJNpz+L060CP+8wTBM3/TpWbKGyMZCiRUPMgcFFeA8AY9aTDgKmfsspZ7YK0p 5GRLd57xcZZ8XjFMNOXTru9ajQW60Oz+KgXatnMpK9SErEJgUXthR588+O3wFyAg7e1LgH9Azxna 9qX2tL5UymsX/jGU6Clqf5o5ixN9IgA5bOZ9WycnQQcrArFisowHzN/XBXv+bCE/UIVeLE4gVi+S G86jNPf58L1wif74gHSxz4dB7XLkYnOojYW4kYDpe1aNm/12lgC5WHTSkBujGu7EyGkLYI0jDt9O DbSmMn39ys19KqbZltWLabipaQMT445aCyuUS1pCJ7RYpd8cFvEnDf4bsFAFURjvod3+zRhJn4QG eiZrRJIRqzYLp0ez0ml+o0TM8MajG7MZYHKIsRXYO23X38ft82LmK65dNP25PGxCuKd6IP40muUc wN0LpJmyag91soeg+MJ1pXVOLhSVCGnRpKMVTb3QmN/Oj1bUnKrYY+zd6nrSuJFxevb1xxAqBDKg nQM0dvGnEluOcVo7ugHg42wbE7ZntTj5fsW4WcP6spv/8uSYIKc5Zn+VTE17xCzJBUUJ6eLGEE62 bsEG747x20+8N80+lL4MkadurJWTVB4SomdXdUbgWI58/6PfNys3xBKNpFI3G1KOJ6d2s7f7weKN QF6fUkvN2n1q5ZgSEBqmDhDWdTMaoxw1c+UhPn8mmbQL9+JYQz65OayiuTUpZqGIEWSKvK5zqMEs fEA419TnZfRam9eCNg3TjbQatxLJc/Smu/3zNLccP7MXCrBIi+TYlgUMlQLl8kvahFMBRtAqutpG T3CrrGFR9gmWQiap5uMZ2G5DeofzeqLr1PhTRCMQS2E4pX5HBNpIxraWZf/cBYTQ5klZX0njgQqy 0xsgjKU8Gr44QjD9MVFDkIrxT/CNKpAZ9igdTGk2Pct8Ey9oCfWlZwNE26kmFsF3LCGlLnRgr70I ZP7Ev/2sphlW0J0DB4bsiXVWfe62/r8q1i3//xvkgz3SObJ+8zXzs+lfxl0tDYFhgCB8i1oDn2iN A8YKGKD655M5liigXpKCXlGEY0crxpFG/ZHMbiACW0z9fghblZ4F0Yvua0b3Bu8PQV03DgkZZos/ wRFzK9cVrX8Re0+3E4HPCy9tgSrD7N/eriQtYYmlqL1EoBDxdZQqEum2hpshyJ0m3NyyC9Lbl644 WaFxidGLgYS8TYuYCGG2HJD6crwoABwrWjZ/GmirWEoJ18FoOF/i6ARHub82jVT1ZZawiNfs+pCH tZwH8e5nsa3cDPGb6FGzIWvQRnSzHCTSL0jeZZckSGZqKJ+Ip38Uq9rJNpwPOSlC5e7nsUU1vFke 1IcWFPj4D8n3tRVI8Ndlk1DOcsCxlhia5bQcoSPaMNJfg9L+yMXfytBK1gxiwfjscDps7DD6PVMU YIj2PzRuS6B8OkxmCh6QD6WaaRqSybJ+RyLKnILRC243cc1ZmL1tk8x/owXNM2axxdAjTJdB4xIM KdfxXpF9BR0qmnrGfNZDvH7vbBQjlad+x7Q1jxuscgmQyyhjzDI1ArU0WqvAGjlc4WJ8/1GNYRlR vyADpjhrGSKd6ZRiJHiYCxX1hm+sbNw+UnLGNBKEX7wnkVKKA8j4MAhjlYuubuAjA6KoK8aG07HV fjKOWqAKXDomFWat1mTSYFEv6KX1+Yxr74mgKYa/IyQo+Cn1xDVtb742U8vfufc0BENjIQKl7rlW OBOkOKsHQm/YPQ1E3+T+zSgXDIWwikHxBvJN7DtKY6y2op3sb/D1kHWbGOERuANOgv/s3cBx+aOl 1kasPGGy+dXOUJO9wgCX/cgKD1n8ShtwDjSPZAMQ8+LK78MufRCg6WOzFtclUspF5ckTEiAZdJ2S vv70U8HcxEg3QJwvWTfTDpCLlDVmms5iqJLlK3ydU8IZDwoqV36OFGI4YfaawrAZSFSkCCZwGDpj jiPP8+KorJJFAsyaPtXxf+pYWhmgTqukh49JwMllE+8VYAADpyANX/HIKEodM6c/DGWUCSUpnK09 IV0O28S2IfzHXUGPeMUWI9tBncum8yJE7Dolt03Q4zpmHNeHdIf7NuR+0UeBjHwHw3PcTxQ87mLF a+NXNiI1v7znhQCjnQc0aLQ0FI1pc8hS0az9QRZ/0YXO24S42HKJ2ywGYXnICq55KrI34+YEC2fR 17dNvNMiqmgr6yG3Xr6HZPGGUUM08tsP/Pul4X2NkmkivTSzJNE/giGrUY8Vf35PtQoe2usxx3vH vUXmNukD58Y8vZZgDyT7GvyFwQcF9xtwJOC4dbbb5QvlMffWeaQiMSizaxbIID5ewn+6bHZbEJnf f8F3xvwArCiod0X+nGeymn8vyM0G7YbvCxiUdz5Cwlqfi5oqmlZyrfaPeDdTBI5t9lbqtff4omhv AbBR/3IW6DaF3D0XRWAUeQRHKyB19FR2Q6uRnPjc/m+UKc31qlvz4CC2lRHBb/za8lqd1rXaFjd9 j5UJrxLx9qp138SaIxsZEGl2xUicxp9YSxJLSBy/La1JB+eDLxDegyU55Zfp349loABwV+BmVt3t RhTqOrn7k8mollWT/Di1y8SnQTvtA3jQF9SZMCdu2HnLydXqWgx1l3q1gA1AZ9Gu+2kdPhoxYvEs F6OXYmosRWTfSDk+2t2tRLdvyQFof3w/o5JeqJLMDSXVAqdb7DRppCjUqMgNuzXXXBxj4Qwqhxbu xch9gL6nEZO2Wb+2Q08Q7AqPHbAueigKUeX5SyRCZiZ2lhkOrPEey2QmDZ1OzvrMzOyd+lT5bJs0 M+mjLkwBm1SWiCAtzUiJ7xANu4d4ku1dgv8qfIsPT6WKgA8LXmhW9c2IoZlX+Dy51ZG/hsPJlLEn pYInhUJCA4XlML7SGgG55zmuGLQEHDIAgGSzrtMGJSdszf6MaxkU3P9PmXPze7l8W6du9iXfdh6n ftsVF8aGBFHIz8oaG3nwTWin4ZqJsWlvJEClH8HYdHvUsDps3jl3dGXPFPIrjn6JS0a3fhBmG7E+ uQdt/Mijah+HZyYAWBdUiZVp+f4iP+Q+bi8ZWkWw1qAB5HsB6z6N7/HHMGEv9S1KqwhOXaOlMFfP 2Lx07245TgOl/VqxIlEj2QdXa1nmgQiIMXRFH8eqSNh2owl17s8lgUq1/zBAr6fMz4RVCCnMtj41 f8fwrKHAqbEjyceJLpYCyYrq965u4CYJct2mkhaO+JetkloV1itT0ktBvHJZr78jWnknsqd7SzNM gkf0cEudGl9cN4yBR6FNp4ACgrP6uUadTw66a3SL4RaYEiGqb3RvLHeHa03elObd/K1oo4wLR0HL UWZei5weo39UWDCZLoD1+uKfRpS9o52558pcVdIDusgyaY7ADmXTMtQXbklLX5y6nJBHZ3o3YcT9 vSGebkB1vwcLIBtazQQzCLt3575+rgQD2VSBTLwz7LJRWIfE3w7R6xasxtt7wHvtQ4TPv7AwjscA nHxL0F5uTC4l90UxVX7O/rpyZUjZgOrTRdlUqZSSHwDXUQrqtSY06sgldQvP2u46NTmFMQBqCs4L vrt/WWLTXY8sh0L8b9JeUBrEkIua3x5Mhrumi+T68+bSkuIu2D5W0vOgfu4QDL3mGvPtUu79IwQI 9gguaA8336c2oJDyv5GDju5sgrYnAT7vlE4QuLwA43b3a6L0w30Otty9n7VRFqLcI+vmUMp2oxgl fM65xGNlKI7k1BSGkUgPfB2R00HtfpUvRXymXEKz97Gnt264lL6jSQrJ3chJQZmbTF4ieumdCm0n qFC//N7Bq0GkRrNXmjPoP4K6hhDsog1m4FyCQstxFXahdX0uwYEsbjtODj7cHXFri4DbOjW0ehjJ l0Ru34+9IFriPMNhfbKkfeMRdzbqTMtlFnPWbRWALgFjIk29nXx9Kxy9Z88eB0CgSkFf4O7AyR8Y 067Lgt8xMv1yxKziAxFXnGNnGnFo2UsV6OWvPK7Zv5kJMGKkrQ37jbKUO6bHSus/t6XSLwSdaU9y xgiePLU3OPB0Hm7rNmRtFAvrkDKH4MjDYA3B5UUVgn3NEAPpgKIeEMwVWD4EfDQBmSe4/ziBMaok uG8HaVEg86PiOYPN+kHczZyumhYYMlVAUSQvdG9LQIqye+9XiNDwWxd3gUnCmdDMCUxcUfdXGgF4 axCK6F+Rtz4Aw4oUzWKahmXk1zEvqTnwwYBz0okRdKXZ84ZaDKylCcidGjq8Un+YriXviTPck8P+ qf+VfSd1EufXwg/RSUbAZWkCyuVYM52p1KtIYlkZjhAt6ICPxJpAdkjZAHg5uQEQbpQ+N3H3yqQV FFqeiIgKHQqHbBsvQ5Wt6c2DhxxDJ1YgxQmQjz6fH8qyJtBXK5loPUnPrSBY/Loc2iO+W523Yf2+ ihLIRpZqcPWzOGTthWfWMNJXFxM7HRaxOiMWf2rXvReW6cP7n7s+3tE862ZJgRnqIuJ3HPZJiaCC bPMd1TZmQxlD1YrTYIidIwZ09C+BHuAEvVw/VdRIdrQNE8m26guazm2cjEQzWPKb/PHfOO0lLHQk NlDkM2K7Xa8/IRVvGnYzctkH0e/xFg+pgw2KJsbni59OHfiFBcjWEstfyt1T9UYeVGiw+yPhxbKJ rcWNv09xCLXizSGO7h6vluozQB2TukocKWgMvsEsw8kzhTr0S7A6oROTrsmsMYTM24262BeA1gom f3C9yXAhFa3QVhNRg2UgdQ6oEaQsKofTiHWrVJLopnNtChUZUDbDfAYGpruk7fQ7a8j3maJmpEUI 1AeYajg+mn0+220hFZsrci8fPhghZeEjKdNDLlYI8AbZG8gM+wPbTOrbrgwRhiZeNzj4NBKzoC6/ iKg4syh47pS1PpWpJlwgjaBxnK61EVSjARsTKOqsrDZm8Y0x7LCjpM+BhnWANVOm6Rj829p33jBM CQaVeX8uqzZiy2UX0XZjBLIvGUz2XKJ7ORyO3OqpxGCiwVZxFHvmtLwB3aO8AGqUUv0qq0zvs/7V +PtvdwXk3HXVnMVcePbNlZTCBS6HpAmO55OD+fdhDxlVflLL8AWwpI/CJXmbgnMZ2Ivu6JmmKgJo zUO0o+RTNq+MX0Fdk/+CSWUps3meDo6IKOYpjUFx2PogUZde0/9pit51wU4jYaM87To7rM5hWpI5 DBD2PdUB2cc3eRNVASrR5a8WaFZ+qu4Wd9NsZ+5xGKxocXFxa9lSnl8hL0JpG1hP55RjvYbJDGNm tRGlUqZyDGbi0QXOEfqf8KnAQbeBvTAzbKd5d3kWbvCqSDmus0sKUhfkgqFLn6BjGQ7RKGCoYywU gebDCVFfKIrhWqgfTvCTxUvlP1iSUKMu7AocEAqVBml1uUITszHcBj8CzWl4m1gxbwIe6WcbVRzA X5vdeHhaUZrcoe1YAP8/M5ryc5m9IrQd70EskbwHYpIq0w02+H5H19IvI4PXV+ZV49MsnMj/HKMB 6HiX6xR39VSoz7jY9qCIPwPwodO72uOi921MvVU96Vl26q77K/8n7rNKTut8YyCI/79fDwQCScE5 0SaK2T/ZlqPoFZm30UqWGh1K8I8vq4x3gJh2YkQ4NUUR0VzeLpuNDyxb0Tgj78zqKxYw5bTgZUF0 xVzrF2Igtg2CFnznWIZhASVlgjdUnq7Z5DMBR4o6hURWR6zgxsVNyx5/W2dzcuQqHxmH50bg10ga STXzPAfVnAPbqqCB96h/g9eLNWi9Ubb1rqFBCXxLME6oSmOsZ0AyHqsS1PeekhNhKDaoVA7j8/yx epZ0cHEtmTVjSJudFJeY4Vxq5vqkjt94fBEBWm9BnfVmu3B4cLWuHWsefQAbrHNie3Sq6nDwP863 wqRlO0hHEZDX2NYFULM+iGZ9a1zN6xxVQGp15SN37cqrFpmgwuonqIrDstxpyDiNw8ltoPvlXirh 6GRFdNhrgrAFlylnANrTFED8KdCdCyZcdNBQzJbuX0tp+aDqJngvIZsfD4plv5m6yuWxygd99QS8 +t5li2d7zc1bhXBq4tAKF/rpUenXF2Cc0ShVenzWQPxw/JIJfo7l+nn2ayA36eAUnDDqmYcfp+z+ c1HmuAwILO36asc9GtPvKZuxSf+AIuWRVkvl9uMOgh49n9ZXJVNxCPqSNxpdNaXsjZgmivDtZl4u CPXivsIygxtKMiglzoh+GfAeatdwFxuoytKGbeGEOMViYH5gBqFOimExWDmA2O0UuuF9Ly9gxPM4 cyRnLkHLu1S2KAFga6R7VYEAWr6CUkGim1RRKMfHMaEyjTBsak8w3rFJRJptF4VmqHxk0PagGUMO wZOOlO6L5ZT8kSYC3n0gCFxwzcfJPXkzqVD/srSCCC2AwdyGxAjr1LJVnbO28JR51EM7NEizCKoS XbncJTvOYGQZV2wZt/3rOvqXLJjdNmoT9Zw+K6HIKqh/OEetHmMGguv2jCEG1jI57BYgeQmrDwjp grMQbKXS4FM8aj+vGLW+Pir8Uoi/yv7tOlQW330ImmDhy9DudZNy9eIEe35taLxgVX5Op7V0EI3p 6z0ivrGOqHJ6+3C2AvFIFMKUtWsPElJW/kURVZ2r0Zx67h1058ULpm/sSVdMkUFpMSPkBR4/RMCP SW2BH8uH+l6q7uFhPFHMCXDzrxdF0NWF5ZJCObnLVTOLK+1FLvgtByfNmM5sPMji8+RFfe6fZuqw aTo+Llkq7kHZlY8wlNhEXjSgLLnYyP4Z2xTgCIwD46E0gW4lWLwpdc5tSgyCfb5bb4D75U1TrazT 2m6lacAEhkv8Z9Vf6DNKiQZDORACgvPNhg8DxD12/SrLfONAaGIasDt/lZgcvJH56HxHcRG3K1/y 2BurEpX49Ds9VUQajY8hf36r27bGV4ulgdX29LmT5gbBPr5kJHky7YhUe+qkrRunj99ZVPwA2Hxd tdwnxwDb1WtZn/zh2hxbB1RGoLIAPuytrWr+nMNFCN2VbzU5estSYV4nLeajv51iXrSj8h/f4Wsg RmQjCo1xN4EI5aRrmq9E3oFc1Od5cRFTV9PJXnpHpLpkL/XVkBx1VZU6hMSeHuBSpGF/0KMPuYr2 S81XdIKSjz4pM0bExI39oWpGggs37+jpmKbpOG6wxsNmRDA6NTb6tmS6y/+9GotReAxdGwpdL6DU hJniU1Y/CUV5zojppgDqH+hx5pPZrPFRZkV1hJcwB0fdqyfbJ8qug6zmWZZEd4BgR0TnXyFPrfC3 Xk4zs0QwGMRIveA5BoO1VF1XZQ7U/mZhAdgnNArbTQUgv6CVshgGRUorQXDCaPNcmbO5XpE8ko6m jwbb+5KvWmLts59qdCZulI0uQP9lyG/icGdhxQDDn6Bw7EeuWGeS/ZTFkCLd9XpZPbdiy0W88ZRP 85Hh5+x2VIzBMIFAY+VTVUMChIfoOqK8RB2yzGUMevxQq3vpOYZ498kGLrl3eI3PfxzgnYcoZH6V SKw9Hf7cXeiMFNw/tJ1PWowKrEGqugOZobL1b4TJdNTlF1TTlMeif49Zh2yrE81HFd5BaXQLwvA1 UmG1sEwiZYzWjTItxrQ/NP+VwoS4nuQS9aUn2P9g04NEoGiMKG7gIKhTOAfHXwOwCGdULhXUXQon QAgQL8PoQ6HnzzWjwoEgu3liHkpbenwVFZAezuZo4Gojz6AoJe8DhRDWo9tb9zhNqyihBLeh7mwi zJ9ZjifIFXpaQAzvV7/Qe+jEFyAg0KrbpDljEsvmnLH0iVw4sNEjd8VCyMN3EDgJaWHbahK6JB+C gxOkZ7uKCsSlMeTXQmySOa8lOyVTS93BMBPIry+V7LOekmQhmS5YQXvbb1SZo6g0t7ut3VLsk4hz lPSx/YS5TdpIqlYNnv/eq7l6vrhRegwXnC3FpIYOPPayJvWN7UBtflEtBCiTiFBy0ScyqtmUc1qK WhxTmHanvKvEoowFvcntbhc7kNJxwDWqtPue1iPYssm5rXukQMcE80knfmOK1AdQ7c0T1L5ADlmY avdrTPFC2REKLHe5jifUDuK3rb1OfiPCy2yr3Y67wu+u0KuLzB41BOyRhtIVVlRMG34gtAvTZcAA p2nTb3pUnt2CiDDQoLraYVAVQGVib7OVqJJSOzr3k9uGAVzKfkT0fr7+yPvCB6dt65QDVjF/PzIS EXf/xs7R5j3Z93JLp7uGbOgLetKaz4RKiNYejGNlCxet/JrJMsKoIy+y2A9IFINMUwq3igkvxixu WVCwyhfKjQsOOm/Va9bAj+KUUc+NhUDYBYymvAOUepXEORGRBQztm69ehCH64prcasTdOuR9UXWh VhElml1bjbmPHRI7PRYMeOx7R7WgAn/0WxaYOBAd4e2qz8R4k3YpyW6Kd09/9ouGRoAmAhAw2gWO KzkEsO+keZeGmk5q00angFGUQtLfSonkdd/OtTpSNbt1eQXCWfWinZ7pQaQmeaZ5rMbEFZyhw0xM AtUIvT7Td4EvVJPtQcPUhirgswr6FRMAiY5i5sckBrAb1QECdT4em7LgNpNBA5sHjgPRwz3x889G Wl9nz61srCBRtSF0y7d2Jeb0jTlNPYQMezJkq+yVssrT6nRTGRbv3g5tlnF9ooznLkO9/Og8QLg7 1y0MYgX1mV+UUD4xIOpsuSI69+Sf3BnjYL1GVqQuK62DHipVwwU2xFymqabRVS/06jB3/N1naGoP Y1iql87TN1BCgBuVooPPXy3hfjTt7biWFgRQoQB8nGQnITmsPjMVaLvTUgrFNKvFvjguBnrxY1Ar n0of+5V/9BhAg7sUz1iUCSgKB/m41ioxngHgp7MVttH30raIvOzrZtoBtY9wYnWL2hIND0PlgVGN cTyKYdJs+xh5Q9VpL+fGdlDCNHHSdOV/a3GGojaZB5wp4hTsHsJlCqqBolA4qz5Fuh7JzhiIDcgu V4pC2DBSZC3ej/TiK5Y9pJ1iUQSI9gHzWZ+DGRIBL2W1YZaXdl4g4cXvss2Ry6qxJ6JLbGtBzHpO FXb3kvh02KNaW8G+NgLGeyifkStFJU6uHbs+WgmGxZJ3YPXE9j7shnQ+Wfl+NHnnVNll+v36L2mA p2LDKgQ/HhHAZIqe+RK49RKvunHa4llI8jpCyK1c+v3+lXNWaz3qH3z9JWVHXrT0e/oxu2g+MMWR qWfM2HXkESTqajKR4XOdHlhelR2mx+0rXclnVqQg+vGtqjBQuBvU+auWpHSFcgugbUUCWKdu7dTo 0PbUYG/eTBiglKC2A6oqrIqoMie/+UUm1itjTMHJgC4f8GXUKKBo8oavSxZul67KpPgPOVTU6//7 czPXCYdxUEuQMOY/D56cAWIC5DU/BXds5u25VdHP7MzLSspZx9wGTTF/jULEtu+hYvS5a2sB9EIT pJg3f7IJ9IpymCS+cRRgQ82NW31ZOgFSnXqW4N+Vnehddp3FsyjdMfFSE47n6jhhXiZBpIsqwJ7A 0FRZcg7LYVs3YAx6OBoHUxO+DuVETtlodko7PcSLcUUVPHVpxCotyNf6Dgyo+JLuyO4rF1vDaDWK 4jXQzBlid8q4CJAv4oe+LK/1jzQI6gkUCNhS0TZ2I5jYitjGjVLwKD5l9hM0lVcLXyjUF/Aj3GZv Scb4xa9sNEcnBDoC47xuL5idWMwdQcpf3Fk1Y9+CJ5oHMe9weWTroGySdtMHev3+QqttoQ9PJa6z pX0xy9EU5/dhTXBYP38JD/tTlewS3cqCphApbZ2kVUfAWSnF3SlN5k7zaBuvQIBg5MpyFh7dy1Gt OHc+qhptpqlzsVCELFeVgEpVFkQZwfcQ0fTO42Swi88+LMdiRhL6+AHHoR+3GVMfATWX91oA5SM2 io8iUyuUzV9SZRobJaft0ipqLMTqBD4v30B89/ulgbb3quT7Mbf4Mi1sldV8FI1QDX8b4D8S6C4G R/thaxnST0SeQjMitREcgI10ptKK4JQCCDTBmj5dG21xn96YcSp9/M7xokIJhe/01uRgdlN4WKLL xD8exVs5gYb7yerlX0Mp4oiqx+aDQ8QhfLXNYhsmIbbXmQOzDCQzV4Uet0PzG0f5yuHcRivc59NH 6I7uyCwUeVObUJ7qNsxXXXKF3yiFmSEccehrVJzllp9ICxhxNcV0GmP2Qcylc7EYFHihZtCdQVJV GuvXX8HRbWbffbMR1hlOB3NKuBquH9T9GDnmLBJBsHxX4d3cHbc85DQdS2LGmKEK7/MVmpyM4aVH X2t58mfzz3g69UrZbK1FhIXU3a3rl/MkJmuxhm3Ktrze0XdwDS9z0NYQVtbaDpY7p/TOmvHNKC+d aZIk1TWtkz6cR2N8aS3IPwjAVnfAsCk8ynAh2fiwfFzWw5V7l5C8puGMHMaxqKbX8/ALfUn5p60W 4fQDkshoB13/ie4xwUMFZ4yRotY/0853oc1nQmVTsLreSODt8LZoaa50Omk3ldqWWpaaG7+ZIQb5 lOUEHaOkHslzmwehdS6+Z34rg/3lLrrnDqL0kF9tRkASAe5Ftg6S4KDdLnDrAWK+Lz168VUf4ymp IsWdZNDtgPNP372CtJ5EDls18PqJhR+5xPvWKi8ELH0ziItZHIgV8All0YHfdqFbbkrwctoDLDji qdRlwvbJB7OLavvlUlccEP6DDe9XCFyNLvLlENum+LXrhbWKDGPNg5EHfutv9TMBt/6cosmulXX5 jw9EG/9rR44X+7C9zXRyrIiR/6R3VYzDBmuUqGmGY7ZY7eqYnKMiEOVFZh1QZ0Fieo5ncq7oldHP WILYPHzPpZocPsUQ9acg5Dty5c8BIOLA7QknEOcO1w8WlhAtCMLNNkSYx0feEKpe5CaTOzTXVgcy WZTfBvijLAdzAg2s6/FtkHKGRBvdVgzkxIUqmNQTavNKdSf/ytmdenv7Kpz1PIoEBl12zHUxUonk WZwl+kMuQTrMLtNlBKcI3i0RfrLxvhd8C0KHeDU2AG6WulVyhaO6nBBz6Z58dI/F/P4epchGwjSl DtLaq7dHFjX7I+nsojig9mqLh0/n5hTVnan/+/RLhZqC9XMmwo9e0p/XlV2ciJaklgQgS+AHDir9 CpzsjwAqoKeBOvn5r7BMLi7D/A40dKXeALTMC9V5q3Dr1WdriFcBkt0rSmCiXxmv8xKkxhROvgAQ pIfNZpwcFwVMw6oAbPAfWOjHo4vdxeSCkreXtxpNnzkI5GIX4IGoIZV09UUTxzndWw78hmNAvfTq a8GB1BUtyp1wZiFTS9QswC1bQ4HS6p3MqCJ6t7WMJ0R8FtueQJAP+Cf0cc1s/xoJMVGgrtyxUK9k 75E/SYSrh9R4j8IlQi1/xZ9kGdidqnpro6VDNwoXD3t1q2lpfxQud/7YJYVHzyraLkKD7qDUVLo+ 59o0eQfo6HBiMKaUGbbAOq7k80xml9A1T+6767Av8O94C71qDUxFYRx35Y8liT1ZsNpxd5120mIL nCwZADA625pl9OlR/j6giozihET9nFF2Ai4Rs4OLSR+VbytFxqWshotmjwluSwr4SJDkLH56xhrb QWVhsCDDCMXM7kCxXYMOGAvuyHqItJcUjojjuJbMRuQEH2tjsq1gudGTvXcYAFvp5doBvkQ0WQnL +P1QyROCSfJctA6NYGgaRpZbueshWhdaPVADYsE07ql1OgY/FuLCeAoheW4NhTp5gEkfJM7JNhnT r8hid4/2c1oPAiIstzNSLS+QwjS26adTu0a7pCBDksuM9oT0DDJUcA4M/iiFR8kbPDJvga2GAFgh HonKGWiEIrCfPny4D+zAuuJsHeV7PMO6WZYTpASwz2KVO6aj/EG9uWEXwHtl9K3J5apDhcQbcxaZ Va25ScuNX3sU2eDkIlsKEOH2KhvyN2myY+aj11TPj13mw7DFVcMOqYc2A0Z9+NHs6mj5ZuwXwRg9 WNL6Q2oluJvHaol5eYElALTZWwYHC8HkYya91ggj/sCHssAE/PilvkAGeUKmSTdB0KAuKxemCBwx 7wVZBjZ+9lPHJ3mMwAAEIhLbbv/pJvhvCCU+OPns0c9V0awE0zgKOCxTGNqrpoML9ru1J4IjvTmr ZrI17B3/kzQpquIRxGn7/eeV3VYv65zUiWMnFFEQitQvaGvV9CbE0i8vFqzLZXPH1Q4us1UrVhdQ jhsROJCft/8PZVYLxseJ+dvLRKmS2FC2htXzNxaZgvc7dYAxeRGh0koUID9qVTZLpsOQR+EVxJXD jgIje/zOM/TtFusx4+9hICi/N9XRpoaIBtDS/rIcaqkNNQvvSbsT/WRXtZU7EfgERvdE8+o08/dK PnbrTNR1VEfkOjicavv73JPbm7YXKrCP2ZfeN9ojk2iDohjkPa94B2h7Lyh5tnAHvdz+TIo3HEYO Uu31FyIoGoyJJlqUbxfMYxxbA3FvWC9ofzX21EKFqjf8B/GzLaHfrYsYc0EA9Yjpkcz4+HTRH+m+ d5LyEU8oQo77Bw3sKvo1w9BpbB0sG6SRmMhLLIlgj36rNcx7qQAVM63DvxYK24l5DTYLRXr3ogD+ Q85taUDXbNIZRrKMpUmZQoHMm7zxpYwGgIB2Mt1Zwc2t/+I3mCcElcgUDR8s9nlwPHLFsYZw596J 3hKzuUcdgFtYQNDgNwxBWVy3PWjjtSIwLq4pSbR78llr5fi2uap1dMwBqk5zuRMZAjhkVWhXGurG vhWzODvnNL/xeqAMCwdGm1QvpEO8d63aGWhCQeCpZdwYDcLyXOzi02aqqoO4bhqDxsZ0RmVslC1b rCab5JkD9dOpdIVf6a0mK2D2m2kMK5fefDGd71DmWBFFs78gEpuE0V7wDxjCU/eB8CHSDYVaCsd1 W5GSZfVH1SsFB8ofkQe5kuJiuur/FyzfprCVfqmjQy32CQinkR/cwKdkGKcWKHHSj8bJ0hVIhUgN KgqFEbBvGaarKmC/s8sST72QZvzfh2j/tXajnnH4wueG9/rBrmHeot++XpUpD0HOts0SfUnsN4Vf 8VWI2UB2/bJghLJpoM3oqbH1rEqY6LxdyT5rgLjNX7yQJvQIGh0C/i9XN4yav3XzunJ6iUWZoJrl wYPXHf7VNzhdcAD5KvTR3tL+OERRtbZlJJRFyMLPCzV4o172aO9hFzffkjdjY0PibK58p+XD3c8v xsL26N8F8ZJYAjBVNXMLjz7ysQfKq5b2p6iXcQEyC8ZPs798iCe3pSwDzVZbPC4pUpkUuo8B8xR5 TkfwtezEhoXjUv6iEtKuIEeC1uRpVDVgxZWBiLXPCqT1wY2JEbXu/uLfzZgspP2ER4A6pyFq/lht SJUsOTiCAcESj2bS0aqgzGnp/JO15XORQw2Jl5IZ9fVnqjk4CxMzT8tLZ0sQ2IRrxjJd4wlUyPUe vsemOMybCsifl/GZDL7cEBvDGrBunhN6QKtF7omyNmHtrWYSwTaOLX8UEhTygoTOK0JlJRFJPGk3 R66b0UMbbyc5A2Cyh0A2DMrKiXOusFSsxH9BuVF9h21hNoG6H0KzqbvyWVx37sghAEnxGqbaIH9O 5XtIz4/znE04p/koGODgkETtall+DqQnnsr4UgYgVnxiXT6si8KhtNUM030EZ5ZNxydzKv8wbO3K YBXwbD0r7/kfwbv3+woKaz2vYLJpShE76h5ftw6LtoD/rnpu7ydybFYE99NQD7LAxG8j0kPg/cgi sJGylZA+evyd9do95lsmH/YTyfM3B0NPZU5OfP+FAQFeyS5xwu3hbHV+AAD7FTr6B7WFQWFI/oHc WtdwQ2VJP+T07l70k/TLEtJ+Mz4LbJBdGqZHxCKoRr3AwhF8Le0m8JnFpdvv6tSRszvU5mUP3dlt x+FDhJoxhP7WzE3crN4nbOscq4DwfGRAWS5FmM8NUDFlyPk+xm3m+BpZCaFLKdTdrkXoDiClxaNJ hFcTefEChH7jnCjMhDhjZ2PHV93SNdrk6W4ASrXmhcFUCiGy4LcILi2uUpbAp4DOx8UXnBpKT9yU 5KlYOMr+nOmWp5WMyISdys+pB2b5NbHvsEETceFEqGi4VG0ln0D5BfbC9QInH5f8y16BMIRJNhT6 4NF39tX9/GqYUzm1SiyCfHRblMHuudx4N1LPQVQIM4w/dHwdPcl2xlvexxAnXstCZypemHF8Vtk5 Hk+GNQ3ZQ/7v1C3HRjoqQ6Lg/1cf6zix/nX8QchZn+PxtPjk58s7xRvRDG+pqayaMF2RKwKa88hB VeQ/U5umY6d0j082XbBEFOS2FoYNoRLZP5kMJn2QmSYmsEdTA62mjNNsGed/P36olIIAT0niuSWt LMaTOVuAoowIJDxWkpBfg65yyg3lfsuaJcXmQLnyYn+qR0+oRGWgD0Fc3h/fjY6PoRAHK3dpTZQC OHpFwHUAqkZs5hV6R5Qd+tr+iw25WZUUWjY0/jSmgIpDdaVKymrWDjDw/Hp6xqU5lJ/xHAqwNi4Y TY55kURYWJR6M+UzaiPuB9lsu043ShyEeOWNE5kQMLlldJrNziIYGzci8lpTIGJKnSv+ZjDXwKfr 1HFZDh0TRmCo/T0T8HHoNsYN8mvAMxabkgPNs8R9xlwMd1IF1TLctoi1bieGVStON3zbz7yxYwAE jUiGqIIeMtzqzNDWy4Wkjg1yb0/Z7kuOFXsnnXfMlQgEWSAr8MujhS8yi25ri9zsfAsKiReUWhDO ME8o6t8+7eupmzZpZ7B5lm5BXFp8pr9vgRO3yfBCjneAtJfz91iLZuy/eppIMfClOjyXVKxlzQj+ da/rBWqLUBjkPj+GsNcUrsbhDvuEUltqouIAosN1ALpeq9qH/NnVLrDEfAyE3RrEJlSYTMEagSQM jenJm9LqukZdaJUnFsXSHw65jA07oqcuLl1Y8dbMzM1wkOrRJXLik4n/euozIaxQI4bC/HOabCwF sXstKYGrdhUeZLiNebtotakl54fLW331oxKP0jP5aySPjpf/af8cU9WikQj+eGSDBQg7lOD68Foa IHZYNeTRR6hQkM3kVnYAR2b76L0Ng6yb4c4Ii5R3cKDAlbUdSUstum9xYCAGQ/WQt4tSwiif0oir rWchmYEY2V/rhRdUcwo5iKHHo+CKFeHz/KGcrVg0V9EwHhMFYbKCb+i/frHTcyT1OMccOMiGIDBT tj0M7bV7G2GA6QbJdMydbG3P6o2FuTiItcNsakeJu4zpRIl/LybKz2r1YUo8HjXmctSsPsmVvz2g LkcCeexka7ybVXc6EOlMkj3LxbdB7W4+lpEfgFwWPkYV1bcfK4BSkj4TJMeBbiWJa4Kz9FaNrz3+ b7elehYkH+iAwlZuX1WzZZR4KoUnzhYh8dymKABIkoBd00lfZ7477sb3a1fzCLD9W8brX7rSFicK vUixDqTf2FeB3Tpu48GDEBTd1TyLOhL6trZUJVRM5VYxYUtVBMrB/IGffLHR0WJtPjcCcJEJETL3 f0IylqWj/aIw7GkpqrRGdYxh3MTALZvYLwvFfNGoEmEu0U0i3Bq7P7soYtHLZjCGn2uUi5DhVyr/ bmtPZiJxV3sf44/ZIFgMi1qfN6DsuBCZQksl0EB3eXhFB7r7HckTnZ3Eu39ad3LV17auafQwMLqL LYARZTAr87R1quifomDYoWFaubhOLE0iLmrt3WHPgk58rQ2R/AYuK/DbfXQoyYeRfrcs9948mkQL +R+TZ2d8mRt0JgcfzgkBor9juaX8wDLt59vtItJGd4eJvD8DBJyaGOm1Vyv7j118UHFq105kRQi5 7F2lH4m8vcjdgRgKWeBmfLAS/inDjYtPs8WGI2EKs5pv+StLqh8gkwUAD5l8CNiDV0Jz8bUyzSYC 83pvaNC3hXi9djc/oIY26R8l4DkRa56SKfpcuMv+l9+Wd4gunTtoxn8B6kyN1aZli6xzFsM9GOHh 3+lTKcTuBICumFge9zNqPbBAPK6kSoeOeGkhhTx/+peOQfhuxYdBUt758I/NwxelCKO38s/Cc3m6 aoW8jTAF9GuZkdItrEV802/GrsOY+qi/cENelc6P9HKt4oZNTIu3NldTe90rvY8xrIRR5tUDkpvC 9kuZkQDylvyQusfau/3vmxBgrTWxZi+ShOV+cPMrCILUDLnGMe1Otf3vddS5OUiD5k/SUqNTFwWg HL5sS6H5VerrTvOniECyyBmuk0mxDo5YD/pH756q9arMjj2aeBMVL8SEKIpIm2DcbhCWKthBMqU7 Km3GsFn5EWUeA19bbF4U2c/etQNG/q1qeUUNnS4xLIYshPnmUrM8BO0eGGLYLpP9/EnHa1G9qH+J zZ2qzC71TQCg0tuW5fAOGeATWZTIvvXu+CKAOXXQRIo61B7O+iZyyn3cvbJLoKJe/mLLaPhubBEg 5gbK57HTQ+JkFK6uDID8meXEjl/xSmsT3f5e86GC8jdA0mbgyUCW+fxdTHcKmwrQxfd0p0dGTbhd 2r0o/+DYBMpSAr40cbbfgGzVn4o1fSKk/XTdZs+8DO8x2eguh+Mbao8yDTxKX8PQ00LT3mQ5m43p S24WbbVBP3CC1p3WRBPTq1PugrwHLpgHU+psrronoXY4Pvs1F88Sw2jIF9Kot7U3uzw7QdronHpl rpiLNjn+jKFkCPh7Nmym9tU313Zsp2tB/awMaUlQi8De0tUSZNYzuHvWzxiandMvnmQND/m4U6X+ 1S7TRIgxVxCaQsHxmeROSss+4MGCRy+cPqLmm5ER+Z9wRNb+q00Lxv2JsGYgzF7hk7vdGUbVFend dG+OGVwvVrEDGv6vr8em5pkHURTsEHo/TkPsxthoyVczsHnjIQsOEGBttHYo4rLhqNOPUktg8+xC XNrVild6QAnm/kJ3iI0USEAua2ZyGUZVUwdPATFMO/KJcY3lDs9evIyBOs2i2QTcEoj2V/dxs+hR BvQtcdKW+GpJLlYaCGonNS7IQKe/t+uf3vE2XTW9CUWjGy3mHaCGuGvY+L8H+iekplshgVmlEF7V rKSE4vE+BSAoI4wL5ZdRaDlCkMfH9K83X/gwnRe64xN10AJhAfLtqZuLpULfAcsXWLFRFdnjvBOu 68UuFJjd8PDcVcII33l2EOVcSmKvoO/fbKUmdMf2KljETfH5sT1mXGRyjD9ItVfIDLtdnGSBvSI2 i+rWSnRdwFvU3Hep9iByPmZBdYgSNxnTBQr/9sPqaBNrfnK4qZ06jgqadolZ78rPOMQM9jtduyan 50V7QIfM97elO7osHt+hABfS6A5PJQ5/GIxW1pIp02NyyZVyiqheza3/2w6nesHtcAcxuGiMSix3 QqsQdVrYRXn3j9GqLas5iUW05gcd4+JFR0edubrs+iXToS+0dlYpiHA6P3A5rbHvTNnGCocALVd4 EsNmlnGvPqaReRAKNMaFXJ/yqghFk51+KsMIuhjubNvtJ+9/2fCgTCgPGtjdp2ULRrnZGiSMD+YK Lwy8df/3d4SASsCDubD3h5hMLGr3jDS2Aah73aCSDfZmEnud1jbqyKgnxWDFy+b0l9jXmoT71SGw 7aMD68NWjKDvAdLccOx3QBCYX6AuaQawBbG7b3RQRwpHIzvefoXfnVw+zfUCNW5f2RQE71YF2qN3 KnxnJzhv0e4KCSPvUQd21vVx9i2gNAa+1b8UgFG1HyURx0ORfMjJEsBtgndnvXKCHTgBoDMGjC5D OB9BICrdo+hNiUG7jcnCwoZ2PzoeWrZbawX0PIuY9hJFOYPTcrjk7nCzcL8hL/4TkjgNOQAYsegh F0RPrwidvhhagzsWlVcp+1mpUl+EPXx7stMfA4tm5KjtAC7IR9E1zh7tja7sz2zw6ENPRawMY7vI MCKjVE3cfPiitfEHKm5N2aoFbgoFT/k+RBDJ00g2CbNyK6okCf8STHHCtNQky2QHmmsr9tGyUBm/ 6a0ZNfRuv5Meo/JwhGGO1dN+2v/YLEoX4sUm58Aiuh+FWmRhDxL4RrYYrIrgJEsUSgRevCYqYjke D/VLBIl5I7jS+CavmckG1xNPxZvvAoPwfCrOsrs4jkapGNDYqSMh/U+IDwCbJ6nlaHMDZ6iDghUv kXW5olRbba7Y9SI+/+UqFjDqlyDXp7fs/QYhhMyjhhyqrWS7PQK3jQqL350aYKMGln0gNTt3C5rt UZRgptm15OkknDp1O6y3zQoV9+LWrWNOVJ3H+nJcvYjLTgyGiiF3HHF9sJG+dolk0gtHb8ihfGSY Mr3DDRX/K+rPvNC7VWkavn9QVBiHMQ+IebPnYGIDOhirBompXUE1H/6CaFe7oDMFkPVz88N7JShc hp0uy3gour7bgto4JAZ0rPZabZkslrA8u0BecLHdTydNzjBQhnnzHeFKbgV6Lk6Petix4eGnmMKg ACKpKZ1w7YOxHQnSe8+5Rhj7/CLux++T4rx5pwUJokLhPebA8cdsSbzj3jwAjuvMD08+4c9NRo3k JzFAX4c5eWTjYEqvsTuCkSwhVtNBUbPwwGdknOdtF4fADssr7AgHDrPKQfM38UEH+WvF3CXebMGF t/4ygo8Z6pAp9ywCaX6vXmg6C4o5YlccP8TovcvFboNfAecYDsXIpomI83wioLHZ4AORK66l/Ne8 qz2vrb5jYiue8u+K1+qeFgJ7QVyLtyl7h2dLtMoVNkXF50m2qiUQiftJZU4hmSLfFQP6vQO8jed6 neUGx7wyAQWr+fYZ1y8Enn8xnKvXNIZJXZOv1ECZSPaOwkGFJvVpXCfL39jPjUuYPJepbnQbtIVE ++2NNc70C7cf/G9ODlPC8AyilN7V0Px/lmDmq+xLR0183yZkOAuO8ReN0LjnqSmloT0E82qlKDL7 OYKPiVrzTc2LAytyw27zsUqUQvhZNHaQRCR7We1pUcqpmz4egozj8I5aMERSUrZKM9cY+acC/xJV 3dPTX7gSuLKMuQErP0I2T+FR65A+YXVp6alEMvEwE5LYRHyZwfur96cSy5PUv5nuqMUc4Wjhe+jA QuaUvlW21gMNp+z1MHvd/PW+Q138ZvsOLaKX0LV+0icPEe2TKQs5c54gwNLmGsb2KGkj4P8uie29 vpAnWAS0hKz9saMjEasciCPVaYg6qBEhXvkqisFdwoOrpSdcff1qi020TQJr95jb4Q72IydcNkzc H3iLvZ3VrZT2eBe4BeqMsnKhVCkCpkVhS9oiFE54wJjBIFlOgbItWCnxOL5696KU7RVkvBF+zAT7 tQBuR3XPNbL8FQTsnrYM+HzPp2dKIWAOLxBR5OCVL5sYZVUUJQIPtIY38PLpuXZgCqZ67UG16pFw eSqCzpzC/czzPFejXmth5AtzInNH6OciX+IikaTqjKmXarXNmZ7KH4ZbBSxf8bomOm+BRUhF0Ze2 99NWdDWpxrmPrJ3yOA5lYl61haywvX42R9IdI3nK06KjjSqqVexay/m5oz6Ng5ltHazFapBneFcL BHyZjuTO8Jh79wmBV7zl8jrankl0wXNxcNYN+3pUFccdjQBiiSnfnU/3UsQFBZB3YBRdSDUI0clQ U4JdLwR8MO24sTISH36d/Ush13vdsjj11gTe1Zpd+3EM5c9B32wswYLgOiAbVhhdv/GRdpsZYctH 2QOACu0t/pLpmiatrDoXCA3VJAs5yUK2+41qOePH9WQWCOMoOgt+nPoNBwgkANKDTJwE8XQVcsG+ T6S2YCw6XcNCiF/lEbr4p3idjJzOvG2GWv9sq2PuKQVBsafpFYKg/2G/1furEcfUzgndh1mlF5Ef uDcJrqdXClp+VnnYXbIF+PKMvtSunCgQAVcfdMfaDr5Q8N0eLfttKWou5oG63YpKiiu788ShM7p2 3q+8AnMxPJIuJbyle/ln3Qoje+FTqHXU3z9SpqBH+AntscHjA/WbUKRuRS8YWqlpwJgl/RvAnWMX 1cOdvOnsRxr8kXfATrsKDDDt6JfB7IQRr9lxOx8RGSmWNPCTFomJrOZIa3QRLQe4nzBGvOSdK4zJ JxaFuFyoUmYFwVL5VSMiMeC+hCts3VCEV6D8z6vLfTJ/reL2PYxrdDwrW2KX6nzUX3QrvWYDuBJQ utquBQ6da0f8qFbyXOuF91YqjrcM/QbwcJ0KTbn9Eqb2GdslzIYcy5/fPXLXY5xfs+2vsdl9fWJv w44VRN2eLrTyWKlmXZ9AFoIa8d5MCEJ+UzlVZmsBZCEH3tyjR6KvgSyHW9V0F9XCRQ312PaN1uvP A587uWLvtFm7thc0edvdRkeMkSxalXIvBa97s9oTN8bY5+ZOMA4mB5r+ALsoUSmsHWLL5a0By31u nDcYcTHjzoHR2RjfSkbFVkSLdru+1szWjMWaCwYP2jmMl1hbWqREC+zmf4G+xLhKsMsPgU1fiG/D yzDBmhnP2JMWt9XKkticP9xfMeiarmJejhkfj5IBPmsLnIrByEm8ZrcbllXgwYAUEFcENnExIWEG GlDrhXRxjrbLx2DHdLx9aVTU3W8Nj3phfD1wG+eRM3SmhA13daobVP+PQZGyxe+whhsdVxKTmjpN 3s/JuFre8qDGsnk15B5/lKTRxR6rH8v1Ar6isjzPUdVKhxEdXmsHscoczGlwamf/mEgwJAIImMX+ V1idwugUF0KTeV0mUXwAbH1o3RrsIuG1fGMqkqBsKmQtfmnxXSJqQyoccRiUhMxHTEkUiBTWSFe0 qFBehRZ5ME3QSwZr2awAv8qtDi9KjxX2ADK6kT6oKyVQ9P7XIP6lTAJWhnuGf6eb0uHEQbqK22MD fzOu3aAqEV9E3svr+mcj7anuHTqFDONiF6z81BwuTRl6DvYObNKl/iaXhbsL+PKnOTKEsXBQcJjq eNajzfMmdqFQQxaWIOEDLmzX4XCJVAcdt0onj7qBrtT2Dp5pqqucJiki3YtWYZSvhz1Ag0aAnNtw Sxdjt5dtAWJhwrE2JS1jORGUjiP4fPqiBSjFoTVllGGqMayDoJKZv79CVsF5MKFSB0I+k5SH75Is ek7LE5bc9s6QACSdloxIgKpGrcpWFJ1EQXmWd8Vbr1F8WF/klRYv5yEDdNERgJ1skZyf+2wwqLFW q/9e49jneEQBOgeH7KLumIn2iXyppa0qO6zTWUX/fZJGZPIYLKB2GNtiGOBWOZewL6OJGrwfCfQG QSsfoaJMEzJutdqZz/MWCgfnHPsyC2FCchcZbVhNRTtzWhdnx1xPlI0DwDwi75ESVs66Dbj4WBNk 9XzWRFzU2EXx1fobg1BljIMRvTGqGKfQteq0czBUU/CtCAjgc04nZ99HKU5XT0Pv1VN48uLvQcL8 DTBJyz0ruHVTpdSvJ8j4yhezj2Rp2BjAAf4scT0Lw+WFZ/N1QHu/D6fHGx24lDglzIKQuaoywRTr qp+5KO2lvysIFzl8+TlnIs36QsTk3wbCty6Us4iO0fGkCoYJTEu5ThETK03EbKa8AtF/whCD5MQj wbVOe2YuTRX+WlAczmJmnxRKuJh/ovEkViC8F+L/Kd85vtUTiKN+gmMmzXd8/TprWT98sfOeExJ7 lK2+o80R3qE3vwi7vMd6fb4B2F9PkkljL2Ujs/bzMMLF55860KzJN80mA0p05Jn0iFHkmZYK8CiQ olU67yb1c354/X1xNkh/T/IX4NqTurp2WC4pWFZXYDCfRNF0PgvCKm+1SRihS93ogH2J/15JkefQ 4Ln7aagmxTyMwhEd/I1LQXtSfW0fHZSkMpQ2gsTE+NVFwRvlDaLqdDJVuEpBryVRNr/+4bHhzk4C kyMO+U72V9UDk9+jtu0gMBDiW9TBNNBH6S+Hg9gp42f8NcP0MFaNVlR58EscEsYBIWaFlPLzyiw0 2AV8LslwyWsMmVh36BohBQ6dodeE9+qrowNY0ceQNkR+DBTJep9sN7ZZjWRjNult5NYjQ8JND9or vJNIS/sDRmJUWsrdntzsfLkM9n6/9qgeFlE+hikqeYXK9i8yGzSz/PMETiGX3Jh9XP3wVJBM5sc/ Z7gWUapd9zXOrn71OVFPjnnSE0DcqpGI0mrwcblBMtW6Cga8TAC2gQFsZJ95XN/XZaucRo/r8rQC a1I8x93yDdp9t4sIDRbEqGwNFU/SwBhLCtTPyFvjtO0HiejoT2Hg5syUchQd943vuRrkMmWkrEVh EU7vQCpdFt06djQOQu6OAA8xjJASvm9lhLUnm9z97mV0BckHkbM5urRh50u+Gu3rqsgz45zSFFxb 8CV1yKr2dIekhFgTto+ofSuaRTadyTgN3BgI5YKnbZK2np0fjwwndLT2HA8lmT1Ew+mbMDC2IcER wmyBJ2QPRy34Nh9ZNeQcQ2C8jwcS3gToNxVGs/lghmoUIN3i0PSpQSWlS9EDVchLs2n2uaYAaH5A ifCHWgxrGJ+uTG/zbxhx+fuvGx/KbUJEmqdSb0CUfeZaiUlS2KzNnaMvb0/QI8owpWGWQ8d1a8q4 Kh9Tn1I26sFSawagT/cbhwgSwdWBD+mj69KZp2Po8bke/kewpJ/QtL0GkUvNuboavPleyNFS3UMH J+GjhCVqVr0VERYe1+/n4S44SfoH9vBrlnLMJBPijZXxJjU6W5uG6+Bm6lL4OLGr4owTlm+gzhsR kX2EXUUzClFqJdWG13OoWFi9uODdGo6pFVvycedc78ePF4nytYeOzXcrk1G7vJ6NQvqmqyP/ehI8 fjhXYkobDHVilZZTWSiVpPB82SkDHgg/DxC0hvWOTMLyg8/VLIJlyHwQXtRb4u8ekV8dl/LucnI9 QOwhTDfi7DPVQD7aF4sGSUoOMsNVRvQaD0eXaLrzhVyjRY3WVNtBCro26WAfLG5gR3j1kvelaaUT PR2UU8e2kRdU+w6eXTaJrD7sfqPNCfXc0mvEbFsmsch7gt5xspM/xIGRVlx3ki99pwBnP5gj74uk jjf+83wNvw7JYZg+ST/0OUCk5niu9rceR43mii7eXWSD2Ds2zyTkGJWP0LWxU5SYQJh2De/8GjDm ls4AJTYclhATAoD7pAAPCSM1RirNvcL/JsH/mhMoGgE0xepKimOw2M9yyTgBT4884i60BMHUMtuh WgZTTdb5vFNkOt0r0xJwjE2MY3PfBBCU6JRjQXpffWJ2TfZCj7YUmdZA0wcZ8qKwyOgesPXOw6p/ yCGcPuEIAEcKJEkjxrpXqbTAL/PRfmGL+nYSmaryHYC4daBk71cbFI5n3x+qnwMmbwv6J8IkxJZB UUpoGZsg4VVltmUNXt/+g/FzIwSbaZ/ZRYOXThFl8tyWk7EZIx2W/88M4RCxCkTY/SRJ5R/iZENl 2es6VQ8ttwI4N7zE3BNppyUcTOzgUJSeOAzW4FSbf3IAnG9QaXUkPevgShDWXDTizIekGQ+m4Swl +oXJwwXgqMzEvyOK480ooo2RRisUIjT7CrFrr38OrOEUVgp26IZrPfWIu9TF/vwTer3KwXFtqV2W y9oY4pfa/PBIGrJ+albHm4d/4FvOG2H93dh/frJq8mGlLmd4uHEmYhAZsoMIUnYtEL2j5MJmdxMY ARvYFmgYK/5+dow6VdDKYqH6WXwh8Gxt49Klf25yg02YTNc0Z/NmrnJIDemLyZ3jt+yX5GV8THIN SAwBBdm6GNMXDrVljh7oGAd06VEb6zmjTQqGuRM3WoIZO7aYtafB7ME5jcT6W6WaCQocnk0aYkj8 OGKfmrRMdizOP0+/Yc0RERYIzrJbMYAK1VuDuDaSyGmBLw1RsL9y1E8BOC1PzFKwAT8vTYpfzTHv npOD17mEnOGRRBCrxvkpUMNr/uOOtn+onSD1ImwOzlk8L7QA1xWHonRSeLjmtIFSY/dD3wWn7/T3 XKE6Tz47N5I5qOy/fc10bPNwaE5QYJrUuV12tLkJkECA4KhEYajNnICGSR2uos9hJ2fYeRjdqAjB kIHQbxcJhGszkQPsB3fuaVe+2ZNL4zaBhHc+ca4Nwj+8GizoU9rvGRk4Oq7C/2WfbbbK4TtpVvxT sSxZsePpkz90WTGs0cYG9k9bk12EBZWLG0n0NUfXjAIXcmv+ps0wgPt+G7ZcDJyR4YXqskfyqeeb 6JK+dGNbSFx5NWOIiUkRyV92c91G/tasVbrGKTi+e9o7Onk6hQRYI72zQEhVzd2TxIX+rz1m8TRH xqDSQUdBMqOMjzUKZfhabmqFvIxOXkubMWBQQupGNWPwvLeIIRDV/+GrR4mJJaqq/oDJplIhry0v 7ljCRuJDay7cVX3iQMpvhB+sxwfDX7NZlJaiIuKVCF7ffmpQri9xb7oNnOooDV3DHikBWfOyutrt +/retww9Q13uxVE/S2QIHhY/3c6twzQgUUjz8/jLpF40Nwcx+vYM79bz6diXXGFO+oZGUFdgmsj9 re6xtmyekVLS6s0BN+VukJWT7brWxY5qz9UtWV61vfl/DKAsLxXuN3Njqg86DPeDY+XfFziJobqg fY6lVFPt3qfegIlPsTteC+DYlE3GNfmIX7R8cgEM+xa0tLrwqMN8NDpO5Z3FXzo6soIF3HUaCJ3J KaT+08c9+iDHUA5i6fRSdPdBTngkyVCQiLqqXjFI1HiN7j/VZOJRjuf72xLgnhGPoAHAqLvbh232 59G4A/T7bu5Dwt5aMiduTd/f5Yss52AF7quLYSLB4hCVIlJLJLncfVnCKbfIM+5WTNUWXXf5b+fr HG6Ne3jO9VPRy1A6lpLeLL5aK8GDah2TMZDeJNvcloIKH36C+77/SYtusNMno2YcMiaIceJ86LcL 10ddL76/dVoaLqOjZ8YHuVk4awXqixgHacoYfD+YzNfs9xlTJ2v2rCTNBQ5lHUY+kkpzx54dK9PR x2V7ovnLEF57qZ1rYl6I9Sm7y4VhlfYqNg1APwSzhz8wSlZIsDpfg/Y2jw8d+g/7Y6muAuJhZheP LsQBkkVl7YcixKduCrKgc2Qd55JXd00btHVjhoO27bgj9aBMa6/mj1C42cGqY5Q+W9c6HdZbUxK2 PKtF1rN1HEExmTHztwzcpkuMCa/XwZjDvXW5mNvK/tymorQ+3aXZSrGIV62+o87a8P/0lmvMPR8Y /z7rbSoCZu5AfyNN86eg+Z/R488qWZsL6F4richA7JvT+8zCw3BS2muy/LCSaW64uNuZKQ8qbK0C t2hlcLEXf7xU/i3xbHZkOiT4GHpleckfKS77SKx3ukYrJ3oSd2eDYuS5GR+DEP+uqJlp++JNn5IR yU1/CfLHjsX8OA5iDnaYSAANAdAv8dQqwirD3Zw2D9ddLTi+paL4TBlKA8jiqIHk+iz6gIA0ULai T4H8V00ELVAJXRSMjRbp3i7xzGIVK4CPD2t13PwHUjArwb6C6AhSl6zOnfkIWJZk1uao3KCHKPDF aY63kbR7RZMHyQsXuxkMkobwxl2Rptg3mz43IRX9XQKhrcjt1whI013DF+AUyVQX69haPdhbGhzP AGbEBQ64bhfLW2KMYpzjrfM2P0ImhRe7EvBh4N4/cd+RM5h0mDPWnXsvlKs0sJfQ1XZWiKh4oTrs z2k0gRzJ4ustG52bBmrVqTEN6qljeGi3c5Jj3mqtJ/1cd8xd6SQa8cmQIglMfOk6wOhBXalsmWpU 3nzWsWdoI0Wl5lyBGSZtLiHm2hFLfVFCXS3Oz4S0VOcFiwo5vFRDuSkiz7/5JVdedpZYUQCjgZMx O/2rhE2+fJcRG0O1TsI/saCUGe3aGUIm5xXX8RAZvykweUPF2I9LptVQNUy/LVLG61Hdp9XsDq0s Ph2O4YPY4F9iinC05nZ1NNJx4Wy3UvHPwHnkfR776iYzeG5xBrvuVoHCXC7sH43vWPeIj7ILH7LU lhyGI4JYrZ6WxT1YHVZEyV7erLeJBTym8pbL7UJNJM9h7QFNCSd/hb82kzHG4Eu+x74aqEsC6Ex5 fdHuxb4FAvFV2p33P/H9WzlkBO9UAMoJjfegpoqoVbLeujBTgwlJKp85Z50CR5ImuQeWepe/qBJU 87mGn1zB3gpWXnfjeNht7VK8nNEGQBliXRL/Z48aAwkvyxbMIdXY1r72w253Z86WYdW3zxIBD1CK iZ8c6wKbdQc9NUxSK0Up0AYNViPJCx0a61hInXaic6v+aFv2hHGG4IIwVpvCdaAvmoGpwiZ8ntVm VrEOuX1WJpp5S9JxlKkL7lK67QEEpzRd0lF7VxE6g/4kTZpuyUpZuB70N+ljeFoawiE56R2yK8Xt Gj5U/Dx0d+0Kwag3aihJrGOatijQX1Pzx+NwOa4aU+31bii75/Q1gZyAbNt3HcwUT0r4ljjwW9Xz fAsXpo9B+Zpmns5a4WblqlpjifkYIqA6bisO1LJ+U7i4HwvQI6ghl8rmPZkp0fGaL+2iuGUlGDcD i5yRnVqR8ibvNR7uwSjZ21v8u7uHmDHRVHrDHIkX9h9NTi5JbfBR9s5gIznZOx4OXVFxtLyoBM0Z kGy0dHU1HmdmS6RGaLEU04SRLC9dZPIOQ2GH3RQyqzBWPk+K9equeHrN+0GEjMwV5ZfgNEI2e55e +krtF2rZC7iFLNXStVIJkYfd2VmzKi7NHESRY/RfRKjtV6T5Z0aqVTNS0P8Qrq5ARSrQvDDokDIk hW2Tcz2DmhmiAbOVPxz+IBrzgICDZFtR6uiWXbzasFjRQNHk5iSh+IiGXQCXdWmIED3exNSQ0jXh gCE+NlYpNFE+BrfBJPdS1VwcMqoXyFpOkXpts45gl8o43wNk4XxFImjKF/kIynjgIeXQ0WdZ4ykO 8/E3vPmIMG57L+u+KGra3WhkmhqUG9pdTEI1/KzkWAoZsH2vEN3JS3hAr6NkByH1Wv+zCXNHTNPh JaO5PJYCyk0puohp8ucRoXhRRNfXeDUul+hDg5D/vds+DI/UFdvHA8J6VrrLCnJ76T5NiG+1Tnxn M8IpacnLiJbgshgLqaQmzzuM03DVltMv9JjZ7R41Y8OeZ4WwoezSgJqsNUw08pkszgsZP9XCt8nH AeTFkeulPA3E2oDg+L9x3+WMPcgcNoNDEhS+m0iniwFLwJJLdoR+3Lt7IfqYFPxwMQerzGnqdr2O 2Tof5y8vjxc8BwTIZ/9LIGDIm8zAmHB1Lq0mEDY4p7NzqpPrPP/o5FihsJFSzbTHrZ23vd9xsZFd TDlQRBo3AWsYJLb5aUIFnt1Q6NF/oAZaYFm61Bd39uwRTS6Ac+R8EyEY4Q6NW44ck8a9cf4d1Pav 6EVef2pFq2h960JZ5Rr7dLb6mWSVgo9udNjtK/jPynOR34/7UGLF4gSfP5sQu9Ham1SlwzjJk2lo mp8BEhzggPg8DQPY0WOQNv5f1xKDJ8oso1OoxdiSTVGrftbCTcOAHt0buZ5uDg79VKL6sSHCrHrJ QC0oOaf6EGEj/IF+2VKO/gdsgH0+OuzfwDsaAcNktm9/mtJaddhvl7TJAfUWb6HomDXAai1t9Chz k5MPk/ddVShGTK0WuIXpkcsVKIDYFkcHc2E5WQeAnQ9WqU/54wmbCce0gqvJsKm5Te47GT0EhfTK 0AcUCdqIT9PNFNkk/A+82VSKVGACXO1zHVByOX95x2+M6ulrIqMOLYkyquEg/mSE0Mr4J0IVgzxB WRmWL4nQ4P29sOn1Wv5y0xRxzwCWwcuuxmpY0Fvv4z7jQISstejnewyjigCvdcOS6rI8T1CLP93Z JWJMRfpOZqNDeEa+p6GyJMMDlowv/ddFMbEz76Xk8ITmTSex7PESAHIPSudI9V69ca1zCNMn4mGJ wHji5lwXaMSOCDMx7EK8MqbhRl0ZmFn4RFOOjv+dpYPbCxtjG1NuCr0lULlafMt0jwVBD0hx2VnC /x0gi5QeIQBR8yrQeLqu2vu1nr7dZM66EIgcbTADOljUGosLwwv4LiAwUYNNHIyS4WpsSkprl2Tq u+DPXJp8vGbHIUuLp5xyOig44xd28FXZdv5dMQ1b6rxn635SNfBP2KZbe3PGXQqbJj9fdXxB56Ar Pn1wtp1sL02SGqV5lcyfCI29/2Hie1r9dSg84YgEbhEmzd5rT0NFntF9fg3OpfyBaL+CNtR8F/3y itVpQAtpqisZWoDP9YgZmZGM7FkLi3d5K3mAA1atnRZuu92zjv3WwGUDDP6v7Z1BewlwiGC1LCjk dR/7QQomsSJoEl4u81/RTdvDLwAVI0NjWGuzayiEyvRkV9IXAOguv8ubGOIB8HO8JWPcBGvhqmXs 4JnLdQEEr3l2RnzotqVOm6pKtW+mERvQCn2olt2Rh3ASzgtk9Z2icGLMOznlCGS+nHXnWVInRvcu qKNHB5PwhzH5IgPsuEB6ICR/GzCcCDxghMws6hl5rSXr11lApWe8eEa/PZbTu5HZIGkPql1BpsRZ LjlrV9cfvWXibqs6P9ei6BsZhqMTCQZFzdPNBMzzUehTbqeQ8lLHr/KoysrFgHEEW7I9YV91Hz5q kpqvut1Mtfr59JXUd1IdckhVc5OG7G0kJkcpODqO1shzqNQAioJHowRU1ECq9o8O/dLXO5/XcYIZ 5BNbVFNFzgp7CdQUMwSvFMwZKSeL95Q3IPQWXLv6JRSB1yCVcPpO8BQENcVo7ocmM/zFMNgIrllY QGd02FSl396oCHdAjISCZvc5uQTg0oNjIb+/ldu67B7QvpeqZ+xpbGuQKMXhxFQjS4Ele/ZIDIfO KQh4CE0c637poRvavisJ5EgH7/mPhKVWJsmKL5GVFGWi9h1HwOe6NbhtmakGuoWkas8OEeQr0R3c JtQe3638KuP6/JALFvOIL2sojvgCsgUqw2E/8iQwm+1NyMDSa8RHc1ss0ytCEQ17duF2fKwAnWWi DLQbhgCxE+CtJ/J1xWm2QaO3NRMZaRynZolff+4E3/wo0GFv/wysXft14wVQNhCxJa0K10D/R8rB Cp5YH9rXkSKEHrrmASAreS55QDngUWONLR2N5ywyTInKBSQna+W6mVVlJy1zYX2yCOCTajmGK1Bb 6A+tcLy1F0noawenKuz1NeUG90Jecjza0adxdadlMX9FQ/RLZu+yNBu83XmGMI8+iniipRu2OzNJ u/a4vTg1qH/DW7xr/TmaH5tG8H15leuJSoi3BsqiL/r3Q6gXj/K7lrLXaug3yAcK0ll2qV6libO8 u4nystsjHJi0iGjh1Sc9f+vy8ZnAh/1aK/0H/7jlNBCJDf/NSuw1w28T85M0XJsLz6V7jjQoVrlU KUsAnBQn/IVsr/4rHJVGRHG/QsXNHZ7jA6ilEJH5065OExYOj3uNHrgdY/2ASuYjWr8V8F2NOX0W Nn/24ZR0CVj3eUjtG/gP8sVQFJaJ4LYz4LOTEQO/QDdVgwR/SQwp4ExQWO3iiIdfRMzUApiCZZJY NoRVxh704roOEJklXYY2yvXcctD1cIzS/MDQS7k/yvCw2ytdpZU4sjBbYQNv93G5fuzy/XcjR3PS NFrLaPTpHMCd/P2XHkqOOpCLH7DxT44o39TL4/UyBaHSIMyvpqXGxQ/TzcrRZHSAJZ+H/YI6pHwd 2m33VwDQlTNTZepQZ7Tn3vHRAX+Ar8jOWrBD5PoboNZPt+BcqrE7Bn5v8DfBu3qTMuCMFnH/pO2T QcVvrf2z6ldw9O9SBiZlmxXlRHtOU1Atp3ytTva/9SMilRtJW8/wfKX9z1jBQqmu2VEj04MonKpe 4MjDFJM36t2n6uakuZh2BIEne677LXO5jWGP+xgUC4lgxtUtU+h80uxpf0lIMnarsSk/KfMQDOoj iv+YYet+jzuj4wKqHnTcMR077q+RwY69Pl6YJOar5dGfoqLbl9ZibIg54+qcOOxC6Rjpls/83OZ8 XULqSkwJgYiZUn83/DWQbOve95tk8RT8gMiwJTbRqGxuxrSEH5Nhr8GyRroV4INPa5WpNcKXk7cV jPoTwIclYqiS7LI8jW0JHgfBNTVd+Qm8f8Sgy3bjr9bb7c1tU1aI5IHR+7L9lmlMBp2laofr1Rdn 31BHgZpI37yYnNme6sT+0WkFtTnKhZNtfcTgDaQ5J9Lwusq3grfaAwAPEFQTzPYxwv9RBecn6JEi rB7/tcKcvu/Bs2ahlBWIdODFLyfXNxlDOP/c/R9HfG7aDh1TMtvKKusttbsiBLDdiM6TTZcbyUJq btXQnDZLmf14DG0HSEiPSJPR2rJk1puhrPtL/mVs0D5x83u9YBqIY35X1W/vQ7OwwNGXZvpoRJwX FXFLg3XdlmfG9BPq/euyoBjoBxNanZiTHA7pFh9mXV9NS1urUVCmhY2N1gRjS47pR49fTV4PSYvv 8qFOW7fbRUrAaRgD2mvkKawFYBH1gGYbDWkLtMDCypIo4aZ3oh/HIvVBydJaHgJKlBy65WV4Y7Rs 22mn5br7UbkXCBRMVFZ7TQhLHuc4iOsQl2Q+Wvzx38+WBb7b6HvM+FZwKvg7xSc/ZKBoinJ7brT4 ZbZbrhyYYZXWI6OSFK4FgSw906KtGrd/v7wky6WEsp2KtGV//MBkannTxbIT7UbH6ZOk/z0hIA4Q 9YK0f0Ath+vEGPAE1EzrgYT7AvDWJo2ZDrML8ZJ9RNAvX/PuRRa5ciikZdc0bYIiT9rDHeLNbURP 1WezZmRRvd5J+L0IiJsIEC9jOhRymHIENAeq2cNR3snx0kkUqZAm1irDTYexM5Y50k/XnxG+OiKH pPDWJ6UqVIG5ERRNxg7/YG0JcT5Vw1B77lpOwCpKCfPfEiUHadGR4MhnDxuCDFaSA01QAjYwUheZ 9o3XI96QLXWo6SF7DQEXDo6kkrCdToOVLTJ2mI+XdqOb2E1+05CH54nJawLitPnKNTWUmbDfGH0L U0LNVXSwL/kQL5LVoTr8R8KUNGDVTBqHlDnRc3rDTrSUZntz7gSI3c29PWhhprqxhHYBLCDH2NIR RtHA4P38YCmUsb9ueboZ1uw+YNzOrxhnBMBAXtrJ7tcgKlfsgmtb3S46XpGV3k9XvIuhYjkMxZ33 FAIDeIL2VQsvuetsSjsYZI7G3Q5TDWzUEyGD0seGPEszqWRamdP4FLuDuPSJ+p56VPURLZqerlDd An3V7fyqaoHOsjF4TO8rULgH4eYU3zy1boY/32hKTx7NWq/QKu/VNEldhKISIb+cmv6nAGZlt6b7 sp0qyiqCi9QwlVGMYLSNbh0J1joo5s2ARcu4J3P3bbL/IN8kyjm7emlUQ4ZdfLfbKUrfIrNbf5HC fE3PkWbk9bayrM6WFZEHvJzmMqenQFC1xA7jbhUPgsYQEKSfn3aSWgzSfwhRdM8E71xQMl4c17W3 QPCnTD1GLtg8VYL9i9Ri02mBRZrNuqTWp59DdixoyHsjOvoksYXUIW7QrSAAVFISv7Fea+B2E2sT bNOLNz9Qx5AaW5qYZ6gLUPtcZZk6gJ8I+pmsecNJ+h3mZjIdF90K0VE8GEAZ8Smi91XTo+sv4I5O llSvnUH44c0ETOys75XxXQ8clkxDoZraNAHPDfNDjbA7NrNhLKKTTQeH65wtk4MOJzeplgnBDeDw BDZyMfAR8NyiyDQP7kIj/kF3BxJxqFkHtNBnIc88HIrRrSd3nnG4Psf3CtY8Hop+WhGgl/ng7src nYbzI2twnmp1rVH4ZqnAp1b5Ccm9awwFIbPBQJANhuJBJoqnbArvVrHLIUYaqGnU1wV5NDirOHSJ Ibytbb0zTqFRpBQlLUd2/ylaMhIJnb24e6wNUE36cq/7cHSHxAur91f7JAQ832bUpzEgx5sZLVH5 zSYWIbDxilK2lUz0OWRsbMVgP8HugYj9RWX8mrKdaMRNIUdTBvJ+diZxTMmD3FYq8xCDPVyARPqf ejmJGqbKxJbg03lz9ICnlnarlbuJVX/isQYfpN3MOTJHuL71BBVDnW7VgBiqcCeyvUOrnq9muQzo 1uu0xg9K8d0clEEkdpsFRHMa+tuU9SW/suDF5BsOnNYMM2tkW4xyb1NP+NYR2LLf060B1l0DeBBt sdMwLOy2g7fKjO+Aorwch0B9LydqEGlFMoZi5d/SyGmimER8i0f/clbQc7611Pm16tPHcrfGmAf0 j7RbSTiZ0N6qcEPcBNnDCykJLblvCyQZ90y5ire7C/VlNwsk36adknj5tpf4To3x7YBuBtATOfdS 0TmGsz3QfxnMU8k3ShKv/1rtTtdRUKHFWGUH+NzLfRqdPWYxJlkvm8bdmpv8gp7V/2PSY2nTofOU HMwbnJ6jQG1k9cCZSU9NblxluwB4lPkEkHHRbjADsVzOoDSHRbetlq5C7ZbJKk6TNgrsDQl3Mjjb zaA5/d8ELO51gynEZIkAboHAi2iQXa1tGSAjksicblovgj8rCpz5epj/N8VUqUVejR2zYZth5WrS yMayJxGI2Ca9fVBG3XVzGWfNMV5TkZj62K6OOPBi745R9LPwpK8P8k0SZt1maCUOK8Tmu9Xq0BLc s5pH6Wyp9IHPnUYiCbwXF9uTvE0jcNbGwRqxWXQ4tA/XJevsunHlFalS7w/mUM7ImHF4+Mp2Uoni 6zq2EwICBu5z62Cbw/yAPGRVu4MWwO/OpwAqRiSpihJMjSpp/WwRoX95PaQFOhLO2tVWrgueTnkW 7EZRO/j9uCdNqnIu3+25dAFHAPPVJit3IPfj7ZAQf6cSTNLx0zIyRMl/7lQbhIMym50P2THXM4Gu 6sWVXWYSRwC8ZYL4BaSL1riu0FzvbGeOhTj4NhJr6e4+h0M0ACQd+4XcfVzenj5hOoopWu9zx9Ht CvmFiRsTN7pD7gsB2UF23m4Y9jLO8TtpGPl9jasBQJQmE6JHp8A6BH0C34gXBwqjNDVDFCbhPm3g hPgYkOx1k9Fk36xoNUFMrdSYMTIKFruduGgy1pSJ+66plzU2toeIpVpmmfzvQXBDm9r8c44IPSqP VK5SEGwOri+85j44QeCkD1VRaLyIYb1XUR2aZrH3n8DXfmJl9Ed3IzrVTpwlJJs3+iSK2NI2VacN NmW+N0pinTfmHW1ijHyf8nNo/DYh1oS3QFAI3Yo3404izhhAZ29AJZdNcRVspXMCdg2rquixBTTw 4hbN6irEneALPBhLPArB/EJ8sfr8GZU8WFucpepy/uSRMMLIRD7xZsE4eZDMyNHIEKY1mPReNzFR Ro/g5tmm1LKUyHO+purWWxds3rqrox/XJj/dFqW5cwXxK2jorHJ33Wwc7wAnAJ+2cahheWF1VI3e 5FYU7cOcRNIOJxpLIUwA31vLSwDLuAxnteSvI+8cR98V6GeXJdqBSCihRCUCkMKrVAw58Gll76hS G2QTgnJMpS10BKhT1VOWfM8majeuV/Tq7vLVTeT8DYCi/YIXH8Fb+aUD4FXOmH+IkQGvaBkeYetU UgUr4HSnEwcBAL0forGn8ryQwxIz5Fln/pRRKHihh+eCtaWKDHvdJPwkFGbeVddKAEwQ7I93n53n HrWCrJnPIht67j9Fv/lPZW3AOHfLzN5d+VlCTpVp30lg+AdC0a8KyL+kTbdMsJ8n4Hzv11DODNiM N6HdNtrkkVCiVwE/eNeUeNfK8RlgPeSD34FUA3DU4ehoFCNuTXj2H2aVJua+sFpsT8q5UAxxqHPY lSAA+9VrSvG9LC1wG8S1hs/IRY557Dp3Ez06RjucwO0uLmXF9Sbgz6oZJN8OUvLSe8nLJRK9Oya6 UlfEN0zOr1J6v0Oqy3GEUc6KhXvQ201fqSeCljbTTa0ojXe7F+K5X6nkityBf6j/q49XR0x72L/T dDfB2Jh1HhoHv8WNbMde9O0FCPvBVxsp65zxTlOck6Leg2gZV/exaI/sAPttyRympMnJAZ5t6RAm nuOrmEmhePume+K/0zCqI3eDLUBm6UfREcDyUZbAy/IEETv1IDDHBz77rF+bt0TNnf2iYyTI2noL I8031fmufuCjoOSmIBd2nAeoGTkblYl6pgtxETnMfsMalLAAbv9NGlXh1uEGZQNPiTaUQgjvkah1 OI0Uqddt2c5iZefsIqtJxXbgUshQ1Uu0ZCY/65i/gLYGrnXvQxw8XQkDSt3cQcLf1xY92/vo0nh5 T9N6R5cTewXXnX4EHgs3ksjU6YZwIBVDd8Rsl3etBPcdun1bs4/n0uMbhKouE5PBB5tk2s/U2d8x Lkx5ckmqHKZAF+2AYiDoqjmD4cxmmLmYqT8CqWXHgd+IUinWGCq1zwuk5KjLZiiZfWuiGHwyj4qa 0ggd3MBZ1LNLpnQx1l8fiLIvj7W/zu7Kw6DG85Oa8FFfsabj9ijvvhxqN4BqtECSNsJDyjSNbSsc 6k3PcYG++l3UZOnXA67IdurglsBYwmaYLI4gX1FczHHyCVcIZRZ5wWXY8ap6U/AROlLbGm8xPtUg /Dh44aRaXQkGwSgFoe7Vhd/LoWWbTECaCvC/8LRvfup8PFzZAk/HQl9Qboq/TndMjNCfQipE3UjF mFf4zOW51tE4L2S6WcnU/GakeosBZ1pmZguLxzUMgVV9xu7gE8r4MJkRcXL9wLR2cb8+sEe7sQK3 VdkZjNN4tJGmUurZ5VFtxqWsJ2sMI9miv9/Q5p1Njrrgnwhk4zRLPDw9EVaL2PxhyJaKtBGyO+wf VZrAAdd9oBGM6jL49Rl+Uh8GR8aHVT6sWpN6BPGl5UWB0NVxUxf2iMsVkm7Z7QqitSwHrN04QI8D FA2A+nqlcIrB10AOh09m2SXUYJaocBTU4/6fga/OnGJ4iWtWs4cCadOgQWEqZgkROytee/GUeYLv Hec/7z1zh8Vpm55pLb0+uJJcr2MFzwTIKDBr1GZtFIyzt61zpf+dYPfN9ZvjkRajPig/N8M3gxeq jUZtYPsjR6VVgFHa1mpfs9/xAciv2brH5Q0duf5EZR71wfxm+qXjLnprGI2XM6LmwvqwqFP0VWwq yvxqA8iVvUJJSkLEfwz8V8CmC3X97DZJjhmSdSpVyuDlqWZqo17kRNx6Gn22q47LztoJJ4MI7/zo C0kglJD6y3OlIDjNLIQmN2DWNKYqofacu4xGkuVtI37wMHUX7If8pg+TNDM6qQpnVJU5cqbJFulr xVhzXoGY4X0XfgSM7XXNMTOH0vlKzWftyXFx/4sD/LmcZoWTPm3SMnkbqwHBVOjnVEzFTukgMHpb wwJktS1MKjor2bO6MlpVdaT1G8I5yhJ7t7EH0rLoMR0I1MraksuCmK17zhGvrs3YsHy6XLhcOy5Q E1EYxTL6tGImGLzRh+kI16Dld3F9oOVHHNuj+6BKF0vEQlVlVbDvrrdWk7/tZvAr/n48CKwpSQmN dcKg+VAFnYiy5tuW2KifH3hUDpbp+Gbvkzl+YlMkyGt20M5QRmWYb1jM5elD2/0Ceb4kEQpxPKlR WU+LZlcL8XNerhx4rlqMN5DBcEenVPUIeIQrXTEHoGe1e6N30gbD2xrxLM5dzisgsp4fQRNqj1eT h6VtmmTwKtgpwS5ydFxt0LR40gNc/38pVvvCW3y7Eemz7CUmSfGfqXQi5hObDt33HFxZPIl+kCCd LIG3nVg/Mf2dSCXG62LV5PyCNUt/I0nX3z6cJBgNPYVjA3bwvKjv8XY60Vck5PaY74DON2UuVDXc JDc3VdjC1IS4BsN/UOgIcj8sNz0X+WojQ00m13ja+5mbPvFrYf4EVDRw5/kzivIgH3Ivo49uEojf CTUlGAlTLhiBJNJP8L8PxBajp6kLIFQVriKJ3lZrWgM45hId+paS4N/M799dXOUcDXlh3E16Q+Mv VxlkqOoe7K5Dy5dxtcAaPAc+bCmcWNs/00I+c6dQyzz4oeDFQz57DOIB9A6vK9rjA4JLaUglyONw ilMtopfyFlSn4zbmw9KFzHgxwfvI0oNM9YTPiXc2HaKU1wSv/4VEGwMXXAiPrXZxS5F8KRTG5TAY CKhS7EUUQUVqtld4ZC0uoXjiuq9c0I8C76Dh0OqnIMNbFmsCUVwoJAXV443+hRP7VNmLXPSOtEX5 ZDYiXohGOE0YYXeaCcJLnzTgUD4MFwfwQD8RDP/ctYGmUbzElfboH8GYzGmoznl76MbIDgMScU9R Kr3t6M+gxJI2eSYtP0ZHnCU6bgPzxyz1bOUfFsiY9bT9me2LEOVdK7AgTLsdt5KChvh2VC34QlmR WWk2SVWSx8PRmHkZTlygmXaqhEseVm4fIkA6yZv+r3+/mKYe8yf0KYZ6i+7KZk6b8JueoDc6I4E/ XrTFfLtsqwjK7zXzDC3jqyRJ+REmpXxM6152LPgdb53ahwkCqqkju4E4BGz4U7tMYNDdo/hHk7YN dxpbkKiJ/pQzl8IrZydCiv6t1OiMvKgpQY2jkXIdqOHOwsXLdidGJvZMCISzKHvkOl2T0LewIs4N /p4AV+tyHzswhoqIL48/xvJ+iPj3vB6yN2vDV3gnhyht6f9+0wt+wOQhdGnGaV4eYF2RpXPeyW2V CH4jTAHrXo61vHBBQAGtgMreJQA68QaKbq24zfFvvhRGQcF4OWVJUXFpzWcBkqfhOqtRXkK1rS9f TEUEj8F9G7h4Xbhdy98q/MwDmoiGoAO+xTIS1sdGPsT9ZJnI1OnW4ghADfIzDV4mqKSdL/ERwafJ Hq0knmv98NWNz+vYMDV+AjfwSDk79xGTaNoqDjrV1cGnliSJ+0r32Vfw/cLzpq60eP8epR0ES2Gx CbUXmfoq5NqhDxaumHGoAWE/edAvUtEuehXPOZiSorOVa+HfrW3q9h6jcC+ZMbb851/NqsD2UtaV 1ubHzoqKH109EQKsuqE54+Z/1um2ukCBA+JXIVM/oDbvVUmlMGQegd9ODMbtKKH+OoTWpdiV2hVW 7UfDpr3WtPUPSDCNfun8Lw/Y5/p3Y0UMMYnjvx1b38lahWljoigtzlXHbVGE8NLftBHQ/IIgUyRq B9DZjEeVzNqfjKoWpB4AjX+bl3K0rANHYH/ymyO9/a0h4zdvCmly/thFyk0F8v6hDIhWSUF6c3TP 9ZhRa/9cbD1xwUhZ97zk/ZETXQnEYvHr4d/ZGNHuR/HQE5MN0j0mbAV9C8LGWBfVavfasHCIg+v9 qYHI0BF94cRLHpVkUafFYuGGebqgiBMtiG8CGTLgvb1ZMZMjZWXZMBS3kN+EpYiB9lQG19g8KQak /z00WUR8ToCuFN963TdbW4Mj0t5gMzy9WlGIlxfRxJDt8vuWvJ2Ynnxhd8I1tV2+3r7CidT6qFo2 0krmh981vJWaSsXYwkU1aiO2PVBbJfu36wEiO+HE5Epy9LF+Jok9w2FkTBdMpgcLLDsWmo8nUeOH q2TbJMmmCbW3GKu89Qawy08T7Z0fQvep8HjWUf6x67FrAPD35MHP33mdXXG7FrF78rXvXjVJvQqB MQKZulwqtJWk3TYJChPxuuR5QTlZADl8Nw+f7NPW3CMsCoxuGFqhySAP5i21J8jnHD0yrPXwwIth 3t+EI37BUt7gDHt+3NkTAI7sGJYLeOjP5JHIT7vrem7tkZ9b4216dGAvJovH7Mb5vEc5JhffUBbb Y9GX+Vw2Vz7xRxMgYeKyI8a6BlmrxNa1wkS+DEMggH/1uGH/7mPo4G+5K7C9Lg9c0tUIn6jQ9GR9 Mr50YGbqxlcSdRdfGQY1yYP2MGDlJywHkdNApXClUZS//twjTSSsiJkXdtxTl8byVJU2FcCXubaZ qv1kIoPFa0q2CH1cQCuTdHuoSB+RFRoGQ57SiQzoAJJLsHRK0/DcJ9sVtPLh0Zx4kJYDzW2Pr8zL b4IeTXAy2+W3/dq/Z+jyEN9mfLvVQ7BDuOAq0ulv6SkR6UeRAvwKKQORwnfvt47ZDhl97Dme4LWe V/eytsbY6VPpZkWdmKaNfbO2AX/ElPGcMDbGmEtdYYZo7p7Vb1OgR95gWDsGoE4aFMbHLzm1rpIp xJZoOXq/tWK7wYDaQ/zylr2p2TKyafLOtLMTxICYdcj3nysLk7QD6y63nNcehUSNTwO1Wf5jpbNn LorEQfb1rIKQGpqg34R2aGiwC4gltCJ2QgPe7SZ0mb2Y22sUVfKcXHvQRE8vHze5rGAbXvz6o0D9 LUjlnIN0fKNWgvzS0OhJoWmX2TpkZsV/Fs6wgg00bjKLKNf9fpeA28/ATXZCUfesuJNh1We3DbOn YNN3A+b87OFs+NMe48UuK2m2+9Sver3BDzOFKCBe2gGexS7nWHB7LttlSjhCu+v7RtGumcNLDt9c LbCMsYqMw//JvTEGV3sNagfAZ5hcqmnJTbujz/HXIb1wArZJStL7zDOjQ1rbTN1qpruzFEfb15JJ h4zzS+EkoZ8ih9bDk+odjLFCaCEp8MTLlRoG3Zep3JLSfxyeJnN5oilBCiutIqBK/GOrSa3FkFEq 4n0jEH1nj0yVJ2LH0rkKhyldDKrw3bNfvXpAK+TP6Z6UUD3RkSvNY/IVeKWIaXQCCCcGDtVnFgzk WVnmGQuDTIs3hrdGk5d0B1PF2e5X9c8GROxtXlJusa43RTvXjRWetRtZXkFsCkgHWw8qLQvvYxOr 6YEdjPAWUr7E0+UkDQ8eu3rPPgpUUSNdYra1TNK8XP1VeKiUTFOtlm5fwCe3y+HCECxDhOdOUDW0 t4cgkZmpaP4cXVnqa99W93LwgzcmzYsU3Zz44RXCzHY8rK4ztDFz0MDN+CdA/OjyGD4IuArgZ+hU fInWd0afrOVs89E0D/AlCuk1kC97FtrLAWKc55s4hXpwLdeebCSD3kQ9MsWmhI4V6NOr6moippN4 0bOHlGIsoZFzj/hyHROO9R9fE03FzxsWPESdSevGJ3cB0i2HGrSfUe1KkzwQn1zVnBFCyCYO5Txp iGI1o1N3CgtYVheRZA6uytyGfbeL5D4qsjH3M5gJmHeA0ewmjyKeNgpqTAhT9xRDrRFr6sZGL6Kr ibionpvRxq7rdg0Mu1yWQHTdst+uEBXxG4RuChIIMs7ie0jcVVUDL5IkKif/Oq+8kQJhASrS4cU7 9a1LaLvhauFVUss6TnuRT9AC/EfNTpo7nTXTmsRdP3YyfG+x4ahhO4pEa1v6LNvvDi38VZHYZPWE nuPeMFDXnPJnaPpXwXpmBaG63sz5UcysOmKgSxEMTu4gMalg25e1CKjzvMB4AWvkZ1luUkBsdQFo gJ1QeaqvYmUkzs10ji+S5wqVDFlBS2MuiKqwjZqxxjavjHHReE0d/N+XqSl8golRHYhSBw+KOlTO nHaauMLbWulTQrvScydbgOPl5xk+zXINij2Kss191k/mfOzbCx+UR3G8+QL+v6GBz+SOSOxw0iZm cNijFhHN0wFxa37xVFGijnzmK5nkqnv4La2abKqCco0ZYalO3d7DnZ2mPtqVq3yQz7TGAPx5yZfJ JzqoKD8EijGNvzxC8AFeQ625rcfdY0WHjXFzeuwKKvkUk91wwlHcX9fqbfTV13QDEbWwNgaEaRWx B2lG9QgZjvUpLV9z553+lNMRpcruuGhotJJmZhl5uQAVUukimGCSHAC3GHvv6YlPZGg3Uh/quhp+ 6aEIcHW0sa12QaLH3Ktwl7RHuOuJY8WnWDpXA9x4btBCjvTfqZJ0kzluLJ129RBk+5BD8tVueyUV iOVwFYyqSSAc9qS+8OJywchWnu/xsOguvgCNL+P5iTmS24BKmlAQrvHG0dsFH7BOIMaSHid8TiKc hzETeE1q/KUZ8Ufl4a+h6TgVA5/kV3+it9SmLQve77ONpqwhT7O3YqkShKpjt3e4yFUgOdXRy9cM aQqifNc9CQnJQPbi4G+ijivbdU78Uu6M/JrKXHznl3TkFHO2E3X+5WDWPMNzyLOJ8cVeLMkGA6tD ZRDVR3Rl1mpJ5Yc4Uh9+eTDlZXA1ON2fIUC9dGBpi/JA4BZDC1dbjoE5igG8cPP2V5M5MaCN0LSl UhQ/wA9tJWxpW93FQievhB3bZwnoZGW3bSJRU+nLbGmVk1+orAwYy5qz/A6Yv8dkU5afUdStM3DO 1dL1I8IAyQ5fu1b+MyADVu9kLvKJolG3S5AFQwmfL0kBmPgDIobu8wMU2ETTN0w21GCEwNX13GJ3 09ZXbHJxxwm3z+y9u65vR/+1nh2TsDfSb+vOVHpRlsCi1ShMAaOz8tVmkFFKxhALQvOHFbciZ77v uijrdOJABOAZYEXOShS0c8qv1iQsltyLkS1bqg1ek4RaokaEnJ1bvEFa0VMZ6uT++OYh3GL6FTrq 8WEDHU1H8DAyVNuKcK77dAjOADaDl/kcEwyhF0eS2gsM4fTQcc15iYxUwXtxMiZQnE6P53N1Oq1n kz2I8JDf+r7v5ApOjf2Bi4Yg9ZkaBwbClLU13lsuva6Q+0rdk88qvCcCmQvFKCYCm2usYnQQWENI 8BKz/doVtxIdJCqfj0X5MsVWBWD4I9FrtSVgbfwtu0t0RbSba7DOjo4VQPrbEzVMDCwuN5Y7052Q +yjtKNATwReULhdFBj4Kzy+Eq9J7D1N0O7mw35GfMUP1PU/UY2WXEAUE//tp8KmARUhPYmV97WMS ySyDb9qsFDKh+TfQKRcGkVQaFeLpr5Y2RrS8zIBiZB3qjzdcwJi0s++v1NDRWIaRrj7PBR+axdTf X18pO7k8UzVAWnS2Zz7K4suHRyF2jUaQlaAlgufKtzwOIOWva+0xIf2QcEwTo2e9ZFmGv9UAqkjx XEDE4QTxn0uxx0mz8hmQ/Ze/YmZdY7k9jRnOhjPJD6koxS6f7V7P8PRbpDjBfod5tNH+32lJbtOZ nXkXM5U62xhfDoWJIRzCcmSey+TwVlk0ZXs6E2wWutwmQZMxh8hSp7FDQdYD14/YTjK49XIMvVqA fACDeFptA1doicqimFmN68BaybXamg8qb/8hfjWMLY2aMvHhNvgAHfE/hOJ6ENDA1ZeLgtxHddbj MgO/ZZPBDhPX9NDEARZg/IhFWKU//0vOGj5MXONh+VSo+caNQyyBnPtNv71eG4z/D3GjRwl1uL8l +CTRHWueMXQlGN8u1ai5m0daU5tsKJHslaYtOpdukU4kTP5uBuoD5rq+AN9H354lKHmoAI1NmMAC lVHuIH78mg8PS3jFHSDv5n4oCjU8H+6/B1scJnrscpsVg7NltvaQHq0hK2LLHrCHg7ZkMYXZWZLO 6zllj1CKyc0xfrYhWgkW0wvQSgV3KAo01j/n8jcf+MMGYV7t2I8LTIXQFFVjBxVLfPC6tR8jI/xA 443bTXhLnPeucpGMQFjyIkBo7edCWcqpBWYZBdL6ujzV/DR0rCyqhrBOHSsuF/nKBGnVYQZesRD4 Z1n5WaT7vfhOtnDwkHQsigByuY1QuyM2oMFqmMuZSil9R7nVSILvANtnyyJYzZfhOGUTGlAXzoyJ f2id4fipKe5xnKtHtmSnGTaS8zaX0til12TotSNGjtQ4TR9rXdZ9BKjfo2M4AHWTjEQ5EqgNJndS UCmeLYewo0ZCGCPsEunoihq4+I9TyfZxy4l3BOPIfzceIoKZIENGCdv5At77uuE+f9XQNlzsU9I2 J6DRzY9+DFVKDDpgDpCzbdpd16qrxq/PkuuURfzU1RbGsrNPvM74X04UvwnfYu+ft0LnOR8NQTi+ UsfwPr3/3RzB8qQe4YkOcqypIgEZoxe9ZSynLHSzuXNYgzYE15z278TJBflQYzTBM3injUXrEhny NEZqi3bF0nqMND9LSq5cmJA4jQVcNbPAAu7jXVXV05naxRL6eETQEQ8nm/qpGPjRqo+Q8sf1vO6T L7sG2YoHyLNHAqLe3d3Z+4tYmTVn55SeJpt4bbySOXheZZB4OUIshRsDkia+t+I7PpLofhoRcH/3 HGTYCvfca+Cn5zc4e4gXONv7XuFLj0Y48rrQvw1tIhf7a27H0YFe+RfanR1NJQ+U//l5aAhdz71H uwrYyjm1yFALtCYNSNnIiwfTEgOijjc5fEzws5nUju9S1N8lx8oL8pAaOjWlMACI5beopsM1KbG/ Lp9HtGT3Dw+dX3Pr9TZDAGHFnAKcN6CFC+Cm7Yavk3tAq5LSmGTY66fqcLSB2n7b+NMTZ8tZRYkf HkcDI7mk0+VUsr0aLxvpmzBD1wJ5Bry8mWCwVjO+nnbg0A+RkTe6FHCj3P/G9GJ3KFEvOIMlqYrH XU2Q5/E33CwbTHYAp7HkAAziEIo2upA2xFNIopTrTcdA/Mjl6YGrzlR5U07J5dxWxEdiEFDQFwRJ BKwnDDZMYQHif+VG43xQFgsey59mxn6fqWpoM4CpqlMEQJCtm9+LUQO5OJgQkqpHfA9G5MQjW5kH 5xV0JFH0lrQU+T6J7dc3tzgzy6fXgojAG14MRb6tyF5yf3hKL2QrufaMe3jo67gvakQQHRVtEado PYoAmQtffcnWc+7rL8xwSCGSLd/5E7zTnY7rr0L+vM9DTx5yoPLx6IqWrh7VUxTuIN4mWQgu6Pvc hgIdOP/2dSn3Ujf8h9ix4g7GSsWGSR/fnHrgqLf2Les1eMwUZkY05kVRCZ15bEcBSSm8Ay6dLZzP lchv1Y0RN+K9QsXvT4yVcRmb3n0hx3G2L/zvWiLmaUyPKm5htJRvn64NZ1aTk9im/XySLa9pcAhu MhL9NEzbLDh5z2Mnc/9WgXW7EVxqxrImX2WY4g9HSMuLQ47JTauE/5yf7bQWSeicDeKJMzUbqYev CwRIXcTAt7PSqtEmra1cF/X3VplrmzZQSiVtk863vlmyhyYl/ChY8CfE0jIquCa8HLPZdnuJYhHZ /EqHZ/D2CRdshvUJ9R9u3shCGqLJ5UJZjqWcsNI5ZrbzWUwtDqeHNzOBXhKauveC1DxKb8G1FECp 9YCEvvfnYe6o9s4K/XnjK5SmFhc+MLqVk+d1qIMemU1OaiJF92ICSozqH1d0HtFMhVBsNnc47lZT iYiokyvg/rEIJyey1Jd6qjaPQ+paKqkZenYKcCA67W53e/I3JK/fwd+i9GLQjJA0YWOgE2p257Bg Tt0cg7fFI2rQZFTJoqx9rKceR6gxPKPr02JXivGSPaCPYLEfvEPq69qP0gWkvy04sl7Xz+p6FMxH i32PJfeB5QJlbx4LlvCVyNe8QSH0t/nqZTUdSa/I/kfLG7PkpbQFNF6h1bdNuFhWY3Otbcx+9NKT ZbgLF4BnPbfX4uhlcOgyeFWLvcS+6N2/jK0UPOjm5+wGWuV3+34MWNF2OQtkWWPEqWSVNIZFl1Sn O/DZobf416qZoWQQ74/AYPjs6JiAA5NUNhaQ/xb7IuX8PHksEgxhcm02eWJ0FoWGRHGOSnuaB8Dx uiUnSJYVD/J06aMFLx9W4kvlqkoW4Obq/KJ6b5mHrYoWUXsGT/J+ZXOnYfHHEEFyuAWRGkYGwK/a MwSUoU36VCFrTIDOVhPJ5hLZEyvPDzb9bXklQC8blJE50+hARc2cNPFtug/Cq2K76fsGecpzkQdq gxrVQEh9utipu/pZERQ5rQeGROsuXHmRshVVU5XA95i4heNvvsJc90L+c6b8iW3szNMdYSKMhaXr a0Be6lUlxiFmF/2xVizsTrDcrGSxl9PFXxatnhp2LyAb4LbYbkTXi2qWAlaj0FDl2BvM+KwWa+Kd 7QSYEHN20cHZPxbB4uE1nQztBVHVLjCMCXI0U09CbzYHOdSy/0O3H6Md1pilyUq+TyQoifCmKPWW mClozbN2d2bHh7he2OmihXP1U3gc6zi4+vVvCFfuWz3EpBgXz3hBHn4eGDgaiwI3D6DXDx0yUYvg MuzTU36dSkwSlDeCPikdYDFD/h6g+XX815YqMi8uUxU6jVE3gPP8wM3U/qLQ/YmoMYqzBNuiMpM0 4X8S5MBdshxx5eWQZuLH+Wu1mLoO1ENrvtGoeDprHq+BtmIDBfIUzHE7EGrncZMTGoKNBLVy8vk1 XecDVI9VibbwFc7XFb64iHuOKcMDeI1LhhshHScSzERpfz7ufNG3dS34ImPjGwiEeq/oTk3WN7cq K5KOVkanCFkUzH0d3/XI3QhISxGHH6IvtBZivgWJcAYo4Ewxs7rk9/3tBqi0hdoxo4o2upXMzyUf Zg6wgzxRdLw4LkxTgH52SCSstpHTy9zdv3cfSqEhCXpgN3DaiYOUtIsYL/kBnTC8sUmCSWk41Gza b59L252MBNJRBCAtI8TWa1EJVOkMHth1WGIz2pVKd1oMclAd2zbGTDdlWE6pH8x5PU/s1+k08lEU EfvDylhlUK4goO+4JCqyw4hnTHoBs8LNPbbboD8KOD7HjxSXx7SCCF2eW+8Oc03/uxcG52+4YhWT IE77gbpfndT5W/9H85aLWeTsd0z56BYLnS5datmJN+H/LhQrvtxQmQyhniwEdWug5THXzDzUYsgS tsYWVMZTj0YUVkwEz5JkIOhGWaouSv4KQF+YEtwWUuo16O34n+da/wYHiebj5lVWTFr+V3SkObUP RgcMPvlg3Jjjxq7vfG8d8YfUFHHe9yJPagrbdMdupr3+5dleDkKjTqnRA713YMfAppFqizUdpdZ8 TTeepuv8KuImXlpvyK4uDPwXl1spMOI+AP0/Y1X1KOCoZwuMGQbq4oZVZpfqA3Towo3OskBfBb2d XSe0DIlfHF54k7aXCudpNljyIg7VD/DEtafPuym/+UbKBPavC3eb7Xje9yLT05dmc+ipsRxZdVhm zi4OBvOGehj2+L594fG1l9prGi0xLy6xiHFmjWghstocCREsYwNBPBGXBRG96X5y97YaV5oiEIEE tua9BxLxjl/yk1FGTFfSqpabftxkG9keDYoYBHJgYLIPc/Q7XCKvSCtQH5lGwaoJeP5jm/CwOrtk bQG6uMd6ad2+azYIZbfM3yFTfpS8sIIdnPJz8pwG/3w7lAvRgwZhbrMv775SHXdptAJOkDvx8JB0 Vf1Nsw+R/OpGXZHuh2CACIreg5Eq1f5+mFnZ8gEwuHgPUFsF16Pu4a60twGE4q7FH6cGPa5oZfdQ u8zn/ejENhKed87yaS5axwnPtgGdyhk4Zwb0otDSd8RLWoRANoXJHWToHTjc4YXFW89O6aL+hpXJ eUQiA+GDkasPzvbVe3QAGElSdO4Vq9vq59tMkLLuKMeRpdPpdmlNPpfK46VgXG58KtP3hTa/d7PV V2EmU2BCd8n5aRvY9jnNWoILuFyqdTq20w7AIJMIV/I9q9qSNzkFqwDWQ2jrN/+JC+LtczHxRKdE PN664xWTGDhCH+ufdhYbnZid0yzDa1eriG/NkVodi9eoqtBDWYqsbJjvoY1nEX5GnqTlEOAUb36u XuAd14WgLuFmnunsYiW7kWl8gzcv9H1xJkj3lDWKMvR4PSnUGh/kZEEwT+6uUiXEkbnGTC/JTq3c zeMtmZBhYLTUtiyCO7VgwJM0UxhtXtuHaBthZKDVXiZRbQe4YLwSwMQhgcpg+CmN3zGPK1pNJIKU zLpCNg+16B6u9n8H0SLiWwWhwU0eoepD7JWCfRM90fHWpqFAt6TD53mG9udUESqj0ZozysoS2okm trppdvtGKAJYx9PsygJ+ImDcI+hIx9e0dHdWyl1gXjI5nSoSZ+CnoCcQBzx60swt3eO9VhMMjoQe Lufvuv6XpZUfIgsZZ3ca4HIQrqxC3pi34P2RpPblIoZJAurUTyY0RFFhCWITTl3lQxrCN6udHv1p tU+R4tbH6/oDNg0miQq+58qIc6XhjtR+LKQIEyt7JCkRk2gLEZudd96d8JTFJcU7dSPNXCSVjbXb WdJFyqF12q5KadDCeLUQbxHohCh2zOXoWSpBVCaI8Tdn61KtmrUI2IitIvQYOqP5d/VWW3p7QPJ+ SAp7Vdi6ibivdR9lr0KuzEHCMi9DL0lAAr9vTVbFTzxNvZvWyafbRGy+VOrA9xtpMSAJtxE7Epsp /2s6eNPpne9rPYj3AWpFu+ggyGRzyWPig1Z6sY+vVKZ6K//luGnsqvcddYgLgVTao9N07ZNuchDj 9xWLUBoDegiRHRA5k0UstRuBUbboF/1aOnfgCO3SGTUEf0ium/3FkqTMqjKcKH7U1MReyUaZ/WOq 9dQvZFxaoELuQQw+SbwhqQSR+91z0KlkLdRpGX6N7THVNcqsHBpgF7D3GRY0ouDj+ljXh9/Y+KDR WFAVW4u+XaYUZDKrQyf3taoazh/N5RxucSi1DvgdWoQtCOzm7h681txmRlyTzSe+UHWIsPFmPSqV DkuKTX4kxCVg9xRtZsi14GCZcIcFl6oG+U7MJpdaHJHGgm2KYxwVwsmUyd6nsMjG3lBSubbNKwbh ahNNwo70jWRZr27Cm9VnwC7414qFIapnITVFavmwxuj7Kue13KwhxP59dz/u1KEBqwBI9LFDxQp9 /NdD3V3kh/CFcCiKYp8tie8fIPVVddEsZlqm4ZShMUmJhzsF4Jp/xQZZYRTCC8bFAHv2Xvpww33w je4drbsLbT4luAqdlFpoA77U5bf1RqoJtwe+LovasmiacSuh453MNE5wTwmBojcT16Ge/frwML+L oikoUiqe+Zq5brM2jqaRfQsMZsjsklfUlMUh7YoSb4Lpevdd/4dTa08V1RL7KKtIkfWguvkW4OXo XS6N37O/9EeSuKhyQNDHume3TzvMPrarIKNb08EElRYbjinCDjekSiUtyMBzlhgB+vU5FP/UpARR 56iod/oHaVw/mtyl3yaGNT+spai1R276ezdD7EowV7PUerm9IjKdSlGk2zIp8KpIJ+onLCNrX5Qm a7D271i+syDCUOELFzmnIZ70OE8J9KsH3XhrhUb0l9SUCGKdRV2B9wq12DJprFmA4W/+ZBDKwJ0k S4K6t3dJaXBoQVX+cfrRxBUKnKD6taq+YeExNBQcVG06vim5Ef2nZTA8auakI/XxcCuWz0r0odwp J+khPkIbymIlTvj6yyP+VHd/lQApzz6D3E2LibcWYvH5YMmxfUR7+qYoG4H6+1e2rg5B9dWR3VeP UEDPNwuuTD9OEkeTQIWUFN5laOpE5dxcnzXvD01TANbh0IdEma7AhKaocpZk/rtz3ZnULnjdUNHk YED9oqaNk2vhK76dLifBSIPRjLiSiu3n7ZAnBrP5wsGyls8v4JiGL8Tmx2Rnwxq8HP5KasNyYncF nVAA9U/hvCJK+xhG1p4emOCzTR6uRzmqpses0pIllebAuJtxL0wWj4KcNLYke2k337MR4id0Nnml fhEssFqWgEpkkl/UHGtYjogTo9jefdJTrDZpXLL2496ERqN/t5hW62ocZWiGJfveLaAP7iGhjoOg EitQs4enY5fxzjdrASYUDivSSb1QVcrZiZ5T9ClhzTNTeS6oGhI6KkdUonqGmEKzn3wwmYAWWVMU d7waKdGI5ynBiK1u/lolsB8Y2esR5rylnVgPj7pQJC5tboxQi67XFufGq/TxdT6h9PCme0hM+rTs Icr+rgVxBNTL8nP2NwUwcszT9+mDpo0eVMZ2S9apHxkdgP9nHVogZEvdw3/PD5I/+fYTlSOZrNwp sFJQJ8WsxsOpy5XQ/APBBkEhb5scpSJXH2E0P9C8T2FhRiU2ZkBGjSyg+ix7BLW+NX8p0ODztfFk tEetNw+weJwNUIkii3vh9z/0S9WcJxB74jJZL190vUeuuHjiNy+XfvtRfqMAKfLXnMtLQciUQ1Wx ktDCaL55Ov9oJyg5eMsNb3Wdk4gc88yjU+8vsaw8YhCI/15wLB2dJQY0CgvH265JOMCdJXRnkY4r /wCIRoBVpU+MMIzA/J5+x7t0DBpSJ7/TJUX4XXuBbBkNAsmdvjXrggitqHS6Yq/EmzxN1yAa7uOG ybmVVC080BzeJQLN82S1vljbV30JkyQvS05VICrqfLv4Cbwv5f+iOSf8RVcbOtKI5LF+p0SYulF3 q1vUII+qBZokKg8yjPeLYaEGeqtm//QJIKWhuGHvOh/12U2y2wmrZmD/WIDun2mprJ71Co7o4M3B Y8LHtYpqV0NIUJ8dfurbFThPcqR61ntrOU2xZxroiSoPIi5RrTIatEr7sOsLqC9LJclWOZ616dtA WKKGlwr7fIv7YoUsedJL0q95wP8lpumGw2zKfS3fYS5LXnmWnbICdcb9zqIlYoLn1Zdt47WuWqLD qLmLq6xkl8snejqwrKyXSXb1DmWlWps6Tn3p6LGhVSJc7Z7ulBBgmSoqINTv4VdzugP5po3SQChB fAfHrjd8zgqf2ZeBKN+gzBKpb6XoLHWJGtLD9ZZkZX/20SiQPK4Lsx91hbeDdUVFEocPmlLp4qL0 +mQkMiMDn/08Q+fTJux/lKOPmfgVtg5ntRWyETGiM4uY0x1+nqc/+T7Lung7IPtbgZTuaTB5QSnI ZpuzpGsniZyKfLsfmp3+GzJza2w8cleSWmSuiRoQt5/nG0F81HIceiMS0nenHRe3Lgbf0OrAB+fs V5Nct8yGFHesJOG6VFzrzhuGsy01+bMfsFkp/OzcFdDj7vSc5gxqVa6be6zokAh+tAJvnaCYfEvG 0XkhmPBodfFMz58VCe0I0oz5XajcrVnv8oG8TOGketX/YrasGPRH/Jl860rV0/FTY1QKrM2XYLrk 0hyd4+KhWxB1fny2kwY7xK97YRuM8YMscMwNwnx0C8woPb3o93QL+xG3OjKZ4UeXsOiBdcTn1qEg Jbw5OAznpfxBt9sjUzMzRSBmTOZ0BDmQGnWEHT/SLNU7Au1/QckgD2tJtrBuveV1Gqyy33SAES0/ R9nxzvTv4ZjMRTLyuXhcxZMgPXOBRajrH0T6lgSWOkQ2TahQVfcjVUw7lclvJZJDAvgVxm9Vd2XA LZb4ZyZtsd/tiqGgpBAzDiB8dZA2EH+ic5VPa9W+sW/nE1ZF/zS8aw/NCiU1ZYOJRLYQttMLgmgJ StN+TN0EOpp4lwSfnKIJ7255ntg668+u4TZwYpSxnxASGZlas3yDNkNUmgUrxyaM0nEebf7zVlz8 +KgRZsu7U3q90wMxTKno10LZwJvG98ceFYSe6g7g7xt02cJ+dCdRN+WD4t8Ua+4IuKhRhT4w+hU9 FQ7P/YPrPAAxv7sSFutmEOqUIj5tzAp1aCmnHoG221E7uTCgT2bKyrCy2stmuTPchPwGXDxfV0Uo 8CXDr7qgs7f/ruZGwecvdPldGWEEB9nyqgh4xdH+GJJukzel7VgfZYAUSCn5hZH7TUNGqH+35ir9 i0ewN9iKwEmNzSDGOx2yFGlN4x+ZS4BYwnAtCCPDvwvj435IOsy0ccaQ4XWrczfSAfbucmicJrZJ kacNtofV8sEsBtBkgVqF8kbWvjRSvrcRfYEb3zqQYzI1+Diz+UrTte94Jc1LZHFFLZnFDADMSugk uXka4iJ+9buD2+ADyGZIl2ZyhTuDib6OrWisJeFaMxPmz5/jSDNeSRDXNkIHZA/RmGwUh/kfp2Df gCzrnQO5lnrUdZriq56I0t1EWy5ZUDw5iNyftKQf6XqPR6xA3aFuKUopRT8rvVRNsB4w86RAKzAq NkYQKYMnYNJ2IESAmn0rv/rKDi+07IIJuzIBUKt8JdhqvMbfE5D5lrntTCc6PeR65YrRkGGu9eIy bGqvn1OCHAdHtl+SbnurEnvlxo85ansYbVddE3wYTULtzt2xWA+2A/eK/oFGwOx/61/bjKSwuA3Q zP4+uXO7SXu85xrV9ceEtiVj82fFp9r5yQ6G799tnlN/1Im/ID3ZR2Hb2kMTe6tiwAxgJL5J6QRT S5/vSgRYb0cQWx/xoFfnAjp/g0/aMA1fKuN8LDnnMA7k2g6AnVPaTwyQ5u1Tc0I9zUepEuUzSdp6 e5DY5k32NEcXxE/j1rnYxOFFMgcMbugWD02uohG3REhw+6xaTt5dnTqFlDwfxzCPgCqj/2JIxVnb pmIwvJnoqlJXadRBId7C1UUoZmwQABTf/cYvWWCe76fvZZ+uFzQNr8zTiSZX2usaYS4YAc21VWKW GV4LrjdDbQWIcleL4emyedyv2pZ8xGBxJO1LBdJza1qaA/vJOip4z5ga9QtcePGafqYVqbv1Jpj7 iNHJOBcMywLMTR9ctjKv3el5PQVgNK7EEw878EDyU9cEOz9r6eG5AnBwdFK75FOs7HAWamuNTi6b kxK11RK9dNc1Oo1gUN0+Vu4icNeq3a3yiIGxx6zZeWpye2axE85nENKJKe6t6qSlmKNETOnwU1Wl NPIP+EPFjn4+Jv+b0PuOsu3YuNf0JLQKuGUjDvTMHPpB4BwHo8fTVvFPPF+9Rs4QGnv6RNzDieuY XDtYcl73iJHQ3Hn7RudNjBJrROuswurepAWvr1fJLDPsCoZaHO8ffKZOr3+5SOxI1jtl/rtnaiWP V8v7hhHNjbapplAiKOvoL1EDBmPIOQgJ2jucQk8OSn32Qwqp2TD3Pnviy7wzYaqsaZrLHmiiKb/h 6M60RdeBkmSv/1ZhDdqOZNwhONpd33XHx8xE7DRTVBGtz2GLdKJX0+yLzboAAaGFZRYhr3A3Xv4R 47lW9QyNaIdZC4FI26JeUu6FfGqrCoUaoOsGytxkljKgB457t+VHSBaS3rUwKLewJ2WhEo1vBnWz RPkGSnMm4VCzoE+kRK0Ml1fQmyDj+6+VWA8ux+Gpa9t1AppI4pkZbxg53FS9SmXGD0J4mDZLy4gT PQbBYGjwtm5Kkl54MhIfwA0DTsW0Ik3CbLFpcv4WkNRNDDqQlX+JuluRq8yCOerizuims0wBnP25 MICJR7V/jM3ZVOP7tFw6TacQxxhBIg1HJ2Ct5UxQ+96i/3qCtBKmS5qACWbdsFu8CypMRPkKZ7dP Sgyb96/bL/rf4kAQZ888zG9uvTQre7KHVWJ1VNHqrAvb/fqXBWJIVK3hmEosfegKWiyWWsAH3AA1 2PK6AXV/NAUqntE6qusEB79cECm48xAmWEwSCcdtt9mrY8WeZ71ssrcmHTET1Ap/thWh4n2f2odc pZnGvGs04owDkaP1xUww9KMy3KeuVwYeqWJuFZXHRLhyk3AaW2/F6BNp4d5QTcpP33Q+fFh7itl2 DKZUz7QIAy2iCnxvJ4DNzkZ28xZAyp3K6A7x4jd1M/jQnA6+52a19L31QqM3OtqRX82q+DI//VvL PGLU1ZPBsJ84Fcgugvl/KR7BMHBOEko/J0pgM2/dZlRegPJ4x9/hsL4zjq1MfgA5Brc7K9liuK1n 5/x3WjkNaRuBsT/cYmhp6SuC6DBFfCN37DBFwwj+bJyUTIpCCA+c2r+QYPc2/0Z1aKYMxjQIjBgT r5fnxLejtH9CDGLcN9Mh+s/bnteuBTa7bFI2r+wbWvGYY0UMZyqCcq5JR1SqvOuah7bOe8AKEIXY Hq25VIDtPa8YXDZHvAIDLSdOY6xt4YpTvPlVtwZaFsMht7xqoLQPWdTQo268TaAzomgkJ6s+MfDu wGbjzoROKnV8eAOlmCGMW5xN/E+t82Rn7FJ17tQI8KU0bbf41MRzw/+I9+9gZp/YIvXPmU++I8vf 5v0eI1clFpXnzW8m5YE6c7ani3c0nnrk4y00c6v/s/YkwF1k+EAsk0pEQbFsUDPrVYPGolpGnye3 tFDiyf1opl3/IFk31nhb/XVh0kxHZMth7fD+lNHWbBcYurwiR8ygstnKctLXktnCMD8PFOYzMcjr dXMajniyl9Qd+9t41K02Fi62gPGw/P62onL9wYYOct/t4pL1IgNDNCkjGZuaiA4jzA1ejO7gRyk2 HuwgasCGm/wsXWp3RJWChJH6FtyXTa5g5s0IyAiK+D/++48/io38Ns8lW8AIq5ZPrmrz8dwTU/Kq qkGD1n1nL7VZGBhiTtK0e22LZ25JrZSRC6lnNqTIIkDEiey14cYDihiuE7SsiHywnwqlZosWvZM4 JIXILdepBng1GQgEuZ+njxokEyH0N5kiLFInRf1WCJeiKirBcl7oRixWPWklTWXtDeRaeklDG5KC V8PnBWYcswMFssepNb+9s/3Kxk/Pi7pi481KrOtlb6Xw4ELxfP1pYxBo+3mJB6toP4H0YoGQRpo9 7lvee+A6ghI1dR11Pfj3EVU1k2mWJJBrQGzOfWnvrU7QTUO/YsEhlq1gaWc8fWeScagu3zyMBvZC q9kp3xjNZmwFN8Y8aHSuT4LOtTDQZ/b5SvFhPzyaIECfn/i/NS3WJjYXVZgGEZUO3LE2nJW2sVCK I1VNOSMNNVVrvu5bPSnd5EpRie/592npxBhOYLKi6DNBTnz6V8PNahbSOT4uaisGMknzslDq2HCP dW8xC4MgyJT821TGoPwzI0yCXGN9TaR0ZQqrfIEtxOaUSN1NSCKUzRXFzKbhElHF3GJr+Hb95/3Y 8gz4ASm46OHW+403QIQv6NLOaqODXK3uUX7jRtO6OBRm4rZZXQMJA/AjPAEGXCRyTXv7rHf0RXeJ gBLLt/tACz1DJd8PStsu4RDDlRnWWcb2ACsRMBY6xGXe54bQlSM1uMGv2VjBPNdaHuRBXDj8orqf OHFHkmuyD6ElyaDCI2wHPlZhrjuxHarT1NV6XmiHM6nxsKLjNoEsU+9slp5zIcTXwx4+xgHYjhIW TUz4YZi83XVZlX9E9Q7fQxhCjrDlvt/ZVArD3U/2VrV9H1ffeUA3Fv2OvgFhDFRnauZ/m9iaReK/ zsVUzN1fOXDFiZkRjvOm4VS5JKPvAp7ZVfwsuVWXxDHV2ueu0+qsuJ+6UibNU+99CNvX1R0Ou3W+ LtYMIJjfN4x0s4iYDMyOyEuOLudPI4zPqO2B61LoZsG1mu7RlE+vgi5FEiRAn5stpSKDY4EBHC8U Dm/xKly1n+6h9XCJ/YTelFQAUn91B02DVuTAax3CQQCSZPMsVVvYmQI1MS8JVTqY1+n0gf3lR9/r 405OW5bk/+5MluQRvoyzZOvNQJlvgFgK31q3HxQfyCdeVABWTstJKSM3nVnnED2sb4MnFX3ai8kv Nq8Jc/FR9ilKCV4kS9Haxhj73z9rV+T67tSWZfF3gGaN/ASpwSHfEDUB1/1CMs2N45BI5/kSHB8B GRzYf8pjaWpdGP246QpsJx7PDJG2XMpG4LOjdRZreMtpm+ZkWAUbBzSFttMk0ApGq3LoOleUz0J3 XIXUsW2yJlmbcozMOUY9xwDB9k6qTgGuJ5FPc/GKEqPFBZRu64X0TGXmYNzJiQUQh+2odCMBuz2q KgyplTd583zxaKFfWbNZP8+8VrBWgYivgVuxh0a6R3ddeT/U/rH5oi8GoV/x4JVAN8POGa6TQy4f S1A9VFpuqy0PiAs6IgX2HEYkdzrqqINfUhfJ1PteJGekyMhm88Lq6YNXR51aleQ9/bWnmRxz0+0f NrD/7jY1E9euyOpsiCxcjHOlOdpVuvEYaClpfOJW7ORPwJ+wVgguKTeNXWKDDuBzEC4OMVtpfxln y282nCM+ghRXE9zS9S2VY9SLYP0Fhaae+8k/x0NvT7bHSCICePxpUc5Lceld+rsxSPyztXXi6xUE lftCBKNpz/5Wa3dZQIAqcAgTi+/8phuBpoFv1QPaBYRIJVfoy5k4mzUS4CsH2wSDd7durkahyOxJ ALoNgWPbwQYcYm21WlIcOPDMTuP156Nwp45A+bATK5aNm07hvsHLL20aJGcAmdIw7HrN2my/04OO apijTamy5zURrt8NzX/jcuRx3jBAT636gZnRNWzfPBnocrScNqvynwB+MHTyBG2/ZyULh+ehSEW4 mvbnzzcsBecOQiA+IIOB1/qUDpSQNosTaEUyYd0d6e2GaVeQt1u4gg06etTAmEoazEzO7vNUAl5M BQizISndTfilnJBGNAPlZqB6X0406ZFbdG3x0H+tZRMsd8iUPSQHOrX6kGfKUL3n4H5W1aBYxTrA /Z4QBQA8dc2Ml7liSoiYlimP1j6U68wZRycgYqIS/0s23LTQJAfnrUVbk3WOL/CTZ/tKUXFSnEoM 15t3T9N6BMUTxBRDKn3Xdt4JSJLeYKCwJGB2tTzCVUC1jL9U5cGrlG+/2MVJE3xa0hnC86x31iwc dn3f0gfKXt0eJ1/z2KbZXRMncCE/BhVZIEhUQ9dMNU/a3OmiJztFpFQXHwj6uo2hv74TKECIajwF 0FfdfAcTBAlQGVfUd/p4GdakXSsc13BZCTov2hcaqPV7d1cysmwERDJkvS9PDFG4ndF4ggwYnxtc SyblVNLPeFnCxRrUylgSbB2cVIKR0FaPNxlt8m/DArAW+OroSNJLrK0Rr1yj96aHsUVkmqQjFdb/ gj7k+a2hnWPlNp83n6GhSeOdwQSNStrJLjBKexykbe+XhmyaWdpc916PtBgVgs8E9P9c0ilL6MOy 8RtqnuH53Yuu0EeerKbpHaBFyRIW6nW+WR9+9wDN0jPG+cztO2QEnuMaEQegYtWqwbUJnLvqWjaJ ym6MOYSUH9AoLlwRW5ojNkoiyILcGb55uNAUZ6nT7LaF6OArY3aQ2eU8NXaG8ykT89MeoixtS4+F Tr4s3sLXXSUnxFyb2BJnHIZGJrAZAps2r7rKc40fEqABPZRv6Djk1TvOhtFhRU62B4AcH3IPRO0E FxM0WUj5MDp7DuV6dht5n0cM6ua4tAkORWguJGCDEc5WVtREMc88JunYI+cfVOASbaYIWh5/9Ix8 33vvnLbIqYwzqfYdbBsE7Pt5mSUnh8XuiGWvanBKry0NEmshVCZ+vGGFKrgJEbof2YyoqmYqsaaX rRm5/YnepiV7DwUbJjO0TUvizwTbP9TBCu0XGTAz+YGYOr2rsrW108ZSNxDL8FpoyyZYKDlWNf7j sN2dhN567NJFLcfA/NPW4JZArJTukPn2SkvsmFq+vMxZ3kL/I/Af60AQeePTJ+bBh3ioIPa3do1c i1SnYPqa6yekjZWwXsxreKeAc0FzSoLt3T0d77tAisk1hhv6JiIVx612ZW7S+C1Rq1etdDicAFDe nk6ofmFAVvCc3Z3UdE+9GI5vnra/LN2iYoBLBtNqqs4A5lEKOtSXdMzGLp/vQ4pIsKgXWG4K6TGU 9s8BnukUSK2HlVTh8VQ1cK4gkrrHFlSmqdbdlR+Za+H3z0HMuFH/87q8+EslWp+PGhkEnkZjIgr7 Y29Xkcu8c3qA1E8FMANEt53D7LN7ikA6XgyB7qpwF3xYtxngQayq5u7ywrpM63/trzew03MSSRx4 0aoqjxd1+An84PETRF9MmafYObBUle2n6h75gsDjnhAMQqjL3p8HQiB4Eb/maamlU1fZSc9GHkjq ItiQEEvJfTGP+Uhrg+teeAHs66Fr/xyzjxF8q2/DN7UKST/oAtlAq8SI15jJhsmBpKj2yTRUuhAl +3Gw9BOWoZsCaMNERx0ZpuNsyYVPt8kDd/zkH9Do1812cPMTq6rv5nNV6K55JqrqX+9sCAUVaVnP 4aryXn7RcRV/D/Z/rpqJHsUxz+FrBAcUykWJDEjdyTE2nR7NGy2n936V4w5QCl815pBJfPZJDueK SnAY9P5nEJpM4DqOJxzIMEQNzP9pb/SdRMw6RZ+iEX3BFq0gm6tbqWuEd3IOvHOWZgHAE51sPHEj 2mgUWzTtVGEGmSEf4cE7ZTAYs5Fv3QfoTgQfghu6k6iE+qpIAaknmb3ppdo02IRc7dFLkBiCOhxR kDlO7k2wqajSUAKPAPueslf6JazSuDw7nDKebW1uO/n7eYipq+l8FL6+W8oZEqHGJGVZg1dkCGLa 7dIfD7EBcTGK63SCssG9WQzWZ6H8r7viHu9ILA6kS0Fa9LD/0zbCy9i/Vd7S+7l2oamO+EaJQZPb 8FZX8p/2R9dp2Z5iEdbX+//jNGZkZj+wTTmWK6M8swC2gFMRayYmFpaB+8yPbWb3X1h8DjLuGB44 xTv58xe7B2h9QWkeYlLc1PSc2tmjMtC61k/qQ46agw7Yt14wxK4CbBJMPSVrwYNGqYeWxz6PXwWQ B8toIpG7GvUuVdoc9tmG+9sakOoJWTZa7JYbP+ZA5TU18Mr3svnX3hDiIqZuVX4v1zOlJxUzLn13 IEphmwnQoq65kdoxIrjv3Ro1Lhdc7jdLnpSy2R/ECubHAnTDiJil1RRwuMbqwNnIRU8bFE7j5K+8 v0oAVzqRiccjaN7WZrUcuMnFb5seoxnGDosrvXwXfERigEd+xg5D4jQCXnX89Xg1o8Om+T7SdCjv GfaNQUsMtalW27eGsbNBIYlHa7FC5LdeqUy9ebSDIYYCG2qJ1LWWcpgO+khqxDgOACLXz+rfqRA8 mwJdxzXYSSVRfG7/uK6rV98R5B3KQ/rW5o4hmUE1yNmgH1ERltHiVg4bNYlQ8xQ0ucZ+B1Fj7oWE ReefM0X8e4QLZ0tM47DItOePvhDfostWEebxC0boyxRXnl4b7Y4gskx0En9L7nf3tfWTbFXvdm4G iAcy2GxQJ++mS9/yhCQzmpBuilUQQjvcGKp57BCpC9m2UZF5eNjskToQURPRPfqZBw/soiQ8yimb v0l8mUeqmMUR2cWBOArjHsdtQLmYrPH3wOxnC5xHzcG3NaPBYQhAH8ZmpcXhZRkW2hD1+ADGEX42 JDdlsYrEjcKoTSuu2pbQgY5HdEQi9rKCIaQVvnI/zfja9NdZFQAYJ8Q5x55D05xEElOjBPvrJ9Pz LcXQud8tkjqZT+tzUyBvghyRozCrXqzUFO9e7yAhcklkryNSAct3Jai0QoABfAXmi9vuzycnj6cG nMtmH2h934rOU6KDlvwkBB6h+EZVfv31YJ5pBowFwyaFcp0MONuPLITdRg4ahNPrK1LvaRKvqxOu tULuwYoDGtdRfkaZPakXme56i0A7GCdHM9oOYAj0/BXRurAA7K24oyUVKRv5rBvAIeYiHqVRPGSl EDwNaPQoi4W4ZJQEqKV2UbP11sB2zgsaUfhIPbCfkpdljb3B1T1GCxFXPUFHdKIUWf8sa+okJqcC 3Hj0qL0K+7MZNNCni2QHegbcsIDQPpVCiqjm5vWsqcoXgRUyXskz40AB1iddstSqFO40gUKIPwm2 F9TTsUac4FjdIZGksX4OTgE4Flxu5oRJPzmGYlF1yq6CUfSrxmjBMqnXcH/6FK0+u+vENCNFVfju kzcEfq8Jfe8OROdqQccRZlSSJXTzuOC8uPjBqQHilIbgIx/HySoGDouJ/al2fE1TJ5PTrWcs2d5O QzIl/aJYqyrfAVOE4coyjOrdlUqwa1G9K5fQHeSqVNj/pyoq2nimqKKJYjLD7Ak1DB9ksMGe61cV npjGAod76wfjdAq8g3817RifoW14IXkAkqto3MbGVsTMkvIBLW68Hv2x5NjNiUMMUqzYmvy7Rqh0 HGgbdkp+qHTia0Qobr9u9IVGFPTKwRI6a8OcvWuNZRS9+WpCLowmmFw9vEXnxIWox2LqxbMLk8Ix 8AFulDSvVc81R7SGlSLSjjiBwPGOZhv1UXk6+SOqdqXUdlXmEz+uhhdZCo/kbLxKYi3bjBd0z4Hw mzVUPG6tjqY3haE2/Xv+3T+I969TnbifqYtJAfOWI1mdxE5BmkMaOWtRKO8GO8jfYNuLmmzQHBhD GETSPFDgQySJuVcbz4ACW8bNshzBu+T/6RGIGJgO04x5y8LpWlcrErTp75/5wtYG1iVxHfv4ysl6 zQqcAWDyHN4bTwIW7tzBESiLrz06TqTLctffeb+/adhxsEjr/Yb4r5AvIssxILZGGOI6+6JefR6+ A6FApztXW5mMFwgg2GXbjZJwzf7r2ujXTd2Ik7wYmUI79WApr4VUXLDHCWkEjRq+1kSah2sCJX3z Z5x7JqtwB0Mxo2hGjKAiWFKdPHXz8rbcGiq0RVg2y0qriQACumOWCwlBgIU5hM2wMcyDOZXz7xgN PGBL5xI30nir3Vf75rIzglr1zjYzZt5bdLFzsB40CYVHeuJkSO6YQjLTdxkyIwrzFjyn6pJt0YeP GM/tbwHdypXBC8YAyamd1JGY1Hh/RarH9QbziJS0yokQGWi1F1ryAbu7ySFtcZ1dJxTncr9jSOfm XwpTbeIUDwdLn0xlqbypWMxyEoIb5nKBNCNnN+GhAIkw/q8cpFVTa5XgQ0WC4EDAN7pyVJVmKk/s LdM5a/DyWisLjOj4+oXCjJUEqAJqiiYW4hsSWy4FXmTvsDMeyD595Jq/uIGg1CcZ0rywShBPunEB gwknsxLKs2yUKmRf5NHTyhg9zPXB04tj8bHGZiFtzFx3t87jf3QCiLtSmQnPoxDvi73aYr74Dtwi X+3Aw08nODD9z2HpayMvvJqS1xsh2qRB3fe90KM3NSYGRb0v5YmRUc+rkXy/zNs+ZJ+rvNtCanNW fyLaXgtW94D9QSawSiUEbVZQezQjnsCG+lJ3KWCK0mfCro4T7jND03n2nTc8wbrZCxE29Uheouf8 wrA2SQR44K1erVHjYCrd1gauTw7wY0EIwMVUcLBFRK2P6y9Z4D0X8p5c4cqRT3M9ckZV5iu2rnK0 5diNtWCm2SI6rtg50iZ4K1zPG/kCFRU0LUWkjl8mptQftmRf/Dji2cffFIu9YdKgZF321bd32UYa 8Dd5ISeZA7f85wFnuRT6MB3kCGx+E41jEu7OxtmJ9LjTiQCIwpNOYUJ2KRCiGlTafnpF8CHFzbS2 p3Z/EtV0GIGRmgNlzaLVFDZwlayLDV7muc0Ks1ENLMzuU9drUverHnOO/MJoAuV1bikRtvT+r1Fn W0Tr/BMq9QJBtE+ycSdLYqR3HxoYzr0b9WYow+hBmUvtYkO48eI4ZG4MG2ZTJPTjgTq7SEKSoq9T rOoGECjTT6gH2HaiySe5JIQDmFdXnN8D6giCvddYIkGwyvmilrk61vsn2Yvxrqq/l81ZPYdZIoUi TECS/AsA5o+mj9BNqxiV4uUSktooIC0GLkvkNG9oYNXXdEFhUEN+DW0SZ/2sOMyVaJ/fUYX6xphT GFjMXSr0O9fDte1MQZ8rQpbfozJKWx+YwweHrEzfKejyau1JaFFucphhSZPK5BqYVOcbeDEpmzWB h32ivY1vvU/+r4xS4SNQXnGCDyS8tPIfmCwmF10CV43uW65NBJZZCrBNMoIECQTyitxzIZ4Zv+1S 6GkDgAbHcTZMs6vI6ofxbTbhO/dV8MITNXZ74czKsLqf++V7xOHZBkWjWuXoWvqdv5klnRWP1H+p R2e0AkK6Cg9sA4mAnwaQcHBAwmFUdNA+lxlkke2RuES54MHSkbrUaxEM3n5StWGsxDdfMx0YnH/w a9BVQ2pF84Q4cP+9TBAGypfBDaYKg2LZS2Ov39tuWfnWcrIvNDAth03SNa0PXDDWkUEzTiABJlU4 0Jit9BhxKPy0uMkwhIgL/5z7mlGkf2sfuaF7BDOg2swf/0OsEB+cEbwos9MzeYh0bIAf+j28MDGM f7uPUG9kFqn4tSHRMzp9Fe7LJtOPce8OHrZwFfC/vB09Ge3AcS9O5fNjAaFfeWg0GZxUwfMqoDO8 tYSy+Eokg3xC+UdJrioG8xdo64DKeiggJliVJEAuj31J1w4GaA0gMDxbXzNdTAHLF5Dbp2996egR jwlrB9BOmRR7N0AEh3NlKQZ5FRsIGrkSA58MfuKY3E3/IAuQ/InNK62UJF6bmpVqZAUbm1EMRnsF nwPE3ueMYPoFckaQOCrzvUT45pNYnh4CLVXIYSf/+sr2SxmRudnVJUj+FUe/rNtqNR2gkUb36B51 CpqlANs7fSYD4q1/IEcl1KKRb1k1ljFPA7KAUAhxHhOe3eAmsTaiD0bAVx+PPoPKCFTRwbFFLCcr HGeRt+a88PMhuO0Moc+E7ir6htrk5vo/b2WtUH+67APpYW8LRgfa/v8kQqKL9BGSJvFWr0jU+5mm xKDZ3PJDGCHcx7cYAiZR7sppAbaEk1Ep+S8afaGCTWUBUxHPgD1HzWo2k27mGUAaEsuf/e2ktX4M To0xwyq+NBrIqQqmiGUm8L4hWeLMSKokoNuzMS6RVVPGQ1nmjeRFbe2FhFWhP43rYpmJy1irEQdk dns2AeulhI6Zr2EUzKrRhVrYEUAosZXwXT9uc+/Gk+pavGUBkGm1CK++xyukgqTW1yF24qPEA8D9 RKT3AONTHjdtrDhiTbswErC/Vj+zFdHcBYgyDTFA+xYIPyzUm2uDttN6NcAvnWFxkNoxNabZufYG Q1HBWa6hSl/1ZQXNuy5dHzS3qU5cGxN0ZCIW2N+iZ51SLOhb2Ht5mdaqs7MgDXbulfNOsEv+lVof I4yHZMRNHC3IioySfRbaksldVJNLvNJ9u0/QFQwhvQt4Q74ET34hrV7W4Z7NmzY0BGUd/2oyie+D 2PfsQx4SkzgvPRTgiLsQaB/msn7qZuQunwjYz3loM6ZlTIa8Ihz+qj5kxt6rI2W4NM3sIJLH7tmr 6wSjopTXrG0EAgvbxinJmmvTqD+oWE9CvKaPlNMPvO4pphJWJQMelXdiSs83YyCE5IxrpVnQmOSL ezt277ii90nDi4lEDwljmAiKoihcqGiDVnitPeKdbR4rRAOSDmC2YFgJdgoqdD1fcyz84tgp4znY s6vdbwVKvQCyr4HlYH1SiLWgs1PQjzJpiKt7C6TBixgYtNOff79JR4ugrWhCXJSgNK59g7SPmTLP LmR9FGVbgw2vypQFPlfIOCkUm7IRUr0HFiLwuvfauOQNxeU3J1Vz0ihTIO+sg5OK8klK8KHS0n/F IV73QM0T9Su4h2CF7PCoCzbHoalxnX4nkKTmjIPmNENaqis40ExMKWWYzoyqFhvs9IJCEFGq+rPv ItOkeFs+6KUEhanmUjy4HQhzqlSAyO8Jc4SERUcRu/uq0C9qLBtFZV8yOyrYhrRjziV/DrJJmHVc M45n5xMAX6RDSvS2ZNZTgQdHVZZLeBNNqiZ2BDNZibl7/aXpXv9A0qRv1aYADf1hS/ixL8Lsgr5s 3YexhZtXe89nZ6KAIMj3RZzDeL6xbZbNjHq++FeRo2kI8n7wdkWBYnh4OvY2jWd9FTedonZLBx+9 H7j+DBxd9qP7mDGclRxzvFPDFDLbnFyFaH4KutPc8slY7yrHHcj2DqNTkT23RPLwOcnGDAtknrCG M5AeLjkUqwrt+tioQQki5hH4BlESUrscMUBdU7aEeZv1eE1FkaCQrq1arsir5pGu2mFW/pHE8khK 5q67In9Qg8Kzt+cevHrMv1sqstFhhOfhF2cUTHIXE3g98qqweQu4lpyjnc4UanZ57kHC7cn1WnHU HkAg/xP12+wBFaLMBShu7X5PowsNfRHn/+qkP7HshXUWfI9YgvHGxs2ysC9kOU9R8dZs/Oh1M+EH oGFBB+Khpq3eKEwSyk7Ko/vWt9f8loXbnWPD4NmHJha9DU/SQtzi1d6n+Gtizilri9K7d4NDzY9M 8mJAG01cWqcd3/fSTJtg1EtiDbLZKJ1eML3GQwQI+D1ImRg/rtKXgHzMOFjOkWtq/TaA7YN1c3tv fghZCsbSiqHGUa6vDM9ew0gCFCYdkpJFnID4IRuTcwPyGdyhuWMhH+1hA9idfMnaY2mOguBrd3v6 oYoanSxlbwtEgyCq0x9lBb2ZqQoToSsSb0BwGsI50UyVDhpOAbXJ4xNuu76KDnxEwggyOupngre8 nXl2bu1esd9aQyRzOry34bjIs298OMzcU5nXaH2nfbU/sMk5sRSAie8hoJZLzGz2XdaRV4ev6rEd HeMgvx40C+vdd3kSwtHwt6Y/0+v6aCPlTJKUTEzFDz9woZLAAEiAj5S0x/+MgXmzg2hZV5Pi56Ww hka9MtD5PvuNPbnY4fwUXs6JWM+1yfnOH19LU19ISBJVSSgriXn6kYO1deKp4WdMf9pWrSxP9S7m 3x0Z4TJYXjVNItcpG0hi9TN9f5l7SMi0GvLHxssJBVPgvYUWMzlAjNTCTwfGKMaNCkPfhOhk+Qoo j3sWM90uS1K5KTaqacICsQ2P4/Sqe8PNs8fp5bfmFmSIZ+QxY1i7yndONbl1k9VnuqLWcsyLQsrk HpSjeoCQX11xOQRvXi0OEWDfqcfbEJ26P8AEaunGG6iRi8pmk0L/Ji2KMSyhajH9tt52nv3WgNld 08kivfg5fmuHeq07iCileK+FZNDTPsuJuVYRTS/We0KjvRm0xoBkwoumjiDtyF8Mw1Ad2OzO9IFO AgyjBEVBLgsJ1aeuZG/oUlyuRlpgowuwE7jXD7AHraZqZ+lEUbWcC3UcsBlmH4sC2MrzmXPskUhG KSu+cGu1GWX7lR6A2qheqJ6oc1sYKJao5HioDZ4n1e/UxNZhLxHSrdqKe686ALjch8j3tK/qgfxw fkUjxXyI+nIc4k3Q4OYzDaLObZWPAIXEoWV/FzeSQKmljJ6zKTnOCQ3bbjqMisVDSNw3XrdRjJw0 8cpvvNtMJIInNIQ2XVX3GvuzY6puoIivyUkGb2Pod7YYbv/pz9C9Uo6v/xn7DLuCkJnTuToGhrgR YnymzZ5/EvBMdosROMdtB3TMBOboIRaLWYQnDQDZIXsBgVorFDkhG9LGlkyN7nQWfSgXmiegHwCx Rg20JcxGMzAbp9H2mIfrzCDfFzx9VFQE4RoI6qjcbK30JvDOyeS4RcM1lV71PUk1D3I+ROWzkR40 MkhRMaZanGCJG681mswBdxU5NO9bUyvaHGlZVNQknt5qRpfcua98ofU6AEQMemehPhEHoTwo/nrD cpezvRokSFrzSQOL/YHAK+zLP8p0vqzDspg0lURey4Q53jhuDuzFYEHM5hkPci8JAuqQ8bgO49ou 1k6b0ak5IFKgwnXpJc2DF6ukOewjNidt9xnQXn3Kqx4TUa0/nx/B7GW8ioClXAILqZ9H0WiVq36e IHw05AQr6ac8MWqJTvqx8NjtA9E55gfD+LScQQU9YJxOwYGzy/XEsU+6UBmM9wkIW8tgQ3878tnK /gWiAV2DwVORwK7tAMfIjy7nCfh+loRiOe6+pC1br5TrcrgD/glo5Jn/LRf4Z/r1AMfsFxrN76A9 Kmgpec0RzYOrzbOextOonTEF1BpqM6EveNbsous6u6ntlLbnj8pjn4JexTuUqeNK/cRwURTkKBP6 QJHJSDp1VchFCQYetlQQsN5AIvXw3Iyt7vuYEWwtRAWuW8dsOmsI6AELhlwCuH5Y5g/S/Fi4uk0H QZcXjfFfSLcgvMA5BqIrZiXoMumAnbKIp1OeeMm2Qoqiokq9v/BKU7fIDqPWWsKYbXDKiRIukuh9 lmRJpKXd96xT+chkr9KaQ8+xUSyRZJEpQXjftaI+zIelSQ03ZeBH9xzkO2Zc92xGpgH/eelSASza /l7X9EYMd++iIejFAw9gjsSNs/eyF7XNsyBofPTwGEgtoiWeRr0G4ruldCCyskI1M3ABJu+j9mAe grjOf/4QBQFP++OaoKou0n7HWIsbnStgSSSvfUVdYOBMnQdME4naZocnWQRxueja4e/Mz5+syN80 1modM4uTbjeRSr2/kdNyzWJ2jYE/DGZrN3iz41+ZthbwIkeAS9pIC3tKRK0heYW+mhUYUB7XXb4A GkOs03OkuIXTQMiJWbDev0WYmfK5rrr8ngZn13OhfMDaJzW/JTdykugUXEzjl4NrJY95S3S/Yahi lO53+z/Xh4AMUV8zfyd0oBJIDPeqlw2sNRDZrVdmOCfk9/hfE8V4Fme6lV9QDX63meZJ+BSy4M2d UTGn9Pcf7MW8QOX+1ACC9DUtawffzZCY8l4iAGZM4kajM4GzGcbKXOkLumUF3NS84e7fmVCA1XE0 Y6nYmy0Ox4Lyce5RK8j2Myfx0EpP/mWkKgdk3PMAa1CBLx/yRmbt11lH4LeYXRjTT/Bvr9vacbHH nS/hp0cWqW5rIos8uJ6h1E41Bdv+BHG/MjvYnOO/J6BZnhKTXIlTIJ3OW0CcaygHWuLvSCyVthJX hmicrcLUB+Xz0dxtjGRTyi2muf/tNEGkebxwDuiQKW8N9z6l1lNzEmE1IcPL0kSCqJFt+WcDkp38 x2mt9c9JeT83LB2lRhh94Gqy9NUGvzUqkzCTA86GVOlpkeT8jtAM6FHsO+GD5OS5koqhbxJ05GZq 8E/M+w/lJV1kJXby803wAoyhRquhwsM3tiiqG1YD0/3JppPnCi+Mhpl3uCEaCcNC9J5TYN+qm9Qi lJAZX03gUq+mV6RCiRaqXKkDtoiLfUn7Ir8lfImzUJjB+fZ6jKuJ81F3n3JaBSNqeE5w1+zeVDvh 5Y5LhQQN/zWwcRp52oM1sEZLU+PUKAmJVuHSrT9tgvwtaISrRz0xjvqJ//a4MDNtx8QRf9xwEPnl abo3cYtsDJp5CK8s/D42kdY1B/4c+I9AFSGqOCJsevx6OAYH4FLlL+XhYww0MLts6miybcfVBWJg OMCfi5H4nJ/t8sjkfNV/6iyaBOlPP8hZzzi8eRDUyyj9ZrRKVgJj45l3Eenr0QptyWm7KPVA9jyl ls1dZbcSqqb314GpdiWvHvRmB40liLmku47zy5kZ9HZiYWKR52NU2HbArNgsifjLgxlDBpowKc+2 6rRL8lMaJnvNbTfe7fLKxnTZVvPvARa+1HG2KyRSgPjRYxLyeTTYDRJQH+s6PTEbo2/9erbrDZOi LHB718/GhawQjBe1/xN6exIDCRWmfBkCDrOFholSUcr60NVnZRw2lyP+lT86bRyReql9fLI5+Bh9 VN0ftAu6VcrnLj9UCmNe7OZaMOhWWPbLis351K0JotRRTSqh05VHt+pz3tVbEUyuiKyPD8N+gZMR 6dZGckOmWR19P0TEFLXpbivfq8bLZKVk6s/n64mNIA2QeH4FGxjNhRaNaH3aPCC53a0HwfUyNfqW XHnzo1qUFlUtMEKjgVkcNW38j01ZU/H6iCkc6tH5Vdz3OsXFSQjxsH+dw5JVNgVqJ+ELQug2gq8a bFfOQG4EZAa6xRs+Tng+nt+mU8g5ajh4Avev6XZAD3oDiIEG7im01JX/1GJvSRo7SLHOOPXLmWVN rqG3BmW6JlbqjdSrqycFakVavAXUM09yPT8DaFHGW4c9aJaW5k9MqAWTqX5dS3HhzvERa0RE5akS MpQ7KFWqXmLu0fPm0U02BiK3pfN50jnYfeECY847j9vr5Ba+9bXZcLsi/yqTWVlwuCH6mvTZJWgp D34xFn+xI2qNgXLITGfXWq8FaL84pTq17SXEBF/Ctf0b9D/B7ZrbowDG13u74XyWIfvjY+L/OJuR h5RmUxrw0h0ajjNG8P4jNJmXcbrSj5gj1dkoecyYW+4HZSDBHRaEC1v9rqZYdAqG9RXl84isqjEX W0Fzk2q4+iohAKb+ofPQV3kDPOfWu6697CZuxGEgIpjmbP4UbNwqUzROG5EmTpqaMUw0y+oiIc3z t34DzeFaHc6d4HWQa+gwvktd6eODoTC95OfYlKDHSo3Z83f0rmrqD/vkz/qv0mo9XGizDTIUQOqU u82ap8BbijlU9HXpd18kKmeQpnsoJBaRS9yjH664oHnFVcdfUufIYLfU64rawk96gfG+pyFB5ZPK GeuRN/NhquHcJWpiZSdB+dC+psYZOvseiofHNwytIG/IvBFHxj/gsmnANe2oxdn6HIUVVpJ19WzX hSuXqVFz4jLWaigknoy6Tsl2oXQSo0mKQw0eoseDPEaC3kDjaYxLA3eys518a7ZmnjMVi5NCInpI 4y1tO08B+lf2rOXalYN1Dt7wxmdMdFMOF0nPy74PQCF7ZzUbTQPqVRw+x/FmlOs/vIq9pINFl0A/ HkxEOEOGuX9skEI85qx4475APUNlxoYIC0lTHzrcK+qJrXyQa+wuX1FjM5xA7NsFx+vKNaa2sPAA fXY3eX8FpsgOUvHW4p8CWgW/BkVHNnZVxMkgC01fXnN1wfgNGyfsB+5RXuCMksiKuJknZaypm/M7 z3c/VWlG7jIaysWoIP3gMJzgs5IMSsUu3WESYI2OYq9I0XUDezdShGZc4ACdMUBmIAfYCnCfshFc AFir69b9XFUOwSuiQDQWhdtOm/WFuylH4tzKhnX73/KNny1DDR1a7hnv2Ag4YRq611dpcElAM3+5 29/HVATrinfJ9ome3xqKpyidGiOZKT69y7AB4mgmfePvuMb9IiBJvqUoyNtEZDskKCzKDET3F/rV kEb6wi4BEWb1EHcW7ADyLeGQAo3RotYYO+G/rweP77ZCsZJHlraLGUU/O3X3gJ7mYXLZRfCNExmF NRLlzIhckpxqkM/paL2OIgIBx69UTKzZSfBhRy8f37X7IFh4t87AOQqKNFWIDVveXcfi64doLQkD Z44EY7nXfLYuBX/DrxfRYUOGlAVHmexOAgG5XHeKXB3LDUM/LjZwBoOFsKqJ0mqg7iiPQAohXN++ Z0mUllK8ECDHhNDJAPVXCE2dp5ce+Ph7O8pQI8xfBTrnIF/gCjcL106vfGSG5Yr0+MTl+Thh+NIn 82JtDzBZQeSLZZJ0ib2eXN5Gacqk9mnvl9BBnEiEB6uor4vbVO6agFfQbTdzSKn6zXvflmHCq5Ax d5FtMM3k6M/jvkJSot9k/SYOimz1Jz3Ip7SH8AwR9i8rKHLIrlbK4GzUMK+i0SX9c37Rp1cpUqRk d9kWVvxK2RGITSENI/1iyJJ/VZ1J3j3Cg1aNzRgHfVKr+0qOa6ZjF7PnnL+9tkBAauAiKbWCuePf WWnqrKhCXQS15ocbnBf5FrrxzI/OLoV/Vm3RdlDD7Ol0GtJUMBg6nZR93OuBBm5F3H1w+3j72VkA yw8goeEdMCN/u6xA4C2R3k6pofdHB9XPawh2h/j9Zlq+BphAB+ivTPJvRowB1q5Be+N+tmXFWuZe 3VeO0WwH1+5CpZq+Y9Ictfo53uRK5yDemU5SoWEwcFVKUGtK63ZlJbnok3KDby5TlW1/bZtrf3DQ MJOopEIfUgKC1JUpD8DUyQh9Fkhc59SqUNrXfpmhbUXIryHSj8zreV2H54P9bjpDL6Td0/FF/roa mGIbluXPN6h/867RDwBP9A0PGU5MDVpsrQtKhBlcVSoMmICecoukl+7LSy339gJz/tWnYQ2wn+ov 5x2ydE/bMKkPu+hkFHRjAczx6KHQKvvVtk9s/mgkpXaiueLq3cQjiNLSYGHJc0zVu0ExrQzd+qj/ r+HxUsali9wABKLRAo7RRm9Vk3lWCcdoB3Yl8zjSfemg/mR5i18s0SmUcVgN3hNAUSgtcIi9k20l y0WJpq+2N7DNK4o0aX3OreNwrjz3y3Vbm8+yMZdm5jWjReD7l1e1F88CdrKhMHL4N3c5Ev33hZ5k 7dJy7t5eoM+BhK1CNs/AbAgKP/udWv8KTGFbuIPIHo4R0nKXlWpEZcYTEVJSTAqc7JAAhFjgZrSw MsXCQbaG/eJisgYFwMRCtEq/FVSRoxe7Iw5iJlHsz71Aaxwqe+uOav8Q9C9lSqR98GhQytMz2g4/ 34MlodM0RQ80Mq3IrF5C+zdO+u7oeAyv0dfF7VNfEBDN8T5XhuwKIchtoe9tojznxqdUIAq4V/M+ 1EMHLC3ahYTTJ4UNu5RR1nqOGv0Js0uNfhGxW+AYulcIT/0ol/0WiREcfUo5xFg5UkgfVIAxLS/9 wk9jRC3ZaO470CZk6v+c/reJidLnao9mbJgJmXyKxi0HwtLoOt7sZTifMo/JZEM4X7ViJhY8pXMG LjUdq6kKErIFXmzMHyDv4Sz581ctcp6c2VGqFhBfvKur1/gRTJQ3O37bUiQd5nmseuVEs+8CmGYn v6pLWCWEvD0x9/mzHimCq6jwZST7YIzgywfmVw+JLX3zUNJ7D8IPNaScE9Q72Ii8qL/azs8Uc4zT VWOoS73RaMYsZO6A2i1GmE6kQ7MYm3tYmk52P4bIDOU/uEklrmHpOxEbPmwUwAp4eoVCBU8S+PhA LXgb6pdn4AKPcYe9/V2vxb6yk3TkyJNgglzMhXdc/uTGIce0dz8vITHgKH3cfyXmeXhk2RpqmkiS no6XJDfilAqtPzSItlv4X8Ok0Z6Go/c8CEBbPzyDfOQJf4T38yd7nU5du8bq2T9cTJ8+9SbQQR/F kdwyUtbKSSmp0pEAAP+NWRSS66aBr/VfFydCtylMiI09z9/Cpu9nvAwIkkVK0CuC0hCLfdn2uypJ 8gDrALC+NDQ6vrpf7NugISWtfOibS7oDd5bb54ayQlEzX4OTOn27M0JhU5PwVwWkM1ZZ2lJFD0JJ FbPI36VnILGiDuJGmyiAL/vwVU+cGKbTeOS+IRinlDu4pKLAjch6T1p6MtkpVxNMRK14lo0bYZE2 HK18odS1gmPiPdvveow87+9nb/cAsMRi3it+Gz5Xmntm3XnvREvjy23k2H90YyURr1WQ2Dv4sNSF eA6k4T5vwUn+w/CHnonlqlfx0/O1qWkRLYbrVfOAyFowuFqxHNK1VmGsy36N/07iKKv1hGUJo0Qg nl/9PUL0zIz7xThXMDhtB0MwzmhGhhvchS1WRWDdp6vseyfW0ATJizVRNA3FCXO9aIimtxRHBH8z 35QgdwoMP36Ked+SP/j9Ho8R3aZje85yvpAzrZaYSyXTIgQUdF2nrisYoOmuv1enF+TdgP3AFwOO jzjUI4D/Jv5VS0EHw5vZjRUHg0h2p9Ce638qoXg3qnwRKkF3vYmtr+j974y16pdDIqGXeT/aAi7c ley48b/aeOZaefAuT2rxE5awPmqnu7TMLPbGbBSIvfJwwX+7ZsR37EKLyEORE4u5ajY9Ac+QAssP /my4FYbyUDg8+rf+TXyFzSZLtZuXZxFA30aG8trl8vtFYoVX5FyTYocXGGMcFiUslg085x6ZRHxW PGjYQGHhKLURLXXvTe/d/cflh9+Q4kJuFSxZyVlM4IrZH6wFKYxaDoaoLY/kdPE+YKdHpNuTvBnw sWE25jI8eb0dU1kRDS9o+sAzK0ydhYfsXI9qlEyhCGbvvlkN3KE7A9dRswE5b0IjGLebKd4FToKT EJTTv/pTsjQfZ2fBb4f9NmhWffQcIivEM4QEKKd3VaV9TKrgPGjIMdJrZGutC73jdmRFOSrdDAsI VBfJggo45OypmTcwf5b2b3eRL1YzPF+BB9sCPZSgS0uLvY3Wp7fQqxi1NkMGSAzkU2Fbh4iS48Jk gheAilimWshL1ZlSs3w/d7Z/L2Rt29RiJ86CliyweFdTpaEAKTUefb51QbWiKws+CjKH0eFZk8Qx JTpiwmLpbBhMHiOTdVYk1bM/2PLlI3sMP16odnh6NLfyN7RXbeMdIj12ZNuzNPiTZgQV0zb6Krc7 ByZTJBbXYS14XA+gYFegliacjnf3Z7OZxqQ4kvg7Gieek8T8fCujpwggIuo3K8ITlGWqgRMWXWyw WyoJlf4Fs+NCUaR/VXrX1K7IMLU0zPR5vS5NsbVYD46WZl+YA5H87F711+sVhxC8XvLm8OSzl3R/ 7ThRWxgVTYmVDk6VhgH+4mYVzzaZnYV2AyBdODqc03eGLrtN4scFQYUp4pHgYr6JoiF3kUIM/vUU zeB2fLMq9phBcdUGoZ75e3QNBQjCJkLJb5rAOty73a+Ef+lBoQR/FR1yMpeoifKVS1MRTuadhC0H RqgvfRDAp/KpLmuc3wW837hfaxhBcbsHja91uSypl+TnB5gFOua0ztYDFSL9qXmZw2IiN0p70y+5 NuIvDlPkq4coY7gwig/F7ySFtZMSQTddt/XgLWIKi+3LnUdSE3/3X2e03h4EX9MERvGSZXj6jLRO ONQZ+/jLFew/NB8dKs9EYLm7HvW8kaDB476ueulrJ35lKs8B83cr6ZMNCXWCWWRn6ZrTtXV2RKEN YI2rnAAzKLmHt13zI/D9iBbOZxIIEyhbYR/CvHRS2wg0a7J0ZxP02kSzz6sWK8N8GIM2oEBjx24x kuTp48ALfDnIB2356uthTNDN4IEDBSRqri9UUfHQ1P/gaLCjKS7/t2ixcyZhvbmKMwoC24eawtim Cul5eeQ5a+EAvdn0td71zVTm2UL3tW57hqJSZqIVjxNiSROt1Gb4fSOelwSn1n99g5U9w9gNJE/W CFSwbCRSlXg89R1Nram8rYAqysnYz6CcN5PSm6HGIRzHcwIFBimIP5W5zG4FgxcZJOEaFa5/lIm3 gxov9dzPYo5PHrWcUMmTrT9YvF1dV1v2/rWkdfum9qmBDNeYbcJVwNBalnfLP9jZKGpi5d2Lm4Li OnKH/EQDqLzd6spapMu5OK1GbLKE4DvHVouRZXEIhKNzbeJDjZ1sN/0sFRpe6pNa1/jQffcqLOqZ rDii9qjkxnjWFHjUgljTV7BHJL7rYdgi9cBrGFahN1nGbU++U7jd8ase/kKBo+LiNb9w2TtcXW2B 1O/tfzqPFcrtcNRqRuMF14ijEhDeaNad4/Krbfn4o7jc52Oe5mj7htt10MbFF3j+TCYwmNbWiUXE 1XsxECzJomc+aZ6G474tPrMarhofzbzUWWExUA0gXGeif/zbZRFVyosNxrx/FthB96VdG3a5A/e1 K3UEW+R3bGfgDT65EAYwDL8F8l7yDzkiL1fr+XmnkQtD39y/wiOM2widSoma2acmmMjVYTbvQASv rq5rR31ufR81pqNkfrBgmSgYkWtOTLJgiR8gWh0f3AgytA3+2Kwnk2/XSZZQG/+YH9R43t5fmegU pFwbdca5sUNC+c9C9yURFJMRI94kZ7qaZWjCyo+CduoXwVcg+tj5HIY6lgOGWSjtozT4DqZK3fDr HdR7eV8rCqIvDOT1tLtzwvmi5oj6s/7xG+1g8EZ+B26HIQ+rB+8zlfaI9ZJPDU0Kbq4QwLiWYHS3 Ok65iUCeRV5Ig2y4PeifroaHkSSYoQJCXiYPqfP3Lx3I0ubgOLCVbzgIUEAv8jHJ1sxixXZa+cvT 2RxEbhmtuUT8hUaVvJ0tMJivutb6tWJi1e3K8ZYc1cx+/W117kI05eqglqgVSoeS3vXaLIb2iKdn /Krc+zhuDhPwfbi2kDViDfvlj2OeQ8SqGzwTQAkRrGBTtw5d6S86VhLfLd/bUKSlEt30TaM4QP0O XV+sypplhCFPLHOsLX7JJvopkZeSn6yxBA/I0d0BGKrzzK5EFgfkRKgJ2tOo8A1exPtepbODi0GS WieEfuFdCLEWNtiauUguhe42rHwIisNeys9XGPHywVKf5ixkaco0R8pGqrBOfNLdGZW+BZVoI6Q3 bekOU/kIPQokFx+gDInbyYeCdGOM/f0YjxpKLwufgEHA5etyTtGVeVtaFX1lATzT5/Bo0gvlhnxb PAwKMNZJGXdVBtP+fsTi2p9r/mkVFLk1NaDReVEtdBhj5A1jHYo1Q6cEkTEwW4OrDwKPtUKbO6mO IV+KcY1h+b1IM1haYasZqOWVgFvY6vXn7nPUAK3/mIkEEWeIhEVradg+0xOSjlNelV17VYYAeFMg Drn1mcfPPBxwyFSMJOJTnJvKFi0e/2ulqZ8oqmUk+/0F46yx4SfcwwtUgiN6utqWxFoBbJuu4ZIu XvuEe1nnrNyr7DFR6xiOZzBP9oI+tQcb5HEmcLDM9fhrvoOVFdwwDjmx6kJ8lWrPzDl1skAmiY5U 3qMyB+98a4N5OY6CUa0GTdHkrJd5YNsKdvT8R/q7SSwtG/FOkm5FcnC3haBx0A41Xitvdit1aG38 gz7PYoOl4Al7J8en9UBBBR1m+2wL9furmoXvRunjKAqyrSGxnlSUGAnWsTdWX8qQRFSn5g89mnFy mjg+QaJRNbVkTmzf6kuCIboJYDjWDgzkDjW0EmwC9oKTpsDq/4QelWWU7eyrLLPk+sQsNrlE9fc1 ekbQn/CpWIu9vqrZRhmeX1714qQC4Jp9guvSk2jwYiEvxtk3zt515MnIlgk38OFgTe8j2V9MtHYw tWCePWk4sB+CEvTiJB5Fv+ctwO6Vl5xJXZtj9flyX319Ylj3s+ttN99sRbItYIDLu9SqeyTMAN29 qw4B7Nbna+hZ2pmQAIhRpFt6zLiQokDq49SKkGveUZWHYepqzMq0zZUx1/eJUgBgs07AZ5eKbSgv f9go/tdapMF0AuiXyjUK+EHprWxu9DnwjzEfTBO9YBHWiXxFww2hf7+LXoPZ/WER1ayJn82V9fbq 9q1cpENawQV9MtryrifTPvMUGjvfjrDIyLxJ/+ybB9HMIk8oJq5qbbqb78U0iFlNuymgwHatE7xH X+yx1vFN4yTmXArffnb+98uCrOmj+nWebtYq1jIr8EXcqhsghyU3o17LyacpJWbYw+fxWkpvF+5B EpD5KinNeS5erQzx2CA2qcRGU996C8YqF0vEoydN8qDimqiaYNQfHDX1YPQK+C1kr/7k7DFu82hA BntaNNuyf1v4RLXIJai+X1cS0wG7zYv4vbeLainSiN9Ruz9wqYglZUxJzGufviLrV1H/9+wPnmIm kSnInTranPZy/ta10lCBLHAVNLuUPipAGwhbPcffB9Olb8SP/O3FJluFO9OO56l80iAZ13Ew+f1d /8Z9oTchJDTL3LEgREJ2YdXP9/hgxoj/Rs4U4vJrJaH3DijkjE8kMhBlTmOKT5cDGi/Z8HrQE+FP QgQDxH4+m6PER4p1PadllMrVgBnpQXVSOg11ZF9yJS+wNxWO9qx9rzofExBzL35RyDjqADwHyKQ7 boaWDGGnXyS1PgobKMoUeH7IrvXaOBN/TMTq0br5HQQfx8GvYPx3wRQSGiutSpbZSKIIUTrZPNuO cZD5qaU6GiuJXfPvugllzL6qIKCiXkc+hFU4B13o36ggRIcuznCL+IwxEW1ODHWfnJPfL8lbZ3Ms QeuQ4Tw6mOKyGo/iaT4eMWNcI35zM/2/LkqTp1YHON1EHofpNTVWkH2dLqnExyw/+mBb/Ys7VP3l EszuIYFWohLg+Yhg4BoiX3GL8mIx7Cxgb4C8Av5vEnyn0dL4xcMa4euNLSufULizc6JHlc+ZUh69 TjlGj4D0PodyyR06SisDrYUFoKf/EQa4c0Nz+F22mT6PPsoErTFF4Ibt0a9FWNh8vPyiWhdWhNS8 04BlWrDRsk6JvEDxjIOjohdjBzF5G6NnZMhAEpYTU0GoFmoP61hxem1krNaA8uabcCbscze10q8v tVdozUCx6iK07oK6N5Xkr7wuvTUxgBxZ6jbjHAuo4efuc+wMW+KvRkses4Za86IUwd6d1mjzNzk9 wdx/SOvngyzhpaoghPG6KNzyewY+S+A98VROcF9w1eiOlgpT/PknG8nw8ojfcl0XrichUBf2Xfs1 No6TQTDcxwo+Yo32O/CdPd+pGCpPaauIXKSOrYrHC0Pn13qoGGHr+r5+5bJuOdKpEnRfRrfCHdy7 zug3CV36kXB116Ru0TAbwY6/MPr4V/PbwZvfg1oM+0WdoohIm0zsnuP2coIRFmR7r1HIaExUWRNK 6uCM6nsxoe92T1Xr42TXSmSJDnbBlKRsNh+ngF0f5jDYk6OXpKjrGBbpHAGMjm4G/SYh5E1eSKFp SeLp1RS7S6svB4xiXrx6wky/feyrzxJMf9v3P7ndNAC84lB8iKLwQRqjJTzTwabodfrrCd0PRCNq AsBx+Sw9KXzBDuLvYfooan72FM8uJ2IYK4sGwAcNvxUvP7zLla4uyIJOOYlTopLZsM9ca0sVwli1 DC2+AS+LOdjJiliftZfC9AdrqOaco6Mg74R/BBTfu/xQJq/2lhkjmASvYH15dtSiPgnsd0cMYkBK gtOpayAR4bypX60QKz9uzuiFNt8EognZw+dJLYY6KZAnSx0mW6syBKlNGnb++Qk5cqRmUcuEY/XZ lFKkynkR6+LUJ3XqBoZhDvuBRAVHmyKWaATMwtG7bX9nRDmrJznIojdq9/0KbI6+gM+3u9U6slOl ENHwwUaMrOQL3B6eCZ6AIlriwPqDwOE5LyxyUjGwzIxlgWIXq9C+MTGmboC9dNlAWaDQ3Fj8o1hy PYxSdP2o1yjSzmk+8dyMppXKmeT8a6Z553i5LkKIBb8/oy513juZ3u+VdY6ONXRXzywUWxFbNY+H P7+nY0kQSswElrCndAv4+2WLDGtf0uxn6gWKRgVtADsinIsxyv83iLRSPn1vVQozvOaBTXdVh+Ka /HG9++5P1GpNw1JXBAGKZRyyCuxDXg73/KpMQx9Tj2J6NUb4bGyyB+FNyT+7g+THjrM6umCTS/0U lSXgq3kJ+0oSDX9GUXlR/p4af1RNKvkYTe/qPu7Sqv5eH75nFbwOZIaMH/EZ3BF/sooEWEtHxGUk d8XwzLC9ZX8Po/Cmlxei9lZjO+yiAQ/El5pgXCUw3VaLbkoJ5tLvLu14tkgxN9gHgOdtWewkqFLG MsN1KVHlYNu979OlYDCj9ApnFyg+jAeAOhpD4YwZSSbfBA40O1XoL+dpLpSZEB/CTGENmPOlGIYu pcPoTHI4DjA6efOmu8Iw+AZfH236n2o103ijk5QzSxGFwF43WX9JDtDEN3q6BzuATq2WiJDIPy0R he6NlADqeAfktGU+rhAvM3fmsIr35r4sXg9F5Ooi22NmcbrE+gf3+LcenV2omRVIK7RCCeTsadPZ 3xp75gAXZXe6bB/tjgPfWxIcpJ6djP93JF4bnZXQD1BbqTjfkBZDCFjL44EKI/hULGT1F6vg5fFH cbhBlrhdXM2s0CI51vmCWtFCoGUQjYvbp4l+2zy8rXVchr+L7fPfoixb05HFCFHzRKkQZ7OSEchY QPYXLJqwnBIc7K26ejhKTX1PQbF5nvKKr9FWTPU07/mR05efA2CmFcQE3xuhzPyXbsXmSDbKoIyu Hw8MIjXnik5NHSlPaVABZ0gw/dQXjdZtTSZHN7Pm/512vU6vPMUggSsNyYFkNjPl3kFEV08h5BGX PpsNGjBxdUkfvO1J3HZr1J9xqlGHcN7/uTbWDtgAWpWrW1OE1mBassypcQTUy0pvhiRe1XUTaFI6 4dHSVHWaGr4rJyRMD5P1F7+APBMA41hlTfuBOkaV5Il/rWgVw1pgkOJkUJcaOFJ39AXi2DtXD26p CPaAGBdRd4jzvaOEqc8vSPsPhj+a8Ui2p84pNC4L4N0y9ob0Qz5sYfrCRS7ygB4zetYWxXZs6eG+ BXkmP7NHWGVPBnPgW6aJpwiuTWbBedFhCEvFpMItk1bHjpMElUJinqRo1tRTYHvRS5ZPXOfJaMl7 0FCGyjZWDuWooBT1i4g+n9CT2hxV1BL4tRMONjeWt+HCazApDU+RfpSrVWLE7oWq4Xw6KCOhK8OB gYqYVPkaz14Wl/OyWbkiuQrKHXel8b48qqh8GtXUzgqDlnne85g59my7xTR45H5/rsmAm9rEH2Hb bFZkmc8Nu5nw2tXEOaWG7VNXcRMfewoMPtxSH8qUap6Mfr4jcNCfiw6AMGivT01chg2bDvdqX6P0 d3Nea9h0ekxkpzbbYhb3V2njHlrAOMpL0nSn9/GIDPljknUHvcNP/zcEU6ZftkTsp7xMUbtMyXIV ZrJVJlbCReDPWhs1wyfxoR/BZW3aiKswYwRLp45Bad2PMbgZxmJvSqKGxSADQ8V2Abom1P6hCuAa BGVGLcGDVVQdNDw0vr0uUoflHEPAlV+udGpGv5i7AT1m7tQ5L0fsqDGvVLKYJwJ0w85PLTPNLNwM /BAxTG8HECaCM6TE5ouU4ZYUr0Vis1xRse4f+Tiq0asssqr7jyfxP2ZGC6YrgRtcr6YYWRlJWOB1 TyuP7+Jy/yBHDkaSuCH6/BpxHldK14ugEsz3sXUbTf7ilPz7tygyh4WkHgAomP3VTwb+2IqJYonu qQ9lVWwDemE2RZ/WHvBS7hgAWPp828S7E4G3dNy4wCqSS4MIO8ZfQhmNP3Jqkw0FLntQ2nsNplin tGaP6HNYCXhmt7xezM/G54xaW0Bn+2xIOKiMEsaOBDug9eVhgJRlCvWuVqAv+WFtDKPXzAqw7vhL VQa3y99fnN2Aegbk3w+/1Yx/qO1OEd5v3mw23oAUS3dcgsGKvbj4rlQNRhj8o6gP+gmIXNJz30TA 3KsciwAsRBvlDG9AHIQmFrLmpARFBFltnRVHPVOgdTPnpCXtIW279ZLUz5tE42a+OCFMEXgwNw95 t/hcs8kqNLOoEuCzErtbhPQrQ1MhBAZlU3tArknYh1WlOYn3PA40XWX6l0vzTQtHvmR24HJvSlIA iymh8u0LT9Dp1npz+knNfBYP28sx2CkIaZ6UekNZKP1333TFv8fdNIKysDGSvJt1Gt4jEZmHpLGE CuMCbZHnYuuto4QeD1waRCROxEc1GcaOrOaQT1KRI8AV+cn3Hfl498vr/ef68pF3O9/WDY9BLGRk uDl/i2+sgcUHmm+npVoD9v5vNLkbUkFe4UpplWzLXMSAImSXc7o4s5pXMeREnr032aLi5KAkydYa 8PRSDPB614x3PY4zBfLoyOypgiJ61Jp52t6hYIWg598m/u4ZEXn43hw1+9VA5fM2e8ZUironwfFN aRVvgxjlvsOAy1wVybiFpgSeRE2kKhLgEEiRD9yBFlRdSNeRA0fp1iMgtRT4taaARyrIh1W2MxpX 74gQvyfZ5cq46c3CNX8aJmWYFx9LD2j/6iD9Mmpuye+kQ9KHyr7fYHMRP9vyZDW/v9ikyLVozRq/ ILkKD1aUhLKgDnyNxsbsKNC213yDjGK4MpSEMeqq1O55zPNUkuBRxZEt1J+5NbqzP2sA7c93onGU 45GihXIj5WTLKzaPaaTiDeh6SMjnA/a6JwCXr6YCJMc5nPt/suq2jfQk+zklYNR1IwRSF0tFmnTp C152Cnd2nzCis7T3KM/rQinGjv/cZsEILYhfL78Wki9vZWIoMaws+h2Q4MTy+O7tBOxHg5nfR2UR BQwYxwn7VnHF6nKxaLdcRWEe7EWNFK7C9gvkfvKGDDkZsAKPF0wBUkHfnkWKA4QJ21NcW8M/dYMV tb4x8n0ApLmffK6DnHS6a4PE5phre0qdxg7DHbHCQMkRHsX8nGaYmof4FoVun4fnyMX0iZ16FUsJ PWbQXPtC7TFzhObmiaS11n9dCGmZ81RgqVMLY2c6XbOQf/sPktBa7NAT6+SPRff4QJBCsuE44aib ifeUtjWqw29bB5M1/3Rol0x9/LgoK7W/D+ujEqp13JTavyXBhTn1QN20UvnjNTF5qX3T4xsVX1Fw 28HPRmCOrwfoa5TAf4glStoIcZdfzUOi0+rm98mBPIyCvnWqeE5IT1Tjr5fhJTFfrfg7rjzaGlrU yhy+rGt4/43Zn1G6DV0PdtSlazLN2w43yUoUPLGu0HgLqE/Cx9bPDCT3gVJbeX1FR8a4rRZ2vsYH Ha+iwrdISm3frXydIBRv+MbYsRaQ4+M6DWEeVn09O1ZIJ/hsmjLiG0USFJOJbyrFEQDK2+KKtCP0 59IAvGxjAAMWrtA9bpp5W2pod1pFWE+ZFiSoDkaF3AWz70IVD6sI8n1N6SnjwD/g1T5gdaNYgp8E jSvSIenasqJH0dB1/g+CiyYi8uDigIcAfOtZSFJzg6tSjyUKTTEm2yEDOVP3Z9winb+iJ1KA9XgH ZTIhqzbqvtbxBRV6JS933V5bTClI2A1aP+i9QukT5Jq8JunE8Ylzp0SmziPRitFbaZpIi36JSLFv vqSY9/hQwWs4oE10l2cO79d6ovBfGJvqiGakjeaXl9Mo1jLGBWixqjdaunUPGszDppbLwjRI9x3B a/S1RuBTJCBfTzyMxVavWv7FxByGg/tcka1U8mWkKL7ZfS9JIXS1OgS+lplbkfvcAMRxUGMHrRJv qYKCawgArMItK5UOLUJPgLt0JiKptGw92F9HQ9az8Tsob/kWr3xm4ZBkN3dtaPWEbVj1gU0TIcmk HR92ZFCRaY9CGrqzLEOj9QBSR7W/V+xHdctgkHHgvliT3eA9NEeuJNudEVk4qXe+pEtO7CLVIchM 0vSDI/cPoyKsfELhPULTtYHQJurwVxdKZUR5OJ0wA/kV04kDG3n5CG0QiUAjyHatHEtH728qemWG LED0I9K+TG2tq7w18l7AkSmRdfHxvIQWW6dhaJEjQ6RKiaLlTiXx+hBu/iXVqItzhL7hp5a4U8CV xTezAaA0XJPZ8Q37cgoFbIIIvIhvGhp1SLoBh/MqwlMqwI9WdTQHqTGfLZqdtMjGaRXM3bNUbKE3 KWR00lI3mCIxSFQNPPhxY8Cvc6yZQ5MZV/G4UpAlBPV/XacCM12HelDApmzv3j1voyPyhKrmGa68 AFyDjdYfdVxriRQeyirX1W8R1wP/uLkYnMlHt+3bR6h+HlLTJqOt0BC1HNLxSWzpgkNU/pa9kcVj AKPvvS/XAzW5e9vKyFyymT1JawUGnypnuMuwLQxvLHVC7yQEAfUdORBPJxIzn7KjHxB+1EesXRZZ E57Ak/ZCkAIPycJ2VsqNoqnQ2IzJf7NAFO8gJeu9MVzSB/Q49nkhdM+hosbF80TA3psTIM+ihnCw 20op9IbaRG0BN9qcFsdgYuh8/FrcO7f0FCwZVWKVdvADRTufvrkScH3UnbluHHwsKSdpl93Jf12k O0yY1UhKKjZ1T6rLyW2iZVNu773UmMBBsqdHdcgQMdcSdoL/DyAX8Cmx9kMFFjbbSh2AnZe6w82y Drl6U8kY3Ml57ctg67+cbWfTZ0WZ3LOs4yIePjR9v9YUOYpXuoNNv/GFQoPbNj1o4DdKBZHE4E/2 Iq8J8xcgUb2Q6a3ijNCqR+gg7J36zSBZ7wPJ7r/T+U57vfTD7Y2s2mvHNw5wBEtVceuA8McczfX6 95eLtopTnmIKnOQdZ/rHIOHJwvl5systdBbvvfsH2EcGm846AtpBddirplDesX0fHem+34VgpHeK aTfzihAwvMdq2ic3+InwFtQMbxM/d6uSvrjW1ek1dPG3g4MyJE4o0QmYjuSIzqKoZaGZK09OQFJg 2o6xtEf/u0OC2b0MqN8INiQ4797cD6eXJtwXO4g4T6sFhMm6Wa9mCd2T0G8ibfHXbAIVgJbSgjFG iy5vOzGlkNk6PMCphKDRB5PBq34v/Iwl6eiWeeJzH1WDfrnl0SMBkGMXIfz2Lth2t2AI1oZm9/Wx boDsR3xyGG0KKNJm94LbDl+/XBnuEZLJuNLy/dw5ld8Qgq1HhHWLrN1AlTJHnv7VBkc8VOezeYXv xl8Mbc72AHwtsde7QPy0NET59L21uTb1u0UMtUp7Dc38tQtvzajh1OuM5vG+BcrxG/p7tfI19d06 9c+tfqIaX4mYpkNcxguyaIC07H3D0fit5LRD6noJhhEN5Zpjv4APLDZutShaLquq0LvUG/ozM8FU lc6ClK6nTub4udeUyKYc1kGyP4T7BGcS9FBDAYOLOsJRvQQ/ewgX9oSCYt83vz2bY3SaRbSvDeSt BJayzZOzspWwT81fuJXHPj7wS61wksl1rKwpttol0epHNfjGHnbtyGY/okDnbfvg0RGuJuE0ChJu YiaDb05LSbMuqVbqQMeewHNWqmB+6PLTMfPHT5xwTCTtFou6GtPKO9hqOQvaN+RnoFLwKDuVIdPs uJA9uGoN8Nhh7u4mYXOsHoZm2iqFlidITj7yzOdCjNfw7yOi1xnYuHfibMBO+Ay7fK2h4SQHEG/k 1cP+SGbHv9Hu4OGoVyjCaVpcuf+4kuVIp+LNy+zPjllEm0/XSWD4r3DESlt59LcNt8c4j18u/N9r ma4a0FnfW7JSzF/+XwUw7lPs95GL4NEeFGvnhyJpFzqBA2jp7EEtT0cvNVzm8zQSe2Pk8OeJNd7P +DmlL3PhklxpmuK+uQePB6hPENmydUNd7GW4wA6kyWGxT02iiNUyt9T/tUQ0PZhOvGpjCv35icGj qdKCOJd2c94NUk709kaMZ4UZJVgsiSrGNhTJpHYqBm7YAphPafKDciIupd/tc6Ntv5nsX1udsD+l TYpEQgs0bUSA0Kb4Xe3OkeJUkt5se5nty3mwYQ1KV4vK4ewA+3uwmXfG5zoiqk8BONpN11FGbX+q SQrAHCMGWQY6bTMSz8QZ+I8pt8bZX9nNXpbTdWfRqc5ERX3Lm2zL21G96dML75UEPLOz6+k74dmD sdXt2A/CScxoMu9OJ1mzBMnTQrmumyOCjBrzM4B5zPiWlo6f0nL0UGs1Lhkx0oQn4Z6FgzHm9R9s 5abelNW2cFM+oKd0pEDcmQB+5AfTHnButQpynARbYUrXAt0t+BiUok4A5wS12v+1t69Ge2cbxXi5 QuEb1TdQTMaprobvP4PeRy5RJ6sKOzDrGjmnGnNnDfx1rjb9vHODpALrb3Ti8bVv3yaLapl0pGeb dUAy4/azIjLCqta5mYkKNWvBFGjwm/oRxsV49ARly8bZ+yxGqrtzfQN6GWfo4gATVZw9MpHlb2ih afO+jYlyfhq2dTUgEIB/ZYlzO4jNaRwdOBQZgnOE5eM5Ht3eVSGM8f+f+YcYahT7/z4tyBmkzzUV Q1R61L8rzNE6+6fEtQkDjh/ajcIRscRgG4faFO204Rqu7UcH/C0oV1Ikp0m1ZbuXvXeKH34sHKAf KIDNDpR2x7sPSykt2Jy1/2faaG98Cefu1YxR7ms79PyrPI7raa5aBL8XLT/glUvHH1IG1dZ8xtCu vp8JZQNJ0b56hqGN8O8c+t1XAWztoxA9gtJlx2aUYP/sy3EfAueZx3r+QjURnfjhubOIlHKgUrdl EWj7y9jq/lapR15+6/72Sq3yc4Qzbl1MCceJ7wDultxuAppnQKg0BxRy/MAHPQlrWGoEirCLFWps ++I3swM1F3M7i4T3dZmDykzzVP91DXs45Q+j9/ui+l815Y7ohSV/H3JpedgkDafc77xy6Va56FS3 9aiZSwgzz2mFbFNEosPLQs4f7l3rjZBUTWKqwEfGYhKc9vH8en6hCkdk0kNOnYq3FPzWCNWJ+w9T B6LJ2kT9DfsYdsfaaXNvfvZYFzmVc4/ZX3x5N+ZN30v6z9k0PDZbFz+ALxLoF1KO/6YDJhV1nRbr HVRclMzWQ9Q+IE2aWKSnBFuim5bMqXsjL72txXaOm2909ZDY+uPAFy6PS22J+jClW7wO60tqd2Em O5e1bWr8nY/NF1tsUypow7eS64v2lRAbBoyxJIyqcTsVco4sSyuSXg62uhh3x4YkyaNDHVC/UjEE hKsLCUvtGlesgqZb92XDAd4r2vSvPyUaWblya81eLV8JHpOIBcu6oBrNBfRL4FUf0ST1EWQLlSAY kXVKD2XgbD+JAdLGRkiaZPm+A5FEzTl4UkIPrwgiFaI83FRlRk+2iRijB1P8Wn/QRI+gxpZjRR/a qege0Cwbzqto69jyW+l74OxuXcmt2V/3rmBMqfFcJPijUVAyxCmQNquxuWyX6T9tNFL6khixczMs vn3bN7ac5tdqZ22LN3xAKgN/dmW3IUFLLzChxpmVfyEH3aM4v03d6syMz5lbdamJuG/9ED8YqIq+ wv1Ocj6jzKRyGmbRod5RWIU7Sgwb5WdMju4Mfsm+jGTJr8pAMY90UZ6ZlQ7gKohZJzTXHgO9bE/L qDJ+/07nH9fpdmUkjPVY2L8desww7JGIyABBPBPjYcEffggns9mXk3Td/Or2wNrNSIb9GobaYH7o c456VE2fTrtfJzp3H/At84WlS9gwmjheEUuy7JQwcxHs5i1gD9mpjywS29K67doQjHgMWTKek6Eh Et3qPx7N8NEDoIF2wvtLHUxv/QzX5mFjCtNotZlVszajEBXRoHiUoMXpGTx4BdpSPZzNAGxyA2uC /sL/7LG0uPc7cd9i1RiZXLhc9UplX0GOxPTLKFxzWKXmQhYHJXrKRe0CXiC1aBzZZ0JzwpigJY5c LxS3YJ0+KI26VJJx+JtdyMjyRtb9WFxUYiZ8+CRl+FUSakYQBYVuklMLXr0QopLaUwtzt7uUo6qG wkHGvFP/F4LhBOUVKdfbunSbVbZvFxVVoKMLAXxQhgGxG6roGbj1A4QKdwYEuj+yD2pxFmJ4Yfi0 J5y+PWQ1FBmUOGTcxEwQkZgfM5zC6T0wSShjWgONmn57TA5T5rrFBZrhDOP7Nstc7GvwPRU4zzvQ mppEolaZBkSwIKmHgKykT1qb9UKvhWpf9MXG1hQNPkKQFSDwx2HC8dAw9eLXJDuDs6rO2nF26Fgb gEp39PwYHsMR2BN89SAaOSMGbJEIWWnBz1d9wV1ovPB0IoS0X3C5VJZyUBUewrtwa43u0N6C2a3E qwZMBsLJk6yDiA0iRSEtkK1D34HcGdf9n+1urBSuyidOcQdpQPWw7NVfHRxP6YvcBLvrXDU5jTpJ N0sgNsAPSCbvwnPe84hO5xI1udGGDJ8Yg6MYD/4EeEvGrIRzmJEvTxtzHJLosGCF6EOtseN+VwU9 AoM0UZw6hKeb2ian45r0PUpLsOa/gJuYqpXfbu/J779jBBG//anNMeXD2ZWvLZNmGoHhuQMQnYT/ i+mYLDwfrPHRlmSgE7VfP0oKJ0HkWwrHgBOkuIos3eS384S03iCuhbmXzxSZF4o8I3TwQUbZAsno ZsFaBGhKRUzkVE6T3FlLUtpD+eCa+OWr2Rz2R1WJNaw/00XrGI/vpeHUPB9c5vZCsnKIn85Hn2XZ WbOWMO3NGQg7ORPWO4+XPiKrjXddqXjV6MTqjoU9Id6GgYG9rDktUeSVaVOlkLvtxKG+YYXghZOQ xRP0Kdl7Mn2asNL6r9Foz38L0rs47DqOQ/uSvev6v4IY9qlUF59Oyw3sy9KxPu80ut/ALgLQTpuq /q1L2CCTIWxUZx6VUE4Afo5AIPAKgYSrHKFP9TpDjqS+PlX+g9SuqSEzX8OX5nfvlcqHRCsgIvIA GLSa8SxeJyKeXhGIX68+pYobb6LGqhMONVqibmKt+rOhgOcsh1wy0TpP7R8V/Q4ocpFoxw07nRM5 Fh4U0w3ZdE+W+5eL91OkY15B7ti1hJc55baagpFUjieXfzNGfrBnRdo6Wy0cUlo4HRa4v2VYHuvT ylp8Op9uFid+WB96KdHDX3PmOt0X1JQC3LYmJo957A3JgTQSyJH0cFCmVu8vV53H/AhUv+1G4poS Qu73YV/xsPnHKYtuV/Lyy8Nlk4CfYWms7TEcq/9gqUjTjpG9eJPPg2ui2RAOOqF3dGaeLNCNDkiI t0DYaoBYchkiw+QfOAoIRLP+ldk30BMtIgOI3PkQAOG2UHS7EzlPib1hRs3mQmkQWDWlDkkkJrsx uW2z0pETfOZ1TUdXEpSX7eTj/hp1wg7rIgvmpdlgJXH3bqxsqplCl463Uw7yPg/WQjlbVSUluu9X yiBbLMRvsAyDjcd2GGMFWFqq0wT9lClhiDn1EBsLWb/BhrnaMZAdVf3+m4Gcw9utsAvJuGKD+hCg jGy7B/Q5UmFL2MhYObnkxHLvWqJ2Q8qEeWMSIgiH197xyQev57E6oZQVyllM4TwxDYdv51/v82Yb fg48NyVz3fqyh6MSGxpceTap3SRFf3YBm9oA0FT/VZ5PV/XIkymZrLye6C9PJ4f2YM8e1pUMXFor /h69pYWukWGJsxxad5trC5FQ3OmsRbSc4x9+tX9fGBoqM/PykflecNhb5hdQUySOn/o6m/g7eLXW G80MAf7nmVznz19qse8DxvudIMjgEeEg9j0crghQfyABNYKVFvfKITikyLJBGfpA3IElCUwPGO+8 taAMDMsIHMm8Me/MKzbKFWf1Na6vZuqvq8jDXHcTQ3SLJOu6NekwuigR6YKdmx2dtAkx5FxMAM4G C1W+0zH/x0hJ4wi+TcuDCVVoFVxQzFfRzg/84y8rTAbYILUPWjcOFGRootmPgeaZ+7bfrXIJCCKd r1pRqtisU0eGOgCr1zMXzjp2/CKpsHPpmryDSHBQ2Q406jmzoWyIi65neNTLtJYwJMgQ+R3lG/vT HGfkeXfxANJhu84EWPEAgr0bwALvhjEvhmQmaGegMjhsS9L1Cu+hTnOVWxA7kBSjHAT57ajLTpgF +UrxIslzJ3O1f39xj9GUNFGQJtl/F7dafJK3bGVcbDIasvS0T9xYXDroDuoiuBM6ysWYDpxxGWKk YnGFX3TrqYt0yNy4IJXnoHk94YBAe/RACvEc+FHv1VLBR1BAhbw5hMf8tDp5OSW5eknReb9+k5Dn kwpdg7VI1PUpr2/uZCWBAcI/RAYH0StM5ovjd+3C6BBOtmAVeK9eiNTnq2lBL7PmAQDfnkP8N59/ tqkBRyUrDVfSJMdmSQo0jjNkc6lte3BC6XOQpPb+pW+2069R8uH3fdAnPs3T5BjjOUbEFz+QZm9j 7uZU3R0c7dDr7iP4crkjs0Lwa0j+xE000TzwYmQUEZtFjQvl2+4DovLcfUBp5/GNXkzQ7O8VS5iF 9ZM2+Ajewk+n/Wf1ncFsSg+ad+JJK+lO5+PPy6bGJFi2v+rRQmOuA+Hf+uk7Dj+Ahn9rBJZohz70 TK06Abnx3gyCVnZt/ZQ8cW8wB+XraV6A/gKQpkW15iDe5r+bLjDt60jzXDdkzoIjKSETn1DtX3Te lz1MQ2lOxpyBy5RaBlDTYspCqVkqd+WWXft5mjZSvSch+ENiAaRwTmDpR1rmf40+BaJz0D2BOhzb sYE6fBMjMa4zFCW9N1WEd9r79FKYoS7cUy7ZlOObxE/+GFavmu1qL5DhtR35iahGiyWMNEb062Ez AP47lL8xMYxTJ7Yc8n/AH/1elBbXVXYcgvHBj658zKoBQ8BrnHpRVR4LPGj29pqwVHIlyqBSS2lL VhpoO66Uln0bT+ZkOQ+eIxw6SHkF+1sqOchLWULFW1U1vGk4TeMvkeeGml/OFpYCWWQVEDaFeuox 5rLSV0MPU/u9slMKHz55dc8RBUDvr36AYA2K30UbsbLOgpd+rfaaOnhJxD1sCJ4JUeHFyrjdgJ4X WXWQ/zXzz4iO/KkRWxX+igg59SqCreaIJns9DpNebN9jk/cZbmKhcNvSAdz1gw+OhcuKATd1+Ex2 eOBUb1AlMnDqgs8Fl0ZpPsPAiesPirVwup4iSjB0bgbrQwb/TMRp18ourWuvXGj0hGNqtx5CJ91f 1Ce5jBMG43N09Uwd4cmpRgEhMtmYl0tFql9ZkRYk0n0vLbfIqb8133i89NiQkkT5BY14ZnpW8vvf MO9sB074TUmwB87s59nsv03AePRhDL30GLN+1k5QjHv1RF3/LHuTDtmPcFxWjIfe68qsALCEyGaM 7ChQ/ojTXj8qmd+NT4nXXn0n5WZ1yjxXNreMThE3HtaOz+4aS4BONBhEIQKvSyfFcP+lZpVhTtsA ctfNUA1u63FPr/JDYckpTpXvukp7/4WvUJT9E5DVNfcWqKBGt/pg+9rRH59WJH3i7CTliABytg8A Wj6qsVjsGebnQ5lOjUu3HkaL97rtCeI/Cf/ikaaCmS5asDC3Ijfi6qbHBX+TRr0pEUgvTwo0bYdR lN98+KXt+9k9Xg3eBA3KYb+o6GSLyqrXh6SCDLl/7vexp/Wz5YaX4NRVhiTvyV5j1tUaMWaY0j6k Cu7nQnCiBvgOugChKCTicG5OepZQpX10zkJ51LpDoDTXNNDdxXfMnBw0yvbLZXKvCD00RPPWVKFb 9DlKwVA43L+IMKkNpSJNhW53tB3pO/fIiuIJZI2PJIXHWIUvw10HWvUCT2XPsXQrZomqRO+pq91x bz9brr5jIRElvD47/fp0DKErhIn4Ih2wANSl1TrrXxPN2zAExMm7tzGhsLFbyoeK+0iFPTvsI/3X jViKd57wiQsOvtznrf/2ZpjHa9/REOXCC2TljwJ/K62LkDpCdtmd4NgPmTtGn6cH91GqyXhP57LY Io7ldp/cCWl8a8pwL6wBolFwP6Ym3M6hBZEM6WqmLfmA5NE8SBnDh93a61ekqNDDTXCgay97a+2B ahd417q2crPfpdGRMVVJLEC9UuSrYf0WX00yHTwNzSfdc2sjFCkgGVQbXackeXb6LY3FdSWXRjBc o0ENnhPBIGdwhDhpfRr039E+vzpwj2kHEyHBs0txa2NiKohUe7Rb3YU/tXm0yKO3iBdl6SIHZZyS VneSoT28x/ADvFHOOtilUlY7sFEfNYz1NEQwCLaeFrOkht961WS9tVYtse4ibSHTH9KXVQL0PJ2S QQkAAOU2tguw4fwUxPWveoq4be7yk2V5UdViU6YmcKOVWG+byah3vVJqpZjLZCEQC2aIfjVuTmmZ lKqQJLCuFnJ0m9cFhAKzAtzrTY1S38jYWVuRmRBQr0kutotX97Yzeq3HhJGFwOLjta5SVAFdBOpE 8zec0Nldi6adtkdHBTbEkNoYdOswEOfMv1d89oyEpnOeHpzhECBqvHorI31Lzn40xsbSEqZSf1IU f6eKQ6Pr/M3YX+/OLv3xPzJ1d1LPHHpc5ytHDQzOZ8O1mqI++YCuqxQ7/DzvD8I6DJSOpixL6gkK wsR/iCO8h0vDAQuqIM2xBUft/64s9u2Kv9vsRno4/pqgzqwv3DF1nmOARvOv434DZubuK3v1z0D5 fZmCuYeamkdlCxDF2wMjB0NjJ/Ol2+FNcIumKbPDVtC8bEOu+E/8pxfx8XTahy2Pkz+GiMj4Wu8K 0CSDR0KVgdsoc5+2X0E/m3auSH0tvVl/9VF7K6ekA7IpPshJKT+YUdVaq6pDaPkInvTp0HAlfIxV 7AhM7VshWxlfn6Sb5YERMIhFJZFAaoDw5bctqCjpbyA7KFdbXZaKRot9vx5BmYkRkhImeHuxQyFU 5wbbwQNGgPFjRBAHWB1LnUj3UODVMVOtu8Zc7DXdecAvT5VuQnLPOsknwQ+unSE+cemUF8L8w5dA 6K1QammjmL6WoHTSoBatyT/yuSkSeIvMMMRYvgq+mtsQ6SQsEBgFuVSav074D/DTaEdzmO4Ipw8J N2CYIKB7chwoToyVVvhUkli14/dGDcs4n3BNaTNOp0c2t+REdll1HW0I36/OdhhncyZJvFx4cnjS pgOmGyp0rrUDQwG48hqQeDg78dWLYS2HbYg8CoOEKkVPs+7B70jx2o8qIDY+7+T2oITZVeVRhk1P Om8fHI1UB+zruNpbEG00MZprB+5f6sCuRCzzuvSVMzpZUf8Lgqv7EZm1VpEXYIGFGBxBu9OiRzjh tMJ/Js0pnvTYAuuAb5mRJbXSAKA28cUhx8R8qNe2ni41jYN/eSJwcSZSH+QAovWPsh0Ddm+0KiyW hG4MugDU5pN6Reeq2j1W7aKkFVALujIrg92h8Af/fLYrnsF+P19P4YNRzgtIvk9SoSDn62Mgmp+D JXI1mExJg71W06k5/GA+Q3fr1YK2r+gWr3Jqw3JbZ0nSU1SMIOqVlauBaG2Y0WRlSVj6iTjoUjmZ kjYD5+a3RtvGLNrYfvGeFkbqVx8W020rfvjKtdsJsJt3awFegH747GI4UEjnU46qM5N+ycOosDCs qRyeO0q8zORxMwnzh+w5fzenLpyzMQtqCbAryStHqpr0F5SnaVMUXhrE8cRxDqGzlVJYYTDKd1f7 uQYW46V21xQS3hbjAivYS6/j/yjHbSqxGJCLOYxdNUVn1h8HeHyD/yB/XffEnVw87oDwd9uBRlTH f7yYyHjFG9Kp01C1iOzuuwyhBVyDKuptdI53s5CtULPbzz0MbvZ4SiKIVTN5fQRL02m4YEU+Q3oE h1DDaQwfDsPHS9dmvGJrKQAMicByRFLHjeSLPxju3MvraFJiCAigOb2ZpIxU3fQtpcyPJ2u8RHkL DpWsiVuAuI2rJIMDH4kXgxzCpH+fQkN0tU94732gyybkjVm5jEU/v31e4YLiQdlQTUs3JMaB4d4C o4Ng39wjiap7G4D0J/Y0CYU3Yv1OjJT5l6zj88m/FOOLZliUScWmZYGxTum5M7/d5ALIEAjtUIGY 3GzIsmlZEsowDKYlaW46PDRebbpyn3yOpJ6senCTgC/mmQHlkNIPFGIFYCUTLxyxFwqMSo+1EXXT m/T0WbaCfCvsMiJnYvxXZLRcVD/T0ZT9kGPRBIwuvOa66iO/G+Bvvg+6+f5jzU0ZAQQyaaVoljqe 7mbJcVQ9L8AfpeD/t5D4FKLaaWD7bCJJhr0nBEZGIfcAMi9m5l6S5at5XIMTJ6nK7ZuTBWv/iaHQ SStx3pPLzTNruoYKl1dotJ5dfecgcGFdWUJmqb8P0VbtlKVWxcLeIbxAiQ0xrldP/YmiIQ/07ieG kKE6DbxqYc21FwSjPTB9qahAZXIfX2zbCEz39wCuMgkxxaL8FC4SFoYCuddOQbLsfRY/rZf7mGbh VMYy1QPxJviIZWfZfQwTsh86dbe79DyEkA0iDBjn+3CG4QysI0igLiHqQiKN/MbhsaQ551BK5SvE bRLOf3ynLhZu2CfDGscuwD2cHZD8dEjvtlU9D4o2vDyv7p2Xe7MxuPFXn8vLnXZrt4osJnZmzFfD Dqa5+Y+24zeK3PEqcoUIrcMLGEh+klKBggAgeGQCeRtGO7tECvtRQZggztjHlnj/xiLmkZtwW3el QjkqXpYL6CTcR+oO2GAH7WwXb/wa10a4vD79AClRoG+4Pq9aCMbtbRc1S4SZFX83vozvmtxpwNoE 3mhtMDyGIms5DSRWXk15ycXB+IhC+YfccV8rqVpz3V8hgSi4sWopRbAEsQI+TVOr0cD7mjBQHdVD T6jzy0kRU8XIfZ9VNg61didZbCdn86JPmw1dt/YdzIaDT8LtVRYTdcldW7euBN+vcGlcd/4w8CTt PXBiufjOOtJ73QNEapeW7H7BXvC3pjFK7lflZRAYKKV3NlRs7J0OvX8WM4G1l0F1/LnvVShm9sXt HGUn7O/5C1ApFrG4dfS7oF5tY6IqmywfUI0mxGOBpe/1163+lqOxrlEP4HZmGlPf8/Iok0q20ZUZ j3b6+qj86UAQ48+0sXlV6z1+JPId/patYIzLuE8OsHWmloe9EOlfNuNmKxtlRgaJlY4aVEb6uC+b bS5VNz6HIxlAlhzFumIj1c7evHB+2X5quzUuN1Ge+1fYIFR7L+ZTMw1W8w0CqFBkkoMC2O7De+2N Wl1RGdpVWi+svg+mYui6QZ0cOwJMKrOP87Pjo0KVubODUt+yF1SMETK34SJGeyK52JeGZKaqhJx0 OCHV5S7nKZhmb5O9HMpxK8pw4rwCu8tGj+c3y3SJjHYCkPEFfgxS9EbBN7tJADRJM59AfZhKPDwC DyGvjZvTroZxturTOwZYFXGfSrsLwvE4v+j+MZLAjlmJMZwdVIuwFhg9GQ7NeqonI19RUYSvdeRP 5XjHtfSFDB/JYIH8bqaTAjotT3Cx82u0lz8uw2YDPsuLj40Jhg1Hwv5P707kgCzXDWXX81zgHvlT TeUwnmLRNqGHmzqXoPpysJPIps/IbYSLw9smXg0JqpZKFRLvFx4llBvaSgFRKWUqcYesUtwfdAYE rpld+38ZxuBOrWD53YLEoN2hCzDa/DOPHW/1pV+G3AWXZ4kc8isldKjeDXZpGO08uWYX89H4nWa+ 5xoandDy/7meeprzbTzPiQdfDRrdc4DO/MgOUvbQmFicSMwfCCNmF7HW/5ZtNvvtrm7RMZbKOKBD HhA2UkA7aMTkYlyf33PZWEveoRWQ8fYK7/afuNSxgC3eZ7atS223qEmjYnF3nRLXVTa+VTCmmgA8 ZWHq5+jyG7e5YC+o747DSa+VdamVLIeT4ab/09ur76tH83YT8n6MPpeYerm9gP1PaeEINjwuBbm7 WVHiJz6K4JpXQUwWKS/44Efq8V1a1Zi737FPhQQ/yCNBnC9JlhW/R3b0QVm2SpZS+yYugVfQh59F UK3u9cJ8Aws7/L9qRTq2NP5RZ8zewWVA8/K2lrb4mDgtp6JWyxLICgYeVSH1ScrezoqR+DuI+mPh QVzPCoWpzXI//T959UL5RO85O3jGX0m+aPUnZ4Bb3wbk6cSMm+TaNC05OzVtokSsNF8kU7iaTIBW 96ZCd2EEJHU49bgbVKJdtXrgAL+DsQ3yG43wGMLSLad7pBwS89qhYglLm21hS8gxe8nLcgwDBIYc loCuSgeHzu9XEoD4SJSX4Bxwhg6KeOLlp8jkCJaIGMQHruoH8DmgJ0szzXy3Nk4lwRRj3N3R+HL+ ZVUOPZ8WjJA7+eMpvAVfHgWdYIOSj8dUGV2+yKHzOPGXW9FU63m32iAchv5vSc5MeqYW8QcdcfrH 3eLwzQ4QygDAMq2xsqvtxkd2TMwwNDNWSPnS0v8iwZVqJBQOg6FQDpmd3ZVxUrUxMdbHhMdSDCA9 RwGA4uCAaJG0NbG69W2gjtqWdc9/1fDoW+7CIiOB7op+mMBKZRHcVM2rdgkYu808MbI0uFfp2INK KVfhg4SRgkmZodKcWjKwzNnEWKZcPGDDLhwHu3RhQ+l4Z5Gb9p7vW3mYY7vJfc2peKVWLI+kdK1j B0exbBiScjqPUfPhkfCp+pckVBAHwJ5G2oxUpZYQfVUwMZjLefyz1P6rqPXPL7Cc7o3NXnoVXQWW kMaTKg391bpHcYPfnbMH9fpFVCviQZXWXhWN7aeaaPDbcG3lrx6sArpk6IsAF5p0d1CjCbyLEJAm KNgUAeJKpwVufQ8tHd6+UGJsZ70HmNA4qyw4DZ0SQ1NKtgMC7cPOSIU/VxzRD8pUoTzhc71vYG2I Bjz5QYsmnlG6sgDNObsuR1e12s12wXSuaTl9Ihtn8CSbRZjewJ9OKcT04wMVsNfocZoIqGvifwWh MO6c1kD+Vpjp7cLp5ab4N5k+0pj1aDX6MvPCX32oSrGLIa0ta3EP+iVH6CE8L7zK7XMf7iXOU7N1 CukvxJ8V+KX99PKgdwM579A4DotdtS7iYe7OXU1g10vkX7xgo3FC5AYxeVPlvhwjHR9TFIx7lHgG GBv/21BoZ58HdNUtIIFkJ2oTl1o+dseatkQuZyOOppLajUTZnhE39mfA0V6RLB/aaRdbKDSrUwzS VI7R3Sot3i7jYehf5ZKBXyM3FptidgGt72/8cu7yhKg/bDdaoH+KM2pcn26ziGKNzX56n0ihCzsv UNwGg7f3ZbI3QjMumb06usALERaRRQC/QThMMq/j7KamTPZSa9+/2IsN16D3b+gIQsbS2OGltzbB cu/Ssusk5wlj1NTCXbmSKWVhFe6QDFMJdMRn6894q2Y6BVtVvA4YQ0GEE+SnALwIno/yq6MHlfg4 nsbsqz5S6UkxEwlzn8m10irkmhknTPu1JQI09VkUmgP3xVHhO2LdxEYoNpxYkXHtNRy5Kxvj3A7W /cCVwez8TDPYHwSXjXjmOoGlPkT0qfVCjAXiYbwVi2uPmkqteGY5bh4LYXKCusKz/pnItDvSwyJi mpL0vG+kfqSXO4uFW5D0YfdEBOLHv0ldFsKOfu1Sk/2IEH8jYptlShZjwx1Il2gNQQobamfHSopU n2hjrX1MimnfdPkyRCVES3DIaCWDL3I695MVk1VUMZLjh7lPfWDAaOV628RQHxgcV97U+RgWGau7 wEfw4QNki+wL8aDEBX3PPEwPr6YHKzBS6t3C3J/F+JL6ed8PGhPdUPn0Rg/efcArGjie29MLyLLp bGqjjwZfAIMqjufnjzHuSAOF1UPZR/z9GzhBkudjnjowv1jnmJVNmIfleV82yeL18wOAHHaj1RlI mp043NX/rIgusQpmsh5yhgeyYmLwa9GKOrtT/KuUEP/4228zQsOEk4I2zVXLe4MN9wQOlR/qMkvU 98bvGTsRN8V2JXLjV3mPPrLP3epcIUTO3KtNsx6n0DtJkS5kCQNmQI414g3OpHDXXVSTYfdAozuy nSntEQBfGs0BMY4NzifTnpsSkn8pw7G/OnhFQd2MBM5WR/FpaRxiSCL1AfK/wRtAT1UHfvPj6Sjw eNqHbGvZKRfvcmSrZeZrlbEYV2BlWFiIee5ycF9iVbC0WCI0I8DGJCQ9qhHuscIA9TsCKxcwcDuh IQuyfyAn0VtZN8nhd1TqNdUH1LjIT6XOc3Ul6UZ2+ajT2CoMD2OAoR68Zm6rjSigSY72+QrFZQZr blSAPEdctkQHLaHm3sHiSgvaBBFqLLptrgC4z+FFCA1s5x3dgXm/PbjwFYfkB2Ks2mzwds/QZgSh mOuNEBScm+kmuFVPRsndILD4wWw/hYGtk96MFOYB1bb7eOznxiBvtlfkYj6/353aBUP+ceL0qBwt wtdx4Nkzd44rA4qmZ8b1LmSwc1RMTHc5qjFaxbwjd/PIChFrrpGK9WHlzxEtJc+cXU6Z/nV+sarb TiuHBaKBfl5gVIYYOlhqdKplMne0bahrOL7E92UyrJrOhr4PJh6NpmX52+EdLwuCi0V7z/aVTaiB 3HUcktm1OhuThAEuU2uPjqH++VsVQ22TnDFwznAZkMVT/62vZHRTjRJslnKJtqgVroUbtwzhYA+a wKb7P8xiv5rTVYErWQx9hq8oXAbIu/JmmaRNX+cIoIUrD9gjvjqjHNfpKL+Vcro0kyRpns0F/hV3 opzC9iDAapA+tVrLnTYdwMacW9LKJpAvnNs/UeY/+TxwkcT9A1VsQ7szPUo6ShKuK13iD4KC4eI9 QTFdKElPRoQrRyqYi5kU5FdnHXaFrdVADRsEBZciqGvnRy+uoN2mprW31/cJ3K+3WtTE/nJ2RKhT ES312PFrxJSTTh+5cKw8g12akefFBsp4U7WKAztoy4RROf47LJ1Lq6xPcSf4wZtiP+2xashzlzU0 6/VIm2XazALxl9caGykgq3N2R4WvugTuA/qLu4P3zD9IU/np8XMt6AIK/NjY9c7d2vVbj8cxOiyP iOMt4kBhjLuQcrgBVROuxMm2GWAa3YnkfrsMzcS9YyVkGjaUEune0ty3a2B/dyRlM7m/Ufb84NRY dXdK02UCiiTVTHG7AQFL6N6o2epuJ7wUxekecqnMQBw4ZxdPOAbYBYaVeYK0WLXxflzjE3LP8drx DmXTO7nSwEhVH63VMGMLs+8NSZ54KEZN9jRLn85P+5POCf6nqmxgNvDCY1ZouuwrjIQ18IU0ZJLa SUWBsw6kQVHYQqfVlHyiJjmky/bhWBY0B8I9+OQcPTb+Dl+skQIdX0Y2LlCk9QbWhUBVY7JTrWn1 Ifnvr+BYo80BzgXE3eCHi89CkhSIE0DsMI40QxO5na0wAC87faAsD3fNSv0i9SWPcpEh6NaNwOu0 YkjzE5yWodX7d3zOAXz3u+83uygnVfLXm79VTQAcTnRm1GnSo7k+V9BDYFdeeWVv7di4m8N5ODXv wSZWs5oxc7FAhJtov2ODtL5K0dGE0YSOgVWs1lhfobP1iTg5w310hraynSR8MkkIXubBl7wxVAlK LGeHV3pkNO0iXMs31lJGH4o6dk6AviPDBxUxtVTncUvbjhrhDI1jjV58sE6ivPzLWJ9V7XeXZ+nQ Q5W62kdj93/YgozvOz7gW1zvxp1xdE+vcb9WwWcn81hM2qAn01r8sdYdsc1nnw/eEZ9AkxQ6M8n1 2GKtBN2hR8CZsD1tK70vFcGpijnoAT1wJ/+0yZ00+oUW9eHXdMmJazK4FoyufbvCA6+XkDtFWD1y ndH1e5m4WCSvEP+d/eTpKjK9a4DDKR6cBfHPl1ZhcqVCGsowAbaxujAsebpvO7pzu8M2q9V3ScAn O4IOJ8h/rWBEp+RPwzxNFqbuY7h/vjFEKejKxCyB99mJA4O2t5ieACtB5Y/+sbCWFUNLp6+AdQQk xxOiSQg49Zqf96yO37twmoXunwids15agW2T0CAFKpYfG5IDedpi6vQF/LlX3gmzavAaspKYhgeY wngIMJZxmY8CPFkf+ceDsP8zYNgGR6VL38xR3ZPTL9+Gtq0j70+H+n/ALvZ0ClqhWLtIfrMGp2pX ITertS+zSaBey8puJ6DbTC5c6wz1gdGBkux3XUO6SsvGBza5MXERKmjUOOqPOskchrwtNdWzt9NR 2F0Ih87FYZxNO+0YQpqYHmtBrqnKjl6aKiVZIaGK4WCMteMd1JZto+O9Lnkt2qHW9Pe8rLCvi7oG JQB5/PfvMiL0UpKpSLdU4Y39ctUSDOAFntr2D+F4pcVPSpk7hon2QnMQMzcwxX42edT1BP3+pTjX ha+OaIEsHCre8R7az0CRBxi+aYdKuzdzpLqodEUGvKn62otPip/ciuG/gnjGFXssXBHXBZq3GW0o bljD5Pa+RO9Ph2jM7vQ1tuwYqMsk21Slw290BVjmHUkJrfCVmBUa0OU28fLW1vYWiGIoFEygIYOR mca1rsxj7PHYvl+uZ+ivHjlA4z9oKvlm5rT3UQUpXpT/wguagCMCOE8I1EDf/XaMCBgSewt3DSI6 41rurIkZcmDix/jUCEGKu8szNZY8+phb+5QKw6XdwCfyVDkdubVpnEomeqe/oGxQkVKlrFrLxH9n FPnlXzo1Z7fJyMOLCF9oCBGUmmuiHEUXkvM0B9d1Bpykhpckh1CTgCZ4Eqt2QXIUfJL2c2MyFcVS +z9uCBzBW+aD/FteqGs92K3KY8IgyaRLSlmaNW/k2YlzvrfpX2RDzpV+X0oSY4/ZoPooxKeGqhhx cZi8vhcqVWWoz7uSkmtFtNtF9Uh/bPdiEOYT0UpQSwvTM/riUsg5rytEh4t8KEguLhx36VZRKm3N hZ6heHmfDziuIBU5HVplRi/tX5MM7nDB+2EcejSbdPm64WAl58iQMbo/U5YBpFd/9gLbVtl4p4qp rpM9Wbh19bZUvgku3jn1tggpS9epxAhGjvOh8wZCQW+0mtzrMa9fJe/9c/O2olLyRNhhrCDECO35 dXyeT7axeEeVzLhlEr1pmJK9LfacF3ccYD77dC8fZ2TcUke04rb6sjOnHtRy2KHj82BiVfuQ0yWw 9fFiUznwNn3Ld9NuVrcWaMOdnsJVG1BpPt1y0utFlQEDjKqsHBzU/JQ2CfyWJVOxGu/7hbYbmy/9 niwIkHXOiAWSCUy7Jotwj9kkG6XQB7RTjETytl3yQypEFOJALEP0XRxv9HGeVmlOgrzx0NTC9mF3 5cMaro/9kFFvxzIyv6vUNiEPApsnPqY6frsc94a/mS6Z4Gd3aC+lEHR5uVy+MKsoO3V4xkJxDz/T 8by7m6j+de6U+rRDCsrJiH8lJMrsrfTdWbyyamz88wf4umXwiiPDgqeIG7QtRUSRHtbdAf8BGjie yGnmvpPkg1SF6I8Hn8DE2gWxGyrPIzytZhh9lZB46eADamg9Dy8oURdAJPyYB/Ko58jQpHRdZQW+ k706rsxvCEws2/P+yVzk9uQbSWXlty5bFkqogaMK9st8W40EgMJx+z+tiPVd6Z5807m7iHqv8Eve KuHLJZGErGUveYuj+NnLoWbW+QrvbRxqZfQ+RpxQehMseAs7vRbBbAJCW85sIkFkRa5mvYpM8Pyf gse0DTGOwPXfDoo62pXFxfOwfpk5lV4lslmhqFNrIxUnXYdOwOUQPtt66aG3wKk+NuQvIBzOaR2I 00u7BKfoarS1QalCd1PD+z08UQGNyeujPxBZQ/oQGwURJS+0AvoKiJsvCLzCRYRp0axI+Q7Z+G6p h6O1t2LkKsZt6ERPqnNbb2I2xvPs6TjwR+IDo0qn1g1p+mValitsIRb+ehSAdOCYl6pW2yKXhPvd eZYpS784lz1dRu6/EPer/mVBtctSoeQY+DFjJs1Ma47zKwnPMpye5/zsZUodStVQVD+IzQ9GQuWg BtB9i+TyCRAMIGFhs5kr6WyIH9OJ3KweGy56xgFzk0q7dDS/y34yXCPrHM/Ti8+43vXKo40AkwSq ZQDMuFuTLvmeIwFTvxmZ1fsdDiy9cqa0kvsZWaa+7TPhFNYmUQXibgghInjkUI1gMvyqfMUzBNbk Td3wUgI0sL6bDUCIai4g3FipofNkYbb72gLsaTOyMVFksGN9EEaVp2dnE+RlA+09TtwV0jZkZ9px kxZEWwo5DFUiUMbqv46JDlVdx8O5W8r7pLNIT1nni8WhahNxbMGFmCHfY6daK6C3jBW1Mv356xte +kojR4PFJ2bw3e0gT9KSLllE32wevHf/nAOv4k8akKtq2nGOe7zZ7gwWs/iJ09wPdewV9cK1cSPm Bs0/rwi+QhQ8AWUkHpfyCfyfos5agngsD801XdZFE+Jy5mcl0yl+70HHv2x8flOnfY7dAUbSsnnO /qiMgvH/GzYWXZk7VDcO7uzSBURt7FcZmzAoDvv/F9mHZbK8Nma+driR+n0zEA9gD2LcoSObcWoU PxoByWISrJp68Mm/4ryiJyw46k5VkP8RcrpfMgSrJSCiSISXleOZjK0zUC5CpNlBqhZpqhtZq9yp cpFY9b0bxGySwcdEvkaR4tcVRVVPGqnbhZpCnMcm/ehzugRcqKeJ2C/9qz7kaiBrP0bRmOi7EELf wHA4jPHA8MsFrPAdhEBbZyIz8yYhqGH9ztqODnpcidJYoApR9HpP5+QunJosw09p/S8JIYPVrDTG bQkD65aYhDKiutjjV+rgydAbpouWbonBVWHmZ3i4OWhENuZLEXAFBhPrdnu3Vztxvk2p3dVbWowV QqJbMHM/3vnRcA4aogG6q/1OZLklErRo0mmU8DcY694qbr8J8IDEhx1aVV2vO21bA5wKxAu/35Hw W1pXOK57Lg1/JssBuybFezSHSLyO60P84ieJqVPdJnAcMy7bRKvDAIHWXJArdTyhjALm3hJY+vuk IjUkkJFXyHzDBkN0AtrHtCuGz7XeFT/0/Ap5GsoJJrG80aa0Xrnj46PIXkFWRP6ndEhk2Fwh1Ju7 uAi6cY9hEXpx2C0W1llPEWGqeiUyqvyvuFEFPcISPm1c5XQzaa8Boh2HqqwQ/sm8AsArgofmh15E xUb6WVm/Fr05sxovW1yBOBvAAC8kaX8v38NcALG8egzeMi6eDZlkEYx23FJh2bSzXPesLIJZDZx2 sKH5h0+ozyYeedxCTPHAduJwdlddNBdZhMUT9ufv8Rbq3586oH8l07NrMqTKDT/xXeXILFFHwxvY +pss8+sVMHn4vCkTxd67lnOnVe1mf4fKvhkLsRXCsCM8cwe3TSfgav8/AWpMQynE5cl093ktE0bu YN0iOjwju17e7TJuYKPXnp+s0qGoHqvYzKF5W/09KvyIVQQM5xRpEt72AfjtKLxrbcOx+aqcNWnh GlhjGv5hesF6ixnc+/y3LS5JhfYcACE8ChAc5AKZvr5Q0n1og+l6yEhNpdbIZwqg2d/QrqI1FQZ7 SPXPc+sOXVIO47zw0Cllikj9WuZorBsIa6VjSE0EkMc+WC0Q3aC+9oXcBYDrJfZpkFdE+RpQMKVB CYJq+s3jvYwjBmtuPbzOW3JzEHp/JxHL0WiE+oEVL4SwOzRopcX5bwcfktoG3xPNRn+KFOHuScwB gv0zUmw7/7ZUIAIj3UG+ZQbyrQt2fMNRSBbgKeRUlpeCuTu+gj5Ealw0A3NrHZf/bUCvOeo3/FPW EOeLNsrIWS0hAXFhFi2jLSlC0OP4jFLMDblDqdLvlgdP8a0q+JYEWU2wYI4kNRfaEQMAWRa1i77S SgVt4s5DdeXlDFIyZEXjPN7ZGOkKbyPgzmh9EVMVDc682rHbckpFhb+gBwHarzbzcMZn85AhWQuF JIXBhQaRPk5y54S07nIVkz/T410CxlJw1A5UJazQGzqdBDKBbN+U0q6x5ZSLzlXPmMV91Q95sOeO 7Nr1YAPP1RxOdIKanu4mHIyISOen+0KKrbkza3gli3+13D4/tLl9KAXU8eJWFAnUeYM7tEOOOX2b e5/asCkkAjx+GZr/rKdK+254mf8LXrTokx3Wg/U0lR/JOCkQSqgoJzlE1wu7jWH5Yb8q0CWvxecI 7BqLkQ1cfNW/wxMkIEDEFvzOTfiAJKnMfDTEGFEJ86/b2babcC4SopbnBvKjEWONr5y7Fd8vjQhJ AwuKe+G4WSmoUGBBuZ6/kxoT9gkvlUKfVhY8sXUBpfs6zYzZk0DIHCJZCbtzslghA3S+UEvh83cI fqfSuhZFx2N0tzZIIMRNBZMH1SKrPzFS+9mb/QNipmBpMQs24T3RXkA8lvhOrLn4pxbylLgYdnbJ oqK00lq0wtHi9m5zcD+XFcbX4gz2v2jQvvwLYKenJq2T00o/FfcKM4rXPuMyV8PVj6vbLBPcWJjA sotsWnr9Neal2tBmZXCSvJcMl9N9a9mcM7xFdKQcIcASbtlhHiTPavqrcBeVk2zjET3hHbm3eYIY fXXk98X+ItFbvzHv5d41csMabmZ9HKdqgO+0vlcaEJRGZnGdpNeKB8bqpUtB5A1pBmTd3i+P7cXd dedTdFxuRFYaPWP79nkCLK3PJOh85l/F+c5V2ePYHG5kSw+2w6mBcnYFO9wCedbyEw5/lHCn4N1L 6x/Eb13hLxCDIgaNjupImksX8oQ0c6mVBwhDRRgCwiPNeydxesjeHp1z+JwZ6dqfdiF4huSMSP6s PGCGuNv9JF8BNIUwu3W3mZjKUxHbda3f3Hj+nQu3/XJsibZTI+ugjQnB/Ry6XY2Pr+3lcq13GUOs RmKZhEy6ZDJLihbgG11BDfnuBEWvQCTvPnCcVAlXBMuTWcNSZrxtp1tqsq2rWPKHkRZqV1LnMeia scMRzeAa+qHlGEzTw6D2l043+MHGWLs7LY1jmVidUxkmqY99+kXt1xiBVwLPUYqgRj6GC7Eyp/qq PdS8iOoDwonj6jzuBb6CNLKNwg5Zeoh7ou++byuSn+H64RTftshkoXgIT7sYNFt2LFGyVtaOYd7o V26TdlZAVWxd1fZlYlPvZjTru/mlNm54Y++qWivK9toYRP6JEMvzcQ4DZrvc74rcdCq3S5jCGJmy WtoQ9WTc/+opFgRbFMjv8CofAA+QhRVUXmwfZl9dytn79UKWiZ45r6kh7ZgumWkrhmc6+0kP8zjk fqKfE4zhCm7UdfyDgko4W//gsC5cCcDh6LTPYjPHHhPccD8fj3RDgT5K7X2y5KTP3NVhs3RxB9w7 ydAGRFCpAHZ+xfl5zWQ+yV0U7ozy9DyNHn8l3/fW1z5d2RwjP5wWISRn+6DEzmYTixYz23rVRfNa XrEfAqnby5hp4+bpRL274r6qg0yePnN+FMA8x0kBkHnvtmDJ+3HQBjo6QhXwEBB97vFw7RYCx3Z7 ZBccphTmfT2tot9299NEy2UWFUbgfw5wHC9W4HJ3vA/DYq3B0Z0L9L9KREXcXePQvr8I0xQAd+s7 820JiG0oAVS2YNLMepVMBoW1cJSJ8TUa1pcP87IBzZuOf/FrSYTFD3vMZMZs/o8ZoeQjXtF1rZYg xqsuxxeoZqY8aWw9X0X6EdmQrShLqiOHUXUrfRT4xpVxEmBWI2LME7aFiE+aRTuozcHdM8cmXC0J rw7kf7pwCC057yVk7hkGTDL1s+173LNZRYsx13wQXzSMYI2gCtVe1Qh5HubAsYNGduPht849xH4T maQwjBKS2q8RXoqu8sXwQe4ARIb6XeNC+XwJ9Z1M8wmbSwJk4mkTTXo27J1/w7vkS2Sj4bXyeuHv cWibI6WM+yXdRcpc+VSs9hqr92EVN5ZQu8G2gwxY+JgKma5kFbEBnMu8mNh8uugNgTV4gUvh1HwI bK3GOc3vPbzf1Fwsn+CYcqSwUb6DNuKFOPSPX4ml+lCckxUj5rzGu6mivezVa6t2DiZ/b93Vp3Y5 oqBX3qsA41jec3sACSsnzp9Sr1SIEhXANhYX/LJl2phLIg0wYP8FjkhevhosqHbFYMwIV1ueI8x2 EnmshmCa6bZoUicNrofbdwGzQMK4YVPQtCyH0vWy360+v7Y/NyqON1QPrnfrOVE5etLmmnJgt5+r GHG+V5xHN8tv6gdPRf0hBwvbnPGcMCFJlbkqVjMtSbhfYyWq6bVP9c7uLHM2nk2qP+e0f3CVX1D8 QGna9StG1es3AZ3Te6Jv4Ovjm8S2tB3s0PfG2BnJYGfoHaMOuQqQUpCysOrnw/P3IrWr95FD2xo6 YjYSjXcKmAUqNaRX0iSEeAOeZYba6jGbaYpKVynKb7cUa9RC4RplYnSP5x85ZcRbpvvCrU53WB/G s2BwKW1NedATSYQJX/yUDiUDSwJGFEDk0p17GsnvzyVbNMwsn82er2msd/T6pY78UGOn+YfAHPWA Y6u56G4T4bYWAFORgjdvW55Uu8cx4h6SeQASP/mOjGYlhCZoR/fIPkC9/2DrEQ7sKrP+yB0ZfrgQ 2s7ms9W0+9INrvjk5Dh44hjBO22WGBjiVJd5Bolqx0S+vgtEkoCRXUDU1bMgOYAuYtKrRw7qw/Lc FqOpwxRwO8IvyGVm9io0Aose1vKkR6l/f/qkr4LyU/t7WG1Am5YrIVeNrTvhjd9xVy3TE6rPBKvZ qiCXaDwMdvpgb/MJf4Nwtp1QkUWjUwdqY0vShs6N1Awe1JTCRHCefQYle+eqULtX117u4VgmRaZi IshWMxlF9saTEklRR6zpoALIADRT1jQ0VZk5e3040sV9bQsGMMQLD2zOQkMRcKq4WLmcqlRGsCGX VNvAogPfRvir7ZI7sfVhIQgG2mA70fESNWZsASFvwmuKwVLwDuU5izUQ9FRQzeccj7zSTGcJ2gJ6 sgxibwtUUET7FYmdLAHFYAewmcpVjr0ogmeYp363zAoD72dh2o6wWtI+FYGHab7eTAlmhqMo61xi HMfqNk0XvVcgYkw6K68dy9m3c7+vIx9Em07B/Nxqy8a9WeXZrRHffjY5Fgju0uabAxiZZOE1MRg8 ljP+tSfbsRu9MYgKWfS5ulx/EcOm+nffyjNWwp44oNyj9wTDpQoHzXJdfCxHCkZ7H+jdEan25E+6 8x2YXAvoA2rfvbYF0e2MBcRwgOX9X+HPRxQMP0CgE9DN9Va/+vebl3O6DMzUgPIQ9gZeArYycNmL Cc69ixXW+qHqsE6QF5F4WrjZLPbmZITGPDeOcFQH2mxz45K28WelWmKq9q4e2LE3XFxIvV8jljdS /D16Qh6kYuSjE1V7Gqoep6pJio0DxsiPdxRsbNoEwzZClZ9gKG+M1QhFsZlBK4IwiLOgM8GMHacV us5SHkZ8+KZDBoEU5zlFGKf4YqPWloFtic1lyUhH6F6HGzwNCxbHQKsaRFJtN3ti6fbpqfzdrkmg 4kLHGIQ/rPcXCytQoYSuBlkeOS+YPlwiD2bNQqIJrrOYfuR2SbgkV5zgZaLbYTLpzKwZSjXEB2hx uI+7edvdvBlWrV+WkaDdYd2y8jIPYwErNe38LY0HVTg15IF1aPl7VdulFgLT8/MDi/jC5mZwNdmc Kz7iioGpvUgE8EGgxgpGxCiQ2+FlQbdENOj/DfQ2yMInMpF4OVK1ubg3ms74wgUpDb1vEuqhnZKr WUbWN7snqbhi0ctHEajjFAX9ujiWgHiusoHU+s0pK7ZoQVXMLdvVpCetlX63wo2EWKJ2l2r06xgU kIvtul39+lOqaibpPqD8CjydadqTNsI4tZ+L3vaibeZSTW8e6kry0rQJZy8DPsyd98EbHgq/0J4g o/l+nUkuVgF7C6cDPjN/GU2+fDvwlJjNjG4DO1tfQnpla0WfkhAK0cidZJ4XJqpOsA9A+/5W7/PF xm2uztlgMNdT05mmfqlIrI2Bp1962mQJ3odSK9juePCKka5vVy+OgFwrMqY1NP3pv3hg+iqaGp6g 2kxOghbd8e+GIHq1O9x543FdBjYatrTFVyBHN9COTCjYKofj5b2eu+ihZbYs1YGv27eDqr1hqKni XJnDHeUc4WCRyAuHiPFuA0pdQcch0cxwGAWmNxT7BIV9CAqfYWOORB8U+X61+aOkdAko9odD9Rby 6M4r8x0aCZNb6qXdI1uUYRa/BzY6L1hu17TPjsGcQ/CkjLvN4dfRtV7+92zcJlWb0RZUVA6xz8bg jcjjplHuAhd4uTMLtBYWAscMC/0Py8eAgFSf7OBUZngXuTn1GKPBWo9J2L31MwBY2FMS1BBJdEZ3 VP09ZY8eWc8hEJ3qjxmhKYEQVqpt/y/kSvGzgSg9goqhDQUiLSR3YcADgbdYnr0mg/KGFlrpqokm zZuj9t/dGZySNpvfjIbSD506IfRarpj9MGoI0DahHpPjhJtitkXVxoHkoPHyCbZjXuKWyLoSaI/C 5YF6x55hOj6j6t6rRc8lZju72LGeXSWF4i4Id48NbqWmr5LwpxnaSc+FiIFws5jsK9WMYUJOWRma HRCCxdw1uyeXrTfWtYWI5yJPfgfbLs6Di+AvzyxYEzZYx1qVpRlawFkLlQ/Gzd2U/lgN0sVw9OjY WZwAhyZfLiPlKjIRTtSsqE9fXOhhWwwwA2zVkVhNjcoUhPk+Dno7cm8OJElnL/C96t1/SdkBKs6Z vKubkcdOIgmxllbQ69z2lgsZV1Q9gc8/KcQS2vfY3EMgHt94ENYsMIAyqvQ/+L64fPfWcoUYdxvo f7ndPH6oRtHv/5IaWky+L/XQD1lvAWojUdkKrweKNjUU+L4jAIajdGD94BPfwJwxttxk27NeL4lw OEqLl29YF6De+uHAz/ol59g5xkKOn8VNMnAleHFWfu6tjK6sgA/oCb8l6PuerYsFyEVkwFikQeWf 2K8EKpMQ35hhmx4w59SIWJB/XefQvw5lxPFhdu0lNxjBTMDrims8YdVGMrknYHi3SIyWEJZpks43 HKVrwHF5AId6xxGHwBXch4+q7n0UuypBZOnq2r2FABCLn2WIpTxmxq9zAau6JR32SD9vXD2HxCyJ oT+24FJWNgSMHdrFa5qSL7EfE3Y9ZYCwDUnAcDHXxktvl3FTXcto5vzP22DOpMLTgA0AdMvn5xFW 8RqZg3ohnbGdN+vDg6pP2XlFhDuwwGjJTlyxjLDkavcZz0Mnt0y49JbHLlrzRTYhRvh24dHMiYvw 927XGU9fyW8FME15mFv1MZrQ/cr7jqYmENKelMlBzLNwB+osylmnQTfJBtDhHERGek/X9he31iSE np6nhkEtgoGOVuGCrelc9io46n+hBO4TXyWTExf2eUJ9sDq+phxA/R+a+GgTXeAoZ7NfsAZ5xjl8 3fFekj8c7k7yc8eExCPTgYtue+mfjmf5rQJa/aYVwF8qWzEhmsgN9InRyJXJRoRDMzg5dXlrpcYF MGVka7sKUxLnKCyb/x4qJAzv6y0mNnGe0mVPeTFvufGMu7eoYMGVPX2eYiOlnpJHcIl+m5znZzLa ROf6Z5LQIvCtscLA8K5OXSiO8aaoUdkO+uwNDAmPXetsJ5XB1k3uwad32X6arzi0ayVj9mD74EeK FRewnoYlxNvKoT5ejCKQMv+dQ2kaUajX3fjDIWq9BWlQwDA3qNGIdw1ncp8w1pH9YRBU2dRiKoCr 8P5noDPQxgNEPDX8BUxKyiY92tJl/E5Xju19fuWsrMqrflZMtc+/TlSptGcCIBlvWlTr72w64q5w kU+VkxP5I3EHajnVttBvq67c1FjduztvMMt/n4IVL92qYb//8vmgAdW4n+3wMfElDvXU04Xzbar4 1+ibfYai3tvyiTuSwhVOdFNQy9nDxqAeAf+/zBXOaYH4OowZztaLXj5gmOGk5agVyuEEYkJ7tqte LkzivhNFDIrE36+MjRgyYqRQZ8GEMrnB5iAiJM7tys6t9Qiknh3ssYy7x+PJ+oDQNaIxgx7BTvDJ Ystcl7HJVJC0dB11H+7HhyjITrSlnTxRLVm2G/a0Ez2zbyWUK6gHVHr826C8bPpVhbsi03Fjj+ZH k7prsTXiRIARS55Z24Atk9t9fIPLyxKcHSNEoBFWFoU3bO/WCoknRVyp1SPlc/qgABwU+VCeQagy rDk9OWlHPsUwFKydmjXOrpE1XKvL0J/cdV8h8TMRqETS3ilTuRNw0LtCnFsM4oGtZRyH3SuIf/vF k1L3dQ+F2AVVn97PG8i7QdWLMj59vtMBDdAh2wrknMnbandSDd8UArkxoG0ZnVm4lSdlUM3+3aCI t8pLhylbU42M8VbjJYcz00C9DDxYd/o3eJfwEMwc24RiVSYQq/qKcQ/MnsIquVSOrWZvrRn8+im1 pzuIT4dwvgxAhNYWE5sgjlf6Glq6NMuFFBugCzsDk/9tzdomqGmlpnoFxoKxf9n5uEAvA3rcq2ZP T/yzzSq3N7CD8KPUW3DRYDB6xhpnQuWxQc1SkSrZTyOakgzBa1GcBT2OltPzAhoxX3mjmGg58+7F 1y9FL7itNGpHWQLAa3D4L/7iuf95KRKJXwev2mJSzRDhQJUd5euFMQKnN9G7XqbEQSbLb+FNwCtB 9sDH9eQQ1mdcrO4ce497QfMVrYMiwYRIGVoFUJTRIArzGm3Q9gfWrYlGcZ+7/Zri5vinBfk4vzCa gfo+cXNy5QyH+VFgq8nPfRxdxHewEs97mbB/I/5ogUmoh7VkqmkBN1dzvHYE+2hXkwEGPddgV1yR 9cM/hPN3ifSUIMaR9Ry3ZCTDIG+8dHnltk2NTGufQPp7gJwix7V1Z+g7EM+SbAYbdV2ukXnw0bI7 Z9mykwBVt9Hsf5tKvzE64XP4/EgX5n9Dux5/l4aMqdV9uUikvLQ+e4hQXJXmTPoY14eFqMp7Efkx MkUSZbyXJbTGbg1w3YB2zoNo/kTPgc7hiIp+dQT6TOEukXPF9fMfuPFWVX9XMPjpjvY6Vp6i3RUJ bB/Mwxw73LI+7i0Hj77bo8y+1ps0kaZtsq8vzEyLGE/H+m3LiyGprq0AJlorXBYxgKVb7oTsduQ/ 3SpkrkJ4dyBsnUSmWhquFMSNI8b5544VOGCVOJ5G3fI2ORFDoDBj5xzQL+BeRDVPX+QHaKCWgNSA JduQGflR9gxsgHLvWq88WODjQ7XucTuc1rUgxyaXaXZeiCyCA9qiI7Ttn38KLnEnVlxe01JEdfsj noW6beF5Pj980koyUK8Z111u7SZJI9yA9c8mxajNBKsJV5eWGQ8zMzMJzJphT87qmh9B8gkZzLD6 rQUhkTZQrYHvPAQviSgKsxXW8jBGNIt51zcq4MQ9ltxEcHZZnedabqMdOGr2s0JqOru9qwiufWPo /WATjfLohvH7os9pCCeRWTVOpXR4jt0h3oe/3RHW67k4Mu5m4NaqWh5+4jlr3X++cucrmsbi5geo xcvChpg9T7WCGOKLS/WoECelXJ2y8JAzm0sf/JvFzjXOImWNoxubkdYwbkz7WM9gDb258YHO3nD1 JoPYhrjbhtTigXorM8CkXgFsgbu3aIUdfYdYEe701xYDG2x/Mx4DCBiA21OHUYsXm1ePnr+muWVA za0ZWrqvH8qXJJ6twgz+5ehNTYjVv4yt3x6sBNVjvRIj9cKRAURIMUwBdbxyQrrcxGirV6yN0aUo MQ2vxKQJPtWyZygGVbiuANge5n6s3ytUmwuoK45mJ1tE9PCDHKmo+8W0tUL82G9pCxojy3tfNQE/ TMWCxhdfxAikrq9/eQLBhcG7Q8cnH0W6JELB6oyEkU+ybP5mylbl7r4nZJL5kLS/4RFtfKeYkZ81 JNaiWRN+vbPwwaovLElKqse2Q20ETW4eUEyJOco6ES7O2IqTSlZ2mHWS1L7yxWgpwde/SBDezu0k 7Ejtj/AqZuQsdxGqxQCm+iKzBTCp3X9tj/BpISSWvmSE3UQAAakUTeVLiPzOs+gYUISToTZKtykT X1LtprlBaMMYnpmFmXu0mFd2JdCRAPE2b8Fd960CMdiyZLR/ac2ByHVC0TpklOmeHJcd2MrxiXue 7EA5SZ2Grsjf+zcbbzmQS5Iv/wbawo0pqi4rBEdM20/b7HT6n1zmv2d+q1OlLxonbelWuXCRKQHg rE9zobLTEcpXeBXxFZaHiH8uyoFDoZrXD+R4jRztGXvZrVjvzuimG3/FcKxlDhCUiw7AoF4ylXyM 9MNGwU/s8TKQ3bG4IxTVqg2cMyIvXpJhuFw9Y8daG6cibkXEy4nQq1jGLhlGluESp+S9re9mTjae ICTEhZ8wvIKJ0+W8SnDGjZSxLBQ9ARrzGY3M029LP3A7uwMwunnf5tQj/zTc8AKR5Ui+LC2L0PsW S4iSTtIZNPkBR3lk6mzzjpSpgvXJzpuFXE9FOinNkyItRFlTHDgAQ7rWvsvNzvdhIHm0vbk+ZplP 827YU0DxQDxNrX0dMwO38dByI4RsN4c1fU2cA0EoUcZMAanIQat8EUAkBpcVd9MDZ50TnOmuDHIm cxBPpJw5a4Ge0RJEHfmAJZr3lNHPqueMnayfMdbj0e/LM0CgwqFll0ixgIOgUzs0FjHYQbqCl2mK izrdwJvuYBQ0XFDcDNhpuL9IcgTR2CcPnFKTIm3W4xPfry92k7OLbwZa6j9/jR/5Bi+/1X/7w5vm D5Zye8bStkSPfdxrqDbrLIcwuMfriMFCT637pfkHCuO/AJNBwtV6TsMmTucaJAShJLkQC4GL3EC5 7HBymmGWDvCbkmjAAVaddHMihwdPt9u63PT6jKzqKfqn02SaG0wgiULXFvlq3JuDZKz7m9sTosQM UzBah/FRYkGzpVtAwdCA8AUcXb/d/bRvvvijASJeZET1vQ0VkiAdTtg36Fp3zZPyJawv2ELt8Cn7 n8rXXtR/SFIv+UEF85AD50TM0df0TvIKa+JZzRbv2EXYmQTNZ6JSdNBcLjotZZhRre15gnFPG3Dd ypKcJZRRNgpX1R8EVgF+tP9bkKMD3RoBEe86btxTHeG0XDm24XhYX4hzGxSbefcu/hQSirweG5No D1FQNFim4a+FF6f6gv0gb6VcDLtgQ1158Fb6Qh0pegLPMVRKeSKN/KBhBkoOcbabyL1jFw1/FUf7 0VmhQPDNuhOGqwR87eEem+dZhw66Y+Mk3Av86dHUzfAeYncykzc2wrYxTNUMse9nKXvn07tlIPy0 6js3OytbkRyw6zt/CdrvzEs5KDljOZNFyMMBPdev26hxSMlvo3rM68myfkEp1Qvl3MHmOvsdnal+ tTZJXE51zcwDmb+WIfWBnk8Mp0zPQWkhCRMr8luXQG5s6X9Os97zBCOJOgHXJNjegh8PkWc0XLCV 9JpyOP3MeVC2ShL9XZoqe/iSn/dT45wGPP44eOHoc44V1yKMHZADe3ffJmGFPPe1j5RmvTzuJj3R HmEhrzueytFaaN3dw9zRfKxd2HBeXESEf7rrtaQozW1dH8+2AI88BQrwa8GYQYEMjzGnmU9829kL 5m65FNqJVOI+8Kh0Y7BYwNgZKrs7DyD0RNU8HzFMMulqtxpgAYogL+IsxZIExZJyIqJppA8mr/D7 kKN1Flsu/nmxywZXM79eM4U3Kz4BTeR7rF6XnN1lpeCY2f80oBc7OJ7dMhYAoSZLZx5m0P2Hf+eA 1ETzc13AA6/KPHF6JM/Pudi4hQsjh9pCvJsjJSVtdT5XJEqDxoDmfM0fFgGDy23fXVkbys9fIfWU O1ofuDCJr7KHFT9iSz+3+Q4vlgU7ILmMGHUu7FlSvG7M5ZaWNomV34l4mlKcFs9WdXi249y9NHJD YeBfGVw104WCBGvC3oknnlvrEFkR/6ddIO0Gjx6eOEk+jduiEFX04ZTGgMp1vB9gExOAsFhGGF88 VD6vQvxONL5uRew/qe8IvCs6EFiwtjylrye7tw3dfP9HcqhqlTpqFsqmOZbdCMetvIuwRRfII/SQ HSDCtvpEXteuqamrDlfz7h7AComH6G30OGl5yzcJ9xbuNbVnc2h3Kk8F0SEZHVnGus3OdTHMpbFx 7e20BzovtKcZAHo9u7tK11c/j/e3QDq4JM0k7iE1XSBDhMa2Ge09lbiw7RFpUskJ+0Y9AZhkPTxp 92wwZiK2MKVd5DvFFyz0qtns3oXjSjcSuv+71VtrRb0h8aMk/jkXC96BWbWWHfZxEpZ4DOuuXUc/ 2ShVAp+uEiwAulXXhw1Q1W2hj+vTOffG7h8Y9IUIAtoTyuVpGQ94a8nue7G1r3jAByVExHSusll8 3E3r4zP8aMFPzIjNp9yNkX5lzdk/4bXqzz+iD2IueEpdKqPbwOZ9ZCZHccvV1o4bH3FDumNdQ11H Xkrnk2Jbgin8+RGDp3nEqOmgR4lJQQoD3ogck58wYsPPRNNDkc+Gfxs2kp/2K3d+lHRoXz5bvaoK ZhSj0jZvRLUBcKYo0BspV10aOIfgmxw4uZUvWmb90SzLRANQ2NTM4nv8HNbSRJ2cZAvshUZqlmXD zkVEC71BpuWc9rqJVGDZqnxkVxdn+r95+tTMDdCx7tAfvF7s6ZTy8cd3OlNINabQeokA9dWLKwyk MgUjghEXpMb4jJGZy7HN09geanCqEbz1W57KBgQn1iWMWREd2sJO8U/Vg/SVcrkSnd1J1uJcTOFd CnE9yo5rNzGTUbVb2mM2Ti03mnzKFutr5giwGACfwWAnn0afnyxiTprjgIljNN270v4uSiH4pW3Y HDCZYdcXd+DJsf5cioKTxj3gf8h2bIOYtjd4SY2C0LHi2sReWwlGbizNQcz37hb4Qer5tW4gdlRb 4HGqgG/sk1oe1vShZ8WikoVFWKC+a3di/PboIJ9d8aD2ScRJk24pPwe7NI0NiOlX82+fUOBe+fqo b4HommQrJHXQ8nUFoPc5xeK3z5fM0WQ7YJnC3ojdmlhn4z9gDVg6OWm4MDlTAEgGeUim5jkPo79O UxCGjrrBavUGpGqnZU5iNfEvnHOKYbx0pQAFD0UObfD0jsFl4ue1fu/5CRXmLi1NvtOOpZwUtOiP QbTESmCfz0R03nQBtvqAB+e11Ix1A8NBke7aQUKm8OMTDcSbHR4FtSFTpUP6jhGfzjuR4w8sK2gn w4AM+3i4BnAQ1WwR6b9omjw6iVo8Q1AiGe0Cq2dHNM4ySzs4WB5jiuNEJQVicsLFprNW/9CBY69t F8RMqPkZSsgmLPu4YokH/AOaxE2mzbxVNLHRyBK4+QxIw1fva7VUARBb2hpx/MOOn3brU3LFIa0K qTWUtpdGSdCnQkn9jhUp5L0rqaXPYKrxa6UcJpwS1kYnySh3mm80wt6Y+GgWVr6PNM+U47x/xva9 na4THlQMh5PbLSR7wHW/baCGEsI9od82CLXRsfd4WxX0kAjmtUTLv6zVMHUt17kukqmjY2qMPWsW oJMXRMrWgK59MpbPYW8AIB+GIju4/95je8NZ+ubiYCrPG8yLCt3zvEVJ4exKGEsq5SI8uhJQC5/r cBST0oGdxBK1wXec+IBvjd05wRD6Ywau5dbBxsS/YIdMXtCSmyRtbEsC/XVNfO3MEa/WbET/hxt3 khCcVlrBoFEsqnLGj+5HgmL+0PwpLsCoWMl6fMTedNaxr8fQlMLKtioffkOaww13uHFvmZxncAIF G9wwTwmFNLWNhzLZYBQeavf3GuFEnq13wEXf7tDnf5xh/+7Eyrb7AWvhn3sjSJ98+b8CFhSct63B mDcFjY18i4MTs8nPu3ycLmo2PShDqnaAPNTvK2UTD4KtjJSLlwdGo8bOxrmKwMXNJOY/OEI+QhvA yyjqd1T1GFysYKm68Q5Ze/OTLAqCdyo2unp5KZrOcya0BKu5i9lQuQSf4epALXz/fNM3FJ7yfhFN gOmGhs1BzB+qtGyuwxTWFp7A9IQzhBTgqx94M0Y74gkM1+sN5in5lgMAruPa+Xvq90WBmtPcYcK0 OXBSQMTFABznLNUuxcP62SK+NlZfpaYYBguifVyXxinTozwwDx8ne2u44TTpoqVZ9IBKtD/fRg/q kURCPR4HKJd08xU9stT825Y4EmQDI7P6m3FuA0kSX560+twQ0hR3DIc2peSkh0cJ64bvwJ1abBsb 6YAbrr7+tlNOBmaIZEczDRiKfYMuXXKEhBaUVNKmIv5F9GU2u7fadVarfypAjh8LjNxA+pXSrckQ dQSEMdQCTClhoseRtkDfmSirWty9VE6RoWzqXBALFdXcxd0156fDEJWK5O43ZbjElpZhBl3TbvpY 860bnY3D+3skJAWFlDXx42x5farJzFYa4luEcAGgn8T0nrrUfX/5jQJX9SIZR5/dD7q0zmATzDlU QHDOtVmfhE1aWaVVyRcz6lQWDgPoD5uMYU4b7vSeIc3/p3xDDfraynd8nIU5M+QGzpdKjcmVNqgg DTI6aORQMMmiulUUeooJcFy5ZIsQBrNwJkXwQTnD0HU1jI+4t9EjE2Z9TJf3zMsg/xtuDuM0yaWk vcF9/LTquKz/DJYwXcm81XvoIVpoNDzvdjsFjk1nIIsGEbUvxa+yQ3X6sU/WH9uO9Hdl5APs0eL7 MZp5jKD8NFc1WXZdLh7PKzQEsHg/KV+XINxgX7HUtPs2BZheLMRNLMFhA/Fcz23sWsPU5Gy5QIdQ Q6R2Hxnvqy6iVN1/Ek+6AO+lpCnMLcm5lxgI0oSr6EYUssl0aDl33GguxwUKVPxO4nwDDeKrVcQ9 OaN0qlq/KeaxbsxIwPYDhQVSe9c0PWahd9J7MUECLLWddEggVEKbNyW53ZIzH9DFrR8lq1Idclbe inlXBWfFM6zdhoRiST73lmTv19I+vYUv0pVBpN1Rg2KWp1hSkJPBxeK0UqmjsLfdcHW0fEp3yoRA zKMg+fCoUrhxx+1Qk+JtInxMkeOMT1ID0BN/Jm53OSgPqe3232rbblMeOr92PslMrvANl/WuiSSq 8h9kzWetop0fUsQtc3TToCN54nDExT7Lf84puqDxFJwwn3/8b+CbaHuwEHQGjvdsJd238VRn79cj YRHPd2jkophrY1ucVYW88s4iZ+7UKPs0L//DyHQ1Bd3kbNUQPJUHj38EtWII76JKE0420duICT72 K5N6t/3nQtvuTiVi/GCLRJT01FwRVCxQtlL6isK8qbQVy8VaKSFvyek6+Hkq9I1BbT1gwSqH12ba hYN8porggDayIHGWjTpPcfJ0TORf9MfyD7y+2YDNoElxeAPXGsTW1vGAGdxTO/mpTJHpPcIS+4dC h442g+qRRUEEbfSk3hcdzu8mfIpsr864huOPgrxLFxzGdvEoPOgRjkfGXQQGTvvDTvhNbwC4T3Ip RSQIZ4zYZvLRM+9Oao0Pix1YODYS7ZdMSCCThfKvBqrp7tcjnU23RoFyNKYFhDBqGQOhYd3iZXCF yryH7blfcnqGZHVLhVI+Q0hcfmQWWro1avZRWHDfdzcAdi1yF1f3ZVUY/QJOOTYgzB6KMwi9cAE1 rMhDfTT7b6EgLsXPod7U27o05C8dwyvLI2kVgjyrDQFbkVVwoQJWTcZMhR8zpEJexoUSA++noY8q v4PEmDUM4i0x7O5bpMBpCziPh1PXQB48cud17PdnSIYQLvio5sUd0JILU8NDufY4SFqMD6ZpWJs6 y7NudQCK5Mp/YuoJO7E0WTGsXod01TzxeDG87Dgxa+PQz0joc2hg7a4tcpNMA2y/OkZ9+ZOWYY4u IEoMvs9dIHTT3M+q0R2DGo3NW/VVBPf56ErzZxmMdqbLf6e53koAVDOjSAu/Nfsj4VC0U71At31A JSzEvGce0D62RGlgRbH04f2ximi3fKAIJ5jKgUAi+fkatdwmyrOSzN2/UN1o3JsiT0wdRMWOKFTs H5MzuvgCrKKk1IMhK6Qsum1qSX2Aa4pT1kgkRnSyu03VtdAjq+dhboNbISM7/vNC542Qfax6zA== `protect end_protected
gpl-2.0
4c5d9b63a333d222b00a13fde49607f1
0.955186
1.828774
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_cast_GNCCZ56SYK.vhd
4
855
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_cast_GNCCZ56SYK is generic ( round : natural := 0; saturate : natural := 0); port( input : in std_logic_vector(23 downto 0); output : out std_logic_vector(24 downto 0)); end entity; architecture rtl of alt_dspbuilder_cast_GNCCZ56SYK is Begin -- Output - I/O assignment from Simulink Block "Output" Outputi : alt_dspbuilder_SBF generic map( width_inl=> 24 , width_inr=> 0, width_outl=> 25, width_outr=> 0, lpm_signed=> BusIsSigned , round=> round, satur=> saturate) port map ( xin(23 downto 0) => input, yout => output ); end architecture;
mit
e3bcf1e1046fe819c419e9596222c547
0.65731
3.131868
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_pipelined_adder_GNWEIMU3MK.vhd
8
1,300
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_pipelined_adder_GNWEIMU3MK is generic ( width : natural := 0; pipeline : integer := 0); port( aclr : in std_logic; add_sub : in std_logic; cin : in std_logic; clock : in std_logic; cout : out std_logic; dataa : in std_logic_vector((width)-1 downto 0); datab : in std_logic_vector((width)-1 downto 0); ena : in std_logic; result : out std_logic_vector((width)-1 downto 0); user_aclr : in std_logic); end entity; architecture rtl of alt_dspbuilder_pipelined_adder_GNWEIMU3MK is signal cin_internal : std_logic; Begin cin_internal <= '1'; -- DSP Builder Block - Simulink Block "PipelinedAdder" PipelinedAdderi : alt_dspbuilder_sLpmAddSub Generic map ( or_aclr_inputs => true, width => width, pipeline => pipeline, IsUnsigned => 1 ) port map ( clock => clock, clken => ena, aclr => aclr, user_aclr => user_aclr, cin => cin_internal, add_sub => '0' , dataa => dataa, datab => datab, cout => cout, result => result); end architecture;
mit
d142252756fdaea95b0522891d608517
0.636154
2.908277
false
false
false
false
michaelmiehling/A25_VME_TB
16x001-00_src/Source/iram32_pkg.vhd
1
21,311
--------------------------------------------------------------- -- Title : Wishbone RAM for simulation -- Project : - --------------------------------------------------------------- -- File : iram32_sim.vhd -- Author : [email protected] -- Organization : MEN Mikro Elektronik GmbH -- Created : 13.12.2007 --------------------------------------------------------------- -- Simulator : Modelsim PE 6.6 -- Synthesis : - --------------------------------------------------------------- -- Description : -- -- --------------------------------------------------------------- -- Hierarchy: -- iram32_sim.vhd -- iram_pkg.vhd --------------------------------------------------------------- -- Copyright (c) 2016, MEN Mikro Elektronik GmbH -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, either version 3 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. --------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE work.print_pkg.all; USE work.conversions.to_hex_str; PACKAGE iram32_pkg IS CONSTANT ADR_BITS : integer := 32; CONSTANT DAT_BITS : integer := 32; CONSTANT SEL_BITS : integer := DAT_BITS/8; CONSTANT ACC_REQ_BUFFER_SIZE : integer:=1000; CONSTANT WRDAT_BUFFER_SIZE : integer:=1000; CONSTANT WRADR_BUFFER_SIZE : integer:=1000; CONSTANT DISABLE_DATA_WAITSTATES_FOR_NON_SPLIT_TRANSACTION: boolean := TRUE; TYPE iram32_in_type IS record d_waitstates : integer; -- number of waitstates for data phases d_startdelay : integer; -- number of additional waitstates for first data phase acknowledge (a_startdelay will be added) d_break_delay_position : integer; -- number of data phases of one access after which the break delay appears d_break_delay_length : integer; -- number of clock cycles as length of break delay a_waitstates : integer; -- number of waitstates for address phases a_startdelay : integer; -- number of additional waitstates for first address phase acknowledge a_break_delay_position : integer; -- number of data phases of one access after which the break delay appears a_break_delay_length : integer; -- number of clock cycles as length of break delay config : boolean; -- enable iram configuration err_answer : boolean; -- if true, requests will be answered with error conf_req : boolean; -- changes on signal will call iram subfunctions write_req : boolean; -- if TRUE during conf_req state changes, write request to iram is requested -- if FALSE during conf_req state changes, read request from iram is requested adr : std_logic_vector(ADR_BITS-1 DOWNTO 0); -- address for config read write access wr_dat : std_logic_vector(DAT_BITS-1 DOWNTO 0); -- write data to iram dealloc_iram : boolean; -- if TRUE during conf_req state changes, iram contents will be cleared external_ws : boolean; -- if TRUE, external waitstate interface is used for generation of waitstates -- if FALSE, iram parameters a_waitstates, a_startdelay, d_waitstates, d_startdelay, -- break_delay_position, break_delay_lengthare used for generation of waitstates END record; TYPE iram32_out_type IS record conf_ack : boolean; -- if conf_req has changed state, subfunction end will result in conf_ack state change rd_dat : std_logic_vector(DAT_BITS-1 DOWNTO 0); -- read data to iram END record; TYPE iram32_acc_req_type IS record we : std_logic; adr : std_logic_vector(ADR_BITS-1 DOWNTO 0); cti : std_logic_vector(2 DOWNTO 0); time_cnt : natural; st_flag : boolean; eob_flag : boolean; END record; TYPE iram32_acc_req_buffer IS array (0 TO ACC_REQ_BUFFER_SIZE-1) OF iram32_acc_req_type; TYPE iram32_wrdat_type IS record dat : std_logic_vector(DAT_BITS-1 DOWNTO 0); sel : std_logic_vector((DAT_BITS/8)-1 DOWNTO 0); END record; TYPE iram32_wrdat_buffer IS array (0 TO ACC_REQ_BUFFER_SIZE-1) OF iram32_wrdat_type; TYPE iram32_wradr_type IS record adr : std_logic_vector(ADR_BITS-1 DOWNTO 0); END record; TYPE iram32_wradr_buffer IS array (0 TO ACC_REQ_BUFFER_SIZE-1) OF iram32_wradr_type; TYPE iram32_mem_entry; TYPE iram32_entry_ptr IS access iram32_mem_entry; TYPE iram32_mem_entry IS record address : integer; data : std_logic_vector(DAT_BITS-1 DOWNTO 0); nxt : iram32_entry_ptr; END record; TYPE iram32_head IS record num_entries : integer; list_ptr : iram32_entry_ptr; END record; TYPE iram32_head_ptr IS access iram32_head; TYPE protected_shared_variable_natural IS protected PROCEDURE set(value : natural); impure FUNCTION get RETURN natural; END protected protected_shared_variable_natural; PROCEDURE incr( value : INOUT natural; limit : IN natural; wrap : IN boolean ) ; CONSTANT WRAP_ON : boolean := TRUE; CONSTANT WRAP_OFF: boolean := FALSE; PROCEDURE gen_req( SIGNAL req : OUT boolean; SIGNAL ack : IN boolean ); PROCEDURE gen_ack( SIGNAL req : IN boolean; SIGNAL ack : OUT boolean ); PROCEDURE wr_data ( CONSTANT location : IN integer; CONSTANT data : IN std_logic_vector(DAT_BITS-1 DOWNTO 0); CONSTANT byte : IN std_logic_vector(SEL_BITS-1 DOWNTO 0); VARIABLE first : INOUT iram32_head_ptr; VARIABLE msg_on : IN boolean ); PROCEDURE rd_data ( CONSTANT location : IN integer; VARIABLE data : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0); VARIABLE allocated : OUT boolean; VARIABLE first : INOUT iram32_head_ptr; VARIABLE msg_on : IN boolean ); PROCEDURE dealloc_data ( VARIABLE first : INOUT iram32_head_ptr ) ; PROCEDURE rd_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; adr : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); dat : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0) ); PROCEDURE wr_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; adr : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); dat : IN std_logic_vector(DAT_BITS-1 DOWNTO 0) ) ; PROCEDURE deallocate_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type ) ; PROCEDURE conf_iram32 ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; external_ws : IN boolean; a_startdelay : IN integer; a_waitstates : IN integer; d_startdelay : IN integer; d_waitstates : IN integer; a_break_delay_pos : IN integer; a_break_delay_len : IN integer; d_break_delay_pos : IN integer; d_break_delay_len : IN integer ); END iram32_pkg; PACKAGE BODY iram32_pkg IS TYPE protected_shared_variable_natural IS protected BODY VARIABLE stored: natural; PROCEDURE set(value : natural) IS BEGIN stored := value; END PROCEDURE set; impure FUNCTION get RETURN natural IS BEGIN RETURN stored; END FUNCTION get; END protected BODY protected_shared_variable_natural; -------------------------------------------------------------------------------------------- PROCEDURE incr( value : INOUT natural; limit : IN natural; wrap : IN boolean ) IS BEGIN IF value = limit-1 THEN IF wrap THEN value := 0; END IF; ELSE value := value + 1; END IF; END PROCEDURE; -------------------------------------------------------------------------------------------- PROCEDURE gen_req( SIGNAL req : OUT boolean; SIGNAL ack : IN boolean ) IS BEGIN IF ack /= FALSE THEN WAIT until ack = FALSE; END IF; req <= TRUE; WAIT until ack = TRUE; req <= FALSE; END PROCEDURE; -------------------------------------------------------------------------------------------- PROCEDURE gen_ack( SIGNAL req : IN boolean; SIGNAL ack : OUT boolean ) IS BEGIN IF req /= TRUE THEN WAIT until req = TRUE; END IF; ack <= TRUE; WAIT until req = FALSE; ack <= FALSE; END PROCEDURE; -------------------------------------------------------------------------------------------- PROCEDURE conf_iram32 ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; external_ws : IN boolean; a_startdelay : IN integer; a_waitstates : IN integer; d_startdelay : IN integer; d_waitstates : IN integer; a_break_delay_pos : IN integer; a_break_delay_len : IN integer; d_break_delay_pos : IN integer; d_break_delay_len : IN integer ) IS BEGIN IF iram_out.conf_ack /= FALSE THEN WAIT until iram_out.conf_ack = FALSE; END IF; iram_in.write_req <= FALSE; iram_in.adr <= (OTHERS => '0'); iram_in.config <= TRUE; iram_in.a_startdelay <= a_startdelay; iram_in.a_waitstates <= a_waitstates; iram_in.d_startdelay <= d_startdelay; iram_in.d_waitstates <= d_waitstates; iram_in.a_break_delay_position <= a_break_delay_pos; iram_in.a_break_delay_length <= a_break_delay_len; iram_in.d_break_delay_position <= d_break_delay_pos; iram_in.d_break_delay_length <= d_break_delay_len; iram_in.external_ws <= external_ws; iram_in.conf_req <= TRUE; IF iram_out.conf_ack /= TRUE THEN WAIT until iram_out.conf_ack = TRUE; END IF; iram_in.conf_req <= FALSE; IF iram_out.conf_ack /= FALSE THEN WAIT until iram_out.conf_ack = FALSE; END IF; iram_in.dealloc_iram <= FALSE; iram_in.config <= FALSE; WAIT FOR 1 us; END PROCEDURE conf_iram32; -------------------------------------------------------------------------------------------- PROCEDURE deallocate_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type ) IS BEGIN IF iram_out.conf_ack /= FALSE THEN WAIT until iram_out.conf_ack = FALSE; END IF; iram_in.write_req <= FALSE; iram_in.wr_dat <= (OTHERS => '0'); iram_in.adr <= (OTHERS => '0'); iram_in.dealloc_iram <= TRUE; iram_in.conf_req <= TRUE; WAIT until iram_out.conf_ack = TRUE; iram_in.conf_req <= FALSE; WAIT until iram_out.conf_ack = FALSE; iram_in.dealloc_iram <= FALSE; END PROCEDURE deallocate_iram; -------------------------------------------------------------------------------------------- PROCEDURE wr_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; adr : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); dat : IN std_logic_vector(DAT_BITS-1 DOWNTO 0) ) IS BEGIN IF iram_out.conf_ack /= FALSE THEN WAIT until iram_out.conf_ack = FALSE; END IF; iram_in.write_req <= TRUE; iram_in.wr_dat <= dat; iram_in.adr <= adr; iram_in.conf_req <= TRUE; WAIT until iram_out.conf_ack = TRUE; iram_in.conf_req <= FALSE; WAIT until iram_out.conf_ack = FALSE; END PROCEDURE wr_iram; -------------------------------------------------------------------------------------------- PROCEDURE rd_iram ( SIGNAL iram_in : OUT iram32_in_type; SIGNAL iram_out : IN iram32_out_type; adr : IN std_logic_vector(ADR_BITS-1 DOWNTO 0); dat : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0) ) IS BEGIN IF iram_out.conf_ack /= FALSE THEN WAIT until iram_out.conf_ack = FALSE; END IF; iram_in.write_req <= FALSE; iram_in.adr <= adr; iram_in.conf_req <= TRUE; WAIT until iram_out.conf_ack = TRUE; iram_in.conf_req <= FALSE; WAIT until iram_out.conf_ack = FALSE; dat := iram_out.rd_dat; END PROCEDURE rd_iram; -------------------------------------------------------------------------------------------- PROCEDURE wr_data ( CONSTANT location : IN integer; CONSTANT data : IN std_logic_vector(DAT_BITS-1 DOWNTO 0); CONSTANT byte : IN std_logic_vector(SEL_BITS-1 DOWNTO 0); VARIABLE first : INOUT iram32_head_ptr; VARIABLE msg_on : IN boolean ) IS VARIABLE temp_ptr : iram32_entry_ptr; VARIABLE new_ptr : iram32_entry_ptr; VARIABLE prev_ptr : iram32_entry_ptr; VARIABLE done : boolean:=FALSE; VARIABLE long_location: integer; BEGIN done:= FALSE; -- set done to true when allocation occurs long_location := location/((data'high+1)/8); IF msg_on THEN print_cycle(" IRAM - wr_data: ", CONV_STD_LOGIC_VECTOR(location, ADR_BITS), data, byte(3 DOWNTO 0), " "); END IF; IF first.num_entries = 0 THEN -- first access to memory first.list_ptr := new iram32_mem_entry; first.num_entries := 1; first.list_ptr.address := long_location; FOR i IN byte'high DOWNTO byte'low LOOP IF byte(i) = '1' THEN first.list_ptr.data(i*8+7 DOWNTO i*8) := data(i*8+7 DOWNTO i*8); END IF; END LOOP; first.list_ptr.nxt := null; done := TRUE; ELSIF long_location < first.list_ptr.address THEN -- address is lowest value so far in allocation to put at head of list new_ptr := new iram32_mem_entry; FOR i IN byte'high DOWNTO byte'low LOOP IF byte(i) = '1' THEN new_ptr.data(i*8+7 DOWNTO i*8) := data(i*8+7 DOWNTO i*8); END IF; END LOOP; new_ptr.nxt := first.list_ptr; new_ptr.address := long_location; first.list_ptr := new_ptr; first.num_entries := first.num_entries + 1; done := TRUE; ELSE -- location must be >= first.list_ptr.address temp_ptr := first.list_ptr; while temp_ptr /= null AND NOT done LOOP IF temp_ptr.address = long_location THEN -- address already allocated FOR i IN byte'high DOWNTO byte'low LOOP IF byte(i) = '1' THEN temp_ptr.data(i*8+7 DOWNTO i*8) := data(i*8+7 DOWNTO i*8); END IF; END LOOP; done := TRUE; ELSIF temp_ptr.address > long_location THEN new_ptr := new iram32_mem_entry; new_ptr.address := long_location; FOR i IN byte'high DOWNTO byte'low LOOP IF byte(i) = '1' THEN new_ptr.data(i*8+7 DOWNTO i*8) := data(i*8+7 DOWNTO i*8); END IF; END LOOP; new_ptr.nxt := temp_ptr; prev_ptr.nxt := new_ptr; -- break pointer chain and insert new_ptr first.num_entries := first.num_entries + 1; done := TRUE; ELSE prev_ptr := temp_ptr; temp_ptr := temp_ptr.nxt; END IF; END LOOP; IF NOT done THEN new_ptr := new iram32_mem_entry; new_ptr.address := long_location; FOR i IN byte'high DOWNTO byte'low LOOP IF byte(i) = '1' THEN new_ptr.data(i*8+7 DOWNTO i*8) := data(i*8+7 DOWNTO i*8); END IF; END LOOP; new_ptr.nxt := null; -- add new_ptr TO END OF chain prev_ptr.nxt := new_ptr; first.num_entries := first.num_entries + 1; done := TRUE; END IF; END IF; END wr_data; -------------------------------------------------------------------------------------------- PROCEDURE rd_data ( CONSTANT location : IN integer; VARIABLE data : OUT std_logic_vector(DAT_BITS-1 DOWNTO 0); VARIABLE allocated : OUT boolean; VARIABLE first : INOUT iram32_head_ptr; VARIABLE msg_on : IN boolean ) IS VARIABLE temp_ptr : iram32_entry_ptr; VARIABLE is_allocated : boolean; VARIABLE data_int : std_logic_vector(data'range); VARIABLE long_location: integer; BEGIN -- set allocated to true when read hits already allocated spot is_allocated := FALSE; long_location := location/((data'high+1)/8); IF (first.list_ptr /= null AND first.num_entries /= 0 AND long_location >= first.list_ptr.address) THEN temp_ptr := first.list_ptr; while (temp_ptr /= null AND NOT is_allocated AND long_location >= temp_ptr.address) LOOP IF temp_ptr.address = long_location THEN -- address has been allocated data_int := temp_ptr.data; is_allocated := TRUE; ELSE temp_ptr := temp_ptr.nxt; END IF; END LOOP; END IF; IF NOT is_allocated THEN data_int := (data_int'range => '1'); END IF; IF msg_on THEN print_cycle(" IRAM - rd_data: ", CONV_STD_LOGIC_VECTOR(location, ADR_BITS), data_int, "1111", " "); END IF; allocated := is_allocated; data := data_int; END rd_data; -------------------------------------------------------------------------------------------- PROCEDURE dealloc_data ( VARIABLE first : INOUT iram32_head_ptr ) IS VARIABLE next_ptr : iram32_entry_ptr; BEGIN WHILE first.list_ptr.nxt /= NULL LOOP next_ptr := first.list_ptr.nxt; deallocate(first.list_ptr); first.list_ptr := next_ptr; END LOOP; deallocate(first.list_ptr); first.num_entries := 0; END dealloc_data; END;
gpl-3.0
9dd9804434094f90977b8295292e08bb
0.480409
4.491254
false
false
false
false
nulldozer/purisc
Compute_Group/MAGIC_clocked/RAM_2.vhd
1
10,399
-- megafunction wizard: %RAM: 2-PORT% -- GENERATION: STANDARD -- VERSION: WM1.0 -- MODULE: altsyncram -- ============================================================ -- File Name: RAM_2.vhd -- Megafunction Name(s): -- altsyncram -- -- Simulation Library Files(s): -- altera_mf -- ============================================================ -- ************************************************************ -- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! -- -- 14.0.0 Build 200 06/17/2014 SJ Web Edition -- ************************************************************ --Copyright (C) 1991-2014 Altera Corporation. All rights reserved. --Your use of Altera Corporation's design tools, logic functions --and other software and tools, and its AMPP partner logic --functions, and any output files from any of the foregoing --(including device programming or simulation files), and any --associated documentation or information are expressly subject --to the terms and conditions of the Altera Program License --Subscription Agreement, the Altera Quartus II License Agreement, --the Altera MegaCore Function License Agreement, or other --applicable license agreement, including, without limitation, --that your use is for the sole purpose of programming logic --devices manufactured by Altera and sold by Altera or its --authorized distributors. Please refer to the applicable --agreement for further details. LIBRARY ieee; USE ieee.std_logic_1164.all; LIBRARY altera_mf; USE altera_mf.altera_mf_components.all; ENTITY RAM_2 IS PORT ( aclr : IN STD_LOGIC := '0'; address_a : IN STD_LOGIC_VECTOR (9 DOWNTO 0); address_b : IN STD_LOGIC_VECTOR (9 DOWNTO 0); clock : IN STD_LOGIC := '1'; data_a : IN STD_LOGIC_VECTOR (31 DOWNTO 0); data_b : IN STD_LOGIC_VECTOR (31 DOWNTO 0); wren_a : IN STD_LOGIC := '0'; wren_b : IN STD_LOGIC := '0'; q_a : OUT STD_LOGIC_VECTOR (31 DOWNTO 0); q_b : OUT STD_LOGIC_VECTOR (31 DOWNTO 0) ); END RAM_2; ARCHITECTURE SYN OF ram_2 IS SIGNAL sub_wire0 : STD_LOGIC_VECTOR (31 DOWNTO 0); SIGNAL sub_wire1 : STD_LOGIC_VECTOR (31 DOWNTO 0); BEGIN q_a <= sub_wire0(31 DOWNTO 0); q_b <= sub_wire1(31 DOWNTO 0); altsyncram_component : altsyncram GENERIC MAP ( address_reg_b => "CLOCK0", clock_enable_input_a => "BYPASS", clock_enable_input_b => "BYPASS", clock_enable_output_a => "BYPASS", clock_enable_output_b => "BYPASS", indata_reg_b => "CLOCK0", init_file => "RAM_2.mif", intended_device_family => "Cyclone IV E", lpm_type => "altsyncram", numwords_a => 1024, numwords_b => 1024, operation_mode => "BIDIR_DUAL_PORT", outdata_aclr_a => "CLEAR0", outdata_aclr_b => "CLEAR0", outdata_reg_a => "UNREGISTERED", outdata_reg_b => "UNREGISTERED", power_up_uninitialized => "FALSE", read_during_write_mode_mixed_ports => "OLD_DATA", read_during_write_mode_port_a => "NEW_DATA_NO_NBE_READ", read_during_write_mode_port_b => "NEW_DATA_NO_NBE_READ", widthad_a => 10, widthad_b => 10, width_a => 32, width_b => 32, width_byteena_a => 1, width_byteena_b => 1, wrcontrol_wraddress_reg_b => "CLOCK0" ) PORT MAP ( aclr0 => aclr, address_a => address_a, address_b => address_b, clock0 => clock, data_a => data_a, data_b => data_b, wren_a => wren_a, wren_b => wren_b, q_a => sub_wire0, q_b => sub_wire1 ); END SYN; -- ============================================================ -- CNX file retrieval info -- ============================================================ -- Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" -- Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" -- Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" -- Retrieval info: PRIVATE: BlankMemory NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" -- Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" -- Retrieval info: PRIVATE: CLRdata NUMERIC "0" -- Retrieval info: PRIVATE: CLRq NUMERIC "1" -- Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRrren NUMERIC "0" -- Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" -- Retrieval info: PRIVATE: CLRwren NUMERIC "0" -- Retrieval info: PRIVATE: Clock NUMERIC "0" -- Retrieval info: PRIVATE: Clock_A NUMERIC "0" -- Retrieval info: PRIVATE: Clock_B NUMERIC "0" -- Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" -- Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" -- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" -- Retrieval info: PRIVATE: JTAG_ID STRING "NONE" -- Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" -- Retrieval info: PRIVATE: MEMSIZE NUMERIC "32768" -- Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" -- Retrieval info: PRIVATE: MIFfilename STRING "RAM_2.mif" -- Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" -- Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "1" -- Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "0" -- Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "1" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" -- Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" -- Retrieval info: PRIVATE: REGdata NUMERIC "1" -- Retrieval info: PRIVATE: REGq NUMERIC "0" -- Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" -- Retrieval info: PRIVATE: REGrren NUMERIC "0" -- Retrieval info: PRIVATE: REGwraddress NUMERIC "1" -- Retrieval info: PRIVATE: REGwren NUMERIC "1" -- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" -- Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" -- Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" -- Retrieval info: PRIVATE: VarWidth NUMERIC "0" -- Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" -- Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" -- Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" -- Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" -- Retrieval info: PRIVATE: enable NUMERIC "0" -- Retrieval info: PRIVATE: rden NUMERIC "0" -- Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all -- Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" -- Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" -- Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK0" -- Retrieval info: CONSTANT: INIT_FILE STRING "RAM_2.mif" -- Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone IV E" -- Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" -- Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "1024" -- Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "1024" -- Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" -- Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "CLEAR0" -- Retrieval info: CONSTANT: OUTDATA_REG_A STRING "UNREGISTERED" -- Retrieval info: CONSTANT: OUTDATA_REG_B STRING "UNREGISTERED" -- Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_MIXED_PORTS STRING "OLD_DATA" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" -- Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "10" -- Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "10" -- Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" -- Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" -- Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK0" -- Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr" -- Retrieval info: USED_PORT: address_a 0 0 10 0 INPUT NODEFVAL "address_a[9..0]" -- Retrieval info: USED_PORT: address_b 0 0 10 0 INPUT NODEFVAL "address_b[9..0]" -- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT VCC "clock" -- Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" -- Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" -- Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" -- Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" -- Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" -- Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" -- Retrieval info: CONNECT: @aclr0 0 0 0 0 aclr 0 0 0 0 -- Retrieval info: CONNECT: @address_a 0 0 10 0 address_a 0 0 10 0 -- Retrieval info: CONNECT: @address_b 0 0 10 0 address_b 0 0 10 0 -- Retrieval info: CONNECT: @clock0 0 0 0 0 clock 0 0 0 0 -- Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 -- Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 -- Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 -- Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 -- Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 -- Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_2.vhd TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_2.inc FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_2.cmp TRUE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_2.bsf FALSE -- Retrieval info: GEN_FILE: TYPE_NORMAL RAM_2_inst.vhd FALSE -- Retrieval info: LIB_FILE: altera_mf
gpl-2.0
ae9487bf6d4e63a2e5c3190aa6357593
0.666314
3.290823
false
false
false
false
freecores/t48
bench/vhdl/tb_t8243.vhd
1
18,144
------------------------------------------------------------------------------- -- -- The testbench for t8243 core. -- -- $Id: tb_t8243.vhd,v 1.1 2006-07-14 01:02:47 arniml Exp $ -- -- Copyright (c) 2006, Arnim Laeuger ([email protected]) -- -- All rights reserved -- -- Redistribution and use in source and synthezised forms, with or without -- modification, are permitted provided that the following conditions are met: -- -- Redistributions of source code must retain the above copyright notice, -- this list of conditions and the following disclaimer. -- -- Redistributions in synthesized form must reproduce the above copyright -- notice, this list of conditions and the following disclaimer in the -- documentation and/or other materials provided with the distribution. -- -- Neither the name of the author nor the names of other contributors may -- be used to endorse or promote products derived from this software without -- specific prior written permission. -- -- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" -- AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, -- THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR -- PURPOSE ARE DISCLAIMED. IN NO EVENT SHALL THE AUTHOR OR CONTRIBUTORS BE -- LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR -- CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF -- SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS -- INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN -- CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) -- ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE -- POSSIBILITY OF SUCH DAMAGE. -- -- Please report bugs to the author, but before you do so, please -- make sure that this is not a derivative work and that -- you have the latest version of this file. -- -- The latest version of this file can be found at: -- http://www.opencores.org/cvsweb.shtml/t48/ -- ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity tb_t8243 is end tb_t8243; use work.t48_core_comp_pack.all; use work.t8243_comp_pack.t8243_sync_notri; use work.t48_tb_pack.all; architecture behav of tb_t8243 is -- clock period, 11 MHz constant period_c : time := 90 ns; component if_timing port( xtal_i : in std_logic; ale_i : in std_logic; psen_n_i : in std_logic; rd_n_i : in std_logic; wr_n_i : in std_logic; prog_n_i : in std_logic; db_bus_i : in std_logic_vector(7 downto 0); p2_i : in std_logic_vector(7 downto 0) ); end component; component lpm_rom generic ( LPM_WIDTH : positive; LPM_TYPE : string := "LPM_ROM"; LPM_WIDTHAD : positive; LPM_NUMWORDS : natural := 0; LPM_FILE : string; LPM_ADDRESS_CONTROL : string := "REGISTERED"; LPM_OUTDATA : string := "REGISTERED"; LPM_HINT : string := "UNUSED" ); port ( address : in std_logic_vector(LPM_WIDTHAD-1 downto 0); inclock : in std_logic; outclock : in std_logic; memenab : in std_logic; q : out std_logic_vector(LPM_WIDTH-1 downto 0) ); end component; signal xtal_s : std_logic; signal xtal_n_s : std_logic; signal res_n_s : std_logic; signal xtal3_s : std_logic; signal int_n_s : std_logic; signal ale_s : std_logic; signal rom_addr_s : std_logic_vector(11 downto 0); signal rom_data_s : std_logic_vector( 7 downto 0); signal ram_data_to_s : std_logic_vector( 7 downto 0); signal ram_data_from_s : std_logic_vector( 7 downto 0); signal ram_addr_s : std_logic_vector( 7 downto 0); signal ram_we_s : std_logic; signal p1_s : std_logic_vector( 7 downto 0); signal t48_p1_s : std_logic_vector( 7 downto 0); signal p1_low_imp_s : std_logic; signal p2_s : std_logic_vector( 7 downto 0); signal t48_p2_s : std_logic_vector( 7 downto 0); signal p2l_low_imp_s : std_logic; signal p2h_low_imp_s : std_logic; signal psen_n_s : std_logic; signal prog_n_s : std_logic; signal bus_s : std_logic_vector( 7 downto 0); signal t48_bus_s : std_logic_vector( 7 downto 0); signal bus_dir_s : std_logic; signal ext_mem_addr_q : std_logic_vector( 7 downto 0); signal ext_ram_data_from_s : std_logic_vector( 7 downto 0); signal ext_ram_we_q : std_logic; signal rd_n_s : std_logic; signal wr_n_s : std_logic; signal ext_rom_data_s : std_logic_vector( 7 downto 0); signal ext_rom_addr_s : std_logic_vector(11 downto 0); signal tb_p1_q : std_logic_vector( 7 downto 0); signal tb_p2_q : std_logic_vector( 7 downto 0); signal ext_mem_sel_we_q : boolean; signal ena_ext_ram_q : boolean; signal ena_tb_periph_q : boolean; signal t8243_p2_s : std_logic_vector(3 downto 0); signal t8243_p2_en_s : std_logic; signal p4_s, p5_s, p6_s, p7_s : std_logic_vector(3 downto 0); signal p4_p6_s, p5_p7_s : std_logic_vector(3 downto 0); signal p4_en_s, p5_en_s, p6_en_s, p7_en_s : std_logic; signal zero_s : std_logic; signal one_s : std_logic; signal zero_byte_s : std_logic_vector( 7 downto 0); begin zero_s <= '0'; one_s <= '1'; zero_byte_s <= (others => '0'); ----------------------------------------------------------------------------- -- Internal ROM, 2k bytes -- Initialized by file rom_t49.hex. ----------------------------------------------------------------------------- rom_internal_2k : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 11, LPM_NUMWORDS => 2 ** 11, LPM_FILE => "rom_t49.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => rom_addr_s(10 downto 0), inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => rom_data_s ); ----------------------------------------------------------------------------- -- External ROM, 2k bytes -- Initialized by file rom_t49_ext.hex. ----------------------------------------------------------------------------- ext_rom_addr_s(11 downto 8) <= t48_p2_s(3 downto 0); ext_rom_addr_s( 7 downto 0) <= ext_mem_addr_q; rom_external_2k : lpm_rom generic map ( LPM_WIDTH => 8, LPM_TYPE => "LPM_ROM", LPM_WIDTHAD => 11, LPM_NUMWORDS => 2 ** 11, LPM_FILE => "rom_t49_ext.hex", LPM_ADDRESS_CONTROL => "REGISTERED", LPM_OUTDATA => "UNREGISTERED", LPM_HINT => "UNUSED" ) port map ( address => ext_rom_addr_s(10 downto 0), inclock => xtal_s, outclock => zero_s, -- unused memenab => one_s, q => ext_rom_data_s ); ----------------------------------------------------------------------------- -- Internal RAM, 256 bytes ----------------------------------------------------------------------------- ram_256 : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ram_addr_s, we_i => ram_we_s, ena_i => one_s, d_i => ram_data_to_s, d_o => ram_data_from_s ); ----------------------------------------------------------------------------- -- External RAM, 256 bytes ----------------------------------------------------------------------------- ext_ram_b : generic_ram_ena generic map ( addr_width_g => 8, data_width_g => 8 ) port map ( clk_i => xtal_s, a_i => ext_mem_addr_q, we_i => ext_ram_we_q, ena_i => one_s, d_i => bus_s, d_o => ext_ram_data_from_s ); t48_core_b : t48_core generic map ( xtal_div_3_g => 1, register_mnemonic_g => 1, include_port1_g => 1, include_port2_g => 1, include_bus_g => 1, include_timer_g => 1, sample_t1_state_g => 4 ) port map ( xtal_i => xtal_s, xtal_en_i => one_s, reset_i => res_n_s, t0_i => p1_s(0), t0_o => open, t0_dir_o => open, int_n_i => int_n_s, ea_i => rom_addr_s(11), rd_n_o => rd_n_s, psen_n_o => psen_n_s, wr_n_o => wr_n_s, ale_o => ale_s, db_i => bus_s, db_o => t48_bus_s, db_dir_o => bus_dir_s, t1_i => p1_s(1), p2_i => p2_s, p2_o => t48_p2_s, p2l_low_imp_o => p2l_low_imp_s, p2h_low_imp_o => p2h_low_imp_s, p1_i => p1_s, p1_o => t48_p1_s, p1_low_imp_o => p1_low_imp_s, prog_n_o => prog_n_s, clk_i => xtal_s, en_clk_i => xtal3_s, xtal3_o => xtal3_s, dmem_addr_o => ram_addr_s, dmem_we_o => ram_we_s, dmem_data_i => ram_data_from_s, dmem_data_o => ram_data_to_s, pmem_addr_o => rom_addr_s, pmem_data_i => rom_data_s ); if_timing_b : if_timing port map ( xtal_i => xtal_s, ale_i => ale_s, psen_n_i => psen_n_s, rd_n_i => rd_n_s, wr_n_i => wr_n_s, prog_n_i => prog_n_s, db_bus_i => bus_s, p2_i => t48_p2_s ); t8243_sync_notri_b : t8243_sync_notri port map ( clk_i => xtal_s, clk_en_i => one_s, reset_n_i => one_s, cs_n_i => zero_s, prog_n_i => prog_n_s, p2_i => t48_p2_s(3 downto 0), p2_o => t8243_p2_s, p2_en_o => t8243_p2_en_s, p4_i => p4_p6_s, p4_o => p4_s, p4_en_o => p4_en_s, p5_i => p5_p7_s, p5_o => p5_s, p5_en_o => p5_en_s, p6_i => p4_p6_s, p6_o => p6_s, p6_en_o => p6_en_s, p7_i => p5_p7_s, p7_o => p7_s, p7_en_o => p7_en_s ); p4_p6_s <= p4_s when p4_en_s = '1' else (others => 'Z'); p5_p7_s <= p5_s when p5_en_s = '1' else (others => 'Z'); p4_p6_s <= p6_s when p6_en_s = '1' else (others => 'Z'); p5_p7_s <= p7_s when p7_en_s = '1' else (others => 'Z'); ----------------------------------------------------------------------------- -- Port logic -- ports: process (t48_p1_s, p1_low_imp_s, t48_p2_s, p2l_low_imp_s, p2h_low_imp_s) function t48_port_f(t48_p : std_logic_vector; low_imp : std_logic) return std_logic_vector is variable p_v : std_logic_vector(t48_p'range); begin if low_imp = '1' then p_v := t48_p; else for i in p_v'range loop if t48_p(i) = '1' then p_v(i) := 'H'; else p_v(i) := t48_p(i); end if; end loop; end if; return p_v; end; begin p1_s <= t48_port_f(t48_p => t48_p1_s, low_imp => p1_low_imp_s); p2_s(3 downto 0) <= t48_port_f(t48_p => t48_p2_s(3 downto 0), low_imp => p2l_low_imp_s); p2_s(7 downto 4) <= t48_port_f(t48_p => t48_p2_s(7 downto 4), low_imp => p2h_low_imp_s); end process ports; -- ----------------------------------------------------------------------------- t8243_p2: process (t8243_p2_s, t8243_p2_en_s) begin p2_s <= (others => 'Z'); if t8243_p2_en_s = '1' then p2_s(3 downto 0) <= t8243_p2_s; end if; end process t8243_p2; bus_s <= t48_bus_s when bus_dir_s = '1' else (others => 'Z'); bus_s <= ext_ram_data_from_s when rd_n_s = '0' and ena_ext_ram_q else (others => 'Z'); bus_s <= ext_rom_data_s when psen_n_s = '0' else (others => 'Z'); ----------------------------------------------------------------------------- -- External memory access signals -- ext_mem: process (wr_n_s, ext_mem_addr_q, ena_ext_ram_q, ale_s, bus_s, xtal_s) begin if ale_s'event and ale_s = '0' then if not is_X(bus_s) then ext_mem_addr_q <= bus_s; else ext_mem_addr_q <= (others => '0'); end if; end if; if wr_n_s'event and wr_n_s = '1' then -- write enable for external RAM if ena_ext_ram_q then ext_ram_we_q <= '1'; end if; -- process external memory selector if ext_mem_addr_q = "11111111" then ext_mem_sel_we_q <= true; end if; end if; if xtal_s'event and xtal_s = '1' then ext_ram_we_q <= '0'; ext_mem_sel_we_q <= false; end if; end process ext_mem; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process ext_mem_sel -- -- Purpose: -- Select external memory address space. -- This is either -- + external RAM -- + testbench peripherals -- ext_mem_sel: process (res_n_s, xtal_s) begin if res_n_s = '0' then ena_ext_ram_q <= true; ena_tb_periph_q <= false; elsif xtal_s'event and xtal_s = '1' then if ext_mem_sel_we_q then if bus_s(0) = '1' then ena_ext_ram_q <= true; else ena_ext_ram_q <= false; end if; if bus_s(1) = '1' then ena_tb_periph_q <= true; else ena_tb_periph_q <= false; end if; end if; end if; end process ext_mem_sel; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- Process tb_periph -- -- Purpose: -- Implements the testbenc peripherals driving P1 and P2. -- tb_periph: process (res_n_s, wr_n_s) function oc_f (pX : std_logic_vector) return std_logic_vector is variable r_v : std_logic_vector(pX'range); begin for i in pX'range loop if pX(i) = '0' then r_v(i) := '0'; else r_v(i) := 'H'; end if; end loop; return r_v; end; begin if res_n_s = '0' then tb_p1_q <= (others => 'H'); tb_p2_q <= (others => 'H'); elsif wr_n_s'event and wr_n_s = '1' then if ena_tb_periph_q then case ext_mem_addr_q is -- P1 when "00000000" => tb_p1_q <= oc_f(t48_bus_s); -- P2 when "00000001" => tb_p2_q <= oc_f(t48_bus_s); when others => null; end case; end if; end if; end process tb_periph; -- ----------------------------------------------------------------------------- p1_s <= tb_p1_q; p2_s <= tb_p2_q; xtal_n_s <= not xtal_s; ----------------------------------------------------------------------------- -- The clock generator -- clk_gen: process begin xtal_s <= '0'; wait for period_c/2; xtal_s <= '1'; wait for period_c/2; end process clk_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The reset generator -- res_gen: process begin res_n_s <= '0'; wait for 5 * period_c; res_n_s <= '1'; wait; end process res_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- The interrupt generator -- int_gen: process begin int_n_s <= '1'; wait for 750 * period_c; int_n_s <= '0'; wait for 45 * period_c; end process int_gen; -- ----------------------------------------------------------------------------- ----------------------------------------------------------------------------- -- End of simulation detection -- eos: process begin outer: loop wait on tb_accu_s; if tb_accu_s = "10101010" then wait on tb_accu_s; if tb_accu_s = "01010101" then wait on tb_accu_s; if tb_accu_s = "00000001" then -- wait for instruction strobe of this move wait until tb_istrobe_s'event and tb_istrobe_s = '1'; -- wait for next strobe wait until tb_istrobe_s'event and tb_istrobe_s = '1'; assert false report "Simulation Result: PASS." severity note; else assert false report "Simulation Result: FAIL." severity note; end if; assert false report "End of simulation reached." severity failure; end if; end if; end loop; end process eos; -- ----------------------------------------------------------------------------- end behav; ------------------------------------------------------------------------------- -- File History: -- -- $Log: not supported by cvs2svn $ -------------------------------------------------------------------------------
gpl-2.0
533442fe940fa6bf2e3e4c774a68493d
0.442626
3.439621
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/altera_lnsim/altera_pll/_primary.vhd
5
26,906
library verilog; use verilog.vl_types.all; entity altera_pll is generic( reference_clock_frequency: string := "0 ps"; fractional_vco_multiplier: string := "false"; pll_type : string := "General"; pll_subtype : string := "General"; number_of_clocks: integer := 1; operation_mode : string := "internal feedback"; deserialization_factor: integer := 4; data_rate : integer := 0; sim_additional_refclk_cycles_to_lock: integer := 0; output_clock_frequency0: string := "0 ps"; phase_shift0 : string := "0 ps"; duty_cycle0 : integer := 50; output_clock_frequency1: string := "0 ps"; phase_shift1 : string := "0 ps"; duty_cycle1 : integer := 50; output_clock_frequency2: string := "0 ps"; phase_shift2 : string := "0 ps"; duty_cycle2 : integer := 50; output_clock_frequency3: string := "0 ps"; phase_shift3 : string := "0 ps"; duty_cycle3 : integer := 50; output_clock_frequency4: string := "0 ps"; phase_shift4 : string := "0 ps"; duty_cycle4 : integer := 50; output_clock_frequency5: string := "0 ps"; phase_shift5 : string := "0 ps"; duty_cycle5 : integer := 50; output_clock_frequency6: string := "0 ps"; phase_shift6 : string := "0 ps"; duty_cycle6 : integer := 50; output_clock_frequency7: string := "0 ps"; phase_shift7 : string := "0 ps"; duty_cycle7 : integer := 50; output_clock_frequency8: string := "0 ps"; phase_shift8 : string := "0 ps"; duty_cycle8 : integer := 50; output_clock_frequency9: string := "0 ps"; phase_shift9 : string := "0 ps"; duty_cycle9 : integer := 50; output_clock_frequency10: string := "0 ps"; phase_shift10 : string := "0 ps"; duty_cycle10 : integer := 50; output_clock_frequency11: string := "0 ps"; phase_shift11 : string := "0 ps"; duty_cycle11 : integer := 50; output_clock_frequency12: string := "0 ps"; phase_shift12 : string := "0 ps"; duty_cycle12 : integer := 50; output_clock_frequency13: string := "0 ps"; phase_shift13 : string := "0 ps"; duty_cycle13 : integer := 50; output_clock_frequency14: string := "0 ps"; phase_shift14 : string := "0 ps"; duty_cycle14 : integer := 50; output_clock_frequency15: string := "0 ps"; phase_shift15 : string := "0 ps"; duty_cycle15 : integer := 50; output_clock_frequency16: string := "0 ps"; phase_shift16 : string := "0 ps"; duty_cycle16 : integer := 50; output_clock_frequency17: string := "0 ps"; phase_shift17 : string := "0 ps"; duty_cycle17 : integer := 50; m_cnt_hi_div : integer := 1; m_cnt_lo_div : integer := 1; m_cnt_bypass_en : string := "false"; m_cnt_odd_div_duty_en: string := "false"; n_cnt_hi_div : integer := 1; n_cnt_lo_div : integer := 1; n_cnt_bypass_en : string := "false"; n_cnt_odd_div_duty_en: string := "false"; c_cnt_hi_div0 : integer := 1; c_cnt_lo_div0 : integer := 1; c_cnt_bypass_en0: string := "false"; c_cnt_in_src0 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en0: string := "false"; c_cnt_prst0 : integer := 1; c_cnt_ph_mux_prst0: integer := 0; c_cnt_hi_div1 : integer := 1; c_cnt_lo_div1 : integer := 1; c_cnt_bypass_en1: string := "false"; c_cnt_in_src1 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en1: string := "false"; c_cnt_prst1 : integer := 1; c_cnt_ph_mux_prst1: integer := 0; c_cnt_hi_div2 : integer := 1; c_cnt_lo_div2 : integer := 1; c_cnt_bypass_en2: string := "false"; c_cnt_in_src2 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en2: string := "false"; c_cnt_prst2 : integer := 1; c_cnt_ph_mux_prst2: integer := 0; c_cnt_hi_div3 : integer := 1; c_cnt_lo_div3 : integer := 1; c_cnt_bypass_en3: string := "false"; c_cnt_in_src3 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en3: string := "false"; c_cnt_prst3 : integer := 1; c_cnt_ph_mux_prst3: integer := 0; c_cnt_hi_div4 : integer := 1; c_cnt_lo_div4 : integer := 1; c_cnt_bypass_en4: string := "false"; c_cnt_in_src4 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en4: string := "false"; c_cnt_prst4 : integer := 1; c_cnt_ph_mux_prst4: integer := 0; c_cnt_hi_div5 : integer := 1; c_cnt_lo_div5 : integer := 1; c_cnt_bypass_en5: string := "false"; c_cnt_in_src5 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en5: string := "false"; c_cnt_prst5 : integer := 1; c_cnt_ph_mux_prst5: integer := 0; c_cnt_hi_div6 : integer := 1; c_cnt_lo_div6 : integer := 1; c_cnt_bypass_en6: string := "false"; c_cnt_in_src6 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en6: string := "false"; c_cnt_prst6 : integer := 1; c_cnt_ph_mux_prst6: integer := 0; c_cnt_hi_div7 : integer := 1; c_cnt_lo_div7 : integer := 1; c_cnt_bypass_en7: string := "false"; c_cnt_in_src7 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en7: string := "false"; c_cnt_prst7 : integer := 1; c_cnt_ph_mux_prst7: integer := 0; c_cnt_hi_div8 : integer := 1; c_cnt_lo_div8 : integer := 1; c_cnt_bypass_en8: string := "false"; c_cnt_in_src8 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en8: string := "false"; c_cnt_prst8 : integer := 1; c_cnt_ph_mux_prst8: integer := 0; c_cnt_hi_div9 : integer := 1; c_cnt_lo_div9 : integer := 1; c_cnt_bypass_en9: string := "false"; c_cnt_in_src9 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en9: string := "false"; c_cnt_prst9 : integer := 1; c_cnt_ph_mux_prst9: integer := 0; c_cnt_hi_div10 : integer := 1; c_cnt_lo_div10 : integer := 1; c_cnt_bypass_en10: string := "false"; c_cnt_in_src10 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en10: string := "false"; c_cnt_prst10 : integer := 1; c_cnt_ph_mux_prst10: integer := 0; c_cnt_hi_div11 : integer := 1; c_cnt_lo_div11 : integer := 1; c_cnt_bypass_en11: string := "false"; c_cnt_in_src11 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en11: string := "false"; c_cnt_prst11 : integer := 1; c_cnt_ph_mux_prst11: integer := 0; c_cnt_hi_div12 : integer := 1; c_cnt_lo_div12 : integer := 1; c_cnt_bypass_en12: string := "false"; c_cnt_in_src12 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en12: string := "false"; c_cnt_prst12 : integer := 1; c_cnt_ph_mux_prst12: integer := 0; c_cnt_hi_div13 : integer := 1; c_cnt_lo_div13 : integer := 1; c_cnt_bypass_en13: string := "false"; c_cnt_in_src13 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en13: string := "false"; c_cnt_prst13 : integer := 1; c_cnt_ph_mux_prst13: integer := 0; c_cnt_hi_div14 : integer := 1; c_cnt_lo_div14 : integer := 1; c_cnt_bypass_en14: string := "false"; c_cnt_in_src14 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en14: string := "false"; c_cnt_prst14 : integer := 1; c_cnt_ph_mux_prst14: integer := 0; c_cnt_hi_div15 : integer := 1; c_cnt_lo_div15 : integer := 1; c_cnt_bypass_en15: string := "false"; c_cnt_in_src15 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en15: string := "false"; c_cnt_prst15 : integer := 1; c_cnt_ph_mux_prst15: integer := 0; c_cnt_hi_div16 : integer := 1; c_cnt_lo_div16 : integer := 1; c_cnt_bypass_en16: string := "false"; c_cnt_in_src16 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en16: string := "false"; c_cnt_prst16 : integer := 1; c_cnt_ph_mux_prst16: integer := 0; c_cnt_hi_div17 : integer := 1; c_cnt_lo_div17 : integer := 1; c_cnt_bypass_en17: string := "false"; c_cnt_in_src17 : string := "ph_mux_clk"; c_cnt_odd_div_duty_en17: string := "false"; c_cnt_prst17 : integer := 1; c_cnt_ph_mux_prst17: integer := 0; pll_vco_div : integer := 1; pll_output_clk_frequency: string := "0 MHz"; pll_cp_current : integer := 0; pll_bwctrl : integer := 0; pll_fractional_division: integer := 1; pll_fractional_cout: integer := 24; pll_dsm_out_sel : string := "1st_order"; mimic_fbclk_type: string := "gclk"; pll_fbclk_mux_1 : string := "glb"; pll_fbclk_mux_2 : string := "fb_1"; pll_m_cnt_in_src: string := "ph_mux_clk"; pll_vcoph_div : integer := 1; refclk1_frequency: string := "0 MHz"; pll_clkin_0_src : string := "clk_0"; pll_clkin_1_src : string := "clk_0"; pll_clk_loss_sw_en: string := "false"; pll_auto_clk_sw_en: string := "false"; pll_manu_clk_sw_en: string := "false"; pll_clk_sw_dly : integer := 0 ); port( refclk : in vl_logic; refclk1 : in vl_logic; fbclk : in vl_logic; rst : in vl_logic; phase_en : in vl_logic; updn : in vl_logic; num_phase_shifts: in vl_logic_vector(2 downto 0); scanclk : in vl_logic; cntsel : in vl_logic_vector(4 downto 0); reconfig_to_pll : in vl_logic_vector(63 downto 0); extswitch : in vl_logic; adjpllin : in vl_logic; cclk : in vl_logic; outclk : out vl_logic_vector; fboutclk : out vl_logic; locked : out vl_logic; phase_done : out vl_logic; reconfig_from_pll: out vl_logic_vector(63 downto 0); activeclk : out vl_logic; clkbad : out vl_logic_vector(1 downto 0); phout : out vl_logic_vector(7 downto 0); lvds_clk : out vl_logic_vector(1 downto 0); loaden : out vl_logic_vector(1 downto 0); cascade_out : out vl_logic_vector; zdbfbclk : inout vl_logic ); attribute mti_svvh_generic_type : integer; attribute mti_svvh_generic_type of reference_clock_frequency : constant is 1; attribute mti_svvh_generic_type of fractional_vco_multiplier : constant is 1; attribute mti_svvh_generic_type of pll_type : constant is 1; attribute mti_svvh_generic_type of pll_subtype : constant is 1; attribute mti_svvh_generic_type of number_of_clocks : constant is 1; attribute mti_svvh_generic_type of operation_mode : constant is 1; attribute mti_svvh_generic_type of deserialization_factor : constant is 1; attribute mti_svvh_generic_type of data_rate : constant is 1; attribute mti_svvh_generic_type of sim_additional_refclk_cycles_to_lock : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency0 : constant is 1; attribute mti_svvh_generic_type of phase_shift0 : constant is 1; attribute mti_svvh_generic_type of duty_cycle0 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency1 : constant is 1; attribute mti_svvh_generic_type of phase_shift1 : constant is 1; attribute mti_svvh_generic_type of duty_cycle1 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency2 : constant is 1; attribute mti_svvh_generic_type of phase_shift2 : constant is 1; attribute mti_svvh_generic_type of duty_cycle2 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency3 : constant is 1; attribute mti_svvh_generic_type of phase_shift3 : constant is 1; attribute mti_svvh_generic_type of duty_cycle3 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency4 : constant is 1; attribute mti_svvh_generic_type of phase_shift4 : constant is 1; attribute mti_svvh_generic_type of duty_cycle4 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency5 : constant is 1; attribute mti_svvh_generic_type of phase_shift5 : constant is 1; attribute mti_svvh_generic_type of duty_cycle5 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency6 : constant is 1; attribute mti_svvh_generic_type of phase_shift6 : constant is 1; attribute mti_svvh_generic_type of duty_cycle6 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency7 : constant is 1; attribute mti_svvh_generic_type of phase_shift7 : constant is 1; attribute mti_svvh_generic_type of duty_cycle7 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency8 : constant is 1; attribute mti_svvh_generic_type of phase_shift8 : constant is 1; attribute mti_svvh_generic_type of duty_cycle8 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency9 : constant is 1; attribute mti_svvh_generic_type of phase_shift9 : constant is 1; attribute mti_svvh_generic_type of duty_cycle9 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency10 : constant is 1; attribute mti_svvh_generic_type of phase_shift10 : constant is 1; attribute mti_svvh_generic_type of duty_cycle10 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency11 : constant is 1; attribute mti_svvh_generic_type of phase_shift11 : constant is 1; attribute mti_svvh_generic_type of duty_cycle11 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency12 : constant is 1; attribute mti_svvh_generic_type of phase_shift12 : constant is 1; attribute mti_svvh_generic_type of duty_cycle12 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency13 : constant is 1; attribute mti_svvh_generic_type of phase_shift13 : constant is 1; attribute mti_svvh_generic_type of duty_cycle13 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency14 : constant is 1; attribute mti_svvh_generic_type of phase_shift14 : constant is 1; attribute mti_svvh_generic_type of duty_cycle14 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency15 : constant is 1; attribute mti_svvh_generic_type of phase_shift15 : constant is 1; attribute mti_svvh_generic_type of duty_cycle15 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency16 : constant is 1; attribute mti_svvh_generic_type of phase_shift16 : constant is 1; attribute mti_svvh_generic_type of duty_cycle16 : constant is 1; attribute mti_svvh_generic_type of output_clock_frequency17 : constant is 1; attribute mti_svvh_generic_type of phase_shift17 : constant is 1; attribute mti_svvh_generic_type of duty_cycle17 : constant is 1; attribute mti_svvh_generic_type of m_cnt_hi_div : constant is 1; attribute mti_svvh_generic_type of m_cnt_lo_div : constant is 1; attribute mti_svvh_generic_type of m_cnt_bypass_en : constant is 1; attribute mti_svvh_generic_type of m_cnt_odd_div_duty_en : constant is 1; attribute mti_svvh_generic_type of n_cnt_hi_div : constant is 1; attribute mti_svvh_generic_type of n_cnt_lo_div : constant is 1; attribute mti_svvh_generic_type of n_cnt_bypass_en : constant is 1; attribute mti_svvh_generic_type of n_cnt_odd_div_duty_en : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst0 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst1 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst2 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst3 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst4 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst5 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst6 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst7 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst8 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst9 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst10 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst11 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst12 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst13 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst14 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst15 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst16 : constant is 1; attribute mti_svvh_generic_type of c_cnt_hi_div17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_lo_div17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_bypass_en17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_in_src17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_odd_div_duty_en17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_prst17 : constant is 1; attribute mti_svvh_generic_type of c_cnt_ph_mux_prst17 : constant is 1; attribute mti_svvh_generic_type of pll_vco_div : constant is 1; attribute mti_svvh_generic_type of pll_output_clk_frequency : constant is 1; attribute mti_svvh_generic_type of pll_cp_current : constant is 1; attribute mti_svvh_generic_type of pll_bwctrl : constant is 1; attribute mti_svvh_generic_type of pll_fractional_division : constant is 1; attribute mti_svvh_generic_type of pll_fractional_cout : constant is 1; attribute mti_svvh_generic_type of pll_dsm_out_sel : constant is 1; attribute mti_svvh_generic_type of mimic_fbclk_type : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_1 : constant is 1; attribute mti_svvh_generic_type of pll_fbclk_mux_2 : constant is 1; attribute mti_svvh_generic_type of pll_m_cnt_in_src : constant is 1; attribute mti_svvh_generic_type of pll_vcoph_div : constant is 1; attribute mti_svvh_generic_type of refclk1_frequency : constant is 1; attribute mti_svvh_generic_type of pll_clkin_0_src : constant is 1; attribute mti_svvh_generic_type of pll_clkin_1_src : constant is 1; attribute mti_svvh_generic_type of pll_clk_loss_sw_en : constant is 1; attribute mti_svvh_generic_type of pll_auto_clk_sw_en : constant is 1; attribute mti_svvh_generic_type of pll_manu_clk_sw_en : constant is 1; attribute mti_svvh_generic_type of pll_clk_sw_dly : constant is 1; end altera_pll;
mit
3b375497bd404626848d25b9e2a55654
0.632833
3.165784
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_vecseq.vhd
20
2,951
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_vecseq is generic ( SequenceLength : positive :=15; SequenceValue : std_logic_vector := "100001110001001" ); port ( clock : in std_logic ; ena : in std_logic :='1'; sclr : in std_logic :='0'; aclr : in std_logic :='0'; yout : out std_logic ); end alt_dspbuilder_vecseq; architecture seq_SYNTH of alt_dspbuilder_vecseq is signal clr_signal : STD_LOGIC; signal lclr_signal : STD_LOGIC; signal counter : std_logic_vector(ToNatural(nbitnecessary(SequenceLength)-1) downto 0); signal yout_int : STD_LOGIC; signal yout_comb : STD_LOGIC; begin u0: alt_dspbuilder_sAltrBitPropagate generic map(QTB=>DSPBuilderQTB, QTB_PRODUCT => DSPBuilderProduct, QTB_VERSION => DSPBuilderVersion) port map (d => yout_int, r => yout); fixed_constant:if SequenceLength=1 generate yout_int <=SequenceValue(0); end generate fixed_constant; resetable_sequence:if SequenceLength>1 generate process(clock, aclr) begin if aclr='1' then yout_int <= '0'; counter <= (OTHERS => '0'); elsif clock'event and clock='1' then if sclr='1' then yout_int <= '0'; counter <= (OTHERS => '0'); elsif ena='1' then if counter < int2ustd(SequenceLength-1 ,nbitnecessary(SequenceLength)+1) then counter <= counter + '1'; else counter <= (OTHERS => '0'); end if; yout_int <= yout_comb; end if; end if; end process; gen:for i in 0 to SequenceLength-1 generate yout_comb <= SequenceValue(i) when (counter=int2ustd(i,nbitnecessary(SequenceLength)+1)) else 'Z'; end generate; end generate resetable_sequence; end seq_SYNTH;
mit
433c1514928a4cd0976f666a47213880
0.649271
3.832468
false
false
false
false
Ttl/bf_cpu
control.vhd
1
7,949
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; use work.bfconfig.all; entity control is Port ( clk, reset : in STD_LOGIC; d_jumpf : in STD_LOGIC; d_jumpb : in STD_LOGIC; d_write : in STD_LOGIC; d_read : in STD_LOGIC; c_skip : out STD_LOGIC; alu_z : in STD_LOGIC; pc_out : out pctype; uart_tx_end : in STD_LOGIC; uart_rx_ready : in STD_LOGIC); end control; architecture Behavioral of control is -- It takes two cycles to reverse the direction type modetype is (M_RESET, M_RUN, M_JUMPF1, M_JUMPF2, M_JUMPB1, M_RXWAIT); signal mode, mode_next : modetype := M_RESET; signal pc : pctype := (others => '0'); signal pc_next : std_logic_vector(INST_MEM_SIZE downto 0); signal pc_cache, pc_cache_next : pctype; signal pc_overflow : std_logic; signal brackets, brackets_next : unsigned(7 downto 0); -- PC stack signals signal stack_push_notpop : std_logic; signal stack_enable : std_logic; signal stack_pc : pctype; -- Jumpf cache signals signal cache_push, cache_valid : std_logic; signal cache_out : pctype; signal cache_ready, cache_ready_next : std_logic; -- Skip one instruction when skipping instructions with jumpf cache signal skip, skip_next : std_logic; --pragma synthesis_off signal mispredict, mispredict_next : unsigned(31 downto 0) := to_unsigned(0,32); signal predict, predict_next : unsigned(31 downto 0) := to_unsigned(0,32); signal cache_miss, cache_miss_next : unsigned(31 downto 0) := to_unsigned(0,32); signal cache_hit, cache_hit_next : unsigned(31 downto 0) := to_unsigned(0,32); signal cache_ready_prev : std_logic; --pragma synthesis_on begin -- Stack for storing the program counter for faster return from branches pcstack : entity work.stack Port map( clk => clk, reset => reset, enable => stack_enable, push_notpop => stack_push_notpop, pcin => pc, pcout => stack_pc ); jumpf_cache: entity work.cache Generic map(WIDTH => INST_MEM_SIZE, -- Length of address DWIDTH => INST_MEM_SIZE, -- Length of one entry CACHE_SIZE => JUMPF_CACHE_SIZE) -- Log2 of number of entries in the cache Port map( clk => clk, reset => reset, addr => pc_cache, din => pc, push => cache_push, valid => cache_valid, dout => cache_out ); pc_out <= pc_next(INST_MEM_SIZE-1 downto 0); process(clk, mode_next, pc_next, pc_cache_next) begin if rising_edge(clk) then if reset = '1' then mode <= M_RESET; else mode <= mode_next; end if; -- Program ended enter infinite loop if pc_overflow = '1' then pc <= pc; else pc <= pc_next(INST_MEM_SIZE-1 downto 0); end if; pc_cache <= pc_cache_next; brackets <= brackets_next; cache_ready <= cache_ready_next; skip <= skip_next; --pragma synthesis_off predict <= predict_next; mispredict <= mispredict_next; cache_hit <= cache_hit_next; cache_miss <= cache_miss_next; cache_ready_prev <= cache_ready; --pragma synthesis_on end if; end process; process(mode, pc, d_jumpf, d_jumpb, d_write, d_read, stack_pc, alu_z, pc_cache, uart_tx_end, uart_rx_ready, brackets, cache_valid, cache_ready, cache_out, skip) begin stack_push_notpop <= '0'; cache_push <= '0'; cache_ready_next <= '0'; c_skip <= '0'; brackets_next <= brackets; pc_next <= std_logic_vector(unsigned('0'&pc)+1); pc_overflow <= pc_next(INST_MEM_SIZE); -- Save next PC so we can get back where we were -- if jump was predicted incorrectly pc_cache_next <= pc_cache; mode_next <= M_RUN; skip_next <= '0'; stack_enable <= '0'; case mode is when M_RESET => pc_cache_next <= (others => '0'); brackets_next <= to_unsigned(0,8); c_skip <= '1'; pc_next <= (others => '0'); mode_next <= M_RUN; if d_write = '1' then mode_next <= M_RUN; elsif d_read = '1' then mode_next <= M_RXWAIT; elsif d_jumpf = '1' then mode_next <= M_JUMPF2; -- ] shouldn't never be first instruction end if; when M_JUMPF1 => if d_jumpf = '1' then -- Two consecutive jumps, we need to push both of them to stack stack_push_notpop <= '1'; stack_enable <= '1'; brackets_next <= brackets + 1; end if; if alu_z = '1' then c_skip <= '1'; stack_push_notpop <= '0'; stack_enable <= '1'; mode_next <= M_JUMPF2; else -- Infinite loop, but do what we are told to do if d_jumpb = '1' then pc_next <= '0'&pc_cache; end if; mode_next <= M_RUN; end if; when M_JUMPF2 => -- Readying cache takes two clock cycles cache_ready_next <= '1'; mode_next <= M_JUMPF2; c_skip <= '1'; if d_jumpf = '1' then brackets_next <= brackets + 1; elsif d_jumpb = '1' then brackets_next <= brackets - 1; if brackets = 0 then -- Store jump end address to speed up future jumps cache_push <= '1'; mode_next <= M_RUN; end if; end if; if cache_valid = '1' and cache_ready = '1' then -- Skip the next instruction --pragma synthesis_off cache_hit_next <= cache_hit+1; cache_miss_next <= cache_miss; --pragma synthesis_on skip_next <= '1'; mode_next <= M_RUN; pc_next <= '0'&cache_out; --pragma synthesis_off elsif cache_ready = '1' and cache_ready_prev = '0' then -- We need to check previous cache_ready value -- to avoid double counting cache_hit_next <= cache_hit; cache_miss_next <= cache_miss+1; --pragma synthesis_on end if; when M_JUMPB1 => mode_next <= M_RUN; if alu_z = '1' then --pragma synthesis_off mispredict_next <= mispredict + 1; predict_next <= predict; --pragma synthesis_on stack_push_notpop <= '0'; stack_enable <= '1'; c_skip <= '1'; -- Necessary skip_next <= '1'; pc_next <= '0'&pc_cache; else --pragma synthesis_off mispredict_next <= mispredict; predict_next <= predict + 1; --pragma synthesis_on end if; when M_RUN => brackets_next <= to_unsigned(0,8); if d_jumpf = '1' then -- Jump forward pc_cache_next <= pc; mode_next <= M_JUMPF1; stack_push_notpop <= '1'; stack_enable <= '1'; elsif d_jumpb = '1' and skip = '0' then pc_cache_next <= pc; pc_next <= '0'&stack_pc; -- We need to check alu_z on the next cycle mode_next <= M_JUMPB1; elsif d_write = '1' then if uart_tx_end = '0' then c_skip <= '1'; pc_next <= '0'&pc; mode_next <= M_RUN; else mode_next <= M_RUN; end if; elsif d_read = '1' then pc_next <= '0'&pc; mode_next <= M_RXWAIT; end if; when M_RXWAIT => pc_next <= '0'&pc; mode_next <= M_RXWAIT; if uart_rx_ready = '1' then pc_next <= std_logic_vector(unsigned('0'&pc)+1); mode_next <= M_RUN; end if; end case; end process; end Behavioral;
lgpl-3.0
61ab4b1716b674ffbfdcf22e739d6a3a
0.523085
3.641319
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_sMultAltr.vhd
12
3,026
-------------------------------------------------------------------------------------------- -- DSP Builder (Version 9.1) -- Quartus II development tool and MATLAB/Simulink Interface -- -- Legal Notice: © 2001 Altera Corporation. All rights reserved. Your use of Altera -- Corporation's design tools, logic functions and other software and tools, and its -- AMPP partner logic functions, and any output files any of the foregoing -- (including device programming or simulation files), and any associated -- documentation or information are expressly subject to the terms and conditions -- of the Altera Program License Subscription Agreement, Altera MegaCore Function -- License Agreement, or other applicable license agreement, including, without -- limitation, that your use is for the sole purpose of programming logic devices -- manufactured by Altera and sold by Altera or its authorized distributors. -- Please refer to the applicable agreement for further details. -------------------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_signed.all; library LPM; use LPM.LPM_COMPONENTS.all; library altera; use altera.alt_dspbuilder_package.all; entity alt_dspbuilder_sMultAltr is generic ( lpm_widtha : positive ; lpm_widthb : positive ; lpm_representation : string ; lpm_hint : string ; OutputMsb : natural ; OutputLsb : natural ; pipeline : natural ); port ( clock : in std_logic; ena : in std_logic; aclr : in std_logic; user_aclr : in std_logic; dataa : in std_logic_vector(lpm_widtha-1 downto 0); datab : in std_logic_vector(lpm_widthb-1 downto 0); result : out std_logic_vector(OutputMsb-OutputLsb downto 0) ); end alt_dspbuilder_sMultAltr; architecture synth of alt_dspbuilder_sMultAltr is signal FullPrecisionResult : std_logic_vector(lpm_widtha+lpm_widthb-1 downto 0); signal aclr_i : std_logic; begin aclr_i <= aclr or user_aclr; gcomb: if pipeline=0 generate U0 : lpm_mult GENERIC MAP ( lpm_widtha => lpm_widtha, lpm_widthb => lpm_widthb, lpm_widthp => lpm_widtha+lpm_widthb, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => lpm_representation, lpm_hint => lpm_hint ) PORT MAP ( dataa => dataa, datab => datab, result => FullPrecisionResult ); end generate gcomb; greg: if pipeline>0 generate U0 : lpm_mult GENERIC MAP ( lpm_widtha => lpm_widtha, lpm_widthb => lpm_widthb, lpm_widthp => lpm_widtha+lpm_widthb, lpm_widths => 1, lpm_type => "LPM_MULT", lpm_representation => lpm_representation, lpm_hint => lpm_hint, lpm_pipeline => pipeline ) PORT MAP ( dataa => dataa, datab => datab, clken=> ena, aclr => aclr_i, clock => clock, result => FullPrecisionResult); end generate greg; g:for i in OutputLsb to OutputMsb generate result(i-OutputLsb) <= FullPrecisionResult(i); end generate g; end synth;
mit
cd171c05bfe089936201c3121530cbed
0.660939
3.543326
false
false
false
false
Raane/Term-Assigment-TFE4140-mod-anal-dig-sys
Project/liaison/src/controllerImproved.vhd
1
5,279
library IEEE; use IEEE.STD_LOGIC_1164.all; entity controller is port( di_ready : in STD_LOGIC; clk : in STD_LOGIC; reset : in STD_LOGIC; do_ready : out STD_LOGIC; control_signals : out STD_LOGIC_VECTOR(9 downto 0); voted_data_selector : out STD_LOGIC_VECTOR(4 downto 0) ); end controller; architecture controllerImproved of controller is -- Next-signals used for clock updates signal next_control_signals: std_logic_vector(9 downto 0); signal next_vdsi: std_logic_vector(4 downto 0); signal next_do_ready: std_logic;-- := '0'; signal do_ready_internal: std_logic; -- For internal use of do_ready signal control_signals_internal : STD_LOGIC_VECTOR(9 downto 0); -- For internal use of control_signals signal vdsi : STD_LOGIC_VECTOR(4 downto 0); -- For internal use of voted_data_selector (shortened to vdsi, i for internal) begin -- Setting output from internal output signals do_ready <= do_ready_internal; control_signals <= control_signals_internal; voted_data_selector <= vdsi; clock_tick : process(clk) begin if (rising_edge(clk)) then if (reset = '1') then control_signals_internal <= "0000000000"; vdsi <= "00000"; do_ready_internal <= '0'; else -- Updating the controller's output values -- based on current selected next-values control_signals_internal <= next_control_signals; vdsi <= next_vdsi; do_ready_internal <= next_do_ready; end if; end if; end process; -- Selects register for input, and also activates do_ready after 8 cycles handle_input : process(di_ready, control_signals_internal) begin case control_signals_internal is when "0000000000" => if (di_ready = '1') then -- di_ready works only when system is idle, with value "0000000000" next_control_signals <= "0010000000"; -- store as bit 7 else next_control_signals <= "0000000000"; -- Stay idle, di_ready has not yet hit in end if; when "0010000000" => next_control_signals <= "0001000000"; -- store as bit 6 when "0001000000" => next_control_signals <= "0000100000"; -- store as bit 5 when "0000100000" => next_control_signals <= "0000010000"; -- store as bit 4 when "0000010000" => next_control_signals <= "0000001000"; -- store as bit 3 when "0000001000" => next_control_signals <= "0000000100"; -- store as bit 2 when "0000000100" => next_control_signals <= "0000000010"; -- store as bit 1 when "0000000010" => next_do_ready <= '1'; -- Setting do_ready 8 cycles after di_ready has initiated storing next_vdsi <= "00111"; -- Set output from liasion to voted data bit 7 at the same time next_control_signals <= "0000000001"; -- store as bit 0 when "0000000001" => next_control_signals <= "0100000000"; -- store status when "0100000000" => next_control_signals <= "1000000000"; -- update ECC-registers when others => -- Done running through register storing. Do nothing until di_ready has been set again. next_control_signals <= "0000000000"; end case; end process; -- Setting next_do_ready to 0. Usually happens after do_ready has been set to '1', so that it will be set to '0' in next cycle. -- shut_off_do_ready : process(do_ready_internal) -- begin -- next_do_ready <= '0'; -- end process; handle_output : process (vdsi) begin case vdsi is -- next_vdsi should already be "00111" at this point --when "00111" => -- next_vdsi <= "00111"; -- set output from liaison to voted data bit 7, should be set already at beginning of counting when "00111" => next_vdsi <= "00110"; -- set output from liaison to voted data bit 6 when "00110" => next_vdsi <= "00101"; -- set output from liaison to voted data bit 5 when "00101" => next_vdsi <= "00100"; -- set output from liaison to voted data bit 4 when "00100" => next_vdsi <= "00011"; -- set output from liaison to voted data bit 3 when "00011" => next_vdsi <= "00010"; -- set output from liaison to voted data bit 2 when "00010" => next_vdsi <= "00001"; -- set output from liaison to voted data bit 1 when "00001" => next_vdsi <= "00000"; -- set output from liaison to voted data bit 0 when "00000" => next_vdsi <= "01010"; -- set output from liaison to status bit 2 when "01010" => next_vdsi <= "01001"; -- set output from liaison to status bit 1 when "01001" => next_vdsi <= "01000"; -- set output from liaison to status bit 0 when "01000" => next_vdsi <= "10010"; -- set output from liaison to ECC bit 3 when "10010" => next_vdsi <= "10001"; -- set output from liaison to ECC bit 2 when "10001" => next_vdsi <= "10000"; -- set output from liaison to ECC bit 1 when "10000" => next_vdsi <= "01111"; -- set output from liaison to ECC bit 0 when others => -- Do nothing. The moment this usually happens is when vdsi has been set to "01111", -- and next_vdsi (as well as do_ready) should be set at the same time in the handle_input_process end case; -- Sets do_ready to 0. Usually occurs cycle after it was set to '1' --if (do_ready_internal = '1') then next_do_ready <= '0'; --end if; end process; end controllerImproved;
apache-2.0
f20dc806264822dcfa5db8708090f71d
0.65126
3.493713
false
false
false
false
Given-Jiang/Test_Pattern_Generator
tb_Test_Pattern_Generator/hdl/alt_dspbuilder_if_statement_GNZR777PB6.vhd
4
1,487
library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library altera; use altera.alt_dspbuilder_package.all; library lpm; use lpm.lpm_components.all; entity alt_dspbuilder_if_statement_GNZR777PB6 is generic ( use_else_output : natural := 0; bwr : natural := 0; use_else_input : natural := 0; signed : natural := 0; HDLTYPE : string := "STD_LOGIC_VECTOR"; if_expression : string := "((a>b) or (a=b)) and (a<c)"; number_inputs : integer := 3; width : natural := 24); port( true : out std_logic; a : in std_logic_vector(23 downto 0); b : in std_logic_vector(23 downto 0); c : in std_logic_vector(23 downto 0)); end entity; architecture rtl of alt_dspbuilder_if_statement_GNZR777PB6 is signal result : std_logic; constant zero : STD_LOGIC_VECTOR(23 DOWNTO 0) := (others=>'0'); constant one : STD_LOGIC_VECTOR(23 DOWNTO 0) := (0 => '1', others => '0'); function myFunc ( Value: boolean ) return std_logic is variable func_result : std_logic; begin if (Value) then func_result := '1'; else func_result := '0'; end if; return func_result; end; function myFunc ( Value: std_logic ) return std_logic is begin return Value; end; Begin -- DSP Builder Block - Simulink Block "IfStatement" result <= myFunc(((a>b) or (a=b)) and (a<c)) ; true <= result; end architecture;
mit
effc8520beacd8e33aec948816a7cdbb
0.616005
3.184154
false
false
false
false