repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
jdryg/tis100cpu | cpu_1x3_tb.vhd | 1 | 1,319 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
ENTITY cpu_1x3_tb IS
END cpu_1x3_tb;
ARCHITECTURE behavior OF cpu_1x3_tb IS
-- Component Declaration
component cpu_1x3 is
generic (
PROGRAM_00 : string := "input.prg";
PROGRAM_01 : string := "passthrough.prg";
PROGRAM_02 : string := "output.prg");
port (
I_clk : in std_logic;
I_reset : in std_logic);
end component;
-- Inputs
signal I_clk : std_logic := '0';
signal I_reset : std_logic := '0';
-- Clock period definitions
constant I_clk_period : time := 10 ns;
BEGIN
-- Component Instantiation
uut: cpu_1x3
generic map (
PROGRAM_00 => "F:\Projects\MyStuff\TIS100\Assembler\input.prg",
PROGRAM_01 => "F:\Projects\MyStuff\TIS100\Assembler\double.prg",
PROGRAM_02 => "F:\Projects\MyStuff\TIS100\Assembler\output.prg")
PORT MAP(
I_clk => I_clk,
I_reset => I_reset);
-- Clock process definitions
I_clk_process: process
begin
I_clk <= '0';
wait for I_clk_period/2;
I_clk <= '1';
wait for I_clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
I_reset <= '1';
wait for I_clk_period;
I_reset <= '0';
wait;
end process;
END;
| mit | 1cb5ff4085994c3e1d790e0528191a15 | 0.588324 | 3.240786 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/logic_builtin.vhd | 5 | 30,579 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZaIDYQkL9fQwO8milqwdrsg67fOLp0uG3CcXzB7xhmynauRDFpSMeLwaF9WeOUy+2qHOJLta8q3L
TJs/uACyxQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
khCdPx434gR6tFZjkXzNmrh8TB3aJmQPGJ+zVQtZHaHP2R8J0ou47DB/UGjvEstd/qN2LDHSA8UV
XTtxj49dwmEOEbaMF1MXG12CYEFhAj4DgnCMsgt4FfvSIo5tLz0ZDCfWjOPiSrDd1LW/Aej0T9LL
r6chvTfQNPW11inAWBw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nn/n2JRoMmNkmcFKurddLbjLiBKxSjzDogDR40dxSYkyBJooWa9HcvIVzGpGjl1jg1ljaMtgwWX4
YqBQtyh2J8PuMMLcWo9gQLA+G1sHG1CpmSw4rnftZe+Rzf7oiFKmY+M66HtAPjnFQOXwKdKpTPLH
k/HvxW8/je/E2wsyA0F2teSxCXxYit6hG97MPiKK6GJH8Jb1BW6sSE6kLGhWfvIwFEIGyAWtthoI
U4n8fU8trc6o4H0SM/9MJWIVe6CYrORU0CnrksEtNrGRzkKHpyIjVpUBAx3rX8qiR1IIjaXjqZBf
6QKq8LdXksO/bdDyPS7y4vPv97m0HV7uK4Xsxw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
w0dmpTAQPjjFEWkh4Rl8NC7hd+oKdx4bvdiu1z2Gg7BpVI8EKoQRKkrUmRIzt9amptZVctxhK+tF
DqQUqifkL2nvGEXf0TgHmp5E/xqOExSsY+nBHVcN45mrldHoRScIT8+Q+Qwag+TWRb2iAOA4wrZX
ulCFaafDTf0bpWPyucg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
muVlZmArXgh6BRq/5ITO7/Sb1rGC8i2InoA0XD0Cb/wRK9mQAYpwiqaK5zDnG378rpKyl3UndlwT
8iiLiWnm+jTGZnIUrQwD/dZ0FUL5Ew2JB/tfF7ZLbe/g65DWUmrnAqjiVCkpIn9wbzFwj/2pkGIP
F7vE20Q8jl5wAKvZKx87ao3HS7WeNI6Ga+BSug8+djHwg3DfU6B6vIxWAl2wc3fr648766U68Cld
+gNgbTL0FQWc+1KqEnBK/EDESLGM+ouJBmIjXv26cTHxb4VckTvjurzS+H6CKeJ2Np83Sunjm7ur
t3fzWfNYnphpwchLmOyZtPCVKiMWqSptRpL+SA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20896)
`protect data_block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`protect end_protected
| apache-2.0 | 2e504ceee786e9a827b797116bbf69fe | 0.946107 | 1.835805 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/checkbit_handler.vhd | 1 | 22,877 | -------------------------------------------------------------------------------
-- $Id: checkbit_handler.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $
-------------------------------------------------------------------------------
--
-- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES
--
-------------------------------------------------------------------------------
-- Filename: gen_checkbits.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93/02
-------------------------------------------------------------------------------
-- Structure:
-- gen_checkbits.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision: 1.1.2.2 $
-- Date: $Date: 2010/09/06 09:01:24 $
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity checkbit_handler is
generic (
C_ENCODE : boolean := true;
C_USE_LUT6 : boolean := true);
port (
DataIn : in std_logic_vector(0 to 31);
CheckIn : in std_logic_vector(0 to 6);
CheckOut : out std_logic_vector(0 to 6);
Syndrome : out std_logic_vector(0 to 6);
Enable_ECC : in std_logic;
UE_Q : in std_logic;
CE_Q : in std_logic;
UE : out std_logic;
CE : out std_logic
);
end entity checkbit_handler;
library unisim;
use unisim.vcomponents.all;
library lmb_bram_if_cntlr_v4_0;
use lmb_bram_if_cntlr_v4_0.all;
architecture IMP of checkbit_handler is
component XOR18 is
generic (
C_USE_LUT6 : boolean);
port (
InA : in std_logic_vector(0 to 17);
res : out std_logic);
end component XOR18;
component Parity is
generic (
C_USE_LUT6 : boolean;
C_SIZE : integer);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic);
end component Parity;
component ParityEnable
generic (
C_USE_LUT6 : boolean;
C_SIZE : integer);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Enable : in std_logic;
Res : out std_logic);
end component ParityEnable;
signal data_chk0 : std_logic_vector(0 to 17);
signal data_chk1 : std_logic_vector(0 to 17);
signal data_chk2 : std_logic_vector(0 to 17);
signal data_chk3 : std_logic_vector(0 to 14);
signal data_chk4 : std_logic_vector(0 to 14);
signal data_chk5 : std_logic_vector(0 to 5);
begin -- architecture IMP
data_chk0 <= DataIn(0) & DataIn(1) & DataIn(3) & DataIn(4) & DataIn(6) & DataIn(8) & DataIn(10) &
DataIn(11) & DataIn(13) & DataIn(15) & DataIn(17) & DataIn(19) & DataIn(21) &
DataIn(23) & DataIn(25) & DataIn(26) & DataIn(28) & DataIn(30);
data_chk1 <= DataIn(0) & DataIn(2) & DataIn(3) & DataIn(5) & DataIn(6) & DataIn(9) & DataIn(10) &
DataIn(12) & DataIn(13) & DataIn(16) & DataIn(17) & DataIn(20) & DataIn(21) &
DataIn(24) & DataIn(25) & DataIn(27) & DataIn(28) & DataIn(31);
data_chk2 <= DataIn(1) & DataIn(2) & DataIn(3) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25) & DataIn(29) & DataIn(30) & DataIn(31);
data_chk3 <= DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) & DataIn(8) & DataIn(9) & DataIn(10) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25);
data_chk4 <= DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) & DataIn(15) & DataIn(16) & DataIn(17) &
DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) & DataIn(22) & DataIn(23) & DataIn(24) &
DataIn(25);
data_chk5 <= DataIn(26) & DataIn(27) & DataIn(28) & DataIn(29) & DataIn(30) & DataIn(31);
-- Encode bits for writing data
Encode_Bits : if (C_ENCODE) generate
signal data_chk3_i : std_logic_vector(0 to 17);
signal data_chk4_i : std_logic_vector(0 to 17);
signal data_chk6 : std_logic_vector(0 to 17);
begin
------------------------------------------------------------------------------------------------
-- Checkbit 0 built up using XOR18
------------------------------------------------------------------------------------------------
XOR18_I0 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk0, -- [in std_logic_vector(0 to 17)]
res => CheckOut(0)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 1 built up using XOR18
------------------------------------------------------------------------------------------------
XOR18_I1 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk1, -- [in std_logic_vector(0 to 17)]
res => CheckOut(1)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 2 built up using XOR18
------------------------------------------------------------------------------------------------
XOR18_I2 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk2, -- [in std_logic_vector(0 to 17)]
res => CheckOut(2)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 3 built up using XOR18
------------------------------------------------------------------------------------------------
data_chk3_i <= data_chk3 & "000";
XOR18_I3 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk3_i, -- [in std_logic_vector(0 to 17)]
res => CheckOut(3)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 4 built up using XOR18
------------------------------------------------------------------------------------------------
data_chk4_i <= data_chk4 & "000";
XOR18_I4 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk4_i, -- [in std_logic_vector(0 to 17)]
res => CheckOut(4)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 5 built up from 1 LUT6
------------------------------------------------------------------------------------------------
Parity_chk5_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk5, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => CheckOut(5)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Checkbit 6 built up from 3 LUT7 and 4 LUT6
------------------------------------------------------------------------------------------------
data_chk6 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(4) & DataIn(5) & DataIn(7) & DataIn(10) &
DataIn(11) & DataIn(12) & DataIn(14) & DataIn(17) & DataIn(18) & DataIn(21) &
DataIn(23) & DataIn(24) & DataIn(26) & DataIn(27) & DataIn(29);
XOR18_I6 : XOR18
generic map (
C_USE_LUT6 => C_USE_LUT6) -- [boolean]
port map (
InA => data_chk6, -- [in std_logic_vector(0 to 17)]
res => CheckOut(6)); -- [out std_logic]
-- Unused
Syndrome <= (others => '0');
UE <= '0';
CE <= '0';
end generate Encode_Bits;
--------------------------------------------------------------------------------------------------
-- Decode bits to get syndrome and UE/CE signals
--------------------------------------------------------------------------------------------------
Decode_Bits : if (not C_ENCODE) generate
signal syndrome_i : std_logic_vector(0 to 6);
signal chk0_1 : std_logic_vector(0 to 3);
signal chk1_1 : std_logic_vector(0 to 3);
signal chk2_1 : std_logic_vector(0 to 3);
signal data_chk3_i : std_logic_vector(0 to 15);
signal chk3_1 : std_logic_vector(0 to 1);
signal data_chk4_i : std_logic_vector(0 to 15);
signal chk4_1 : std_logic_vector(0 to 1);
signal data_chk5_i : std_logic_vector(0 to 6);
signal data_chk6 : std_logic_vector(0 to 38);
signal chk6_1 : std_logic_vector(0 to 5);
signal syndrome_3_to_5 : std_logic_vector(3 to 5);
signal syndrome_3_to_5_multi : std_logic;
signal syndrome_3_to_5_zero : std_logic;
signal ue_i_0 : std_logic;
signal ue_i_1 : std_logic;
begin
------------------------------------------------------------------------------------------------
-- Syndrome bit 0 built up from 3 LUT6 and 1 LUT4
------------------------------------------------------------------------------------------------
chk0_1(3) <= CheckIn(0);
Parity_chk0_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(0)); -- [out std_logic]
Parity_chk0_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(1)); -- [out std_logic]
Parity_chk0_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk0(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk0_1(2)); -- [out std_logic]
Parity_chk0_4 : ParityEnable
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk0_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Enable => Enable_ECC, -- [in std_logic]
Res => syndrome_i(0)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 1 built up from 3 LUT6 and 1 LUT4
------------------------------------------------------------------------------------------------
chk1_1(3) <= CheckIn(1);
Parity_chk1_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(0)); -- [out std_logic]
Parity_chk1_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(1)); -- [out std_logic]
Parity_chk1_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk1(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk1_1(2)); -- [out std_logic]
Parity_chk1_4 : ParityEnable
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk1_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Enable => Enable_ECC, -- [in std_logic]
Res => syndrome_i(1)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 2 built up from 3 LUT6 and 1 LUT4
------------------------------------------------------------------------------------------------
chk2_1(3) <= CheckIn(2);
Parity_chk2_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(0)); -- [out std_logic]
Parity_chk2_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(1)); -- [out std_logic]
Parity_chk2_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk2(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk2_1(2)); -- [out std_logic]
Parity_chk2_4 : ParityEnable
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 4)
port map (
InA => chk2_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Enable => Enable_ECC, -- [in std_logic]
Res => syndrome_i(2)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 3 built up from 2 LUT8 and 1 LUT2
------------------------------------------------------------------------------------------------
data_chk3_i <= data_chk3 & CheckIn(3);
Parity_chk3_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(0)); -- [out std_logic]
Parity_chk3_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk3_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk3_1(1)); -- [out std_logic]
Parity_chk3_3 : ParityEnable
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2)
port map (
InA => chk3_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Enable => Enable_ECC, -- [in std_logic]
Res => syndrome_i(3)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 4 built up from 2 LUT8 and 1 LUT2
------------------------------------------------------------------------------------------------
data_chk4_i <= data_chk4 & CheckIn(4);
Parity_chk4_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(0 to 7), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(0)); -- [out std_logic]
Parity_chk4_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 8)
port map (
InA => data_chk4_i(8 to 15), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk4_1(1)); -- [out std_logic]
Parity_chk4_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 2)
port map (
InA => chk4_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(4)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 5 built up from 1 LUT7
------------------------------------------------------------------------------------------------
data_chk5_i <= data_chk5 & CheckIn(5);
Parity_chk5_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk5_i, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(5)); -- [out std_logic]
------------------------------------------------------------------------------------------------
-- Syndrome bit 6 built up from 3 LUT7 and 4 LUT6
------------------------------------------------------------------------------------------------
data_chk6 <= DataIn(0) & DataIn(1) & DataIn(2) & DataIn(3) & DataIn(4) & DataIn(5) & DataIn(6) & DataIn(7) &
DataIn(8) & DataIn(9) & DataIn(10) & DataIn(11) & DataIn(12) & DataIn(13) & DataIn(14) &
DataIn(15) & DataIn(16) & DataIn(17) & DataIn(18) & DataIn(19) & DataIn(20) & DataIn(21) &
DataIn(22) & DataIn(23) & DataIn(24) & DataIn(25) & DataIn(26) & DataIn(27) & DataIn(28) &
DataIn(29) & DataIn(30) & DataIn(31) & CheckIn(5) & CheckIn(4) & CheckIn(3) & CheckIn(2) &
CheckIn(1) & CheckIn(0) & CheckIn(6);
Parity_chk6_1 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk6(0 to 5), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(0)); -- [out std_logic]
Parity_chk6_2 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk6(6 to 11), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(1)); -- [out std_logic]
Parity_chk6_3 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => data_chk6(12 to 17), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(2)); -- [out std_logic]
Parity_chk6_4 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk6(18 to 24), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(3)); -- [out std_logic]
Parity_chk6_5 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk6(25 to 31), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(4)); -- [out std_logic]
Parity_chk6_6 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 7)
port map (
InA => data_chk6(32 to 38), -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => chk6_1(5)); -- [out std_logic]
Parity_chk6_7 : Parity
generic map (C_USE_LUT6 => C_USE_LUT6, C_SIZE => 6)
port map (
InA => chk6_1, -- [in std_logic_vector(0 to C_SIZE - 1)]
Res => syndrome_i(6)); -- [out std_logic]
Syndrome <= syndrome_i;
syndrome_3_to_5 <= (chk3_1(0) xor chk3_1(1)) & (chk4_1(0) xor chk4_1(1)) & syndrome_i(5);
syndrome_3_to_5_zero <= '1' when syndrome_3_to_5 = "000" else '0';
syndrome_3_to_5_multi <= '1' when (syndrome_3_to_5 = "111" or
syndrome_3_to_5 = "011" or
syndrome_3_to_5 = "101") else
'0';
CE <= '0' when (Enable_ECC = '0') else
(syndrome_i(6) or CE_Q) when (syndrome_3_to_5_multi = '0') else
CE_Q;
ue_i_0 <= '0' when (Enable_ECC = '0') else
'1' when (syndrome_3_to_5_zero = '0') or (syndrome_i(0 to 2) /= "000") else
UE_Q;
ue_i_1 <= '0' when (Enable_ECC = '0') else
(syndrome_3_to_5_multi or UE_Q);
Use_LUT6: if (C_USE_LUT6) generate
UE_MUXF7 : MUXF7
port map (
I0 => ue_i_0,
I1 => ue_i_1,
S => syndrome_i(6),
O => UE);
end generate Use_LUT6;
Use_RTL: if (not C_USE_LUT6) generate
UE <= ue_i_1 when syndrome_i(6) = '1' else ue_i_0;
end generate Use_RTL;
-- Unused
CheckOut <= (others => '0');
end generate Decode_Bits;
end architecture IMP;
| apache-2.0 | cb5631a763ad13d7459c987f716e35d8 | 0.448922 | 3.716212 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/msr_reg.vhd | 1 | 22,494 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
omE+5vWIOJa04V/e80q2DO6bBpeIGzojsRrT+GPUqxU2rD1S0ir43WsM0NaJCiiAnbP7JKtZa6U7
4rybH8fg7w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JlLkDsaly2OYaIkugXTcG4YokGW9vjVsYIMM7DQy2Qb7KARJGKYgNsdW2F6JbBIehkX82PrjLprT
UHGf1taPyXNv9O7tYRKCmMkW4FfC2lzqYaGr8IdOmEostA9XRi93M9UmGowSr92sdGNPQ9xV4YUv
3h8T3fHoQwVUTqY847c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GNrvPtAartl4XGt+aTQNedsuy7kd19PrpR3e6yy6ZhVWtNVBZoIMzDdy2eowIvFnxIDH/FLg1ORa
EE15LWQ65ZfN1TMm0hFNBdMqDcvLUisoBdOE2Lq4HOZEXpyIH05CumU1zCvY5onQOTdkJedBU5o7
47wrvqGwWQXTUgMq8EhMX5unwfh63nBE3cQoOlWW4Ji9kn1x+on2QYE1Jypii5xq989aMMl64PNH
7f0OVVqbJPh50pxgoZ7YSUgSfyuold69uf17BMRgwHRSMHmNdnK4830UCF6SaCAOA6FNgfXTRjG9
GpBgSeB1TsaojkLcT3jVbfAMNS0Muuf55Wna5Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V+4QhoJvvxXabDXOrffgeM/0DN0OXJDpY1qgSXf7kp0N/wry8BHSreB2L6MPwkzrLi0rOolnxlFj
nCirFkUuRigpy3UC6sDZyNWN8BB5gfMToo3a1+uOFOuOJmu8O9ODXgpbk4X0JzBYLaK/FAEgEfep
2rm0NExo257ZOFOgkk0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lT5iCurEAqtIjus7pwBko0CscCy6VC9A2m1ySRmtpyZowxmRQf0EOk4bg8PSX59L4zsRFptBUjBe
E31qvG1PE7inUfrhkdlwb6EGaopwIkozSziAd3pse0+H28uq43GsiSMqQrAky+q7h230nMWF54I5
iW5Wud5npKAVgvp/S9LOYGwWDGoYQOqcXHS8hBju7BtdUvfnm7GhpDNSJ3Dif0dl+z3fAzvkX5ep
+ICuo2jx8PBX29mdlAyBTf2z/5XZL79MNI+MradsfEHJnhCQ28kyCdO9ltgj2JHcDQzXgkZ38gS3
frsJbTsVoE4l6S7/W/4mlNwTVxpFcR1HzNzAWQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14912)
`protect data_block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`protect end_protected
| apache-2.0 | 2bef657413a03c3e80df4e289e8788d2 | 0.943807 | 1.849531 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/TOPENT_TEMPLATE.vhd | 2 | 4,989 | library ieee;
use ieee.std_logic_1164.all;
use work.CONSTANTS.all;
entity TOP_ENTITY is
port(
clock : in std_logic;
reset : in std_logic;
data : inout std_logic_vector (DATA_WIDTH-1 downto 0);
address : in std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : in std_logic;
R_enable : in std_logic;
generic_enable : in std_logic;
interrupt : out std_logic
);
end TOP_ENTITY;
architecture STRUCTURAL of TOP_ENTITY is
component DATA_BUFFER is
port(
rst : in std_logic;
row_0 : out std_logic_vector (DATA_WIDTH-1 downto 0); -- First line of the buffer. Must be read constantly by the ip manager
--PORT_0
data_cpu : inout std_logic_vector (DATA_WIDTH-1 downto 0);
address_cpu : in std_logic_vector(ADD_WIDTH-1 downto 0);
WE_CPU : in std_logic;
RE_CPU : in std_logic;
GE_CPU : in std_logic;
--PORT_1
data_in_ip : in std_logic_vector (DATA_WIDTH-1 downto 0);
data_out_ip : out std_logic_vector (DATA_WIDTH-1 downto 0);
address_ip : in std_logic_vector(ADD_WIDTH-1 downto 0);
WE_IP : in std_logic;
RE_IP : in std_logic;
GE_IP : in std_logic
);
end component DATA_BUFFER;
--COMPONENTS HERE--
signal row_0 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal data_in_ip : std_logic_vector (DATA_WIDTH-1 downto 0);
signal data_out_ip : std_logic_vector (DATA_WIDTH-1 downto 0);
signal address_ip : std_logic_vector (ADD_WIDTH-1 downto 0);
signal WE_IP : std_logic;
signal RE_IP : std_logic;
signal GE_IP : std_logic;
signal data_in_IPs : data_array;
signal data_out_IPs : data_array;
signal add_IPs : add_array;
signal W_enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal R_enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal generic_en_IPs : std_logic_vector(0 to NUM_IPS-1);
signal enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal ack_IPs : std_logic_vector(0 to NUM_IPS-1);
signal interrupt_IPs : std_logic_vector(0 to NUM_IPS-1);
begin
data_buff: DATA_BUFFER
port map( rst => reset,
row_0 => row_0,
--PORT_0
data_cpu => data,
address_cpu => address,
WE_CPU => W_enable,
RE_CPU => R_enable,
GE_CPU => generic_enable,
--PORT_1
data_in_ip => data_in_ip,
data_out_ip => data_out_ip,
address_ip => address_ip,
WE_IP => WE_IP,
RE_IP => RE_IP,
GE_IP => GE_IP);
--HERE MANAGER--
ip_man: IP_MANAGER
port map( clk => clock,
rst => reset,
data_in => data_in_ip,
data_out => data_out_ip,
add => address_ip,
W_enable => WE_IP,
R_enable => RE_IP,
generic_en => GE_IP,
interrupt => interrupt,
row_0 => row_0,
data_in_IPs => data_in_IPs,
data_out_IPs => data_out_IPs,
add_IPs => add_IPs,
W_enable_IPs => W_enable_IPs,
R_enable_IPs => R_enable_IPs,
generic_en_IPs => generic_en_IPs,
enable_IPs => enable_IPs,
ack_IPs => ack_IPs,
interrupt_IPs => interrupt_IPs);
--HERE IPs--
ip_0: IP_DUMMY
port map( clk => clock,
rst => reset,
data_in => data_in_IPs(0),
data_out => data_out_IPs(0),
address => add_IPs(0),
W_enable => W_enable_IPs(0),
R_enable => R_enable_IPs(0),
generic_en => generic_en_IPs(0),
enable => enable_IPs(0),
ack => ack_IPs(0),
interrupt => interrupt_IPs(0));
ip_1: entity work.IP_ADDER
port map( clk => clock,
rst => reset,
data_in => data_in_IPs(1),
data_out => data_out_IPs(1),
address => add_IPs(1),
W_enable => W_enable_IPs(1),
R_enable => R_enable_IPs(1),
generic_en => generic_en_IPs(1),
enable => enable_IPs(1),
ack => ack_IPs(1),
interrupt => interrupt_IPs(1));
ip_2: entity work.IP_DUMMY
port map( clk => clock,
rst => reset,
data_in => data_in_IPs(2),
data_out => data_out_IPs(2),
address => add_IPs(2),
W_enable => W_enable_IPs(2),
R_enable => R_enable_IPs(2),
generic_en => generic_en_IPs(2),
enable => enable_IPs(2),
ack => ack_IPs(2),
interrupt => interrupt_IPs(2));
ip_3: entity work.IP_DUMMY
port map( clk => clock,
rst => reset,
data_in => data_in_IPs(3),
data_out => data_out_IPs(3),
address => add_IPs(3),
W_enable => W_enable_IPs(3),
R_enable => R_enable_IPs(3),
generic_en => generic_en_IPs(3),
enable => enable_IPs(3),
ack => ack_IPs(3),
interrupt => interrupt_IPs(3));
end architecture; | lgpl-3.0 | 9118f0e5556fd0eefebff8d20797bf5d | 0.528964 | 2.618898 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_encryption_monte_carlo_testbench_256bits.vhd | 1 | 11,627 | -- Twofish_ecb_encryption_monte_carlo_testbench_256bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the VARIABLE TEXT KAT of the twofish cipher with 256 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity ecb_encryption_monte_carlo_testbench256 is
end ecb_encryption_monte_carlo_testbench256;
architecture ecb_encryption256_monte_carlo_testbench_arch of ecb_encryption_monte_carlo_testbench256 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched256
port (
odd_in_tk256,
even_in_tk256 : in std_logic_vector(7 downto 0);
in_key_tk256 : in std_logic_vector(255 downto 0);
out_key_up_tk256,
out_key_down_tk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched256
port (
in_key_twk256 : in std_logic_vector(255 downto 0);
out_K0_twk256,
out_K1_twk256,
out_K2_twk256,
out_K3_twk256,
out_K4_twk256,
out_K5_twk256,
out_K6_twk256,
out_K7_twk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_encryption_round256
port (
in1_ter256,
in2_ter256,
in3_ter256,
in4_ter256,
in_Sfirst_ter256,
in_Ssecond_ter256,
in_Sthird_ter256,
in_Sfourth_ter256,
in_key_up_ter256,
in_key_down_ter256 : in std_logic_vector(31 downto 0);
out1_ter256,
out2_ter256,
out3_ter256,
out4_ter256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S256
port (
in_key_ts256 : in std_logic_vector(255 downto 0);
out_Sfirst_ts256,
out_Ssecond_ts256,
out_Sthird_ts256,
out_Sfourth_ts256 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_encryption_monte_carlo_testvalues_256bits.txt";
FILE output_file : text is out "twofish_ecb_encryption_monte_carlo_256bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(255 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
Sfourth,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched256
port map (
in_key_twk256 => twofish_key,
out_K0_twk256 => K0,
out_K1_twk256 => K1,
out_K2_twk256 => K2,
out_K3_twk256 => K3,
out_K4_twk256 => K4,
out_K5_twk256 => K5,
out_K6_twk256 => K6,
out_K7_twk256 => K7
);
-- performing the input whitening XORs
from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched256
port map (
odd_in_tk256 => odd_number,
even_in_tk256 => even_number,
in_key_tk256 => twofish_key,
out_key_up_tk256 => key_up,
out_key_down_tk256 => key_down
);
producing_the_Skeys: twofish_S256
port map (
in_key_ts256 => twofish_key,
out_Sfirst_ts256 => Sfirst,
out_Ssecond_ts256 => Ssecond,
out_Sthird_ts256 => Sthird,
out_Sfourth_ts256 => Sfourth
);
the_encryption_circuit: twofish_encryption_round256
port map (
in1_ter256 => to_round(127 downto 96),
in2_ter256 => to_round(95 downto 64),
in3_ter256 => to_round(63 downto 32),
in4_ter256 => to_round(31 downto 0),
in_Sfirst_ter256 => Sfirst,
in_Ssecond_ter256 => Ssecond,
in_Sthird_ter256 => Sthird,
in_Sfourth_ter256 => Sfourth,
in_key_up_ter256 => key_up,
in_key_down_ter256 => key_down,
out1_ter256 => to_encr_reg128(127 downto 96),
out2_ter256 => to_encr_reg128(95 downto 64),
out3_ter256 => to_encr_reg128(63 downto 32),
out4_ter256 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
ecb_emc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f : line; -- ciphertext from file
variable key_v : std_logic_vector(255 downto 0); -- key vector input
variable pt_v , -- plaintext vector
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable intermediate_encryption_result : std_logic_vector(127 downto 0); -- holds the intermediate encryption result
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
intermediate_encryption_result := pt_v;
for counter_10000 in 0 to 9999 loop
input_data <= intermediate_encryption_result;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00001000"; -- 8
odd_number <= "00001001"; -- 9
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector(((round*2)+8), 8);
odd_number <= conv_std_logic_vector(((round*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
intermediate_encryption_result := output_data;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(pt_f, pt_v);
hwrite(ct_f,output_data);
writeline(output_file,key_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Encryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** ECB Encryption Monte Carlo Test with 256 bits key size ended succesfully! :) *****" severity failure;
end process ecb_emc_proc;
end ecb_encryption256_monte_carlo_testbench_arch;
| gpl-2.0 | c4907d1cfaccc515fe739f0b109f9a08 | 0.652963 | 2.731266 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/div_unit_gti.vhd | 1 | 32,395 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ZW6HeCuoO9RNEYNbqfA1jSDMgggT7R7fPyXfeeExSB4HwObR0WIf0RuttGNrNvPuwlMBKVcVSusK
NNC5wUAe9w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CgMr5p7OoQVJI6h2GsUtXIUn9kDikIoyCMhVZWB+fegvJ/7Z+K9DYb9WBhXS0nii0FkEJR6ijI0v
cVhm6jXjeLNrb+EMPkcONomIdST4dODUt3xU5HFX7g8YA5BegnMhDmNojUuSdueVEFPGBf4UH+7C
xRQHCz2Ev51xJkFsuhQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Al4sqFpx1JEwCN8BnSjPzp1F7zRpViK7NAnrnEmdof389/W/k67dgAkm8WqCd6mgsG/ZKwg3S4Bg
bEbnm06bTvxO1ygFcuc5WRIzBqX8JUcWm8n6PAHNT2Jvu28eRjfe629ng0YrCtREkLQBsR2iQYJc
Yd2IA79Gz22jITRbYIcWeSVcPRs/vGWI8TeM5UOxyUksAvwhPseWKvoO5/06wb+jR4U9yVG7U+bI
5abhGbLGZpLPWEZRQN80KCse01/+3SDPObCVuzNG1Ty0wMLHpsAJKd9+VNbw8UaJnIlZaNyjJvZ3
VjmaTtAfy9QBRxi71D2Z0E6gEtpPrOzWFWWJMQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XHQRK8nDM6Ur/tDxri/x8dZcD6Q9fhs/y0zqIob14CJo4p75IK0RICzcI6CGEKLLuEtxpNvJUt+E
7sY4BnDwlHLa/PjzW4/5EJMjxawzaVuUuwDacieBNiQoWS69s7inWZuTJB/H1YI5K3rQ5nHZ6qF7
gMlfiz1anEOl4xOAgGs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
b62vx/PLt5XObepGWZaUKRPrNLTqH6aDIiz3xh7vh7yZESjZ10rjlcfGulhMTz9gOmJ/XABaEvyM
bt4L/8tdbEfo+EJKkdyVeH6/+arL04bLj6dgSQLA09xxSXje6HUPLwYRu3BoZNLjOxtM8VfKIw3C
aYHuwQl9bzxrDWRbo5H32C5Aov3KsepPGczskFNWZk156Bc6wK9gGAKEn8BazR3+ljlIdw/GDvdT
AZoBGa5UEUA2d7ujmHCv1SBjpOXOJJz3UyQnFU0vQ3TyfBngd4bLCQgRYxyQmka+DXQ0rkgn1RXA
b1QhgQt4QzIN47QiuuPmOt/r3wysn9KD+8NLgg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22240)
`protect data_block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`protect end_protected
| apache-2.0 | 8c1efe25e5854c8588a2c4242dda2aed | 0.945455 | 1.824454 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/test_divider.vhd | 1 | 1,122 | use Std.Textio.all;
library IEEE;
use ieee.std_logic_1164.ALL;
entity test_divider is
end;
architecture test_divider of test_divider is
component c_divider
generic(width : INTEGER ; const :INTEGER
);
port(Input: in std_logic_vector((width - 1) downto 0);
Output : out std_logic_vector((width - 1) downto 0));
end component;
for all : c_divider use entity WORK.c_divider(behavior);
signal Input: std_logic_vector(3 downto 0);
signal Output : std_logic_vector(3 downto 0);
file S_IN : TEXT is out "c_divider_beh.out";
begin
divider_1 : c_divider
generic map(4,2)
port map(Input, Output);
test_process : process
begin
Input <= "1010";
wait for 50 ns;
Input <= "0101";
wait for 50 ns;
Input <= "0110";
wait for 50 ns;
Input <= "0000";
wait for 50 ns;
Input <= "0000";
wait for 50 ns;
Input <= "0101";
wait for 50 ns;
Input <= "1111";
wait for 50 ns;
Input <= "1001";
wait for 50 ns;
Input <= "1110";
wait for 50 ns;
wait;
end process test_process;
end test_divider;
| mit | feb608946bdbeff0f86de3973253810b | 0.601604 | 3.252174 | false | true | false | false |
freecores/twofish | vhdl/twofish_ecb_decryption_monte_carlo_testbench_256bits.vhd | 1 | 11,646 | -- Twofish_ecb_decryption_monte_carlo_testbench_256bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the Decryption Monte Carlo KAT of the twofish cipher with 256 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity ecb_decryption_monte_carlo_testbench256 is
end ecb_decryption_monte_carlo_testbench256;
architecture ecb_decryption256_monte_carlo_testbench_arch of ecb_decryption_monte_carlo_testbench256 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched256
port (
odd_in_tk256,
even_in_tk256 : in std_logic_vector(7 downto 0);
in_key_tk256 : in std_logic_vector(255 downto 0);
out_key_up_tk256,
out_key_down_tk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched256
port (
in_key_twk256 : in std_logic_vector(255 downto 0);
out_K0_twk256,
out_K1_twk256,
out_K2_twk256,
out_K3_twk256,
out_K4_twk256,
out_K5_twk256,
out_K6_twk256,
out_K7_twk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_decryption_round256
port (
in1_tdr256,
in2_tdr256,
in3_tdr256,
in4_tdr256,
in_Sfirst_tdr256,
in_Ssecond_tdr256,
in_Sthird_tdr256,
in_Sfourth_tdr256,
in_key_up_tdr256,
in_key_down_tdr256 : in std_logic_vector(31 downto 0);
out1_tdr256,
out2_tdr256,
out3_tdr256,
out4_tdr256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S256
port (
in_key_ts256 : in std_logic_vector(255 downto 0);
out_Sfirst_ts256,
out_Ssecond_ts256,
out_Sthird_ts256,
out_Sfourth_ts256 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_decryption_monte_carlo_testvalues_256bits.txt";
FILE output_file : text is out "twofish_ecb_decryption_monte_carlo_256bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(255 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
Sfourth,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched256
port map (
in_key_twk256 => twofish_key,
out_K0_twk256 => K0,
out_K1_twk256 => K1,
out_K2_twk256 => K2,
out_K3_twk256 => K3,
out_K4_twk256 => K4,
out_K5_twk256 => K5,
out_K6_twk256 => K6,
out_K7_twk256 => K7
);
-- performing the input whitening XORs
from_xor0 <= K4 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K5 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K6 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K7 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched256
port map (
odd_in_tk256 => odd_number,
even_in_tk256 => even_number,
in_key_tk256 => twofish_key,
out_key_up_tk256 => key_up,
out_key_down_tk256 => key_down
);
producing_the_Skeys: twofish_S256
port map (
in_key_ts256 => twofish_key,
out_Sfirst_ts256 => Sfirst,
out_Ssecond_ts256 => Ssecond,
out_Sthird_ts256 => Sthird,
out_Sfourth_ts256 => Sfourth
);
the_decryption_circuit: twofish_decryption_round256
port map (
in1_tdr256 => to_round(127 downto 96),
in2_tdr256 => to_round(95 downto 64),
in3_tdr256 => to_round(63 downto 32),
in4_tdr256 => to_round(31 downto 0),
in_Sfirst_tdr256 => Sfirst,
in_Ssecond_tdr256 => Ssecond,
in_Sthird_tdr256 => Sthird,
in_Sfourth_tdr256 => Sfourth,
in_key_up_tdr256 => key_up,
in_key_down_tdr256 => key_down,
out1_tdr256 => to_encr_reg128(127 downto 96),
out2_tdr256 => to_encr_reg128(95 downto 64),
out3_tdr256 => to_encr_reg128(63 downto 32),
out4_tdr256 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K0 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K1 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K2 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K3 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
ecb_dmc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f : line; -- ciphertext from file
variable key_v : std_logic_vector(255 downto 0); -- key vector input
variable pt_v , -- plaintext vector
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable intermediate_decryption_result : std_logic_vector(127 downto 0); -- holds the intermediate decryption result
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
intermediate_decryption_result := pt_v;
for counter_10000 in 0 to 9999 loop
input_data <= intermediate_decryption_result;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00100110"; -- 38
odd_number <= "00100111"; -- 39
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector((((15-round)*2)+8), 8);
odd_number <= conv_std_logic_vector((((15-round)*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
intermediate_decryption_result := output_data;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(pt_f, pt_v);
hwrite(ct_f,output_data);
writeline(output_file,key_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
assert (ct_v = output_data) report "file entry and decryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Decryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** ECB Decryption Monte Carlo Test with 256 bits key size ended succesfully! :) *****" severity failure;
end process ecb_dmc_proc;
end ecb_decryption256_monte_carlo_testbench_arch;
| gpl-2.0 | e1303155e9b3dc23f54933e5d1d9f4fa | 0.6531 | 2.73252 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/metaheurísticas/mpegmv_ibea.vhd | 1 | 2,766 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:04)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mpegmv_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3);
output1, output2, output3: OUT unsigned(0 TO 4));
END mpegmv_ibea_entity;
ARCHITECTURE mpegmv_ibea_description OF mpegmv_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register3 := input3 * 3;
register1 := register1 + 5;
register4 := input4 * 6;
register2 := register2 + 8;
WHEN "00000011" =>
register1 := register4 + register1;
register4 := input5 * 9;
WHEN "00000100" =>
register1 := register4 + register1;
register4 := input6 * 10;
register5 := input7 * 11;
register2 := register3 + register2;
WHEN "00000101" =>
register3 := input8 * 12;
register5 := register5 + 14;
register6 := input9 * 15;
register1 := ((NOT register1) + 1) XOR register1;
register2 := register4 + register2;
WHEN "00000110" =>
register3 := register3 + 19;
register4 := input10 * 20;
register7 := input11 * 21;
WHEN "00000111" =>
register4 := register4 + register5;
register5 := input12 * 22;
output1 <= register7 + register3;
WHEN "00001000" =>
register3 := register5 + 25;
register4 := register6 + register4;
register5 := input13 * 26;
register2 := ((NOT register2) + 1) XOR register2;
register6 := input14 * 29;
WHEN "00001001" =>
register3 := register6 + register3;
output2 <= register1(0 TO 1) & register4(0 TO 2);
WHEN "00001010" =>
register1 := register5 + register3;
WHEN "00001011" =>
output3 <= register2(0 TO 1) & register1(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mpegmv_ibea_description; | gpl-3.0 | 6ee73b1049e8831f42712d9a0b327634 | 0.655098 | 3.066519 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/metaheurísticas/mesahb_spea2.vhd | 1 | 1,948 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:17:13)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesahb_spea2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 3);
output1, output2: OUT unsigned(0 TO 4));
END mesahb_spea2_entity;
ARCHITECTURE mesahb_spea2_description OF mesahb_spea2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
output1 <= input2 + 2;
WHEN "00000010" =>
register1 := register1 + 4;
register2 := input3 * 5;
WHEN "00000011" =>
register1 := register1 * 7;
register2 := register2 + 9;
WHEN "00000100" =>
register1 := register1 + 11;
register2 := ((NOT register2) + 1) XOR register2;
register3 := input4 * 14;
WHEN "00000101" =>
register2 := register3 * register2;
register1 := ((NOT register1) + 1) XOR register1;
WHEN "00000110" =>
register1 := register1 * 18;
WHEN "00000111" =>
register3 := input5 * 19;
register1 := register1 + register2;
WHEN "00001000" =>
register2 := register3 + 21;
WHEN "00001001" =>
register2 := register2 * 23;
WHEN "00001010" =>
register2 := register2 + 25;
WHEN "00001011" =>
output2 <= register1(0 TO 1) & register2(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesahb_spea2_description; | gpl-3.0 | 2ad7539ae3c85542b2fd9adc9dcbd53b | 0.652977 | 3.010819 | false | false | false | false |
marceloboeira/vhdl-examples | 006-ULA/test/ula_tb.vhd | 1 | 2,237 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
entity ULA_tb is
end ULA_tb;
architecture behavior of ULA_tb is
component ULA
port(A : in std_logic_vector(7 downto 0);
B : in std_logic_vector(7 downto 0);
S : in std_logic_vector(1 downto 0);
O : out std_logic_vector(7 downto 0));
end component;
signal A : std_logic_vector(7 downto 0) := (others => '0');
signal B : std_logic_vector(7 downto 0) := (others => '0');
signal S : std_logic_vector(1 downto 0) := (others => '0');
signal O : std_logic_vector(7 downto 0);
begin
uut: ULA port map(A, B, S, O);
adding : process
begin
S <= "00";
-- 0 && 0 = 0
A <= conv_std_logic_vector(0, 8);
B <= conv_std_logic_vector(0, 8);
wait for 10 ns;
-- 0 && 1 = 0
A <= conv_std_logic_vector(0, 8);
B <= conv_std_logic_vector(1, 8);
wait for 10 ns;
-- 0 && 1 = 0
A <= conv_std_logic_vector(0, 8);
B <= conv_std_logic_vector(1, 8);
wait for 10 ns;
-- 1 && 1 = 1
A <= conv_std_logic_vector(1, 8);
B <= conv_std_logic_vector(1, 8);
wait for 10 ns;
S <= "01";
-- 0 || 0 = 0
A <= conv_std_logic_vector(0, 8);
B <= conv_std_logic_vector(0, 8);
wait for 10 ns;
-- 1 || 0 = 1
A <= conv_std_logic_vector(1, 8);
B <= conv_std_logic_vector(0, 8);
wait for 10 ns;
-- 0 || 1 = 1
A <= conv_std_logic_vector(0, 8);
B <= conv_std_logic_vector(1, 8);
wait for 10 ns;
-- 1 || 1 = 1
A <= conv_std_logic_vector(1, 8);
B <= conv_std_logic_vector(1, 8);
wait for 10 ns;
S <= "10";
-- 10 + 20 = 30
A <= conv_std_logic_vector(10, 8);
B <= conv_std_logic_vector(20, 8);
wait for 10 ns;
-- 32 + 13 = 45
A <= conv_std_logic_vector(32, 8);
B <= conv_std_logic_vector(13, 8);
wait for 10 ns;
S <= "11";
-- 30 - 20 = 10
A <= conv_std_logic_vector(30, 8);
B <= conv_std_logic_vector(20, 8);
wait for 10 ns;
-- 33 - 11 = 22
A <= conv_std_logic_vector(33, 8);
B <= conv_std_logic_vector(11, 8);
wait for 10 ns;
wait;
end process;
end;
| mit | 97a3cc2363bdefd5891b79146884bdac | 0.518105 | 2.875321 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/coregen_comp_defs.vhd | 1 | 52,734 | -------------------------------------------------------------------------------
-- $Id:$
-------------------------------------------------------------------------------
-- coregen_comp_defs - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: coregen_comp_defs.vhd
-- Version: initial
-- Description:
-- Component declarations for all black box netlists generated by
-- running COREGEN and FIFO Generator when XST elaborated the client core
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- coregen_comp_defs.vhd
-- |
-- |--- XilinxCoreLib.fifo_generator_v9_2
-- |--- XilinxCoreLib.fifo_generator_v9_3
-- |
-- |--- XilinxCoreLib.blk_mem_gen_v7_1
-- |--- XilinxCoreLib.blk_mem_gen_v7_3
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 02/01/2008 Initial Version
--
-- DET 2/01/2008 for proc_common_v4_0
-- ~~~~~~
-- - Adapted coregen_comp_defs.vhd from proc_common_v2_00_a to create
-- this file.
-- - Changed instance of sync fifo to use new wrapper file that will adapt
-- to FIFO Generator primitive.
-- - Replaced "edk_generatecore" with "generatecore" utility call
-- - Removed the CAM component
-- ^^^^^^
--
-- DET 7/30/2008 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 4.3
-- - Added Block Memory Generator Component Version 2.7
-- ^^^^^^
--
-- MSH 2/26/2009 for EDK 11.1
-- ~~~~~~
-- - Added component for Fifo Generator version 5.1
-- - Added Block Memory Generator Component Version 3.1
-- ^^^^^^
--
-- DET 3/2/2009 for EDK 11.1
-- ~~~~~~
-- - Added new Parameters and ports for Fifo Generatore 5.1.
-- ^^^^^^
--
-- DET 3/30/2009 EDK 11.2
-- ~~~~~~
-- - Had to reorder parameter list of FIFO Generator 4.3 component to match
-- the corresponding Verilog model due to NCSIM positional order
-- dependancy of parameters in vhdl/verilog use case.
-- ^^^^^^
--
-- DET 4/8/2009 EDK 11.2
-- ~~~~~~
-- - Added blk_mem_gen_v3_2
-- ^^^^^^
--
-- DET 4/9/2009 EDK 11.2
-- ~~~~~~
-- - Added fifo_generator_v5_2
-- ^^^^^^
--
-- DET 2/9/2010 For EDK 12.1
-- ~~~~~~
-- - Added fifo_generator_v5_3
-- - Added blk_mem_gen_v3_3
-- ^^^^^^
--
-- DET 3/10/2010 For EDK 12.x
-- ~~~~~~
-- -- Per CR553307
-- - Added fifo_generator_v6_1
-- - Added blk_mem_gen_v4_1
-- ^^^^^^
--
-- DET 3/17/2010 Initial
-- ~~~~~~
-- -- Per CR554253
-- - Incorporated changes to comment out FLOP_DELAY parameter from the
-- blk_mem_gen_v4_1 component. This parameter is on the XilinxCoreLib
-- model for blk_mem_gen_v4_1 but is declared as a TIME type for the
-- vhdl version and an integer for the verilog.
-- ^^^^^^
--
-- DET 10/04/2010 EDK 13.1
-- ~~~~~~
-- - Added fifo_generator_v7_3
-- - Added blk_mem_gen_v5_2
-- ^^^^^^
--
-- DET 12/8/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR586109
-- - Replaced fifo_generator v7.3 with v8.1
-- - Added blk_mem_gen_v6_1
-- ^^^^^^
--
-- DET 12/17/2010 EDK 13.1
-- ~~~~~~
-- -- Per CR587494
-- - Removed blk_mem_gen v6_1
-- ^^^^^^
--
-- DET 3/2/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR595473
-- - Update to use fifo_generator_v8_2
-- - Update to use blk_mem_gen_v6_2
-- - Remove out of date components.
-- ^^^^^^
--
-- DET 3/3/2011 EDK 13.2
-- ~~~~~~
-- - Removed C_ELABORATION_DIR parameter from the component decalarion
-- ^^^^^^
--
-- DET 3/7/2011 EDK 13.2
-- ~~~~~~
-- -- Per CR596052
-- - Added removed fifo generator and Blk Mem Gen components back into
-- coregen_comp_defs.
-- ^^^^^^
--
-- RBODDU 08/18/2011 EDK 13.3
-- ~~~~~~
-- - Update to use fifo_generator_v8_3
-- ^^^^^^
--
-------------------------------------------------------------------------------
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
library fifo_generator_v12_0;
use fifo_generator_v12_0.all;
library blk_mem_gen_v8_2;
use blk_mem_gen_v8_2.all;
PACKAGE coregen_comp_defs IS
--------------------------------------------------------
-- Declare general attributes used in this file
-- for defining each component being used with
-- the generatecore utility
attribute box_type: string;
attribute GENERATOR_DEFAULT: string;
-------------------------------------------------------
-------------------------------------------------------------------------------------
-- Start FIFO Generator Component for fifo_generator_v12_0
-- The Component declaration for fifo_generator_v12_0 pulled from the
-- Coregen version of
-- file: fifo_generator_v12_0_comp.vhd.
--
-- This component is used for both dual clock (async) and synchronous fifos
-- implemented with BRAM or distributed RAM. Hard FIFO simulation support may not
-- be provided in FIFO Generator V10.0 so not supported here.
--
-- Note: AXI ports and parameters added for this version of FIFO Generator.
--
-------------------------------------------------------------------------------------
COMPONENT fifo_generator_v12_0
GENERIC (
-------------------------------------------------------------------------
-- Generic Declarations
-------------------------------------------------------------------------
C_COMMON_CLOCK : integer := 0;
C_COUNT_TYPE : integer := 0;
C_DATA_COUNT_WIDTH : integer := 2;
C_DEFAULT_VALUE : string := "";
C_DIN_WIDTH : integer := 8;
C_DOUT_RST_VAL : string := "";
C_DOUT_WIDTH : integer := 8;
C_ENABLE_RLOCS : integer := 0;
C_FAMILY : string := "virtex6";
C_FULL_FLAGS_RST_VAL : integer := 1;
C_HAS_ALMOST_EMPTY : integer := 0;
C_HAS_ALMOST_FULL : integer := 0;
C_HAS_BACKUP : integer := 0;
C_HAS_DATA_COUNT : integer := 0;
C_HAS_INT_CLK : integer := 0;
C_HAS_MEMINIT_FILE : integer := 0;
C_HAS_OVERFLOW : integer := 0;
C_HAS_RD_DATA_COUNT : integer := 0;
C_HAS_RD_RST : integer := 0;
C_HAS_RST : integer := 1;
C_HAS_SRST : integer := 0;
C_HAS_UNDERFLOW : integer := 0;
C_HAS_VALID : integer := 0;
C_HAS_WR_ACK : integer := 0;
C_HAS_WR_DATA_COUNT : integer := 0;
C_HAS_WR_RST : integer := 0;
C_IMPLEMENTATION_TYPE : integer := 0;
C_INIT_WR_PNTR_VAL : integer := 0;
C_MEMORY_TYPE : integer := 1;
C_MIF_FILE_NAME : string := "";
C_OPTIMIZATION_MODE : integer := 0;
C_OVERFLOW_LOW : integer := 0;
C_PRELOAD_LATENCY : integer := 1;
C_PRELOAD_REGS : integer := 0;
C_PRIM_FIFO_TYPE : string := "4kx4";
C_PROG_EMPTY_THRESH_ASSERT_VAL : integer := 0;
C_PROG_EMPTY_THRESH_NEGATE_VAL : integer := 0;
C_PROG_EMPTY_TYPE : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL : integer := 0;
C_PROG_FULL_THRESH_NEGATE_VAL : integer := 0;
C_PROG_FULL_TYPE : integer := 0;
C_RD_DATA_COUNT_WIDTH : integer := 2;
C_RD_DEPTH : integer := 256;
C_RD_FREQ : integer := 1;
C_RD_PNTR_WIDTH : integer := 8;
C_UNDERFLOW_LOW : integer := 0;
C_USE_DOUT_RST : integer := 0;
C_USE_ECC : integer := 0;
C_USE_EMBEDDED_REG : integer := 0;
C_USE_FIFO16_FLAGS : integer := 0;
C_USE_FWFT_DATA_COUNT : integer := 0;
C_VALID_LOW : integer := 0;
C_WR_ACK_LOW : integer := 0;
C_WR_DATA_COUNT_WIDTH : integer := 2;
C_WR_DEPTH : integer := 256;
C_WR_FREQ : integer := 1;
C_WR_PNTR_WIDTH : integer := 8;
C_WR_RESPONSE_LATENCY : integer := 1;
C_MSGON_VAL : integer := 1;
C_ENABLE_RST_SYNC : integer := 1;
C_ERROR_INJECTION_TYPE : integer := 0;
C_SYNCHRONIZER_STAGE : integer := 2;
-- AXI Interface related parameters start here
C_INTERFACE_TYPE : integer := 0; -- 0: Native Interface; 1: AXI4 Stream; 2: AXI4/AXI3
C_AXI_TYPE : integer := 0; -- 1: AXI4; 2: AXI4 Lite; 3: AXI3
C_HAS_AXI_WR_CHANNEL : integer := 0;
C_HAS_AXI_RD_CHANNEL : integer := 0;
C_HAS_SLAVE_CE : integer := 0;
C_HAS_MASTER_CE : integer := 0;
C_ADD_NGC_CONSTRAINT : integer := 0;
C_USE_COMMON_OVERFLOW : integer := 0;
C_USE_COMMON_UNDERFLOW : integer := 0;
C_USE_DEFAULT_SETTINGS : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH : integer := 4;
C_AXI_ADDR_WIDTH : integer := 32;
C_AXI_DATA_WIDTH : integer := 64;
C_AXI_LEN_WIDTH : integer := 8;
C_AXI_LOCK_WIDTH : integer := 2;
C_HAS_AXI_ID : integer := 0;
C_HAS_AXI_AWUSER : integer := 0;
C_HAS_AXI_WUSER : integer := 0;
C_HAS_AXI_BUSER : integer := 0;
C_HAS_AXI_ARUSER : integer := 0;
C_HAS_AXI_RUSER : integer := 0;
C_AXI_ARUSER_WIDTH : integer := 1;
C_AXI_AWUSER_WIDTH : integer := 1;
C_AXI_WUSER_WIDTH : integer := 1;
C_AXI_BUSER_WIDTH : integer := 1;
C_AXI_RUSER_WIDTH : integer := 1;
-- AXI Streaming
C_HAS_AXIS_TDATA : integer := 0;
C_HAS_AXIS_TID : integer := 0;
C_HAS_AXIS_TDEST : integer := 0;
C_HAS_AXIS_TUSER : integer := 0;
C_HAS_AXIS_TREADY : integer := 1;
C_HAS_AXIS_TLAST : integer := 0;
C_HAS_AXIS_TSTRB : integer := 0;
C_HAS_AXIS_TKEEP : integer := 0;
C_AXIS_TDATA_WIDTH : integer := 64;
C_AXIS_TID_WIDTH : integer := 8;
C_AXIS_TDEST_WIDTH : integer := 4;
C_AXIS_TUSER_WIDTH : integer := 4;
C_AXIS_TSTRB_WIDTH : integer := 4;
C_AXIS_TKEEP_WIDTH : integer := 4;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 5 = Common Clock Built-in FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH : integer := 1;
C_IMPLEMENTATION_TYPE_WDCH : integer := 1;
C_IMPLEMENTATION_TYPE_WRCH : integer := 1;
C_IMPLEMENTATION_TYPE_RACH : integer := 1;
C_IMPLEMENTATION_TYPE_RDCH : integer := 1;
C_IMPLEMENTATION_TYPE_AXIS : integer := 1;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Sync FIFO
-- 3 = Low Latency Async FIFO
C_APPLICATION_TYPE_WACH : integer := 0;
C_APPLICATION_TYPE_WDCH : integer := 0;
C_APPLICATION_TYPE_WRCH : integer := 0;
C_APPLICATION_TYPE_RACH : integer := 0;
C_APPLICATION_TYPE_RDCH : integer := 0;
C_APPLICATION_TYPE_AXIS : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH : integer := 0;
C_USE_ECC_WDCH : integer := 0;
C_USE_ECC_WRCH : integer := 0;
C_USE_ECC_RACH : integer := 0;
C_USE_ECC_RDCH : integer := 0;
C_USE_ECC_AXIS : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH : integer := 0;
C_ERROR_INJECTION_TYPE_RACH : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH : integer := 32;
C_DIN_WIDTH_WDCH : integer := 64;
C_DIN_WIDTH_WRCH : integer := 2;
C_DIN_WIDTH_RACH : integer := 32;
C_DIN_WIDTH_RDCH : integer := 64;
C_DIN_WIDTH_AXIS : integer := 1;
C_WR_DEPTH_WACH : integer := 16;
C_WR_DEPTH_WDCH : integer := 1024;
C_WR_DEPTH_WRCH : integer := 16;
C_WR_DEPTH_RACH : integer := 16;
C_WR_DEPTH_RDCH : integer := 1024;
C_WR_DEPTH_AXIS : integer := 1024;
C_WR_PNTR_WIDTH_WACH : integer := 4;
C_WR_PNTR_WIDTH_WDCH : integer := 10;
C_WR_PNTR_WIDTH_WRCH : integer := 4;
C_WR_PNTR_WIDTH_RACH : integer := 4;
C_WR_PNTR_WIDTH_RDCH : integer := 10;
C_WR_PNTR_WIDTH_AXIS : integer := 10;
C_HAS_DATA_COUNTS_WACH : integer := 0;
C_HAS_DATA_COUNTS_WDCH : integer := 0;
C_HAS_DATA_COUNTS_WRCH : integer := 0;
C_HAS_DATA_COUNTS_RACH : integer := 0;
C_HAS_DATA_COUNTS_RDCH : integer := 0;
C_HAS_DATA_COUNTS_AXIS : integer := 0;
C_HAS_PROG_FLAGS_WACH : integer := 0;
C_HAS_PROG_FLAGS_WDCH : integer := 0;
C_HAS_PROG_FLAGS_WRCH : integer := 0;
C_HAS_PROG_FLAGS_RACH : integer := 0;
C_HAS_PROG_FLAGS_RDCH : integer := 0;
C_HAS_PROG_FLAGS_AXIS : integer := 0;
-- 0: No Programmable FULL
-- 1: Single Programmable FULL Threshold Constant
-- 3: Single Programmable FULL Threshold Input Port
C_PROG_FULL_TYPE_WACH : integer := 5;
C_PROG_FULL_TYPE_WDCH : integer := 5;
C_PROG_FULL_TYPE_WRCH : integer := 5;
C_PROG_FULL_TYPE_RACH : integer := 5;
C_PROG_FULL_TYPE_RDCH : integer := 5;
C_PROG_FULL_TYPE_AXIS : integer := 5;
-- Single Programmable FULL Threshold Constant Assert Value
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : integer := 1023;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : integer := 1023;
-- 0: No Programmable EMPTY
-- 1: Single Programmable EMPTY Threshold Constant
-- 3: Single Programmable EMPTY Threshold Input Port
C_PROG_EMPTY_TYPE_WACH : integer := 5;
C_PROG_EMPTY_TYPE_WDCH : integer := 5;
C_PROG_EMPTY_TYPE_WRCH : integer := 5;
C_PROG_EMPTY_TYPE_RACH : integer := 5;
C_PROG_EMPTY_TYPE_RDCH : integer := 5;
C_PROG_EMPTY_TYPE_AXIS : integer := 5;
-- Single Programmable EMPTY Threshold Constant Assert Value
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : integer := 1022;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : integer := 1022;
C_REG_SLICE_MODE_WACH : integer := 0;
C_REG_SLICE_MODE_WDCH : integer := 0;
C_REG_SLICE_MODE_WRCH : integer := 0;
C_REG_SLICE_MODE_RACH : integer := 0;
C_REG_SLICE_MODE_RDCH : integer := 0;
C_REG_SLICE_MODE_AXIS : integer := 0
);
PORT(
------------------------------------------------------------------------------
-- Input and Output Declarations
------------------------------------------------------------------------------
-- Conventional FIFO Interface Signals
backup : in std_logic := '0';
backup_marker : in std_logic := '0';
clk : in std_logic := '0';
rst : in std_logic := '0';
srst : in std_logic := '0';
wr_clk : in std_logic := '0';
wr_rst : in std_logic := '0';
rd_clk : in std_logic := '0';
rd_rst : in std_logic := '0';
din : in std_logic_vector(C_DIN_WIDTH-1 downto 0) := (others => '0');
wr_en : in std_logic := '0';
rd_en : in std_logic := '0';
-- optional inputs
prog_empty_thresh : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_assert : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_empty_thresh_negate : in std_logic_vector(C_RD_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_assert : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
prog_full_thresh_negate : in std_logic_vector(C_WR_PNTR_WIDTH-1 downto 0) := (others => '0');
int_clk : in std_logic := '0';
injectdbiterr : in std_logic := '0';
injectsbiterr : in std_logic := '0';
sleep : in std_logic := '0';
dout : out std_logic_vector(C_DOUT_WIDTH-1 downto 0) := (others => '0');
full : out std_logic := '0';
almost_full : out std_logic := '0';
wr_ack : out std_logic := '0';
overflow : out std_logic := '0';
empty : out std_logic := '1';
almost_empty : out std_logic := '1';
valid : out std_logic := '0';
underflow : out std_logic := '0';
data_count : out std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
rd_data_count : out std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
wr_data_count : out std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 downto 0) := (others => '0');
prog_full : out std_logic := '0';
prog_empty : out std_logic := '1';
sbiterr : out std_logic := '0';
dbiterr : out std_logic := '0';
wr_rst_busy : out std_logic := '0';
rd_rst_busy : out std_logic := '0';
-- axi global signal
m_aclk : in std_logic := '0';
s_aclk : in std_logic := '0';
s_aresetn : in std_logic := '1'; -- Active low reset, default value set to 1
m_aclk_en : in std_logic := '0';
s_aclk_en : in std_logic := '0';
-- axi full/lite slave write channel (write side)
s_axi_awid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_awaddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_awlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_awsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_awlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_awcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_awqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_awuser : in std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_awvalid : in std_logic := '0';
s_axi_awready : out std_logic := '0';
s_axi_wid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_wdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_wstrb : in std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
s_axi_wlast : in std_logic := '0';
s_axi_wuser : in std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_wvalid : in std_logic := '0';
s_axi_wready : out std_logic := '0';
s_axi_bid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_bresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_buser : out std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_bvalid : out std_logic := '0';
s_axi_bready : in std_logic := '0';
-- axi full/lite master write channel (read side)
m_axi_awid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_awaddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_awlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_awsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_awlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_awcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_awqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_awuser : out std_logic_vector(C_AXI_AWUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_awvalid : out std_logic := '0';
m_axi_awready : in std_logic := '0';
m_axi_wid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_wdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_wstrb : out std_logic_vector(C_AXI_DATA_WIDTH/8-1 downto 0) := (others => '0');
m_axi_wlast : out std_logic := '0';
m_axi_wuser : out std_logic_vector(C_AXI_WUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_wvalid : out std_logic := '0';
m_axi_wready : in std_logic := '0';
m_axi_bid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_bresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_buser : in std_logic_vector(C_AXI_BUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_bvalid : in std_logic := '0';
m_axi_bready : out std_logic := '0';
-- axi full/lite slave read channel (write side)
s_axi_arid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_araddr : in std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
s_axi_arlen : in std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
s_axi_arsize : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arburst : in std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_arlock : in std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
s_axi_arcache : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arprot : in std_logic_vector(3-1 downto 0) := (others => '0');
s_axi_arqos : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_arregion : in std_logic_vector(4-1 downto 0) := (others => '0');
s_axi_aruser : in std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_arvalid : in std_logic := '0';
s_axi_arready : out std_logic := '0';
s_axi_rid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
s_axi_rdata : out std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
s_axi_rresp : out std_logic_vector(2-1 downto 0) := (others => '0');
s_axi_rlast : out std_logic := '0';
s_axi_ruser : out std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
s_axi_rvalid : out std_logic := '0';
s_axi_rready : in std_logic := '0';
-- axi full/lite master read channel (read side)
m_axi_arid : out std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_araddr : out std_logic_vector(C_AXI_ADDR_WIDTH-1 downto 0) := (others => '0');
m_axi_arlen : out std_logic_vector(C_AXI_LEN_WIDTH-1 downto 0) := (others => '0');
m_axi_arsize : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arburst : out std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_arlock : out std_logic_vector(C_AXI_LOCK_WIDTH-1 downto 0) := (others => '0');
m_axi_arcache : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arprot : out std_logic_vector(3-1 downto 0) := (others => '0');
m_axi_arqos : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_arregion : out std_logic_vector(4-1 downto 0) := (others => '0');
m_axi_aruser : out std_logic_vector(C_AXI_ARUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_arvalid : out std_logic := '0';
m_axi_arready : in std_logic := '0';
m_axi_rid : in std_logic_vector(C_AXI_ID_WIDTH-1 downto 0) := (others => '0');
m_axi_rdata : in std_logic_vector(C_AXI_DATA_WIDTH-1 downto 0) := (others => '0');
m_axi_rresp : in std_logic_vector(2-1 downto 0) := (others => '0');
m_axi_rlast : in std_logic := '0';
m_axi_ruser : in std_logic_vector(C_AXI_RUSER_WIDTH-1 downto 0) := (others => '0');
m_axi_rvalid : in std_logic := '0';
m_axi_rready : out std_logic := '0';
-- axi streaming slave signals (write side)
s_axis_tvalid : in std_logic := '0';
s_axis_tready : out std_logic := '0';
s_axis_tdata : in std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
s_axis_tstrb : in std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
s_axis_tkeep : in std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
s_axis_tlast : in std_logic := '0';
s_axis_tid : in std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
s_axis_tdest : in std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
s_axis_tuser : in std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi streaming master signals (read side)
m_axis_tvalid : out std_logic := '0';
m_axis_tready : in std_logic := '0';
m_axis_tdata : out std_logic_vector(C_AXIS_TDATA_WIDTH-1 downto 0) := (others => '0');
m_axis_tstrb : out std_logic_vector(C_AXIS_TSTRB_WIDTH-1 downto 0) := (others => '0');
m_axis_tkeep : out std_logic_vector(C_AXIS_TKEEP_WIDTH-1 downto 0) := (others => '0');
m_axis_tlast : out std_logic := '0';
m_axis_tid : out std_logic_vector(C_AXIS_TID_WIDTH-1 downto 0) := (others => '0');
m_axis_tdest : out std_logic_vector(C_AXIS_TDEST_WIDTH-1 downto 0) := (others => '0');
m_axis_tuser : out std_logic_vector(C_AXIS_TUSER_WIDTH-1 downto 0) := (others => '0');
-- axi full/lite write address channel signals
axi_aw_injectsbiterr : in std_logic := '0';
axi_aw_injectdbiterr : in std_logic := '0';
axi_aw_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 downto 0) := (others => '0');
axi_aw_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WACH downto 0) := (others => '0');
axi_aw_sbiterr : out std_logic := '0';
axi_aw_dbiterr : out std_logic := '0';
axi_aw_overflow : out std_logic := '0';
axi_aw_underflow : out std_logic := '0';
axi_aw_prog_full : out std_logic := '0';
axi_aw_prog_empty : out std_logic := '1';
-- axi_aw_almost_full : out std_logic := '0';
-- axi_aw_almost_empty : out std_logic := '1';
-- axi full/lite write data channel signals
axi_w_injectsbiterr : in std_logic := '0';
axi_w_injectdbiterr : in std_logic := '0';
axi_w_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 downto 0) := (others => '0');
axi_w_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WDCH downto 0) := (others => '0');
axi_w_sbiterr : out std_logic := '0';
axi_w_dbiterr : out std_logic := '0';
axi_w_overflow : out std_logic := '0';
axi_w_underflow : out std_logic := '0';
axi_w_prog_full : out std_logic := '0';
axi_w_prog_empty : out std_logic := '1';
-- axi_w_almost_full : out std_logic := '0';
-- axi_w_almost_empty : out std_logic := '1';
-- axi full/lite write response channel signals
axi_b_injectsbiterr : in std_logic := '0';
axi_b_injectdbiterr : in std_logic := '0';
axi_b_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 downto 0) := (others => '0');
axi_b_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_WRCH downto 0) := (others => '0');
axi_b_sbiterr : out std_logic := '0';
axi_b_dbiterr : out std_logic := '0';
axi_b_overflow : out std_logic := '0';
axi_b_underflow : out std_logic := '0';
axi_b_prog_full : out std_logic := '0';
axi_b_prog_empty : out std_logic := '1';
-- axi_b_almost_full : out std_logic := '0';
-- axi_b_almost_empty : out std_logic := '1';
-- axi full/lite read address channel signals
axi_ar_injectsbiterr : in std_logic := '0';
axi_ar_injectdbiterr : in std_logic := '0';
axi_ar_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 downto 0) := (others => '0');
axi_ar_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RACH downto 0) := (others => '0');
axi_ar_sbiterr : out std_logic := '0';
axi_ar_dbiterr : out std_logic := '0';
axi_ar_overflow : out std_logic := '0';
axi_ar_underflow : out std_logic := '0';
axi_ar_prog_full : out std_logic := '0';
axi_ar_prog_empty : out std_logic := '1';
-- axi_ar_almost_full : out std_logic := '0';
-- axi_ar_almost_empty : out std_logic := '1';
-- axi full/lite read data channel signals
axi_r_injectsbiterr : in std_logic := '0';
axi_r_injectdbiterr : in std_logic := '0';
axi_r_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 downto 0) := (others => '0');
axi_r_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_RDCH downto 0) := (others => '0');
axi_r_sbiterr : out std_logic := '0';
axi_r_dbiterr : out std_logic := '0';
axi_r_overflow : out std_logic := '0';
axi_r_underflow : out std_logic := '0';
axi_r_prog_full : out std_logic := '0';
axi_r_prog_empty : out std_logic := '1';
-- axi_r_almost_full : out std_logic := '0';
-- axi_r_almost_empty : out std_logic := '1';
-- axi streaming fifo related signals
axis_injectsbiterr : in std_logic := '0';
axis_injectdbiterr : in std_logic := '0';
axis_prog_full_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_prog_empty_thresh : in std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 downto 0) := (others => '0');
axis_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_wr_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_rd_data_count : out std_logic_vector(C_WR_PNTR_WIDTH_AXIS downto 0) := (others => '0');
axis_sbiterr : out std_logic := '0';
axis_dbiterr : out std_logic := '0';
axis_overflow : out std_logic := '0';
axis_underflow : out std_logic := '0';
axis_prog_full : out std_logic := '0';
axis_prog_empty : out std_logic := '1'
-- axis_almost_full : out std_logic := '0';
-- axis_almost_empty : out std_logic := '1'
);
END COMPONENT;
-- End FIFO Generator Component ---------------------------------------
-------------------------------------------------------------------------------------
-- Start Block Memory Generator Component for blk_mem_gen_v8_2
-- Component declaration for blk_mem_gen_v8_2 pulled from the
-- /proj/xbuilds/ids_14.4_P.49d.2.0/lin64/14.4/ISE_DS/ISE/vhdl/src/XilinxCoreLib
-- file: blk_mem_gen_v8_2.v
-- Verilog file used to match paramter order for NCSIM compatibility
-------------------------------------------------------------------------------------
component blk_mem_gen_v8_2 IS
GENERIC (
C_FAMILY : STRING := "virtex6";
C_XDEVICEFAMILY : STRING := "virtex6";
C_ELABORATION_DIR : STRING := "";
C_INTERFACE_TYPE : INTEGER := 0;
C_USE_BRAM_BLOCK : INTEGER := 0;
C_ENABLE_32BIT_ADDRESS : INTEGER := 0;
C_AXI_TYPE : INTEGER := 0;
C_AXI_SLAVE_TYPE : INTEGER := 0;
C_HAS_AXI_ID : INTEGER := 0;
C_AXI_ID_WIDTH : INTEGER := 4;
C_MEM_TYPE : INTEGER := 2;
C_BYTE_SIZE : INTEGER := 8;
C_ALGORITHM : INTEGER := 2;
C_PRIM_TYPE : INTEGER := 3;
C_LOAD_INIT_FILE : INTEGER := 0;
C_INIT_FILE_NAME : STRING := "";
C_INIT_FILE : STRING := "";
C_USE_DEFAULT_DATA : INTEGER := 0;
C_DEFAULT_DATA : STRING := "";
--C_RST_TYPE : STRING := "SYNC"; -- Removed in version v8_2
C_HAS_RSTA : INTEGER := 0;
C_RST_PRIORITY_A : STRING := "CE";
C_RSTRAM_A : INTEGER := 0;
C_INITA_VAL : STRING := "";
C_HAS_ENA : INTEGER := 1;
C_HAS_REGCEA : INTEGER := 0;
C_USE_BYTE_WEA : INTEGER := 0;
C_WEA_WIDTH : INTEGER := 1;
C_WRITE_MODE_A : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_A : INTEGER := 32;
C_READ_WIDTH_A : INTEGER := 32;
C_WRITE_DEPTH_A : INTEGER := 64;
C_READ_DEPTH_A : INTEGER := 64;
C_ADDRA_WIDTH : INTEGER := 6;
C_HAS_RSTB : INTEGER := 0;
C_RST_PRIORITY_B : STRING := "CE";
C_RSTRAM_B : INTEGER := 0;
C_INITB_VAL : STRING := "";
C_HAS_ENB : INTEGER := 1;
C_HAS_REGCEB : INTEGER := 0;
C_USE_BYTE_WEB : INTEGER := 0;
C_WEB_WIDTH : INTEGER := 1;
C_WRITE_MODE_B : STRING := "WRITE_FIRST";
C_WRITE_WIDTH_B : INTEGER := 32;
C_READ_WIDTH_B : INTEGER := 32;
C_WRITE_DEPTH_B : INTEGER := 64;
C_READ_DEPTH_B : INTEGER := 64;
C_ADDRB_WIDTH : INTEGER := 6;
C_HAS_MEM_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MEM_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_A : INTEGER := 0;
C_HAS_MUX_OUTPUT_REGS_B : INTEGER := 0;
C_HAS_SOFTECC_INPUT_REGS_A : INTEGER := 0;
C_HAS_SOFTECC_OUTPUT_REGS_B : INTEGER := 0;
C_MUX_PIPELINE_STAGES : INTEGER := 0;
C_USE_SOFTECC : INTEGER := 0;
C_USE_ECC : INTEGER := 0;
C_EN_ECC_PIPE : INTEGER := 0;
C_HAS_INJECTERR : INTEGER := 0;
C_SIM_COLLISION_CHECK : STRING := "NONE";
C_COMMON_CLK : INTEGER := 1;
C_DISABLE_WARN_BHV_COLL : INTEGER := 0;
C_EN_SLEEP_PIN : INTEGER := 0;
C_DISABLE_WARN_BHV_RANGE : INTEGER := 0
);
PORT (
CLKA : IN STD_LOGIC := '0';
RSTA : IN STD_LOGIC := '0';
ENA : IN STD_LOGIC := '1';
REGCEA : IN STD_LOGIC := '1';
WEA : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRA : IN STD_LOGIC_VECTOR(C_ADDRA_WIDTH-1 DOWNTO 0):= (OTHERS => '0');
DINA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTA : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_A-1 DOWNTO 0);
CLKB : IN STD_LOGIC := '0';
RSTB : IN STD_LOGIC := '0';
ENB : IN STD_LOGIC := '1';
REGCEB : IN STD_LOGIC := '1';
WEB : IN STD_LOGIC_VECTOR(C_WEB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
ADDRB : IN STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0)
:= (OTHERS => '0');
DINB : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0)
:= (OTHERS => '0');
DOUTB : OUT STD_LOGIC_VECTOR(C_READ_WIDTH_B-1 DOWNTO 0);
INJECTSBITERR : IN STD_LOGIC := '0';
INJECTDBITERR : IN STD_LOGIC := '0';
SBITERR : OUT STD_LOGIC := '0';
DBITERR : OUT STD_LOGIC := '0';
RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0);
ECCPIPECE : IN STD_LOGIC := '0';
SLEEP : IN STD_LOGIC := '0';
-- AXI BMG Input and Output Port Declarations
-- AXI Global Signals
S_AClk : IN STD_LOGIC := '0';
S_ARESETN : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Write (write side)
S_AXI_AWID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWLEN : IN STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_AWVALID : IN STD_LOGIC := '0';
S_AXI_AWREADY : OUT STD_LOGIC;
S_AXI_WDATA : IN STD_LOGIC_VECTOR(C_WRITE_WIDTH_A-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WSTRB : IN STD_LOGIC_VECTOR(C_WEA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_WLAST : IN STD_LOGIC := '0';
S_AXI_WVALID : IN STD_LOGIC := '0';
S_AXI_WREADY : OUT STD_LOGIC;
S_AXI_BID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_BRESP : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
S_AXI_BVALID : OUT STD_LOGIC;
S_AXI_BREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Slave Read (Write side)
S_AXI_ARID : IN STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARADDR : IN STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARLEN : IN STD_LOGIC_VECTOR(8-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARSIZE : IN STD_LOGIC_VECTOR(2 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARBURST : IN STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
S_AXI_ARVALID : IN STD_LOGIC := '0';
S_AXI_ARREADY : OUT STD_LOGIC;
S_AXI_RID : OUT STD_LOGIC_VECTOR(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
S_AXI_RDATA : OUT STD_LOGIC_VECTOR(C_WRITE_WIDTH_B-1 DOWNTO 0);
S_AXI_RRESP : OUT STD_LOGIC_VECTOR(2-1 DOWNTO 0);
S_AXI_RLAST : OUT STD_LOGIC;
S_AXI_RVALID : OUT STD_LOGIC;
S_AXI_RREADY : IN STD_LOGIC := '0';
-- AXI Full/Lite Sideband Signals
S_AXI_INJECTSBITERR : IN STD_LOGIC := '0';
S_AXI_INJECTDBITERR : IN STD_LOGIC := '0';
S_AXI_SBITERR : OUT STD_LOGIC := '0';
S_AXI_DBITERR : OUT STD_LOGIC := '0';
S_AXI_RDADDRECC : OUT STD_LOGIC_VECTOR(C_ADDRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0')
);
END COMPONENT; --blk_mem_gen_v8_1
-- The following tells XST that blk_mem_gen_v8_1 is a black box which
-- should be generated command given by the value of this attribute
-- Note the fully qualified SIM (JAVA class) name that forms the
-- basis of the core
-- ATTRIBUTE box_type OF blk_mem_gen_v8_1 : COMPONENT IS "black_box";
-- ATTRIBUTE generator_default OF blk_mem_gen_v8_1 : COMPONENT IS
-- "generatecore com.xilinx.ip.blk_mem_gen_v8_1.blk_mem_gen_v8_1 -a map_qvirtex_to=virtex map_qrvirtex_to=virtex map_virtexe_to=virtex map_qvirtex2_to=virtex2 map_qrvirtex2_to=virtex2 map_spartan2_to=virtex map_spartan2e_to=virtex map_virtex5_to=virtex4 map_spartan3a_to=spartan3e spartan3an_to=spartan3e spartan3adsp_to=spartan3e ";
-- End Block Memory Generator Component for v7_1 -------------------------------
END coregen_comp_defs;
| apache-2.0 | 6a8adafbd6c7276ee14758ed7c28e2c3 | 0.459495 | 3.731003 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_v12_0.vhd | 5 | 89,950 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kB6UzV5k+LtqW00OSvpaAwP7y+LCUNGAOzLnWdLyxex+z48926XD+BW9XL9Esuzg8k33w+yAGcG/
w93YBcL0PQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ifDw3dJC4/zsZoXBaDP/Pb8AAskxDjXmoPqK9N1plPQeZMMSbQV3H2aPHmDW7kRjMVLXlu5Yps4T
d3QWLwsMRIvXDdkKidcLOcMP9rbPGIibksDSp2RbBjjE9j80HiwcyGVGFMGx8IslF9PyFpLNVqmC
JghFFYKIeNYh5c3c0II=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jznIu/8Wsmoo81jT1Gjx3flbIS2YkGja9FUSEZ0+v19YdaamX4bCjZ65bG/vMqdoH4ytVaJrUyqV
3t//grfKD9ZusYOwjjDvtp4txeEnHbj/Y20GNbJk7msYUWcYsG8hyzFyfOW9zDRZo9Ih4vvc5ng6
yw+bbkLHJ0FB/wnAQcOs7cEZLZ3Yuf22EBjT1DOgoGTsmcdJVtGquEXTa6frU57juOk3RU53j29/
+QY+Z4wztJG3hfErpJeflEgBfutHI+sHJaQrnEQUhpq6fJoYF9l+LE93lXhIR+tM5PgwYHP2kJAY
YfmSbLUW70QWVHAHLv6XvPXR5zeotxoylQn4/Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kN9r8TSrO98SyZLp3TmcXeRr2jesha3b3QDiWPORlnCXyi10PBjoz+hvimDBVZJqepSQsyvhMfzn
yv5cfP7StdMG6cp/iTGTbm7KAcZcnNzA5l8KGU7m993ZxRJEQ9u6V75ZXTtrAxxeHxN7Y6NQUSFz
lavNOGQE4GH44Wg1L2s=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Grg+O4AXq4r4IkSm4d7Mc/+CYiJGsA/ujVFABgoI7xamQZstiWwTO/GnBsLxwYFJ/RAkJjiNIpo4
YHCYDrDFLn1A2YGOud20bOH5jBVtY40cuibYst75hbZgisVa3uSP0O6PwpP2enr5e4xY1H4fzRyd
Q8xBCgB+B+WzSc/ijSu99CAsDAtI4bb263EoF4WCRqN+rLbvfPSfxd/rJ9W1lmrdA9wIypSYE/7b
5Kbo3Oo/U1iomD19yrdCz7mxsb2OuiPquHn1RayQ2dss+jYpBnsqR7CnXWFm+p71x79IUVbYoP0d
IT4ZRR3ysvKkASRXxGhNHANPvDdCfAXVDp5P7g==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64848)
`protect data_block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`protect end_protected
| apache-2.0 | 3de5e45feee6a7321a04bec70d175379 | 0.952485 | 1.817355 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/metaheurísticas/mesahb_wsga.vhd | 1 | 2,046 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:17:23)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesahb_wsga_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2: OUT unsigned(0 TO 31));
END mesahb_wsga_entity;
ARCHITECTURE mesahb_wsga_description OF mesahb_wsga_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register2 := register2 + 4;
output1 <= input3 + 5;
register1 := register1 + 7;
WHEN "00000011" =>
register2 := register2 * 9;
register1 := ((NOT register1) + 1) XOR register1;
WHEN "00000100" =>
register2 := register2 + 13;
register3 := input4 * 14;
WHEN "00000101" =>
register2 := ((NOT register2) + 1) XOR register2;
register3 := register3 + 18;
register4 := input5 * 19;
WHEN "00000110" =>
register3 := register3 * 21;
register1 := register4 * register1;
register2 := register2 * 23;
WHEN "00000111" =>
register1 := register2 + register1;
register2 := register3 + 25;
WHEN "00001000" =>
output2 <= register1(0 TO 14) & register2(0 TO 15);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesahb_wsga_description; | gpl-3.0 | 291e40cd0c67f253a797a469ee5644c3 | 0.675953 | 3.206897 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/lfsr.vhd | 1 | 1,030 | -- This is a 16 bit Linear Feedback Shift Register
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity LFSR16 is
port(
CLK : in std_logic;
RESET : in std_logic;
LD : in std_logic;
EN : in std_logic;
DIN : in std_logic_vector (0 to 15);
PRN : out std_logic_vector (0 to 15);
ZERO_D : out std_logic);
end LFSR16;
architecture RTL of LFSR16 is
signal t_prn : std_logic_vector(0 to 15);
begin
-- Continuous assignments :
PRN <= t_prn;
ZERO_D <= '1' when (t_prn = "0000000000000000") else '0';
-- LFSR process :
process(CLK,RESET)
begin
if RESET='1' then
t_prn <= "0000000000000001"; -- load 1 at reset
elsif rising_edge (CLK) then
if (LD = '1') then -- load a new seed when ld is active
t_prn <= DIN;
elsif (EN = '1') then -- shift when enabled
t_prn(0) <= t_prn(15) xor t_prn(4) xor t_prn(2) xor t_prn(1);
t_prn(1 to 15) <= t_prn(0 to 14);
end if;
end if;
end process;
end RTL;
| lgpl-3.0 | a29b8506a173b83bdd1b4335e0171eb6 | 0.58932 | 3.093093 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_intc_v4_1/28e93d3e/hdl/src/vhdl/shared_ram_ivar.vhd | 1 | 8,750 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- ***************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: byte_data_ram.vhd
-- Version: v3.0
-- Description: This file is a DPRAM which got used in the design for the
-- endpoint configuration and status register space along with
-- default endpoint buffer space & end point 1-7 buffer space
-- using the generics (C_DPRAM_DEPTH and C_ADDR_LINES)
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- Structure:
-- -- axi_usb2_device.vhd
-- -- axi_slave_burst.vhd
-- -- usbcore.v
-- -- ipic_if.vhd
-- -- byte_data_ram.vhd
-------------------------------------------------------------------------------
-- Author: PBB
-- History:
-- PBB 07/01/10 initial release
-- ^^^^^^^
-- ^^^^^^^
-- SK 10/10/12
--
-- 1. Added cascade mode support in v1.03.a version of the core
-- 2. Updated major version of the core
-- ~~~~~~
-- ~~~~~~
-- SK 12/16/12 -- v3.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI LITE IPIF to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
--
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
library proc_common_v4_0;
use proc_common_v4_0.family.all;
library axi_intc_v4_1;
use axi_intc_v4_1.all;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_WIDTH -- Data width
-- C_DPRAM_DEPTH -- Depth of the DPRAM
-- C_ADDR_LINES -- No of Address lines
-- C_IVR_RESET_VALUE -- Reset values of IVR registers in RAM
-------------------------------------------------------------------------------
-- Definition of Ports:
-- Addra -- Port-A address
-- Addrb -- Port-B address
-- Clka -- Port-A clock
-- Clkb -- Port-B clock
-- Dina -- Port-A data input
-- Dinb -- Port-B data input
-- Ena -- Port-A chip enable
-- Enb -- Port-B chip enable
-- Wea -- Port-A write enable
-- Web -- Port-B write enable
-- Douta -- Port-A data output
-- Doutb -- Port-B data output
--
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Entity section
-------------------------------------------------------------------------------
entity shared_ram_ivar IS
generic
(
C_WIDTH : integer := 32;
C_DPRAM_DEPTH : integer range 16 to 4096 := 16;
C_ADDR_LINES : integer range 0 to 15 := 4;
-- IVR Reset value parameter
C_IVAR_RESET_VALUE : std_logic_vector(31 downto 0) :=
"00000000000000000000000000010000"
);
port
(
Addra : in std_logic_VECTOR((C_ADDR_LINES - 1) downto 0);
Addrb : in std_logic_VECTOR((C_ADDR_LINES - 1) downto 0);
Clka : in std_logic;
Clkb : in std_logic;
Dina : in std_logic_VECTOR((C_WIDTH-1) downto 0);
Wea : in std_logic;
Douta : out std_logic_VECTOR((C_WIDTH-1) downto 0);
Doutb : out std_logic_VECTOR((C_WIDTH-1) downto 0)
);
end shared_ram_ivar;
architecture byte_data_ram_a of shared_ram_ivar is
type ramType is array (0 to C_DPRAM_DEPTH-1) of std_logic_vector
((C_WIDTH-1) downto 0);
--shared variable ram: ramType := (others => (others => '0'));
signal ram: ramType := (others => C_IVAR_RESET_VALUE);
attribute ram_style : string;
attribute ram_style of ram : signal is "distributed";
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
-------------------------------------------------------------------------------
-- DPRAM Port A Interface
-------------------------------------------------------------------------------
PORT_A_PROCESS: process(Clka)
begin
if Clka'event and Clka = '1' then
if (Wea = '1') then
ram(conv_integer(Addra)) <= Dina;
end if;
Douta <= ram(conv_integer(Addra));
end if;
end process;
-------------------------------------------------------------------------------
-- DPRAM Port B Interface
-------------------------------------------------------------------------------
PORT_B_PROCESS: process(Clkb)
begin
if Clkb'event and Clkb = '1' then
Doutb <= ram(conv_integer(Addrb));
end if;
end process;
end byte_data_ram_a;
| apache-2.0 | 5f00f5952d772caa4a838fa02eda9322 | 0.481029 | 4.758021 | false | false | false | false |
sils1297/HWPrak14 | i2c_master_byte_ctrl.vhd | 1 | 12,702 | ---------------------------------------------------------------------
---- ----
---- WISHBONE revB2 compl. I2C Master Core; byte-controller ----
---- ----
---- ----
---- Author: Richard Herveille ----
---- [email protected] ----
---- www.asics.ws ----
---- ----
---- Downloaded from: http://www.opencores.org/projects/i2c/ ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
-- CVS Log
--
-- $Id: i2c_master_byte_ctrl.vhd,v 1.5 2004-02-18 11:41:48 rherveille Exp $
--
-- $Date: 2004-02-18 11:41:48 $
-- $Revision: 1.5 $
-- $Author: rherveille $
-- $Locker: $
-- $State: Exp $
--
-- Change History:
-- $Log: not supported by cvs2svn $
-- Revision 1.4 2003/08/09 07:01:13 rherveille
-- Fixed a bug in the Arbitration Lost generation caused by delay on the (external) sda line.
-- Fixed a potential bug in the byte controller's host-acknowledge generation.
--
-- Revision 1.3 2002/12/26 16:05:47 rherveille
-- Core is now a Multimaster I2C controller.
--
-- Revision 1.2 2002/11/30 22:24:37 rherveille
-- Cleaned up code
--
-- Revision 1.1 2001/11/05 12:02:33 rherveille
-- Split i2c_master_core.vhd into separate files for each entity; same layout as verilog version.
-- Code updated, is now up-to-date to doc. rev.0.4.
-- Added headers.
--
--
------------------------------------------
-- Byte controller section
------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
entity i2c_master_byte_ctrl is
port (
clk : in std_logic;
rst : in std_logic; -- synchronous active high reset (WISHBONE compatible)
nReset : in std_logic; -- asynchornous active low reset (FPGA compatible)
ena : in std_logic; -- core enable signal
clk_cnt : in unsigned(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
-- output signals
cmd_ack : out std_logic; -- command done
ack_out : out std_logic;
i2c_busy : out std_logic; -- arbitration lost
i2c_al : out std_logic; -- i2c bus busy
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end entity i2c_master_byte_ctrl;
architecture structural of i2c_master_byte_ctrl is
component i2c_master_bit_ctrl is
port (
clk : in std_logic;
rst : in std_logic;
nReset : in std_logic;
ena : in std_logic; -- core enable signal
clk_cnt : in unsigned(15 downto 0); -- clock prescale value
cmd : in std_logic_vector(3 downto 0);
cmd_ack : out std_logic; -- command done
busy : out std_logic; -- i2c bus busy
al : out std_logic; -- arbitration lost
din : in std_logic;
dout : out std_logic;
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_bit_ctrl;
-- commands for bit_controller block
constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000";
constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001";
constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010";
constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100";
constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000";
-- signals for bit_controller
signal core_cmd : std_logic_vector(3 downto 0);
signal core_ack, core_txd, core_rxd : std_logic;
signal al : std_logic;
-- signals for shift register
signal sr : std_logic_vector(7 downto 0); -- 8bit shift register
signal shift, ld : std_logic;
-- signals for state machine
signal go, host_ack : std_logic;
signal dcnt : unsigned(2 downto 0); -- data counter
signal cnt_done : std_logic;
begin
-- hookup bit_controller
bit_ctrl: i2c_master_bit_ctrl port map(
clk => clk,
rst => rst,
nReset => nReset,
ena => ena,
clk_cnt => clk_cnt,
cmd => core_cmd,
cmd_ack => core_ack,
busy => i2c_busy,
al => al,
din => core_txd,
dout => core_rxd,
scl_i => scl_i,
scl_o => scl_o,
scl_oen => scl_oen,
sda_i => sda_i,
sda_o => sda_o,
sda_oen => sda_oen
);
i2c_al <= al;
-- generate host-command-acknowledge
cmd_ack <= host_ack;
-- generate go-signal
go <= (read or write or stop) and not host_ack;
-- assign Dout output to shift-register
dout <= sr;
-- generate shift register
shift_register: process(clk, nReset)
begin
if (nReset = '0') then
sr <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
sr <= (others => '0');
elsif (ld = '1') then
sr <= din;
elsif (shift = '1') then
sr <= (sr(6 downto 0) & core_rxd);
end if;
end if;
end process shift_register;
-- generate data-counter
data_cnt: process(clk, nReset)
begin
if (nReset = '0') then
dcnt <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
dcnt <= (others => '0');
elsif (ld = '1') then
dcnt <= (others => '1'); -- load counter with 7
elsif (shift = '1') then
dcnt <= dcnt -1;
end if;
end if;
end process data_cnt;
cnt_done <= '1' when (dcnt = 0) else '0';
--
-- state machine
--
statemachine : block
type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop);
signal c_state : states;
begin
--
-- command interpreter, translate complex commands into simpler I2C commands
--
nxt_state_decoder: process(clk, nReset)
begin
if (nReset = '0') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
elsif (clk'event and clk = '1') then
if (rst = '1' or al = '1') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
else
-- initialy reset all signal
core_txd <= sr(7);
shift <= '0';
ld <= '0';
host_ack <= '0';
case c_state is
when st_idle =>
if (go = '1') then
if (start = '1') then
c_state <= st_start;
core_cmd <= I2C_CMD_START;
elsif (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
elsif (write = '1') then
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
else -- stop
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
end if;
ld <= '1';
end if;
when st_start =>
if (core_ack = '1') then
if (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
end if;
ld <= '1';
end if;
when st_write =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write; -- stay in same state
core_cmd <= I2C_CMD_WRITE; -- write next bit
shift <= '1';
end if;
end if;
when st_read =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_WRITE;
else
c_state <= st_read; -- stay in same state
core_cmd <= I2C_CMD_READ; -- read next bit
end if;
shift <= '1';
core_txd <= ack_in;
end if;
when st_ack =>
if (core_ack = '1') then
-- check for stop; Should a STOP command be generated ?
if (stop = '1') then
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
else
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
-- assign ack_out output to core_rxd (contains last received bit)
ack_out <= core_rxd;
core_txd <= '1';
else
core_txd <= ack_in;
end if;
when st_stop =>
if (core_ack = '1') then
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
when others => -- illegal states
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
--report ("Byte controller entered illegal state.");
end case;
end if;
end if;
end process nxt_state_decoder;
end block statemachine;
end architecture structural; | agpl-3.0 | ca9a13b47d079d2c9d6707fece41b985 | 0.45914 | 3.861964 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_logic_pkt_fifo.vhd | 5 | 44,022 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W8BTxozyUsN2F6BjwRpQ42E+TujraEVKRNxlVQMmjMjEwSUb+2s/9r7M9+9lqZchtUOesX9+piND
1wcCUUCy7Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
oI/LTdi3eVpOco6HQnYXoyfPCEuo/LfAXbvrO0tV9YGGwVCn2CUNzYl3JN7CQL/xe4pLyAKZQaMj
HAa2pW7ncGmkLUidKmMK24fK1s6TXopE8cF7YxREGtgRC7aJOibofX9Ogcrru41CTCbdJgWCFHos
suR57vjMoIlgGJQ4W7c=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bHl/QJUYlA/ScW0xSwRrs5EF1Jk46e66BBLINgQIFTDiS6wQLsM9W8ubvHql8w7h3EDwrvDybQzy
bgO51YsncDymBOShwtuoUUI1xKcF4+HxMrP26tcJwdDWr1DOjPZJvhn+yTssqx46K+ZLZY5JJ5kL
+JFdyogxAsyJ8pZHJi6KSceHjqKYqpSgRbG60TFe5iewx7soVGPJiYWNbvWKstrZFPmvUZRYceLp
JWJp83yJPrfuuIklMGOwXkZaqsHkjQNeeJuVyNH2M5mDpERHSk5ZK0EKbynVWx9OeUJTwN1JK5xk
xNdmB9KGoUNCXmPLRfgUmpjGp367VWZGqvrSDg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
nJ45HTbnUaxsP5OcIUbGi+R3kyoohlxuB1IwzMnBuG9f6vydAS5bqyYwD/Axcx17UOHXWIZB/ZZT
t1oa80cuA7F0vNHqRo3ONsL4Us1WlC/zQJTR3G9zx4GZ7dbXyb44eoGMOS2vIFErztGt/M1M+09+
rrKNXvcUFD261fFA/nU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
dyvaUtYZ9xilQ/zyjGw7jv/udjaIjl41Kz3OxJOVoVlSEnWNI/m9jMn9f4aHC/GbxsI2xkNakKFQ
EGOxvB0qNsnGrES16l4WuuaWrtg360YLYOHvWQRh/iauBb5c/JAN1fb0TQyX+7f/z0CPAg+5L3h/
ubYn0iWaxt8JG+6Y4I8ADgM8N6CzGq/8lJw4/3f6SxioSiORIzpzSiEdLNUAHWBLaigVvMK3vkhH
RoB0pQzlaI5PDkpi7SlefyeEcA9L37TBBo4O34g8jrraNDwjdJt3rXgOtZKAYLZoxx4L2OMqQf91
kxAEfmTV81CWBR7YiAWk+slie1cpyqBSlBiEGg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30848)
`protect data_block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`protect end_protected
| apache-2.0 | 68e4d544f3e32fa715f6a51e70dc8cf0 | 0.948594 | 1.829372 | false | false | false | false |
BBN-Q/APS2-Comms | src/tcp_axi_dma.vhd | 1 | 11,632 | -- Bridge from TCP receive and send streams to an AXI memory map
-- Also can route packets to/from CPLD interface
--
-- Original author: Colm Ryan
-- Copyright 2015, Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tcp_bridge_pkg.all;
entity TCP_AXI_DMA is
port (
clk : in std_logic;
rst : in std_logic;
---TCP receive
rx_tdata : in std_logic_vector(31 downto 0);
rx_tvalid : in std_logic;
rx_tready : out std_logic;
rx_tlast : in std_logic;
--TCP send channels
tx_write_resp_tdata : out std_logic_vector(31 downto 0);
tx_write_resp_tvalid : out std_logic;
tx_write_resp_tlast : out std_logic;
tx_write_resp_tready : in std_logic;
tx_read_resp_tdata : out std_logic_vector(31 downto 0);
tx_read_resp_tvalid : out std_logic;
tx_read_resp_tlast : out std_logic;
tx_read_resp_tready : in std_logic;
--DataMover interfaces
MM2S_CMD_tdata : out std_logic_vector( 71 downto 0 );
MM2S_CMD_tready : in std_logic;
MM2S_CMD_tvalid : out std_logic;
MM2S_tdata : in std_logic_vector( 31 downto 0 );
MM2S_tkeep : in std_logic_vector( 3 downto 0 );
MM2S_tlast : in std_logic;
MM2S_tready : out std_logic;
MM2S_tvalid : in std_logic;
MM2S_STS_tdata : in std_logic_vector( 7 downto 0 );
MM2S_STS_tkeep : in std_logic_vector( 0 to 0 );
MM2S_STS_tlast : in std_logic;
MM2S_STS_tready : out std_logic;
MM2S_STS_tvalid : in std_logic;
S2MM_CMD_tdata : out std_logic_vector( 71 downto 0 );
S2MM_CMD_tready : in std_logic;
S2MM_CMD_tvalid : out std_logic;
S2MM_tdata : out std_logic_vector( 31 downto 0 );
S2MM_tkeep : out std_logic_vector( 3 downto 0 );
S2MM_tlast : out std_logic;
S2MM_tready : in std_logic;
S2MM_tvalid : out std_logic;
S2MM_STS_tdata : in std_logic_vector( 7 downto 0 );
S2MM_STS_tkeep : in std_logic_vector( 0 to 0 );
S2MM_STS_tlast : in std_logic;
S2MM_STS_tready : out std_logic;
S2MM_STS_tvalid : in std_logic
);
end entity;
architecture arch of TCP_AXI_DMA is
--Annoying internal signals for Vivado's crummy VHDL support
signal rx_tready_int : std_logic;
signal tx_write_resp_tvalid_int : std_logic;
signal tx_read_resp_tvalid_int : std_logic;
type DataMoverCmd_t is record
rsvd : std_logic_vector(3 downto 0) ;
tag : std_logic_vector(3 downto 0) ;
addr : std_logic_vector(31 downto 0) ;
drr : std_logic;
eof : std_logic;
dsa : std_logic_vector(5 downto 0) ;
axiType : std_logic;
btt : std_logic_vector(22 downto 0) ;
end record;
signal mover_cmd : DataMoverCmd_t := (rsvd => (others => '0'), tag => (others => '0'), addr => (others => '0'), drr => '0', eof => '1', dsa => (others => '0'), axiType => '1', btt => (others => '0'));
function movercmd2slv(cmd : DataMoverCmd_t) return std_logic_vector is
variable slvOut : std_logic_vector(71 downto 0) ;
begin
slvOut := cmd.rsvd & cmd.tag & cmd.addr & cmd.drr & cmd.eof & cmd.dsa & cmd.axiType & cmd.btt;
return slvOut;
end movercmd2slv;
type main_state_t is (IDLE, LATCH_CONTROL, LATCH_ADDR, ISSUE_DMA_READ_CMD, ISSUE_DMA_WRITE_CMD, WAIT_FOR_LAST);
signal main_state : main_state_t;
type mm2s_data_state_t is (IDLE, WRITE_CMD, WRITE_ADDR, WAIT_FOR_LAST);
signal mm2s_data_state : mm2s_data_state_t;
type s2mm_status_state_t is (IDLE, CHECK_ACK_NEEDED, WRITE_CMD, WRITE_ADDR, DRIVE_READY);
signal s2mm_status_state : s2mm_status_state_t;
signal write_cmd_in_tdata : std_logic_vector(63 downto 0) := (others => '0');
signal write_cmd_in_tvalid, write_cmd_in_tready, write_cmd_in_tlast : std_logic := '0';
signal write_cmd_out_tdata : std_logic_vector(63 downto 0) := (others => '0');
signal write_cmd_out_tvalid, write_cmd_out_tready, write_cmd_out_tlast : std_logic := '0';
signal write_cmd_fifo_count : std_logic_vector(4 downto 0);
signal read_cmd_in_tdata : std_logic_vector(63 downto 0) := (others => '0');
signal read_cmd_in_tvalid, read_cmd_in_tready, read_cmd_in_tlast : std_logic := '0';
signal read_cmd_out_tdata : std_logic_vector(63 downto 0) := (others => '0');
signal read_cmd_out_tvalid, read_cmd_out_tready, read_cmd_out_tlast : std_logic := '0';
signal read_cmd_fifo_count : std_logic_vector(4 downto 0);
begin
--Irritatingly Vivado simulator doesn't support VHDL-2008 so need extra signal to read out port
rx_tready <= rx_tready_int;
tx_write_resp_tvalid <= tx_write_resp_tvalid_int;
tx_read_resp_tvalid <= tx_read_resp_tvalid_int;
main : process(clk)
variable cmd : std_logic_vector(31 downto 0);
variable addr : std_logic_vector(31 downto 0);
alias cmd_rw : std_logic is cmd(28);
variable accepted_tcp_data : boolean;
begin
if rising_edge(clk) then
if rst = '1' then
main_state <= IDLE;
else
accepted_tcp_data := rx_tvalid = '1' and rx_tready_int = '1';
write_cmd_in_tdata <= cmd & addr;
write_cmd_in_tvalid <= '0';
write_cmd_in_tlast <= '0';
read_cmd_in_tdata <= cmd & addr;
read_cmd_in_tvalid <= '0';
read_cmd_in_tlast <= '0';
case( main_state ) is
when IDLE =>
--Use tx_valid to indicate start of packet
if rx_tvalid = '1' then
main_state <= LATCH_CONTROL;
end if;
when LATCH_CONTROL =>
cmd := rx_tdata;
mover_cmd.btt <= b"00000" & cmd(15 downto 0) & b"00";
mover_cmd.tag <= cmd(27 downto 24);
if accepted_tcp_data then
main_state <= LATCH_ADDR;
end if;
when LATCH_ADDR =>
addr := rx_tdata;
mover_cmd.addr <= addr;
if accepted_tcp_data then
if cmd_rw = '1' then
main_state <= ISSUE_DMA_READ_CMD;
else
main_state <= ISSUE_DMA_WRITE_CMD;
end if;
end if;
when ISSUE_DMA_WRITE_CMD =>
if S2MM_tready = '1' then
--Should probably also check cmd FIFO isn't full
write_cmd_in_tvalid <= '1';
write_cmd_in_tlast <= '1';
main_state <= WAIT_FOR_LAST;
end if;
when ISSUE_DMA_READ_CMD =>
if MM2S_CMD_tready = '1' then
--Should probably also check cmd FIFO isn't full
read_cmd_in_tvalid <= '1';
read_cmd_in_tlast <= '1';
main_state <= IDLE;
end if;
when WAIT_FOR_LAST =>
if accepted_tcp_data and rx_tlast = '1' then
main_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
--Combinational signals
S2MM_tkeep <= "1111"; --Assume 4 byte boundaries for now
S2MM_tdata <= rx_tdata;
S2MM_tvalid <= rx_tvalid when (main_state = WAIT_FOR_LAST) else '0';
S2MM_tlast <= rx_tlast;
--hold ready high for latching control and address otherwise let stream handle
with main_state select rx_tready_int <=
S2MM_tready when WAIT_FOR_LAST,
'1' when LATCH_CONTROL | LATCH_ADDR,
'0' when others;
--We only have one source of mover commands so wire both S2MM and MM2S to the same command
S2MM_CMD_tdata <= movercmd2slv(mover_cmd);
MM2S_CMD_tdata <= movercmd2slv(mover_cmd);
--just use the valid to choose between S2MM and MM2S
S2MM_CMD_tvalid <= '1' when (main_state = ISSUE_DMA_WRITE_CMD) else '0';
MM2S_CMD_tvalid <= '1' when (main_state = ISSUE_DMA_READ_CMD) else '0';
-- FIFOs to store read/write commands waiting for status or read responses
write_cmd_fifo: axis_srl_fifo
generic map (
DATA_WIDTH => 64,
DEPTH => 16
)
port map (
clk => clk,
rst => rst,
input_axis_tdata => write_cmd_in_tdata,
input_axis_tvalid => write_cmd_in_tvalid,
input_axis_tready => write_cmd_in_tready,
input_axis_tlast => write_cmd_in_tlast,
input_axis_tuser => '0',
output_axis_tdata => write_cmd_out_tdata,
output_axis_tvalid => write_cmd_out_tvalid,
output_axis_tready => write_cmd_out_tready,
output_axis_tlast => write_cmd_out_tlast,
output_axis_tuser => open,
count => write_cmd_fifo_count
);
read_cmd_fifo: axis_srl_fifo
generic map (
DATA_WIDTH => 64,
DEPTH => 16
)
port map (
clk => clk,
rst => rst,
input_axis_tdata => read_cmd_in_tdata,
input_axis_tvalid => read_cmd_in_tvalid,
input_axis_tready => read_cmd_in_tready,
input_axis_tlast => read_cmd_in_tlast,
input_axis_tuser => '0',
output_axis_tdata => read_cmd_out_tdata,
output_axis_tvalid => read_cmd_out_tvalid,
output_axis_tready => read_cmd_out_tready,
output_axis_tlast => read_cmd_out_tlast,
output_axis_tuser => open,
count => read_cmd_fifo_count
);
--read data receiver
mm2s_data_receiver : process(clk)
begin
if rising_edge(clk) then
if rst = '1' then
mm2s_data_state <= IDLE;
read_cmd_out_tready <= '0';
else
read_cmd_out_tready <= '0';
case( mm2s_data_state ) is
when IDLE =>
--wait for cmd fifo valid to signal command has been issued
if read_cmd_out_tvalid = '1' then
mm2s_data_state <= WRITE_CMD;
end if;
when WRITE_CMD =>
if tx_read_resp_tready = '1' then
mm2s_data_state <= WRITE_ADDR;
end if;
when WRITE_ADDR =>
if tx_read_resp_tready = '1' then
mm2s_data_state <= WAIT_FOR_LAST;
read_cmd_out_tready <= '1';
end if;
when WAIT_FOR_LAST =>
--wait for tlast from MM2S
--TODO also check status is not error and if error zero pad response and then send error packet
if MM2S_tlast = '1' and tx_read_resp_tready = '1' then
mm2s_data_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
--combinationally mux in MM2S stream
MM2S_tready <= tx_read_resp_tready when mm2s_data_state = WAIT_FOR_LAST else '0';
with mm2s_data_state select tx_read_resp_tdata <=
read_cmd_out_tdata(63 downto 32) when WRITE_CMD,
read_cmd_out_tdata(31 downto 0) when WRITE_ADDR,
MM2S_tdata when others;
with mm2s_data_state select tx_read_resp_tvalid_int <=
MM2S_tvalid when WAIT_FOR_LAST,
'1' when WRITE_CMD | WRITE_ADDR,
'0' when others;
with mm2s_data_state select tx_read_resp_tlast <=
MM2S_tlast when WAIT_FOR_LAST,
'0' when others;
--TODO: read in process and send error codes
MM2S_STS_tready <= '1';
s2mm_status_receiver : process(clk)
variable status : std_logic_vector(7 downto 0);
alias status_ok : std_logic is status(7);
variable cmd : std_logic_vector(31 downto 0);
alias ack_req : std_logic is cmd(31);
begin
if rising_edge(clk) then
if rst = '1' then
s2mm_status_state <= IDLE;
else
cmd := write_cmd_out_tdata(63 downto 32);
case( s2mm_status_state ) is
when IDLE =>
status := S2MM_STS_tdata;
if S2MM_STS_tvalid = '1' and write_cmd_out_tvalid = '1' then
s2mm_status_state <= CHECK_ACK_NEEDED;
end if;
when CHECK_ACK_NEEDED =>
--check whether there was an error or if we want an acknowledge
if status_ok = '0' or ack_req = '1' then
s2mm_status_state <= WRITE_CMD;
else
s2mm_status_state <= DRIVE_READY;
end if;
when WRITE_CMD =>
if tx_write_resp_tready = '1' then
s2mm_status_state <= WRITE_ADDR;
end if;
when WRITE_ADDR =>
if tx_write_resp_tready = '1' then
s2mm_status_state <= DRIVE_READY;
end if;
when DRIVE_READY =>
s2mm_status_state <= IDLE;
end case;
end if;
end if;
end process;
--combinational AXIS signals
with s2mm_status_state select tx_write_resp_tvalid_int <=
'1' when WRITE_CMD | WRITE_ADDR,
'0' when others;
tx_write_resp_tlast <= '1' when s2mm_status_state = WRITE_ADDR else '0';
--content of an ACK:
-- (ACK SEQ SEL R/W CMD<3:0>) (DATAMOVER STATUS<7:0>) CNT<15:0>
-- ADDRESS<31:0>
with s2mm_status_state select tx_write_resp_tdata <=
write_cmd_out_tdata(63 downto 56) & S2MM_STS_tdata & write_cmd_out_tdata(47 downto 32) when WRITE_CMD,
write_cmd_out_tdata(31 downto 0) when WRITE_ADDR,
(others => '0') when others;
S2MM_STS_tready <= '1' when s2mm_status_state = DRIVE_READY else '0';
write_cmd_out_tready <= '1' when s2mm_status_state = DRIVE_READY else '0';
end architecture;
| mpl-2.0 | a07b5d9005adb721fac370b0d071d178 | 0.65913 | 2.748582 | false | false | false | false |
rcls/sdr | vhdl/quadfir.vhd | 1 | 4,231 | -- Configurable FIR operating on 4 round robin multiplexed channels.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.defs.all;
entity quadfir is
generic(acc_width : integer;
out_width : integer;
differentiate : boolean;
index_sample_strobe : integer;
index_out_strobe : integer;
index_pc_reset : integer;
index_read_reset : integer;
index_mac_accum : integer;
program_size : integer;
program : program_t);
port(d : in signed18;
d_last : in std_logic;
q : out signed(out_width - 1 downto 0);
q_strobe : out std_logic; -- Asserted on the first cycle with new data.
q_last : out std_logic; -- Asserted when output is last channel (3).
clk : in std_logic);
end quadfir;
architecture behavioural of quadfir is
-- Layout of the command word:
-- 18 bits multiplier.
-- 1 bit in sample strobe.
-- 1 bit out strobe.
-- 1 bit pc reset.
-- 1 bit read reset.
-- 1 bit mac accumulate/reset control.
subtype program_counter_t is integer range 0 to program_size - 1;
signal command : command_t := (others => '0');
--type buff_t is array(0 to 1023) of signed18;
type buff_t is array(0 to 2047) of signed18;
signal buff : buff_t := (others => "00" & x"0000");
signal pc : program_counter_t;
constant pointer_size : integer := 11;
subtype pointer_t is unsigned(pointer_size-1 downto 0);
signal write_pointer : pointer_t := (others => '0');
signal read_pointer : pointer_t := (others=> '0');
-- Unpacked command.
signal coef_1 : signed18;
signal sample_strobe : std_logic;
signal out_strobe : std_logic;
signal pc_reset : std_logic := '0';
signal read_reset : std_logic;
signal mac_accum : std_logic;
signal mac_accum_1 : std_logic;
signal mac_accum_2 : std_logic;
signal accumulator : signed(acc_width-1 downto 0);
signal data_1 : signed18;
signal data_2 : signed18;
signal data_3 : signed18;
signal coef_2 : signed18;
signal diff : signed18;
signal product : signed36;
begin
process
variable acc_addend : signed(acc_width - 1 downto 0);
variable rp_addend : pointer_t;
variable rp_increment : integer;
variable write_pointer_corrected : pointer_t;
variable diff_out : signed18;
begin
wait until rising_edge(clk);
command <= program(pc);
if pc_reset = '1' then
pc <= 0;
else
pc <= pc + 1;
end if;
-- Unpack the command.
coef_1 <= signed(command(17 downto 0));
sample_strobe <= command(index_sample_strobe);
out_strobe <= command(index_out_strobe);
pc_reset <= command(index_pc_reset);
read_reset <= command(index_read_reset);
mac_accum <= command(index_mac_accum);
-- Input processing...
if sample_strobe = '1' then
buff(to_integer(write_pointer)) <= d;
write_pointer_corrected := write_pointer;
if d_last = '1' then
write_pointer_corrected(1 downto 0) := "11";
end if;
write_pointer <= write_pointer_corrected + 1;
end if;
-- DSP input buffering.
data_1 <= buff(to_integer(read_pointer));
data_2 <= data_1;
data_3 <= data_2;
coef_2 <= coef_1;
mac_accum_1 <= mac_accum;
if differentiate then
diff <= data_2 - data_3;
diff_out := diff;
else
diff_out := data_2;
end if;
-- dsp
product <= diff_out * coef_2;
if mac_accum_1 = '0' then
acc_addend := (others => '0');
else
acc_addend := accumulator;
end if;
accumulator <= acc_addend + product;
if out_strobe = '1' then
q <= accumulator(acc_width - 1 downto acc_width - out_width);
-- Channel will have already advanced on output.
q_last <= b2s(read_pointer(1 downto 0) = "00");
end if;
q_strobe <= out_strobe;
-- buff pointer update.
if read_reset = '1' then
rp_addend := write_pointer(pointer_size-1 downto 2) &
(read_pointer(1 downto 0) + 1);
rp_increment := 64;
else
rp_addend := read_pointer;
rp_increment := 4;
end if;
read_pointer <= rp_addend + rp_increment;
end process;
end behavioural;
| gpl-3.0 | 16501bdd5d0fb9155491aaadf337039d | 0.61073 | 3.517041 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_wr_demux.vhd | 1 | 32,014 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_wr_demux.vhd
--
-- Description:
-- This file implements the AXI Master Burst Write Strobe De-Multiplexer.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_wr_demux.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $1/19/2011$
--
-- History:
-- DET 1/19/2011 Initial
-- ~~~~~~
-- - Adapted from AXi DataMover v2_00_a axi_datamover_wr_demux.vhd
-- ^^^^^^
--
-- DET 2/15/2011 Initial for EDk 13.2
-- ~~~~~~
-- -- Per CR593812
-- - Modifications to remove unused features to improve Code coverage.
-- Used "-- coverage off" and "-- coverage on" strings.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_wr_demux is
generic (
C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5;
C_MMAP_DWIDTH : Integer range 32 to 256 := 32;
C_STREAM_DWIDTH : Integer range 8 to 256 := 32
);
port (
-- AXI MMap Data Channel Input -------------------------------
wstrb_in : In std_logic_vector((C_STREAM_DWIDTH/8)-1 downto 0);
-- data input
-- AXI Master Stream -----------------------------------
demux_wstrb_out : Out std_logic_vector((C_MMAP_DWIDTH/8)-1 downto 0);
--De-Mux strb output
-- Command Calculator Interface --------------------------
debeat_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0)
-- The next command start address LSbs to use for the read data
-- mux (only used if Stream data width is less than the MMap Data
-- Width).
);
end entity axi_master_burst_wr_demux;
architecture implementation of axi_master_burst_wr_demux is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Function Decalarations -------------------------------------------------
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_mux_sel_width
--
-- Function Description:
-- Calculates the number of needed bits for the Mux Select control
-- based on the number of input channels to the mux.
--
-- Note that the number of input mux channels are always a
-- power of 2.
--
-------------------------------------------------------------------
function func_mux_sel_width (num_channels : integer) return integer is
Variable var_sel_width : integer := 0;
begin
case num_channels is
when 2 =>
var_sel_width := 1;
when 4 =>
var_sel_width := 2;
when 8 =>
var_sel_width := 3;
-- coverage off
when 16 =>
var_sel_width := 4;
when 32 =>
var_sel_width := 5;
-- coverage on
when others =>
var_sel_width := 0;
end case;
Return (var_sel_width);
end function func_mux_sel_width;
-------------------------------------------------------------------
-- Function
--
-- Function Name: func_sel_ls_index
--
-- Function Description:
-- Calculates the LS index of the select field to rip from the
-- input select bus.
--
-- Note that the number of input mux channels are always a
-- power of 2.
--
-------------------------------------------------------------------
function func_sel_ls_index (stream_width : integer) return integer is
Variable var_sel_ls_index : integer := 0;
begin
case stream_width is
-- coverage off
when 16 =>
var_sel_ls_index := 1;
-- coverage on
when 32 =>
var_sel_ls_index := 2;
when 64 =>
var_sel_ls_index := 3;
when 128 =>
var_sel_ls_index := 4;
-- coverage off
when others =>
var_sel_ls_index := 0;
-- coverage on
end case;
Return (var_sel_ls_index);
end function func_sel_ls_index;
-- Constant Decalarations -------------------------------------------------
Constant STREAM_WSTB_WIDTH : integer := C_STREAM_DWIDTH/8;
Constant MMAP_WSTB_WIDTH : integer := C_MMAP_DWIDTH/8;
Constant NUM_MUX_CHANNELS : integer := MMAP_WSTB_WIDTH/STREAM_WSTB_WIDTH;
Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS);
Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(C_STREAM_DWIDTH);
-- Signal Declarations --------------------------------------------
signal sig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin --(architecture implementation)
-- Assign the Output data port
demux_wstrb_out <= sig_demux_wstrb_out;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_STRM_EQ_MMAP
--
-- If Generate Description:
-- This IfGen implements the case where the Stream Data Width is
-- the same as the Memeory Map read Data width.
--
--
------------------------------------------------------------
GEN_STRM_EQ_MMAP : if (C_MMAP_DWIDTH = C_STREAM_DWIDTH) generate
begin
sig_demux_wstrb_out <= wstrb_in;
end generate GEN_STRM_EQ_MMAP;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_2XN
--
-- If Generate Description:
-- 2 channel demux case
--
--
------------------------------------------------------------
GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate
-- local signals
signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_int : integer range 0 to 31 := 0;
signal lsig_demux_sel_int_local : integer range 0 to 31 := 0;
signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin
-- Rip the Mux Select bits needed for the Mux case from the input select bus
sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX);
sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned
sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue
-- with locally static subtype error in each of the
-- Mux IfGens
lsig_demux_sel_int_local <= sig_demux_sel_int;
sig_demux_wstrb_out <= lsig_demux_wstrb_out;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_2XN_DEMUX
--
-- Process Description:
-- Implement the 2XN DeMux
--
-------------------------------------------------------------
DO_2XN_DEMUX : process (lsig_demux_sel_int_local,
wstrb_in)
begin
-- Set default value
lsig_demux_wstrb_out <= (others => '0');
case lsig_demux_sel_int_local is
when 1 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in;
when others =>
lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in;
end case;
end process DO_2XN_DEMUX;
end generate GEN_2XN;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_4XN
--
-- If Generate Description:
-- 4 channel demux case
--
--
------------------------------------------------------------
GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate
-- local signals
signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_int : integer range 0 to 31 := 0;
signal lsig_demux_sel_int_local : integer range 0 to 31 := 0;
signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin
-- Rip the Mux Select bits needed for the Mux case from the input select bus
sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX);
sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned
sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue
-- with locally static subtype error in each of the
-- Mux IfGens
lsig_demux_sel_int_local <= sig_demux_sel_int;
sig_demux_wstrb_out <= lsig_demux_wstrb_out;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_4XN_DEMUX
--
-- Process Description:
-- Implement the 4XN DeMux
--
-------------------------------------------------------------
DO_4XN_DEMUX : process (lsig_demux_sel_int_local,
wstrb_in)
begin
-- Set default value
lsig_demux_wstrb_out <= (others => '0');
case lsig_demux_sel_int_local is
when 1 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in;
when 2 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in;
when 3 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in;
when others =>
lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in;
end case;
end process DO_4XN_DEMUX;
end generate GEN_4XN;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_8XN
--
-- If Generate Description:
-- 8 channel demux case
--
--
------------------------------------------------------------
GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate
-- local signals
signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_int : integer range 0 to 31 := 0;
signal lsig_demux_sel_int_local : integer range 0 to 31 := 0;
signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin
-- Rip the Mux Select bits needed for the Mux case from the input select bus
sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX);
sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned
sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue
-- with locally static subtype error in each of the
-- Mux IfGens
lsig_demux_sel_int_local <= sig_demux_sel_int;
sig_demux_wstrb_out <= lsig_demux_wstrb_out;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_8XN_DEMUX
--
-- Process Description:
-- Implement the 8XN DeMux
--
-------------------------------------------------------------
DO_8XN_DEMUX : process (lsig_demux_sel_int_local,
wstrb_in)
begin
-- Set default value
lsig_demux_wstrb_out <= (others => '0');
case lsig_demux_sel_int_local is
when 1 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in;
when 2 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in;
when 3 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in;
when 4 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in;
when 5 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in;
when 6 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in;
when 7 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in;
when others =>
lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in;
end case;
end process DO_8XN_DEMUX;
end generate GEN_8XN;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_16XN
--
-- If Generate Description:
-- 16 channel demux case
--
--
------------------------------------------------------------
GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate
-- local signals
signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_int : integer range 0 to 31 := 0;
signal lsig_demux_sel_int_local : integer range 0 to 31 := 0;
signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin
-- Rip the Mux Select bits needed for the Mux case from the input select bus
sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX);
sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned
sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue
-- with locally static subtype error in each of the
-- Mux IfGens
lsig_demux_sel_int_local <= sig_demux_sel_int;
sig_demux_wstrb_out <= lsig_demux_wstrb_out;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_16XN_DEMUX
--
-- Process Description:
-- Implement the 16XN DeMux
--
-------------------------------------------------------------
DO_16XN_DEMUX : process (lsig_demux_sel_int_local,
wstrb_in)
begin
-- Set default value
lsig_demux_wstrb_out <= (others => '0');
case lsig_demux_sel_int_local is
when 1 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in;
when 2 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in;
when 3 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in;
when 4 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in;
when 5 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in;
when 6 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in;
when 7 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in;
when 8 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in;
when 9 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in;
when 10 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in;
when 11 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in;
when 12 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in;
when 13 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in;
when 14 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in;
when 15 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in;
when others =>
lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in;
end case;
end process DO_16XN_DEMUX;
end generate GEN_16XN;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_32XN
--
-- If Generate Description:
-- 32 channel demux case
--
--
------------------------------------------------------------
GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate
-- local signals
signal sig_demux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0');
signal sig_demux_sel_int : integer range 0 to 31 := 0;
signal lsig_demux_sel_int_local : integer range 0 to 31 := 0;
signal lsig_demux_wstrb_out : std_logic_vector(MMAP_WSTB_WIDTH-1 downto 0) := (others => '0');
begin
-- Rip the Mux Select bits needed for the Mux case from the input select bus
sig_demux_sel_slice <= debeat_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX);
sig_demux_sel_unsgnd <= UNSIGNED(sig_demux_sel_slice); -- convert to unsigned
sig_demux_sel_int <= TO_INTEGER(sig_demux_sel_unsgnd); -- convert to integer for MTI compile issue
-- with locally static subtype error in each of the
-- Mux IfGens
lsig_demux_sel_int_local <= sig_demux_sel_int;
sig_demux_wstrb_out <= lsig_demux_wstrb_out;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_32XN_DEMUX
--
-- Process Description:
-- Implement the 32XN DeMux
--
-------------------------------------------------------------
DO_32XN_DEMUX : process (lsig_demux_sel_int_local,
wstrb_in)
begin
-- Set default value
lsig_demux_wstrb_out <= (others => '0');
case lsig_demux_sel_int_local is
when 1 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*2)-1 downto STREAM_WSTB_WIDTH*1) <= wstrb_in;
when 2 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*3)-1 downto STREAM_WSTB_WIDTH*2) <= wstrb_in;
when 3 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*4)-1 downto STREAM_WSTB_WIDTH*3) <= wstrb_in;
when 4 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*5)-1 downto STREAM_WSTB_WIDTH*4) <= wstrb_in;
when 5 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*6)-1 downto STREAM_WSTB_WIDTH*5) <= wstrb_in;
when 6 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*7)-1 downto STREAM_WSTB_WIDTH*6) <= wstrb_in;
when 7 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*8)-1 downto STREAM_WSTB_WIDTH*7) <= wstrb_in;
when 8 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*9)-1 downto STREAM_WSTB_WIDTH*8) <= wstrb_in;
when 9 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*10)-1 downto STREAM_WSTB_WIDTH*9) <= wstrb_in;
when 10 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*11)-1 downto STREAM_WSTB_WIDTH*10) <= wstrb_in;
when 11 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*12)-1 downto STREAM_WSTB_WIDTH*11) <= wstrb_in;
when 12 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*13)-1 downto STREAM_WSTB_WIDTH*12) <= wstrb_in;
when 13 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*14)-1 downto STREAM_WSTB_WIDTH*13) <= wstrb_in;
when 14 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*15)-1 downto STREAM_WSTB_WIDTH*14) <= wstrb_in;
when 15 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*16)-1 downto STREAM_WSTB_WIDTH*15) <= wstrb_in;
when 16 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*17)-1 downto STREAM_WSTB_WIDTH*16) <= wstrb_in;
when 17 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*18)-1 downto STREAM_WSTB_WIDTH*17) <= wstrb_in;
when 18 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*19)-1 downto STREAM_WSTB_WIDTH*18) <= wstrb_in;
when 19 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*20)-1 downto STREAM_WSTB_WIDTH*19) <= wstrb_in;
when 20 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*21)-1 downto STREAM_WSTB_WIDTH*20) <= wstrb_in;
when 21 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*22)-1 downto STREAM_WSTB_WIDTH*21) <= wstrb_in;
when 22 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*23)-1 downto STREAM_WSTB_WIDTH*22) <= wstrb_in;
when 23 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*24)-1 downto STREAM_WSTB_WIDTH*23) <= wstrb_in;
when 24 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*25)-1 downto STREAM_WSTB_WIDTH*24) <= wstrb_in;
when 25 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*26)-1 downto STREAM_WSTB_WIDTH*25) <= wstrb_in;
when 26 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*27)-1 downto STREAM_WSTB_WIDTH*26) <= wstrb_in;
when 27 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*28)-1 downto STREAM_WSTB_WIDTH*27) <= wstrb_in;
when 28 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*29)-1 downto STREAM_WSTB_WIDTH*28) <= wstrb_in;
when 29 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*30)-1 downto STREAM_WSTB_WIDTH*29) <= wstrb_in;
when 30 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*31)-1 downto STREAM_WSTB_WIDTH*30) <= wstrb_in;
when 31 =>
lsig_demux_wstrb_out((STREAM_WSTB_WIDTH*32)-1 downto STREAM_WSTB_WIDTH*31) <= wstrb_in;
when others =>
lsig_demux_wstrb_out(STREAM_WSTB_WIDTH-1 downto 0) <= wstrb_in;
end case;
end process DO_32XN_DEMUX;
end generate GEN_32XN;
end implementation;
| apache-2.0 | 754aac910778a4f9e3c9a3e0911f99f5 | 0.432654 | 4.873497 | false | false | false | false |
BBN-Q/APS2-Comms | test/tcp_demux_tb.vhd | 1 | 8,906 | -- Testbench for tcp_demux
--
-- * memory write/read
-- * to cpld with tready deasserting
--
-- Original author: Colm Ryan
-- Copyright 2015,2016 Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity tcp_demux_tb is
end;
architecture bench of tcp_demux_tb is
signal clk : std_logic := '0';
signal clk_tcp : std_logic := '0';
signal rst : std_logic := '0';
signal rst_tcp : std_logic := '0';
signal tcp_rx_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal tcp_rx_tvalid : std_logic := '0';
signal tcp_rx_tready : std_logic := '0';
signal memory_rx_tdata : std_logic_vector(31 downto 0) := (others => '0');
signal memory_rx_tvalid : std_logic := '0';
signal memory_rx_tready : std_logic := '1';
signal memory_rx_tlast : std_logic := '0';
signal cpld_rx_tdata : std_logic_vector(31 downto 0) := (others => '0');
signal cpld_rx_tvalid : std_logic := '0';
signal cpld_rx_tready : std_logic := '0';
signal cpld_rx_tlast : std_logic := '0';
constant clock_period : time := 10 ns;
constant clock_tcp_period : time := 8 ns;
signal stop_the_clocks : boolean;
type TestBenchState_t is (RESET, TO_MEMORY_WRITE, TO_MEMORY_READ, TO_CPLD_SHORT, TO_CPLD_LONG);
signal testBench_state : TestBenchState_t;
signal checking_finished : boolean := false;
begin
uut : entity work.tcp_demux
port map (
clk => clk,
rst => rst,
clk_tcp => clk_tcp,
rst_tcp => rst_tcp,
tcp_rx_tdata => tcp_rx_tdata,
tcp_rx_tvalid => tcp_rx_tvalid,
tcp_rx_tready => tcp_rx_tready,
memory_rx_tdata => memory_rx_tdata,
memory_rx_tvalid => memory_rx_tvalid,
memory_rx_tready => memory_rx_tready,
memory_rx_tlast => memory_rx_tlast,
cpld_rx_tdata => cpld_rx_tdata,
cpld_rx_tvalid => cpld_rx_tvalid,
cpld_rx_tready => cpld_rx_tready,
cpld_rx_tlast => cpld_rx_tlast
);
clk <= not clk after clock_period / 2 when not stop_the_clocks;
clk_tcp <= not clk_tcp after clock_tcp_period / 2 when not stop_the_clocks;
--CPLD goes into axis adapter so can take data only every 1/4 clock cycles
cpld_rx_tready_drive: process
begin
while true loop
cpld_rx_tready <= '1';
wait until rising_edge(clk) and cpld_rx_tvalid = '1';
cpld_rx_tready <= '0';
wait until rising_edge(clk);
wait until rising_edge(clk);
wait until rising_edge(clk);
end loop;
end process;
stimulus: process
type byte_array is array(natural range <>) of std_logic_vector(7 downto 0);
variable cmd : byte_array(0 to 3);
variable addr : byte_array(0 to 3);
variable cnt : natural;
begin
wait until rising_edge(clk_tcp);
testBench_state <= RESET;
rst <= '1';
wait for 100ns;
wait until rising_edge(clk_tcp);
rst_tcp <= '0';
wait until rising_edge(clk);
rst <= '0';
wait for 100ns;
wait until rising_edge(clk_tcp);
testBench_state <= TO_MEMORY_WRITE;
tcp_rx_tvalid <= '1';
--command word
cmd := (x"00", x"00", x"00", x"04");
for ct in 0 to 3 loop
tcp_rx_tdata <= cmd(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--address
addr := (x"c0", x"00", x"00", x"00");
for ct in 0 to 3 loop
tcp_rx_tdata <= addr(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--data payload
for ct in 1 to 16 loop
tcp_rx_tdata <= std_logic_vector(to_unsigned(ct, 8));
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
tcp_rx_tvalid <= '0';
wait until rising_edge(clk) and memory_rx_tlast = '1';
testBench_state <= TO_MEMORY_READ;
tcp_rx_tvalid <= '1';
--command word
cmd := (x"10", x"00", x"00", x"ff");
for ct in 0 to 3 loop
tcp_rx_tdata <= cmd(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--address
addr := (x"c0", x"00", x"00", x"00");
for ct in 0 to 3 loop
tcp_rx_tdata <= addr(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
tcp_rx_tvalid <= '0';
wait until rising_edge(clk) and memory_rx_tlast = '1';
testBench_state <= TO_CPLD_SHORT;
tcp_rx_tvalid <= '1';
--command word
cmd := (x"20", x"00", x"00", x"00");
for ct in 0 to 3 loop
tcp_rx_tdata <= cmd(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--address
addr := (x"ba", x"ad", x"a5", x"55");
for ct in 0 to 3 loop
tcp_rx_tdata <= addr(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
tcp_rx_tvalid <= '0';
wait until rising_edge(clk_tcp) and cpld_rx_tlast = '1';
testBench_state <= TO_CPLD_LONG;
tcp_rx_tvalid <= '1';
--command word
cmd := (x"25", x"00", x"01", x"00");
for ct in 0 to 3 loop
tcp_rx_tdata <= cmd(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--address
addr := (x"ba", x"ad", x"a5", x"55");
for ct in 0 to 3 loop
tcp_rx_tdata <= addr(ct);
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
end loop;
--data payload
cnt := 0;
while cnt < 1024 loop
tcp_rx_tdata <= std_logic_vector(to_unsigned(cnt, 8));
tcp_rx_tvalid <= '1';
wait until rising_edge(clk_tcp) and tcp_rx_tready = '1';
cnt := cnt + 1;
end loop;
tcp_rx_tvalid <= '0';
wait until rising_edge(clk) and cpld_rx_tlast = '1' for 3us;
wait for 100 ns;
assert checking_finished report "Checking process failed to finish";
stop_the_clocks <= true;
end process;
------------------------------------------------------------------------------------------------
checking : process
type array_slv32_t is array(natural range <>) of std_logic_vector(31 downto 0);
variable tmp : array_slv32_t(0 to 5);
variable tmp_slv32 : std_logic_vector(31 downto 0);
begin
--First thing is packet to memory
tmp(0 to 1) := (x"00000004", x"c0000000");
for ct in 0 to 3 loop
for ct2 in 0 to 3 loop
tmp(2+ct)(31 - 8*ct2 downto 24 - 8*ct2) := std_logic_vector(to_unsigned(ct*4+ct2+1, 8));
end loop;
end loop;
for ct in 0 to tmp'high loop
wait until rising_edge(clk) and memory_rx_tvalid = '1';
assert cpld_rx_tvalid = '0' report "cpld valid line asserted when it should not have";
assert memory_rx_tdata = tmp(ct) report "Packet to memory failed to arrive as expected.";
if ct = tmp'high then
assert memory_rx_tlast = '1' report "Packet to memory tlast failed to assert correctly";
else
assert memory_rx_tlast = '0' report "Packet to memory tlast failed to assert correctly";
end if;
end loop;
--Then read request to memory
tmp(0 to 1) := (x"100000ff", x"c0000000");
for ct in 0 to 1 loop
wait until rising_edge(clk) and memory_rx_tvalid = '1';
assert cpld_rx_tvalid = '0' report "cpld valid line asserted when it should not have";
assert memory_rx_tdata = tmp(ct) report "Packet to memory failed to arrive as expected.";
if ct = 1 then
assert memory_rx_tlast = '1' report "Packet to memory tlast failed to assert correctly";
else
assert memory_rx_tlast = '0' report "Packet to memory tlast failed to assert correctly";
end if;
end loop;
--Then short command only to CPLD
tmp(0 to 1) := (x"20000000", x"baada555");
for ct in 0 to 1 loop
wait until rising_edge(clk) and cpld_rx_tvalid = '1' and cpld_rx_tready = '1';
assert memory_rx_tvalid = '0' report "memory valid line asserted when it should not have";
assert cpld_rx_tdata = tmp(ct) report "Packet cmd and addr to cpld failed to arrive as expected.";
if ct = 1 then
assert cpld_rx_tlast = '1' report "Packet to cpld tlast failed to assert correctly";
else
assert cpld_rx_tlast = '0' report "Packet to cpld tlast failed to assert correctly";
end if;
end loop;
--Then longer packet to CPLD
tmp(0 to 1) := (x"25000100", x"baada555");
for ct in 0 to 1 loop
wait until rising_edge(clk) and cpld_rx_tvalid = '1' and cpld_rx_tready = '1';
assert memory_rx_tvalid = '0' report "memory valid line asserted when it should not have";
assert cpld_rx_tdata = tmp(ct) report "Packet cmd and addr to cpld failed to arrive as expected.";
end loop;
for ct in 0 to 255 loop
wait until rising_edge(clk) and cpld_rx_tvalid = '1' and cpld_rx_tready = '1';
for ct2 in 0 to 3 loop
tmp_slv32(31 - 8*ct2 downto 24 - 8*ct2) := std_logic_vector(to_unsigned(ct*4+ct2, 8));
end loop;
assert cpld_rx_tdata = tmp_slv32 report "Packet to cpld failed to arrive as expected: " & integer'image(to_integer(unsigned(tmp_slv32)));
if ct = 255 then
assert cpld_rx_tlast = '1' report "Packet to cpld tlast failed to assert correctly";
else
assert cpld_rx_tlast = '0' report "Packet to cpld tlast failed to assert correctly";
end if;
end loop;
checking_finished <= true;
wait;
end process;
end;
| mpl-2.0 | ea6f1e098a6980c5c92e444dc1763d06 | 0.625196 | 3.045828 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_vk_testbench_256bits.vhd | 1 | 10,614 | -- Twofish_ecb_vk_testbench_256bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the VARIABLE KEY KAT of the twofish cipher with 256 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity vk_testbench256 is
end vk_testbench256;
architecture vk_encryption256_testbench_arch of vk_testbench256 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched256
port (
odd_in_tk256,
even_in_tk256 : in std_logic_vector(7 downto 0);
in_key_tk256 : in std_logic_vector(255 downto 0);
out_key_up_tk256,
out_key_down_tk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched256
port (
in_key_twk256 : in std_logic_vector(255 downto 0);
out_K0_twk256,
out_K1_twk256,
out_K2_twk256,
out_K3_twk256,
out_K4_twk256,
out_K5_twk256,
out_K6_twk256,
out_K7_twk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_encryption_round256
port (
in1_ter256,
in2_ter256,
in3_ter256,
in4_ter256,
in_Sfirst_ter256,
in_Ssecond_ter256,
in_Sthird_ter256,
in_Sfourth_ter256,
in_key_up_ter256,
in_key_down_ter256 : in std_logic_vector(31 downto 0);
out1_ter256,
out2_ter256,
out3_ter256,
out4_ter256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S256
port (
in_key_ts256 : in std_logic_vector(255 downto 0);
out_Sfirst_ts256,
out_Ssecond_ts256,
out_Sthird_ts256,
out_Sfourth_ts256 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_vk_testvalues_256bits.txt";
FILE output_file : text is out "twofish_ecb_vk_256bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 1 to 256) return string is
variable our_text : string (1 to 3) := (others => ' ');
variable hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := (int_number - (int_number mod 100)) / 100;
our_text(1) := digit_to_char(hundreds);
our_text(2) := digit_to_char(tens);
our_text(3) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(255 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
Sfourth,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched256
port map (
in_key_twk256 => twofish_key,
out_K0_twk256 => K0,
out_K1_twk256 => K1,
out_K2_twk256 => K2,
out_K3_twk256 => K3,
out_K4_twk256 => K4,
out_K5_twk256 => K5,
out_K6_twk256 => K6,
out_K7_twk256 => K7
);
-- performing the input whitening XORs
from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched256
port map (
odd_in_tk256 => odd_number,
even_in_tk256 => even_number,
in_key_tk256 => twofish_key,
out_key_up_tk256 => key_up,
out_key_down_tk256 => key_down
);
producing_the_Skeys: twofish_S256
port map (
in_key_ts256 => twofish_key,
out_Sfirst_ts256 => Sfirst,
out_Ssecond_ts256 => Ssecond,
out_Sthird_ts256 => Sthird,
out_Sfourth_ts256 => Sfourth
);
the_encryption_circuit: twofish_encryption_round256
port map (
in1_ter256 => to_round(127 downto 96),
in2_ter256 => to_round(95 downto 64),
in3_ter256 => to_round(63 downto 32),
in4_ter256 => to_round(31 downto 0),
in_Sfirst_ter256 => Sfirst,
in_Ssecond_ter256 => Ssecond,
in_Sthird_ter256 => Sthird,
in_Sfourth_ter256 => Sfourth,
in_key_up_ter256 => key_up,
in_key_down_ter256 => key_down,
out1_ter256 => to_encr_reg128(127 downto 96),
out2_ter256 => to_encr_reg128(95 downto 64),
out3_ter256 => to_encr_reg128(63 downto 32),
out4_ter256 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
vk_proc: process
variable key_f, -- key input from file
ct_f : line; -- ciphertext from file
variable key_v : std_logic_vector(255 downto 0); -- key vector input
variable ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter : integer range 1 to 257 := 1; -- counts the encryptions
variable round : integer range 1 to 16 := 1; -- holds the rounds of encryption
begin
-- plaintext stays fixed to zero
input_data <= (others => '0');
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00001000"; -- 8
odd_number <= "00001001"; -- 9
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector(((round*2)+8), 8);
odd_number <= conv_std_logic_vector(((round*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note;
counter := counter+1;
hwrite(ct_f,output_data);
hwrite(key_f,key_v);
writeline(output_file,key_f);
writeline(output_file,ct_f);
end loop;
assert false report "***** Variable Key Known Answer Test with 256 bits key size ended succesfully! :) *****" severity failure;
end process vk_proc;
end vk_encryption256_testbench_arch;
| gpl-2.0 | f15bcf955d6a1abdfc2004cf4555109d | 0.650085 | 2.687769 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_and.vhd | 1 | 9,849 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
B4F38xvg9o6MfvtcNoRvP8x8cSXEp8i1ELCfm1Q9WTMDHr3da+0D2qdz0TusQWqYQIp81Gh6lJcQ
lvHP7a5WXg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Y2p8uUgm+zh6L3BAgWFeiVoAmLERMKWWv/TXIFDNA0pUOVoN4Pd2JlxiMJJ1Ah7Ts0HlruRGNqZT
ikA7tCxdHBqN6udkfcf2/Fno5XnmnEq3Qi5APasxXizwlcZJgCzBvjRvuWyODmxHCncnHACDofUW
zb9RzyF3k8iz3isKhFI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YKDX9mSdzIx1gI3u6QeG2Q/kWjg9Eln/B1yOoGESJfmn8o4m4rZ6PqCc9UZbiCo3r3APV6s1BuXz
Gam0oKMAzHdwwRUcu1xohagQ4wyBcURy85nNjI7F6FQxJqs+mmgjdcHF0t+fU7oRVYivLog8VnfR
bU10cfHIbFTpw5O9kwiU25Izg7c+1nMECfGp3Z4RuXdNE1BeZm+fxHDVb+5VT/ktUwO+QPirbPF4
goTEvcJT+Hlss6HMLfAPFLFU5mFD+CeKabusbOjAb0BODZXJUEwshzR/8xOgCifhUt8UrR5iMkUm
3hslv9uUukWpdjhUho2B3W5Oc/4IfVYDttx3XQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bxMxQWGxuKONgjcyL09El42cTTff1jrO65R3vZ9eWww6LeXFSeF3I5HTf6huDy5UVFRO/7q1ac79
Tj0kh+s4DYZd96EHI798OurAB96i+QaBwVkUP1BVIr8u04RZL+RcseAUa3iNKanfP8TpkTZrBr4P
AoNwVg06IosYNlKKxsU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HTiU3c1Y8ojeN+SN5rKWvtXpZdoES8T++pB7jgm/NxjvWfH8eTW9DP4AvTebgn5KOdzAyHFxOJ/3
dOVV3vtlsxbZi+I4h4fQzw5A2xQ8knoJFi35csWelK9wh5tZsNZSNMVGJkGmMDRYdoONEg7G1GOI
a4NApdXRls/aTzS2szJS5wlWEN3DXdceqAd7XqkjWh2+JrdzTwuWGkZ9NfUwXhLKGhrQtrHKYCa+
JU7YL601AKoosk272BywGit6hNI3ugoh29KiS25AYBYeyXtjBjfOZDsvsg6UHLIAwc3zMxGeatvo
dXTZWlV1q1MnnL4xfy8BqCJDrf8siMMdmKm5Sg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5552)
`protect data_block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`protect end_protected
| apache-2.0 | de65ca9fcf6d41839beefdbe5376c33f | 0.922429 | 1.881735 | false | false | false | false |
rhexsel/xinu-cMIPS | xinu/zSrc/tb_cMIPS.vhd | 1 | 39,547 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- testbench for classicalMIPS
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity tb_cMIPS is
end tb_cMIPS;
architecture TB of tb_cMIPS is
component FFD is
port(clk, rst, set, D : in std_logic; Q : out std_logic);
end component FFD;
component LCD_display is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic; -- 0=constrol, 1=data
data_inp : in std_logic_vector(31 downto 0);
data_out : out std_logic_vector(31 downto 0);
LCD_DATA : inout std_logic_vector(7 downto 0); -- bidirectional bus
LCD_RS : out std_logic; -- LCD register select 0=ctrl, 1=data
LCD_RW : out std_logic; -- LCD read=1, 0=write
LCD_EN : out std_logic; -- LCD enable=1
LCD_BLON : out std_logic);
end component LCD_display;
component to_7seg is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector;
display0 : out std_logic_vector;
display1 : out std_logic_vector);
end component to_7seg;
component read_keys is
generic (DEB_CYCLES : natural);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
data : out reg32;
kbd : in std_logic_vector (11 downto 0);
sw : in std_logic_vector (3 downto 0));
end component read_keys;
component to_stdout is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector);
end component to_stdout;
component from_stdin is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : out std_logic_vector);
end component from_stdin;
component print_data is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector);
end component print_data;
component write_data_file is
generic (OUTPUT_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : in std_logic_vector;
byte_sel : in std_logic_vector;
dump_ram : out std_logic);
end component write_data_file;
component read_data_file is
generic (INPUT_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector;
byte_sel: in std_logic_vector);
end component read_data_file;
component do_interrupt is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
irq : out std_logic);
end component do_interrupt;
component simple_uart is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
txdat : out std_logic;
rxdat : in std_logic;
rts : out std_logic;
cts : in std_logic;
irq : out std_logic;
bit_rt : out std_logic_vector);-- communication speed - TB only
end component simple_uart;
component FPU is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector);
end component FPU;
component fake_FPU is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector);
end component fake_FPU;
component remota is
generic(OUTPUT_FILE_NAME : string; INPUT_FILE_NAME : string);
port(rst, clk : in std_logic;
start : in std_logic;
inpDat : in std_logic; -- serial input
outDat : out std_logic; -- serial output
bit_rt : in std_logic_vector);
end component remota;
component sys_stats is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector;
cnt_dc_ref : in integer;
cnt_dc_rd_hit : in integer;
cnt_dc_wr_hit : in integer;
cnt_dc_flush : in integer;
cnt_ic_ref : in integer;
cnt_ic_hit : in integer);
end component sys_stats;
component ram_addr_decode is
port (rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in std_logic_vector;
aVal : out std_logic;
dev_select : out std_logic_vector);
end component ram_addr_decode;
component io_addr_decode is
port (clk : in std_logic;
rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in std_logic_vector;
dev_select : out std_logic_vector;
print_sel : out std_logic;
stdout_sel : out std_logic;
stdin_sel : out std_logic;
read_sel : out std_logic;
write_sel : out std_logic;
counter_sel : out std_logic;
FPU_sel : out std_logic;
uart_sel : out std_logic;
sstats_sel : out std_logic;
dsp7seg_sel : out std_logic;
keybd_sel : out std_logic;
lcd_sel : out std_logic;
not_waiting : in std_logic);
end component io_addr_decode;
component busError_addr_decode is
port (rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in reg32;
d_busError : out std_logic); -- decoded address not in range (act=0)
end component busError_addr_decode;
component inst_addr_decode is
port (rst : in std_logic;
cpu_i_aVal : in std_logic;
addr : in std_logic_vector;
aVal : out std_logic;
i_busError : out std_logic);
end component inst_addr_decode;
component simul_ROM is
generic (LOAD_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector);
end component simul_ROM;
component fpga_ROM is
generic (LOAD_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector);
end component fpga_ROM;
component simul_RAM is
generic (LOAD_FILE_NAME : string; DUMP_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
byte_sel : in std_logic_vector;
dump_ram : in std_logic);
end component simul_RAM;
component fpga_RAM is
generic (LOAD_FILE_NAME : string; DUMP_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
byte_sel : in std_logic_vector;
dump_ram : in std_logic);
end component fpga_RAM;
component fake_I_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_addr : in std_logic_vector;
cpu_data : out std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_addr : out std_logic_vector;
mem_data : in std_logic_vector;
ref_cnt : out integer;
hit_cnt : out integer);
end component fake_I_CACHE;
component I_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_addr : in std_logic_vector;
cpu_data : out std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_addr : out std_logic_vector;
mem_data : in std_logic_vector;
ref_cnt : out integer;
hit_cnt : out integer);
end component I_CACHE;
component I_CACHE_fpga is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_addr : in std_logic_vector;
cpu_data : out std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_addr : out std_logic_vector;
mem_data : in std_logic_vector;
ref_cnt : out integer;
hit_cnt : out integer);
end component I_CACHE_fpga;
component fake_D_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_wr : in std_logic;
cpu_addr : in std_logic_vector;
cpu_data_inp : in std_logic_vector;
cpu_data_out : out std_logic_vector;
cpu_xfer : in std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_wr : out std_logic;
mem_addr : out std_logic_vector;
mem_data_inp : in std_logic_vector;
mem_data_out : out std_logic_vector;
mem_xfer : out std_logic_vector;
ref_cnt : out integer;
rd_hit_cnt : out integer;
wr_hit_cnt : out integer;
flush_cnt : out integer);
end component fake_D_CACHE;
component D_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_wr : in std_logic;
cpu_addr : in std_logic_vector;
cpu_data_inp : in std_logic_vector;
cpu_data_out : out std_logic_vector;
cpu_xfer : in std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_wr : out std_logic;
mem_addr : out std_logic_vector;
mem_data_inp : in std_logic_vector;
mem_data_out : out std_logic_vector;
mem_xfer : out std_logic_vector;
ref_cnt : out integer;
rd_hit_cnt : out integer;
wr_hit_cnt : out integer;
flush_cnt : out integer);
end component D_CACHE;
component core is
port (rst : in std_logic;
clk : in std_logic;
phi1 : in std_logic;
phi2 : in std_logic;
phi3 : in std_logic;
i_aVal : out std_logic;
i_wait : in std_logic;
i_addr : out std_logic_vector;
instr : in std_logic_vector;
d_aVal : out std_logic;
d_wait : in std_logic;
d_addr : out std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
wr : out std_logic;
b_sel : out std_logic_vector;
nmi : in std_logic;
irq : in std_logic_vector;
i_busErr : in std_logic;
d_busErr : in std_logic);
end component core;
component mf_altpll port (
areset : IN STD_LOGIC;
inclk0 : IN STD_LOGIC;
c0 : OUT STD_LOGIC;
c1 : OUT STD_LOGIC;
c2 : OUT STD_LOGIC;
c3 : OUT STD_LOGIC;
c4 : OUT STD_LOGIC);
end component mf_altpll;
component mf_altpll_io port (
areset : IN STD_LOGIC;
inclk0 : IN STD_LOGIC;
c0 : OUT STD_LOGIC;
c1 : OUT STD_LOGIC;
c2 : OUT STD_LOGIC);
end component mf_altpll_io;
component mf_altclkctrl port (
inclk : IN STD_LOGIC;
outclk : OUT STD_LOGIC);
end component mf_altclkctrl;
signal clock_50mhz, clk,clkin : std_logic;
signal clk4x,clk4x0, clk4x180, clk2x : std_logic;
signal phi0,phi1,phi2,phi3,phi0in,phi1in,phi2in,phi3in, phi2_dlyd : std_logic;
signal rst,ic_reset,a_rst1,a_rst2,a_rst3, cpu_reset : std_logic;
signal a_reset, async_reset : std_logic;
signal cpu_i_aVal, cpu_i_wait, wr, cpu_d_aVal, cpu_d_wait : std_logic;
signal nmi, i_busError, d_busError : std_logic;
signal irq : reg6;
signal inst_aVal, inst_wait, rom_rdy : std_logic := '1';
signal data_aVal, data_wait, ram_rdy, mem_wr : std_logic;
signal cpu_xfer, mem_xfer, dev_select, dev_select_ram, dev_select_io : reg4;
signal io_print_sel : std_logic := '1';
signal io_stdout_sel : std_logic := '1';
signal io_stdin_sel : std_logic := '1';
signal io_write_sel : std_logic := '1';
signal io_read_sel : std_logic := '1';
signal io_counter_sel : std_logic := '1';
signal io_uart_sel : std_logic := '1';
signal io_sstats_sel : std_logic := '1';
signal io_7seg_sel : std_logic := '1';
signal io_keys_sel : std_logic := '1';
signal io_fpu_sel, io_fpu_wait : std_logic := '1';
signal io_lcd_sel, io_lcd_wait : std_logic := '1';
signal d_cache_d_out, stdin_d_out, read_d_out, counter_d_out : reg32;
signal fpu_d_out, uart_d_out, sstats_d_out, keybd_d_out : reg32;
signal lcd_d_out : reg32;
signal counter_irq : std_logic;
signal io_wait, not_waiting : std_logic;
signal i_addr,d_addr,p_addr : reg32;
signal datrom, datram_inp,datram_out, cpu_instr : reg32;
signal cpu_data_inp, cpu_data_out, cpu_data : reg32;
signal mem_i_sel, mem_d_sel: std_logic;
signal mem_i_addr, mem_addr, mem_d_addr: reg32;
signal cnt_i_ref,cnt_i_hit : integer;
signal cnt_d_ref,cnt_d_rd_hit,cnt_d_wr_hit,cnt_d_flush : integer;
signal dump_ram : std_logic;
signal start_remota : std_logic;
signal bit_rt : reg3;
-- Macnica development board's peripherals
signal disp0,disp1 : reg8; -- 7 segment displays
signal keys : reg12; -- 12key telephone keyboard
signal switches : reg4; -- 4 switches
signal led_r, led_g, led_b : std_logic; -- RGB leds
signal LCD_DATA : std_logic_vector(7 downto 0); -- LCD data bus
signal LCD_RS, LCD_RW, LCD_EN, LCD_BLON : std_logic; -- LCD control
signal uart_txd, uart_rxd, uart_rts, uart_cts, uart_irq : std_logic;
begin -- TB
pll : mf_altpll port map (areset => a_reset, inclk0 => clock_50mhz,
c0 => phi0in, c1 => phi1in, c2 => phi2in, c3 => phi3in, c4 => clkin);
-- pll_io : mf_altpll_io port map (areset => a_reset, inclk0 => clock_50mhz,
-- c0 => clk2x, c1 => clk4x0, c2 => clk4x180);
clk2x <= '0';
clk4x0 <= '0';
clk4x180 <= '0';
mf_altclkctrl_inst_clk : mf_altclkctrl port map (
inclk => clkin, outclk => clk);
mf_altclkctrl_inst_clk4x : mf_altclkctrl port map (
inclk => clk4x180, outclk => clk4x);
mf_altclkctrl_inst_phi0 : mf_altclkctrl port map (
inclk => phi0in, outclk => phi0);
mf_altclkctrl_inst_phi1 : mf_altclkctrl port map (
inclk => phi1in, outclk => phi1);
mf_altclkctrl_inst_phi2 : mf_altclkctrl port map (
inclk => phi2in, outclk => phi2);
mf_altclkctrl_inst_phi3 : mf_altclkctrl port map (
inclk => phi3in, outclk => phi3);
-- synchronize reset
a_rst1 <= a_reset or rst;
U_SYNC_RESET1: FFD port map (clk, a_rst2, '1', a_rst1, rst);
U_SYNC_RESET2: FFD port map (clk, a_reset, '1', '1', a_rst2);
async_reset <= rst and ic_reset;
U_SYNC_RESET3: FFD port map (clk, rst, '1', async_reset, a_rst3);
U_SYNC_RESET4: FFD port map (clk, rst, '1', a_rst3, cpu_reset);
cpu_i_wait <= inst_wait;
cpu_d_wait <= data_wait and io_wait;
io_wait <= '1'; -- io_lcd_wait and io_fpu_wait;
not_waiting <= (inst_wait and data_wait); -- and io_wait);
-- irq <= b"000000"; -- NO interrupt requests
irq <= uart_irq & counter_irq & b"0000"; -- uart+counter interrupts
-- irq <= counter_irq & b"00000"; -- counter interrupts
nmi <= '0'; -- input port to TB
U_CORE: core port map (cpu_reset, clk, phi1,phi2,phi3,
cpu_i_aVal, cpu_i_wait, i_addr, cpu_instr,
cpu_d_aVal, cpu_d_wait, d_addr, cpu_data_inp, cpu_data,
wr, cpu_xfer, nmi, irq, i_busError, d_busError);
U_INST_ADDR_DEC: inst_addr_decode
port map (rst, cpu_i_aVal, i_addr, inst_aVal, i_busError);
U_I_CACHE: fake_i_cache -- or i_cache
-- U_I_CACHE: i_cache -- or fake_i_cache
-- U_I_CACHE: i_cache_fpga -- or FPGA implementation
port map (rst, clk4x, ic_reset,
inst_aVal, inst_wait, i_addr, cpu_instr,
mem_i_sel, rom_rdy, mem_i_addr, datrom, cnt_i_ref,cnt_i_hit);
U_ROM: simul_ROM generic map ("prog.bin")
-- U_ROM: fpga_ROM generic map ("prog.bin")
port map (rst, clk, mem_i_sel,rom_rdy, phi3, mem_i_addr,datrom);
U_DATA_BUS_ERROR_DEC: busError_addr_decode
port map (rst, cpu_d_aVal, d_addr, d_busError);
U_IO_ADDR_DEC: io_addr_decode
port map (phi0, rst, cpu_d_aVal, d_addr, dev_select_io,
io_print_sel, io_stdout_sel, io_stdin_sel,io_read_sel,
io_write_sel, io_counter_sel, io_fpu_sel, io_uart_sel,
io_sstats_sel, io_7seg_sel, io_keys_sel, io_lcd_sel,
not_waiting);
U_DATA_ADDR_DEC: ram_addr_decode
port map (rst, cpu_d_aVal, d_addr,data_aVal, dev_select_ram);
dev_select <= dev_select_io or dev_select_ram;
with dev_select select
cpu_data_inp <= d_cache_d_out when b"0001",
stdin_d_out when b"0100",
read_d_out when b"0101",
counter_d_out when b"0111",
fpu_d_out when b"1000",
uart_d_out when b"1001",
sstats_d_out when b"1010",
keybd_d_out when b"1100",
lcd_d_out when b"1101",
(others => 'X') when others;
U_D_CACHE: fake_d_cache -- or d_cache
-- U_D_CACHE: d_cache -- or fake_d_cache
port map (rst, clk4x,
data_aVal, data_wait, wr,
d_addr, cpu_data, d_cache_d_out, cpu_xfer,
mem_d_sel, ram_rdy, mem_wr,
mem_addr, datram_inp, datram_out, mem_xfer,
cnt_d_ref, cnt_d_rd_hit, cnt_d_wr_hit, cnt_d_flush);
U_RAM: simul_RAM generic map ("data.bin", "dump.data")
-- U_RAM: fpga_RAM generic map ("data.bin", "dump.data")
port map (rst, clk, mem_d_sel, ram_rdy, mem_wr, phi2,
mem_addr, datram_out, datram_inp, mem_xfer, dump_ram);
U_to_stdout: to_stdout
port map (rst,clk, io_stdout_sel, wr, cpu_data);
U_from_stdin: from_stdin
port map (rst,clk, io_stdin_sel, wr, stdin_d_out);
U_read_inp: read_data_file generic map ("input.data")
port map (rst,clk, io_read_sel, wr, d_addr,read_d_out, cpu_xfer);
U_write_out: write_data_file generic map ("output.data")
port map (rst,clk, io_write_sel, wr, d_addr,cpu_data, cpu_xfer, dump_ram);
U_print_data: print_data
port map (rst,clk, io_print_sel, wr, cpu_data);
U_interrupt_counter: do_interrupt -- external counter+interrupt
port map (rst,clk, io_counter_sel, wr, cpu_data,
counter_d_out, counter_irq);
U_to_7seg: to_7seg
port map (rst,clk,io_7seg_sel, wr, cpu_data, disp0, disp1);
keys <= b"000000000000", b"000000000100" after 1 us, b"000000000000" after 2 us, b"001000000000" after 3 us, b"000000000000" after 4 us, b"000001000000" after 5 us, b"000000000000" after 6 us;
switches <= b"0000";
U_read_keys: read_keys
generic map (6) -- debouncing interval, in clock cycles
port map (rst,clk, io_keys_sel, keybd_d_out, keys, switches);
led_r <= keybd_d_out(0);
led_g <= keybd_d_out(1);
led_b <= keybd_d_out(2);
U_LCD_display: LCD_display
port map (rst, clk, io_lcd_sel, io_lcd_wait,
wr, d_addr(2), cpu_data, lcd_d_out,
lcd_data, lcd_rs, lcd_rw, lcd_en, lcd_blon);
U_simple_uart: simple_uart
port map (rst,clk, io_uart_sel, wr, d_addr(2), cpu_data, uart_d_out,
uart_txd, uart_rxd, uart_rts, uart_cts, uart_irq, bit_rt);
-- uncoment next line for loop back, comment out previous line
-- uart_txd, uart_txd, uart_rts, uart_cts, uart_irq, bit_rt);
uart_cts <= '1';
start_remota <= '0', '1' after 200*CLOCK_PER;
U_uart_remota: remota generic map ("serial.out","serial.inp")
port map (rst, clk, start_remota, uart_txd, uart_rxd, bit_rt);
-- U_FPU: fake_FPU
U_FPU: FPU
port map (rst,clk, io_FPU_sel,io_FPU_wait, wr, d_addr(5 downto 2),
cpu_data,fpu_d_out);
-- U_sys_stats: sys_stats -- CPU reads system counters
-- port map (cpu_reset,clk, io_sstats_sel, wr, d_addr, sstats_d_out,
-- cnt_d_ref,cnt_d_rd_hit,cnt_d_wr_hit,cnt_d_flush,
-- cnt_i_ref,cnt_i_hit);
U_clock: process -- simulate external clock
begin
clock_50mhz <= '1';
wait for CLOCK_PER / 2;
clock_50mhz <= '0';
wait for CLOCK_PER / 2;
end process; -- -------------------------------------------------------
-- simulate reset switch bounces
a_reset <= '1', '0' after 5 ns, '1' after 8 ns, '0' after 12 ns, '1' after 14 ns, '0' after 18 ns, '1' after 25 ns;
end architecture TB;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- instruction address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity inst_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_i_aVal : in std_logic; -- CPU instr addr valid (act=0)
addr : in reg32; -- CPU address
aVal : out std_logic; -- decoded address in range (act=0)
i_busError : out std_logic); -- decoded address not in range (act=0)
end entity inst_addr_decode;
architecture behavioral of inst_addr_decode is
constant HI_ADDR : integer := HI_SEL_BITS;
constant LO_ADDR : integer := log2_ceil(INST_BASE_ADDR + INST_MEM_SZ);
constant PREFIX : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'0');
signal in_range : boolean;
begin
in_range <= (addr(HI_ADDR downto LO_ADDR) = PREFIX);
aVal <= '0' when ( cpu_i_aVal = '0' and rst = '1' and in_range ) else
'1';
i_busError <= '0' when ( cpu_i_aVal = '0' and rst = '1'
and not(in_range) ) else
'1';
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- RAM address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity ram_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
aVal : out std_logic; -- data address (act=0)
dev_select : out reg4); -- select input to CPU
constant LO_ADDR : integer := log2_ceil(DATA_BASE_ADDR);
constant HI_ADDR : integer := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1');
constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0');
constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1');
constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0');
end entity ram_addr_decode;
architecture behavioral of ram_addr_decode is
-- constant LO_ADDR : natural := log2_ceil(DATA_BASE_ADDR);
-- constant HI_ADDR : natural := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
constant a_hi : std_logic_vector(31 downto HI_ADDR+1) := (others=>'0');
constant a_lo : std_logic_vector(LO_ADDR-1 downto 0) := (others=>'0');
constant a_bits : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'1');
constant a_mask : std_logic_vector := a_hi & a_bits & a_lo;
constant LO_RAM : natural := 0;
constant HI_RAM : natural := log2_ceil(DATA_MEM_SZ-1);
constant r_hi : std_logic_vector(31 downto HI_RAM+1) := (others=>'1');
constant r_lo : std_logic_vector(HI_RAM downto LO_RAM) := (others=>'0');
constant r_mask : std_logic_vector := r_hi & r_lo;
signal in_range : boolean;
constant RAM_ADDR_BOTTOM : natural :=
to_integer(signed(x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)));
constant RAM_ADDR_RANGE : natural :=
(to_integer(signed(x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)))
+
to_integer(signed(x_DATA_MEM_SZ(HI_SEL_BITS downto LO_SEL_BITS))));
constant RAM_ADDR_TOP : natural := RAM_ADDR_BOTTOM + RAM_ADDR_RANGE;
begin
-- in_range <= ( rst = '1'
-- and ((addr and a_mask) = x_DATA_BASE_ADDR)
-- and ((addr and r_mask) = x_DATA_BASE_ADDR) );
-- this works only for small RAMS
-- in_range <= ( addr(HI_SEL_BITS downto LO_SEL_BITS)
-- =
-- x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS) );
-- this is ONLY acceptable for simulations;
-- computing these differences is TOO expensive for synthesis
in_range <= ( (to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
>=
RAM_ADDR_BOTTOM)
and
(to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
<
RAM_ADDR_TOP)
);
aVal <= '0' when (rst = '1' and cpu_d_aVal = '0' and in_range) else '1';
dev_select <= b"0001" when (cpu_d_aVal = '0' and in_range) else b"0000";
assert true -- cpu_d_aVal = '1'
report "e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" LO_AD " & integer'image(LO_ADDR) &
" HI_AD " & integer'image(HI_ADDR) &
" a_hi " & SLV2STR(a_hi) &
" a_lo " & SLV2STR(a_lo) &
" a_bits " & SLV2STR(a_bits) &
" a_mask " & SLV32HEX(a_mask) & LF &
" LO_RAM " & integer'image(LO_RAM) &
" HI_RAM " & integer'image(HI_RAM) &
" r_hi " & SLV2STR(r_hi) &
" r_lo " & SLV2STR(r_lo) &
" r_mask " & SLV32HEX(r_mask)
severity NOTE;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- busError address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity busError_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
d_busError : out std_logic); -- decoded address not in range (act=0)
end entity busError_addr_decode;
architecture behavioral of busError_addr_decode is
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
-- I/O constants
constant IO_RANGE : integer := IO_ADDR_RANGE * IO_MAX_NUM_DEVS;
constant LO_DEV : natural := 0;
constant HI_DEV : natural := log2_ceil(IO_RANGE-1);
constant x_hi : std_logic_vector(31 downto HI_DEV) := (others=>'1');
constant x_lo : std_logic_vector(HI_DEV-1 downto 0) := (others=>'0');
constant x_mask : std_logic_vector := x_hi & x_lo; -- 1..10..0
-- RAM constants
constant LO_ADDR : natural := log2_ceil(DATA_BASE_ADDR);
constant HI_ADDR : natural := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant a_hi : std_logic_vector(31 downto HI_ADDR+1) := (others=>'0');
constant a_lo : std_logic_vector(LO_ADDR-1 downto 0) := (others=>'0');
constant a_bits : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'1');
constant a_mask : std_logic_vector := a_hi & a_bits & a_lo; -- 0..0110..0
constant LO_RAM : natural := 0;
constant HI_RAM : natural := log2_ceil(DATA_MEM_SZ-1);
constant r_hi : std_logic_vector(31 downto HI_RAM+1) := (others=>'1');
constant r_lo : std_logic_vector(HI_RAM downto LO_RAM) := (others=>'0');
constant r_mask : std_logic_vector := r_hi & r_lo; -- 1..10..0
signal in_range, io_in_range : boolean;
begin
in_range <= ( rst = '1' and
((addr and a_mask) = x_DATA_BASE_ADDR) and
((addr and r_mask) = x_DATA_BASE_ADDR) );
io_in_range <= ( (rst = '1') and ((addr and x_mask) = x_IO_BASE_ADDR) );
d_busError <= '0' when ( (rst = '1') and (cpu_d_aVal = '0') and
(not(in_range) and not(io_in_range)) ) else '1';
assert true -- cpu_d_aVal = '1'
report "e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" LO_AD " & integer'image(LO_ADDR) &
" HI_AD " & integer'image(HI_ADDR) &
" a_hi " & SLV2STR(a_hi) &
" a_lo " & SLV2STR(a_lo) &
" a_bits " & SLV2STR(a_bits) &
" a_mask " & SLV32HEX(a_mask) & LF &
" LO_RAM " & integer'image(LO_RAM) &
" HI_RAM " & integer'image(HI_RAM) &
" r_hi " & SLV2STR(r_hi) &
" r_lo " & SLV2STR(r_lo) &
" r_mask " & SLV32HEX(r_mask)
severity NOTE;
assert true -- cpu_d_aVal = '1' and io_busError
report "e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" x_hi " & SLV2STR(x_hi) &
" x_lo " & SLV2STR(x_lo) &
" x_mask " & SLV32HEX(x_mask) & LF &
" LO_DEV " & integer'image(LO_DEV) &
" HI_DEV " & integer'image(HI_DEV)
severity NOTE;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- I/O address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity io_addr_decode is -- CPU side triggers access
port (clk,rst : in std_logic; -- clk sparates back-to-back refs
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
dev_select : out reg4; -- select input to CPU
print_sel : out std_logic; -- std_out (integer) (act=0)
stdout_sel : out std_logic; -- std_out (character) (act=0)
stdin_sel : out std_logic; -- std_inp (character) (act=0)
read_sel : out std_logic; -- file read (act=0)
write_sel : out std_logic; -- file write (act=0)
counter_sel : out std_logic; -- interrupt counter (act=0)
FPU_sel : out std_logic; -- floating point unit (act=0)
UART_sel : out std_logic; -- floating point unit (act=0)
SSTATS_sel : out std_logic; -- system statistics (act=0)
dsp7seg_sel : out std_logic; -- 7 segments display (act=0)
keybd_sel : out std_logic; -- telephone keyboard (act=0)
lcd_sel : out std_logic; -- telephone keyboard (act=0)
not_waiting : in std_logic); -- no other device is waiting
end entity io_addr_decode;
architecture behavioral of io_addr_decode is
constant LO_SEL_ADDR : integer := log2_ceil(IO_ADDR_RANGE);
constant HI_SEL_ADDR : integer := LO_SEL_ADDR + (IO_MAX_NUM_DEVS - 1);
constant IO_RANGE : integer := IO_ADDR_RANGE * IO_MAX_NUM_DEVS;
constant LO_ADDR : integer := log2_ceil(IO_BASE_ADDR);
constant HI_ADDR : integer := log2_ceil(IO_BASE_ADDR + IO_RANGE - 1);
constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1');
constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0');
constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1');
constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0');
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
-- I/O constants
constant LO_DEV : natural := 0;
constant HI_DEV : natural := log2_ceil(IO_RANGE-1);
constant x_hi : std_logic_vector(31 downto HI_DEV) := (others=>'1');
constant x_lo : std_logic_vector(HI_DEV-1 downto 0) := (others=>'0');
constant x_mask : std_logic_vector := x_hi & x_lo; -- 1..10..0
signal in_range : boolean;
signal aVal : std_logic;
signal dev : integer; -- DEBUGGING only
begin
-- in_range <= ((addr and x_mask) = x_IO_BASE_ADDR);
in_range <= ((addr(HI_ADDR downto LO_ADDR) and in_r) /= ng_r) and
((addr(HI_SEL_BITS downto HI_ADDR+1) and oth) = ng_o);
dev <= to_integer(signed(addr(HI_SEL_ADDR downto LO_SEL_ADDR)));
aVal <= '0' when ( cpu_d_aVal = '0' and rst = '1' and not_waiting = '1' and
in_range ) else '1';
U_decode: process(clk, aVal, addr, dev)
variable dev_sel : reg4;
constant is_noise : integer := 0;
constant is_print : integer := 2;
constant is_stdout : integer := 3;
constant is_stdin : integer := 4;
constant is_read : integer := 5;
constant is_write : integer := 6;
constant is_count : integer := 7;
constant is_FPU : integer := 8;
constant is_UART : integer := 9;
constant is_SSTATS : integer := 10;
constant is_dsp7seg : integer := 11;
constant is_keybd : integer := 12;
constant is_lcd : integer := 13;
begin
print_sel <= '1';
stdout_sel <= '1';
stdin_sel <= '1';
read_sel <= '1';
write_sel <= '1';
counter_sel <= '1';
FPU_sel <= '1';
UART_sel <= '1';
SSTATS_sel <= '1';
dsp7seg_sel <= '1';
keybd_sel <= '1';
lcd_sel <= '1';
case dev is -- to_integer(signed(addr(HI_ADDR downto LO_ADDR))) is
when 0 => dev_sel := std_logic_vector(to_signed(is_print, 4));
print_sel <= aVal or clk;
when 1 => dev_sel := std_logic_vector(to_signed(is_stdout, 4));
stdout_sel <= aVal or clk;
when 2 => dev_sel := std_logic_vector(to_signed(is_stdin, 4));
stdin_sel <= aVal or clk;
when 3 => dev_sel := std_logic_vector(to_signed(is_read, 4));
read_sel <= aVal or clk;
when 4 => dev_sel := std_logic_vector(to_signed(is_write, 4));
write_sel <= aVal or clk;
when 5 => dev_sel := std_logic_vector(to_signed(is_count, 4));
counter_sel <= aVal;
when 6 => dev_sel := std_logic_vector(to_signed(is_FPU, 4));
FPU_sel <= aVal;
when 7 => dev_sel := std_logic_vector(to_signed(is_UART, 4));
UART_sel <= aVal;
when 8 => dev_sel := std_logic_vector(to_signed(is_SSTATS, 4));
SSTATS_sel <= aVal;
when 9 => dev_sel := std_logic_vector(to_signed(is_dsp7seg, 4));
dsp7seg_sel <= aVal;
when 10 => dev_sel := std_logic_vector(to_signed(is_keybd, 4));
keybd_sel <= aVal;
when 11 => dev_sel := std_logic_vector(to_signed(is_lcd, 4));
lcd_sel <= aVal;
when others => dev_sel := std_logic_vector(to_signed(is_noise, 4));
end case;
-- assert false report "IO_addr "& SLV32HEX(addr);--DEBUG
if aVal = '0' then
dev_select <= dev_sel;
else
dev_select <= std_logic_vector(to_signed(is_noise, 4));
end if;
end process U_decode;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- --------------------------------------------------------------
configuration CFG_TB of TB_CMIPS is
for TB
end for;
end configuration CFG_TB;
-- --------------------------------------------------------------
| gpl-3.0 | 3bfec112fabd81a632c6ece8bfb32e9a | 0.531418 | 3.26107 | false | false | false | false |
rcls/sdr | vhdl/blinkoflow.vhd | 1 | 1,083 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity blinkoflow is
generic (data_bits : integer := 14;
mon_bits : integer := 4;
count_bits : integer := 25);
port (d : in signed(data_bits - 1 downto 0);
good : out std_logic;
bad : out std_logic;
clk : in std_logic);
end blinkoflow;
architecture blinkoflow of blinkoflow is
signal counter : unsigned (count_bits - 1 downto 0);
signal oflow : boolean;
constant twiddle : signed(data_bits - 1 downto 0) := (
data_bits - 1 => '1', others => '0');
begin
process
variable ud : signed(data_bits - 1 downto 0);
begin
wait until rising_edge(clk);
good <= counter(count_bits - 1);
bad <= not counter(count_bits - 1);
ud := d xor twiddle;
oflow <= ud(data_bits - 1 downto data_bits - mon_bits + 1)
= ud(data_bits - 2 downto data_bits - mon_bits);
if oflow then
counter <= (others => '0');
elsif counter(count_bits - 1) = '0' then
counter <= counter + 1;
end if;
end process;
end blinkoflow;
| gpl-3.0 | b987dd13faae42155126ff543796fd4a | 0.601108 | 3.384375 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/cdc_sync.vhd | 1 | 25,145 |
--Generic Help
--C_CDC_TYPE : Defines the type of CDC needed
-- 0 means pulse synchronizer. Used to transfer one clock pulse
-- from prmry domain to scndry domain.
-- 1 means level synchronizer. Used to transfer level signal.
-- 2 means level synchronizer with ack. Used to transfer level
-- signal. Input signal should change only when prmry_ack is detected
--
--C_FLOP_INPUT : when set to 1 adds one flop stage to the input prmry_in signal
-- Set to 0 when incoming signal is purely floped signal.
--
--C_RESET_STATE : Generally sync flops need not have resets. However, in some cases
-- it might be needed.
-- 0 means reset not needed for sync flops
-- 1 means reset needed for sync flops. i
-- In this case prmry_resetn should be in prmry clock,
-- while scndry_reset should be in scndry clock.
--
--C_SINGLE_BIT : CDC should normally be done for single bit signals only.
-- However, based on design buses can also be CDC'ed.
-- 0 means it is a bus. In this case input be connected to prmry_vect_in.
-- Output is on scndry_vect_out.
-- 1 means it is a single bit. In this case input be connected to prmry_in.
-- Output is on scndry_out.
--
--C_VECTOR_WIDTH : defines the size of bus. This is irrelevant when C_SINGLE_BIT = 1
--
--C_MTBF_STAGES : Defines the number of sync stages needed. Allowed values are 0 to 6.
-- Value of 0, 1 is allowed only for level CDC.
-- Min value for Pulse CDC is 2
--
--Whenever this file is used following XDC constraint has to be added
-- set_false_path -to [get_pins -hier *cdc_to*/D]
--IO Ports
--
-- prmry_aclk : clock of originating domain (source domain)
-- prmry_resetn : sync reset of originating clock domain (source domain)
-- prmry_in : input signal bit. This should be a pure flop output without
-- any combi logic. This is source.
-- prmry_vect_in : bus signal. From Source domain.
-- prmry_ack : Ack signal, valid for one clock period, in prmry_aclk domain.
-- Used only when C_CDC_TYPE = 2
-- scndry_aclk : destination clock.
-- scndry_resetn : sync reset of destination domain
-- scndry_out : sync'ed output in destination domain. Single bit.
-- scndry_vect_out : sync'ed output in destination domain. bus.
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.std_logic_misc.all;
entity cdc_sync is
generic (
C_CDC_TYPE : integer range 0 to 2 := 1 ;
-- 0 is pulse synch
-- 1 is level synch
-- 2 is ack based level sync
C_RESET_STATE : integer range 0 to 1 := 0 ;
-- 0 is reset not needed
-- 1 is reset needed
C_SINGLE_BIT : integer range 0 to 1 := 1 ;
-- 0 is bus input
-- 1 is single bit input
C_FLOP_INPUT : integer range 0 to 1 := 0 ;
C_VECTOR_WIDTH : integer range 0 to 32 := 32 ;
C_MTBF_STAGES : integer range 0 to 6 := 2
-- Vector Data witdth
);
port (
prmry_aclk : in std_logic ; --
prmry_resetn : in std_logic ; --
prmry_in : in std_logic ; --
prmry_vect_in : in std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) ; --
prmry_ack : out std_logic ;
--
scndry_aclk : in std_logic ; --
scndry_resetn : in std_logic ; --
--
-- Primary to Secondary Clock Crossing --
scndry_out : out std_logic ; --
--
scndry_vect_out : out std_logic_vector --
(C_VECTOR_WIDTH - 1 downto 0) --
);
end cdc_sync;
-------------------------------------------------------------------------------
-- Architecture
-------------------------------------------------------------------------------
architecture implementation of cdc_sync is
attribute DowngradeIPIdentifiedWarnings : string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
attribute DONT_TOUCH : STRING;
attribute DONT_TOUCH of implementation : architecture is "yes";
-------------------------------------------------------------------------------
-- Functions
-------------------------------------------------------------------------------
-- No Functions Declared
-------------------------------------------------------------------------------
-- Constants Declarations
-------------------------------------------------------------------------------
-- No Constants Declared
-------------------------------------------------------------------------------
-- Begin architecture logic
-------------------------------------------------------------------------------
begin
-- Generate PULSE clock domain crossing
GENERATE_PULSE_P_S_CDC_OPEN_ENDED : if C_CDC_TYPE = 0 generate
-- Primary to Secondary
signal s_out_d1_cdc_to : std_logic := '0';
signal s_out_d2 : std_logic := '0';
signal s_out_d3 : std_logic := '0';
signal s_out_d4 : std_logic := '0';
signal s_out_d5 : std_logic := '0';
signal s_out_d6 : std_logic := '0';
signal s_out_d7 : std_logic := '0';
signal s_out_re : std_logic := '0';
signal prmry_in_xored : std_logic := '0';
signal p_in_d1_cdc_from : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF s_out_d1_cdc_to : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d2 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d3 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d4 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d5 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d6 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_out_d7 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Pulse Clock Crossing **
--** PRIMARY TO SECONDARY OPEN-ENDED **
--*****************************************************************************
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
prmry_in_xored <= prmry_in xor p_in_d1_cdc_from;
REG_P_IN : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk ='1')then
if(prmry_resetn = '0' and C_RESET_STATE = 1)then
p_in_d1_cdc_from <= '0';
else
p_in_d1_cdc_from <= prmry_in_xored;
end if;
end if;
end process REG_P_IN;
P_IN_CROSS2SCNDRY : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk ='1')then
if(scndry_resetn = '0' and C_RESET_STATE = 1)then
s_out_d1_cdc_to <= '0';
s_out_d2 <= '0';
s_out_d3 <= '0';
s_out_d4 <= '0';
s_out_d5 <= '0';
s_out_d6 <= '0';
s_out_d7 <= '0';
scndry_out <= '0';
else
s_out_d1_cdc_to <= p_in_d1_cdc_from;
s_out_d2 <= s_out_d1_cdc_to;
s_out_d3 <= s_out_d2;
s_out_d4 <= s_out_d3;
s_out_d5 <= s_out_d4;
s_out_d6 <= s_out_d5;
s_out_d7 <= s_out_d6;
scndry_out <= s_out_re;
end if;
end if;
end process P_IN_CROSS2SCNDRY;
MTBF_2 : if C_MTBF_STAGES = 2 generate
begin
s_out_re <= s_out_d2 xor s_out_d3;
end generate MTBF_2;
MTBF_3 : if C_MTBF_STAGES = 3 generate
begin
s_out_re <= s_out_d3 xor s_out_d4;
end generate MTBF_3;
MTBF_4 : if C_MTBF_STAGES = 4 generate
begin
s_out_re <= s_out_d4 xor s_out_d5;
end generate MTBF_4;
MTBF_5 : if C_MTBF_STAGES = 5 generate
begin
s_out_re <= s_out_d5 xor s_out_d6;
end generate MTBF_5;
MTBF_6 : if C_MTBF_STAGES = 6 generate
begin
s_out_re <= s_out_d6 xor s_out_d7;
end generate MTBF_6;
-- Feed secondary pulse out
end generate GENERATE_PULSE_P_S_CDC_OPEN_ENDED;
-- Generate LEVEL clock domain crossing with reset state = 0
GENERATE_LEVEL_P_S_CDC : if C_CDC_TYPE = 1 generate
begin
-- Primary to Secondary
SINGLE_BIT : if C_SINGLE_BIT = 1 generate
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
prmry_ack <= '0';
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
REG_PLEVEL_IN : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk ='1')then
if(prmry_resetn = '0' and C_RESET_STATE = 1)then
p_level_in_d1_cdc_from <= '0';
else
p_level_in_d1_cdc_from <= prmry_in;
end if;
end if;
end process REG_PLEVEL_IN;
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk ='1')then
if(scndry_resetn = '0' and C_RESET_STATE = 1)then
s_level_out_d1_cdc_to <= '0';
s_level_out_d2 <= '0';
s_level_out_d3 <= '0';
s_level_out_d4 <= '0';
s_level_out_d5 <= '0';
s_level_out_d6 <= '0';
else
s_level_out_d1_cdc_to <= p_level_in_int;
s_level_out_d2 <= s_level_out_d1_cdc_to;
s_level_out_d3 <= s_level_out_d2;
s_level_out_d4 <= s_level_out_d3;
s_level_out_d5 <= s_level_out_d4;
s_level_out_d6 <= s_level_out_d5;
end if;
end if;
end process CROSS_PLEVEL_IN2SCNDRY;
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_out <= s_level_out_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_out <= s_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out <= s_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out <= s_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out <= s_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out <= s_level_out_d6;
end generate MTBF_L6;
end generate SINGLE_BIT;
MULTI_BIT : if C_SINGLE_BIT = 0 generate
signal p_level_in_bus_int : std_logic_vector (C_VECTOR_WIDTH - 1 downto 0);
signal p_level_in_bus_d1_cdc_from : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d1_cdc_to : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d1_cdc_tig : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d2 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d3 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d4 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d5 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
signal s_level_out_bus_d6 : std_logic_vector(C_VECTOR_WIDTH - 1 downto 0);
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF s_level_out_bus_d1_cdc_to : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_bus_d2 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_bus_d3 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_bus_d4 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_bus_d5 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_bus_d6 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_out <= '0';
prmry_ack <= '0';
INPUT_FLOP_BUS : if C_FLOP_INPUT = 1 generate
begin
REG_PLEVEL_IN : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk ='1')then
if(prmry_resetn = '0' and C_RESET_STATE = 1)then
p_level_in_bus_d1_cdc_from <= (others => '0');
else
p_level_in_bus_d1_cdc_from <= prmry_vect_in;
end if;
end if;
end process REG_PLEVEL_IN;
p_level_in_bus_int <= p_level_in_bus_d1_cdc_from;
end generate INPUT_FLOP_BUS;
NO_INPUT_FLOP_BUS : if C_FLOP_INPUT = 0 generate
begin
p_level_in_bus_int <= prmry_vect_in;
end generate NO_INPUT_FLOP_BUS;
CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk ='1')then
if(scndry_resetn = '0' and C_RESET_STATE = 1)then
s_level_out_bus_d1_cdc_to <= (others => '0');
s_level_out_bus_d2 <= (others => '0');
s_level_out_bus_d3 <= (others => '0');
s_level_out_bus_d4 <= (others => '0');
s_level_out_bus_d5 <= (others => '0');
s_level_out_bus_d6 <= (others => '0');
else
s_level_out_bus_d1_cdc_to <= p_level_in_bus_int;
s_level_out_bus_d2 <= s_level_out_bus_d1_cdc_to;
s_level_out_bus_d3 <= s_level_out_bus_d2;
s_level_out_bus_d4 <= s_level_out_bus_d3;
s_level_out_bus_d5 <= s_level_out_bus_d4;
s_level_out_bus_d6 <= s_level_out_bus_d5;
end if;
end if;
end process CROSS_PLEVEL_IN2SCNDRY;
MTBF_L1 : if C_MTBF_STAGES = 1 generate
begin
scndry_vect_out <= s_level_out_bus_d1_cdc_to;
end generate MTBF_L1;
MTBF_L2 : if C_MTBF_STAGES = 2 generate
begin
scndry_vect_out <= s_level_out_bus_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_vect_out <= s_level_out_bus_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_vect_out <= s_level_out_bus_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_vect_out <= s_level_out_bus_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_vect_out <= s_level_out_bus_d6;
end generate MTBF_L6;
end generate MULTI_BIT;
end generate GENERATE_LEVEL_P_S_CDC;
GENERATE_LEVEL_ACK_P_S_CDC : if C_CDC_TYPE = 2 generate
-- Primary to Secondary
signal p_level_in_d1_cdc_from : std_logic := '0';
signal p_level_in_int : std_logic := '0';
signal s_level_out_d1_cdc_to : std_logic := '0';
signal s_level_out_d2 : std_logic := '0';
signal s_level_out_d3 : std_logic := '0';
signal s_level_out_d4 : std_logic := '0';
signal s_level_out_d5 : std_logic := '0';
signal s_level_out_d6 : std_logic := '0';
signal p_level_out_d1_cdc_to : std_logic := '0';
signal p_level_out_d2 : std_logic := '0';
signal p_level_out_d3 : std_logic := '0';
signal p_level_out_d4 : std_logic := '0';
signal p_level_out_d5 : std_logic := '0';
signal p_level_out_d6 : std_logic := '0';
signal p_level_out_d7 : std_logic := '0';
signal scndry_out_int : std_logic := '0';
signal prmry_pulse_ack : std_logic := '0';
-----------------------------------------------------------------------------
-- ATTRIBUTE Declarations
-----------------------------------------------------------------------------
-- Prevent x-propagation on clock-domain crossing register
ATTRIBUTE async_reg : STRING;
ATTRIBUTE async_reg OF s_level_out_d1_cdc_to : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d2 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d3 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d4 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d5 : SIGNAL IS "true";
ATTRIBUTE async_reg OF s_level_out_d6 : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d1_cdc_to : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d2 : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d3 : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d4 : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d5 : SIGNAL IS "true";
ATTRIBUTE async_reg OF p_level_out_d6 : SIGNAL IS "true";
begin
--*****************************************************************************
--** Asynchronous Level Clock Crossing **
--** PRIMARY TO SECONDARY **
--*****************************************************************************
-- register is scndry to provide clean ff output to clock crossing logic
scndry_vect_out <= (others => '0');
INPUT_FLOP : if C_FLOP_INPUT = 1 generate
begin
REG_PLEVEL_IN : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk ='1')then
if(prmry_resetn = '0' and C_RESET_STATE = 1)then
p_level_in_d1_cdc_from <= '0';
else
p_level_in_d1_cdc_from <= prmry_in;
end if;
end if;
end process REG_PLEVEL_IN;
p_level_in_int <= p_level_in_d1_cdc_from;
end generate INPUT_FLOP;
NO_INPUT_FLOP : if C_FLOP_INPUT = 0 generate
begin
p_level_in_int <= prmry_in;
end generate NO_INPUT_FLOP;
CROSS_PLEVEL_IN2SCNDRY : process(scndry_aclk)
begin
if(scndry_aclk'EVENT and scndry_aclk ='1')then
if(scndry_resetn = '0' and C_RESET_STATE = 1)then
s_level_out_d1_cdc_to <= '0';
s_level_out_d2 <= '0';
s_level_out_d3 <= '0';
s_level_out_d4 <= '0';
s_level_out_d5 <= '0';
s_level_out_d6 <= '0';
else
s_level_out_d1_cdc_to <= p_level_in_int;
s_level_out_d2 <= s_level_out_d1_cdc_to;
s_level_out_d3 <= s_level_out_d2;
s_level_out_d4 <= s_level_out_d3;
s_level_out_d5 <= s_level_out_d4;
s_level_out_d6 <= s_level_out_d5;
end if;
end if;
end process CROSS_PLEVEL_IN2SCNDRY;
CROSS_PLEVEL_SCNDRY2PRMRY : process(prmry_aclk)
begin
if(prmry_aclk'EVENT and prmry_aclk ='1')then
if(prmry_resetn = '0' and C_RESET_STATE = 1)then
p_level_out_d1_cdc_to <= '0';
p_level_out_d2 <= '0';
p_level_out_d3 <= '0';
p_level_out_d4 <= '0';
p_level_out_d5 <= '0';
p_level_out_d6 <= '0';
p_level_out_d7 <= '0';
prmry_ack <= '0';
else
p_level_out_d1_cdc_to <= scndry_out_int;
p_level_out_d2 <= p_level_out_d1_cdc_to;
p_level_out_d3 <= p_level_out_d2;
p_level_out_d4 <= p_level_out_d3;
p_level_out_d5 <= p_level_out_d4;
p_level_out_d6 <= p_level_out_d5;
p_level_out_d7 <= p_level_out_d6;
prmry_ack <= prmry_pulse_ack;
end if;
end if;
end process CROSS_PLEVEL_SCNDRY2PRMRY;
MTBF_L2 : if C_MTBF_STAGES = 2 or C_MTBF_STAGES = 1 generate
begin
scndry_out_int <= s_level_out_d2;
--prmry_pulse_ack <= p_level_out_d3 xor p_level_out_d2;
prmry_pulse_ack <= (not p_level_out_d3) and p_level_out_d2;
end generate MTBF_L2;
MTBF_L3 : if C_MTBF_STAGES = 3 generate
begin
scndry_out_int <= s_level_out_d3;
--prmry_pulse_ack <= p_level_out_d4 xor p_level_out_d3;
prmry_pulse_ack <= (not p_level_out_d4) and p_level_out_d3;
end generate MTBF_L3;
MTBF_L4 : if C_MTBF_STAGES = 4 generate
begin
scndry_out_int <= s_level_out_d4;
--prmry_pulse_ack <= p_level_out_d5 xor p_level_out_d4;
prmry_pulse_ack <= (not p_level_out_d5) and p_level_out_d4;
end generate MTBF_L4;
MTBF_L5 : if C_MTBF_STAGES = 5 generate
begin
scndry_out_int <= s_level_out_d5;
--prmry_pulse_ack <= p_level_out_d6 xor p_level_out_d5;
prmry_pulse_ack <= (not p_level_out_d6) and p_level_out_d5;
end generate MTBF_L5;
MTBF_L6 : if C_MTBF_STAGES = 6 generate
begin
scndry_out_int <= s_level_out_d6;
--prmry_pulse_ack <= p_level_out_d7 xor p_level_out_d6;
prmry_pulse_ack <= (not p_level_out_d7) and p_level_out_d6;
end generate MTBF_L6;
scndry_out <= scndry_out_int;
end generate GENERATE_LEVEL_ACK_P_S_CDC;
end implementation;
| apache-2.0 | ea67ed61c9e4d8128c8d9904d08043b2 | 0.473136 | 3.652673 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/9-MESA-FP/asap-alap-random/mesafp_asap.vhd | 1 | 4,540 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.16:15:22)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesafp_asap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21: IN unsigned(0 TO 3);
output1, output2, output3, output4, output5: OUT unsigned(0 TO 4));
END mesafp_asap_entity;
ARCHITECTURE mesafp_asap_description OF mesafp_asap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register12: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register13: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register14: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register15: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register16: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register17: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register18: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register19: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register20: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register21: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 + 2;
register3 := input3 + 3;
register4 := input4 * 4;
register5 := input5 + 5;
register6 := input6 + 6;
register7 := input7 * 7;
register8 := input8 * 8;
register9 := input9 + 9;
register10 := input10 * 10;
register11 := input11 * 11;
register12 := input12 + 12;
register13 := input13 * 13;
register14 := input14 * 14;
register15 := input15 * 15;
register16 := input16 * 16;
register17 := input17 * 17;
register18 := input18 * 18;
register19 := input19 * 19;
register20 := input20 * 20;
register21 := input21 * 21;
WHEN "00000010" =>
register1 := register1 + 23;
register2 := register21 + register2;
register3 := register17 + register3;
register4 := register4 + 25;
register5 := register5 + 27;
register6 := register19 + register6;
register7 := register7 + 29;
register8 := register8 + 31;
register9 := register15 + register9;
register10 := register10 + register12;
register12 := register13 + 33;
WHEN "00000011" =>
register1 := ((NOT register1) + 1) XOR register1;
register2 := register20 + register2;
register3 := register16 + register3;
register5 := ((NOT register5) + 1) XOR register5;
register6 := register18 + register6;
register9 := register14 + register9;
register10 := register11 + register10;
WHEN "00000100" =>
IF (register5 = 38 or register1 = 38) THEN
output1 <= register5;
ELSE
output1 <= "00110";
END IF;
register1 := ((NOT register2) + 1) XOR register2;
register2 := ((NOT register3) + 1) XOR register3;
register3 := ((NOT register6) + 1) XOR register6;
register5 := ((NOT register9) + 1) XOR register9;
register6 := ((NOT register10) + 1) XOR register10;
WHEN "00000101" =>
output2 <= register1(0 TO 1) & register4(0 TO 2);
register1 := register6 / 2;
WHEN "00000110" =>
register3 := register1 * register3;
register2 := register1 * register2;
register1 := register1 * register5;
WHEN "00000111" =>
output3 <= register3(0 TO 1) & register8(0 TO 2);
output4 <= register2(0 TO 1) & register7(0 TO 2);
output5 <= register1(0 TO 1) & register12(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesafp_asap_description; | gpl-3.0 | 1c2d7a4677f55b10b629bf7e3342a75a | 0.662115 | 3.15936 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/register_file_bit.vhd | 1 | 18,065 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UfQYOc0lVFGTqKbosfwU1uzY3Q/hMDtpV//Hm9BZy7ILwi9hMNTcQKZv02WgNM7p8uvWIqyQ/QEH
/qPjgSVooA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jDgeQaqb/PmVWb/q412RLRcyfPcq+0bwNRDqnJHXmYSjULLMnh9/wOXoXfHLvSsTunodN+mOkTZJ
oyjWnxTjdgMc0V5s6vaPj9GSwVswFSyYhmcwuHSH7HKUoFTYzKGN+uph6g+hdmPqBD5VFPKoXLhc
80Tw22l8ze0Kqyc/psA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EhVvzNscPxl1W3+ouShOqfEo+v2i2kQHKhHE9KNxQP8g4knYtsI7PQhOcRXqU+OcLXMDNbRyO4mc
zC4xLX4IQDQ5Jsu0MQcWPMcS2pm8YNYNUT6dOrOZsXdJopd6SmXeyq4zQ+y2X0x1UeSFzs7YcrAv
0MCsfo9B28LmB4jD1cUfwtk81jtmNI3Rvovx4V+XC7RIS0SPx7VEuvNlsj6ZIvoiVeBGQOqXJad8
50zw2EaTlCBK7N8g3uULYTUifmwMAuseQmOcxENq9SHAYxItSqJNAt5K/kWv0mTsETlCYgQ5T+cu
96HyTmoh96AslTwYeu1EcU+GslaX62kpC1D8PQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YaL4z+aEU/G5aiOe6KeEyj7QvSCf9NMfE6d8dxx5R3FqLjLMOFrEBwEae7NyJlqm8PH8UEKve+7q
SB1ozAEb0DnmCxRpgaGhp2zoZLr9Gh1rADcbOVQyhYD9d+S99bDAMIszCWGqrop1YkmGBAKShW56
0pANkkfTOCZUWMVi/0g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jl67UxggFpiPaUwYPvaWIUl4CzRjoSqaQjSkOf3JS5QpY9ix/IRIIZfiVYWragvtMYe+JcvX7UOe
nfR48HonDXUtWyaQikcDfiu+5bZEC9dvtLOq7pTmVziGzDC81FvLzrYQZjVvU3mCuGiK73IWII9r
p96MmbpB+iAHpjYq2zHEln8bGAmlh0Le1RwxRxYeNpgdHKv6TSrzWivYO6vZS5hJoXCJlZSKw7Rl
UL9ooV30jJ0yt06PDR0RycPH1YyfQRuxhJ0FsDFkTMAu3iXSd0NprSG8e6AY/XNIzNeyLOX+qYrw
RyTZJy+hv+6A2OpH9LUEBMoZM3kV8gILCgjQ+A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11632)
`protect data_block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`protect end_protected
| apache-2.0 | 23c36eabd7095307a87b119d16f809aa | 0.939219 | 1.859496 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/asap-alap-random/fir1_asap.vhd | 1 | 4,249 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:19:26)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir1_asap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir1_asap_entity;
ARCHITECTURE fir1_asap_description OF fir1_asap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register12: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register13: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register14: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register15: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register16: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register17: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register18: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register19: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register20: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register21: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register22: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 and input1;
register2 := input2 and input2;
register3 := input3 and input3;
register4 := input4 and input4;
register5 := input5 and input5;
register6 := input6 and input6;
register7 := input7 and input7;
register8 := input8 and input8;
register9 := input9 and input9;
register10 := input10 and input10;
register11 := input11 and input11;
register12 := input12 and input12;
register13 := input13 and input13;
register14 := input14 and input14;
register15 := input15 and input15;
register16 := input16 and input16;
register17 := input17 and input17;
register18 := input18 and input18;
register19 := input19 and input19;
register20 := input20 and input20;
register21 := input21 and input21;
register22 := input22 and input22;
WHEN "00000010" =>
register1 := register1 * register9;
register2 := register2 * register21;
register3 := register3 * register17;
register4 := register4 * register20;
register5 := register5 * register22;
register6 := register6 * register10;
register7 := register7 * register12;
register8 := register14 * register8;
register9 := register11 * register19;
register10 := register13 * register15;
register11 := register16 * register18;
WHEN "00000011" =>
register2 := register8 + register2;
register3 := register11 + register3;
register6 := register7 + register6;
WHEN "00000100" =>
register1 := register6 + register1;
WHEN "00000101" =>
register1 := register4 + register1;
WHEN "00000110" =>
register1 := register2 + register1;
WHEN "00000111" =>
register1 := register1 + register5;
WHEN "00001000" =>
register1 := register3 + register1;
WHEN "00001001" =>
register1 := register1 + register10;
WHEN "00001010" =>
register1 := register9 + register1;
WHEN "00001011" =>
output1 <= register1 and register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir1_asap_description; | gpl-3.0 | 6ed55feb8db88950df24404c17ad07aa | 0.688397 | 3.291247 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_pf_sshft.vhd | 5 | 20,160 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
I06Ke/HcfREfnkxxTkdiDpHDXkOiLmqh9dWloLxgvry/Cwdcrb+9YOPFy7RKjuJ7aenemnEPcJKA
t8EfDvBDlA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jyZ1bfbGRjkLmvhGXJCwpAScYeDzs53TFtOtzdB/IXBsURz5lOHiyuPeLzHZxLoeZGkYqia9Rtl4
rPZ/FntNMmT1IJeeNeUvmi3G7I8KNONVW5b04hiMFNjvEnSqFoR76F3oDYihf/WxTtwqrk1vChpI
4SD0bSeRPo8OrM7lwgY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VQDKpGQqGvNkC4qq87ReEdIwKLQo/LhNO+Ky41dL8ga9efpmqknrGhXEuwdHPoDTL82RsKAIrGDt
3T3ddD+ATYI+feqfS9mSGUJwSBAfHxtJanpEi5v8cnmaErm7trEmS/JdBVPiGhEWMmJJAQP4lJtA
2vK29IraDOVgimHczC9JfPkQ+h3OBAtaOjZU5DMB1b9BqfpJRdKqY4ERAaiFJj/Fj+OcFmvMjb+y
rHOUuOWs7T8BYpV1DFxp9e/yDrQAKDqARyLwS1v9JWS0qvJZHQWb4NBHnF3vHR39X0Gae2wQm0BU
AHyBLH0nWQvZP7Lv5Z3Vfx8Gh2e17BO0Jc3vgA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bNPZp7ZOi/5TOfRzUDe9Vrq+L9Y5/aPZ0nSqJszH2NaHZlUxrEuKGTWLxyU9pwm43PH3RUqpteUk
3oTHwKEtU2OLyFwmFRBJ/+dn/7Nxl2zxHs39BTgET9XcjIlossjI9qstrGq4Rp7D9zZN7s8TICxG
hsF9aezId2CWyyNKM8g=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CEjT69Asl6fQLDA09LW9QA/0CKHuxjGwx1E+6nqTKNgV1AWNE5TUDB7lZrDyJADlWIBfm4MhdkBX
5GtHY6EWaP0pEzX7bas7n+Mb1aHa54FonXYrWKz5GI7IL/kvvuIMc4i7ggaczR1Rp8EsOzSyam0V
MDjx7uaG1NsWIsc8Rjs4ha6FVsEotLsKYCCiWLka493cy+mlOc42UztDAEYdcEAY+eTzgJR9fJvh
vUBMmetLuA3d21XYw665pSTwmB5CLLit/ZUo7ggDe/SqQeuo9+vqCCDHECn+Qa+YcTWjaqbUjrkS
u0FDz2j9+pZkmOcRQt9Fe7goj5x5XAWwmyz3IQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13184)
`protect data_block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`protect end_protected
| apache-2.0 | cba260ff375eb48001d2a7208649fbff | 0.941518 | 1.845816 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/ram.vhd | 1 | 14,075 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- To simplify (and accelerate) internal address decoding,
-- the BASE of the RAM addresses MUST be allocated at an
-- address that is larger the RAM capacity. Otherwise, the
-- base must be subtracted from the address on every reference,
-- which means having an adder in the critical path. Bad idea.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- syncronous RAM for synthesis; NON-initialized, byte-indexed
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity RAM is
generic (LOAD_FILE_NAME : string := "data.bin";
DUMP_FILE_NAME : string := "dump.data");
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
rdy : out std_logic; -- active in '0'
wr : in std_logic; -- active in '0'
strobe : in std_logic; -- active in '1'
addr : in reg32;
data_inp : in reg32;
data_out : out reg32;
byte_sel : in reg4;
dump_ram : in std_logic); -- dump RAM contents
-- simulation version
constant DATA_ADDRS_BITS : natural := log2_ceil(DATA_MEM_SZ);
-- FPGA version
constant N_WORDS : natural := 8192;
constant ADDRS_BITS : natural := log2_ceil(N_WORDS);
subtype ram_address is integer range 0 to N_WORDS-1;
subtype ram_addr_bits is std_logic_vector(ADDRS_BITS-1 downto 0);
end entity RAM;
architecture rtl of RAM is
component mf_ram1port
generic (N_WORDS : integer; ADDRS_BITS : integer);
port (address : in std_logic_vector (ADDRS_BITS-1 downto 0);
clken : in std_logic;
clock : in std_logic;
data : in std_logic_vector (7 downto 0);
wren : in std_logic;
q : out std_logic_vector (7 downto 0));
end component mf_ram1port;
component wait_states is
generic (NUM_WAIT_STATES :integer);
port(rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
waiting : out std_logic); -- active in '1'
end component wait_states;
signal we0,we1,we2,we3 : std_logic := '0';
signal di,do : reg32;
signal r_addr : ram_address := 0;
signal r_address : ram_addr_bits;
signal waiting, enable : std_logic;
begin -- rtl
U_BUS_WAIT: wait_states generic map (RAM_WAIT_STATES)
port map (rst, clk, sel, waiting);
rdy <= not(waiting);
enable <= not(sel);
-- CPU acesses are word-addressed; RAM is byte-addressed, 4-bytes wide
r_addr <= to_integer( unsigned(addr( (ADDRS_BITS-1+2) downto 2 ) ) );
r_address <= addr( ADDRS_BITS-1+2 downto 2 );
U_ram0: mf_ram1port generic map (N_WORDS, ADDRS_BITS) port map (
r_address, enable, strobe, di(7 downto 0), we0, do(7 downto 0));
U_ram1: mf_ram1port generic map (N_WORDS, ADDRS_BITS) port map (
r_address, enable, strobe, di(15 downto 8), we1, do(15 downto 8));
U_ram2: mf_ram1port generic map (N_WORDS, ADDRS_BITS) port map (
r_address, enable, strobe, di(23 downto 16), we2, do(23 downto 16));
U_ram3: mf_ram1port generic map (N_WORDS, ADDRS_BITS) port map (
r_address, enable, strobe, di(31 downto 24), we3, do(31 downto 24));
accessRAM: process(sel, strobe, wr, r_addr, byte_sel, data_inp, do)
begin
if sel = '0' then
if wr = '0' then -- WRITE to MEM
assert (r_addr >= 0) and (r_addr < (DATA_MEM_SZ/4))
report "ramWR index out of bounds: " & natural'image(r_addr)
severity failure;
case byte_sel is -- partial word stores
when b"1111" => -- SW
we3 <= '1';
we2 <= '1';
we1 <= '1';
we0 <= '1';
di <= data_inp;
when b"1100" => -- SH, upper
we3 <= '1';
we2 <= '1';
we1 <= '0';
we0 <= '0';
di(31 downto 16) <= data_inp(15 downto 0);
di(15 downto 0) <= (others => 'X');
when b"0011" => -- SH. lower
we3 <= '0';
we2 <= '0';
we1 <= '1';
we0 <= '1';
di(15 downto 0) <= data_inp(15 downto 0);
di(31 downto 16) <= (others => 'X');
when b"0001" => -- SB
we3 <= '0';
we2 <= '0';
we1 <= '0';
we0 <= '1';
di(7 downto 0) <= data_inp(7 downto 0);
di(31 downto 8) <= (others => 'X');
when b"0010" =>
we3 <= '0';
we2 <= '0';
we1 <= '1';
we0 <= '0';
di(31 downto 16) <= (others => 'X');
di(15 downto 8) <= data_inp(7 downto 0);
di(7 downto 0) <= (others => 'X');
when b"0100" =>
we3 <= '0';
we2 <= '1';
we1 <= '0';
we0 <= '0';
di(31 downto 24) <= (others => 'X');
di(23 downto 16) <= data_inp(7 downto 0);
di(15 downto 0) <= (others => 'X');
when b"1000" =>
we3 <= '1';
we2 <= '0';
we1 <= '0';
we0 <= '0';
di(31 downto 24) <= data_inp(7 downto 0);
di(23 downto 0) <= (others => 'X');
when others =>
we3 <= '0';
we2 <= '0';
we1 <= '0';
we0 <= '0';
di <= (others => 'X');
end case;
assert TRUE report "ramWR["& natural'image(r_addr) &"] "
& SLV32HEX(data_inp) &" bySel=" & SLV2STR(byte_sel); -- DEBUG
data_out <= (others => 'X');
else -- READ from MEM, wr /= 0
we3 <= '0';
we2 <= '0';
we1 <= '0';
we0 <= '0';
di <= (others => 'X');
assert (r_addr >= 0) and (r_addr < (DATA_MEM_SZ/4))
report "ramRD index out of bounds: " & natural'image(r_addr)
severity failure;
-- byte/half selection done at CPU
data_out(31 downto 24) <= do(31 downto 24);
data_out(23 downto 16) <= do(23 downto 16);
data_out(15 downto 8) <= do(15 downto 8);
data_out(7 downto 0) <= do(7 downto 0);
assert TRUE report "ramRD["& natural'image(r_addr) &"] "
& SLV32HEX(do) &" bySel="& SLV2STR(byte_sel); -- DEBUG
end if; -- wr
else -- sel /= 0
we3 <= '0';
we2 <= '0';
we1 <= '0';
we0 <= '0';
di <= (others => 'X');
data_out <= (others => 'X');
end if;
end process accessRAM;
end architecture rtl;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- syncronous RAM; initialization Data loaded at CPU reset, byte-indexed
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture simulation of RAM is
component wait_states is
generic (NUM_WAIT_STATES :integer := 0);
port(rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
waiting : out std_logic); -- active in '1'
end component wait_states;
component FFT is
port(clk, rst, T : in std_logic; Q : out std_logic);
end component FFT;
constant WAIT_COUNT : max_wait_states := NUM_MAX_W_STS - RAM_WAIT_STATES;
signal wait_counter, ram_current : integer;
subtype t_address is unsigned((DATA_ADDRS_BITS - 1) downto 0);
subtype word is std_logic_vector(7 downto 0);
type storage_array is
array (natural range 0 to (DATA_MEM_SZ - 1)) of word;
signal storage : storage_array;
signal enable, waiting, do_wait : std_logic;
begin -- simulation
U_BUS_WAIT: wait_states generic map (RAM_WAIT_STATES)
port map (rst, clk, sel, waiting);
rdy <= not(waiting);
enable <= not(sel); -- and not(waiting);
accessRAM: process(strobe,enable, wr,rst, addr,byte_sel, data_inp,dump_ram)
variable u_addr : t_address;
variable index, latched : natural;
type binary_file is file of integer;
file load_file: binary_file open read_mode is LOAD_FILE_NAME;
variable datum: integer;
variable s_datum: signed(31 downto 0);
file dump_file: binary_file open write_mode is DUMP_FILE_NAME;
variable d : reg32 := (others => 'X');
variable val, i : integer;
begin
if rst = '0' then -- reset, read-in binary initialized data
index := 0; -- byte indexed
for i in 0 to (DATA_MEM_SZ - 1) loop
if not endfile(load_file) then
read(load_file, datum);
s_datum := to_signed(datum, 32);
assert TRUE report "ramINIT["& natural'image(index*4)&"]= " &
SLV32HEX(std_logic_vector(s_datum)); -- DEBUG
storage(index+3) <= std_logic_vector(s_datum(31 downto 24));
storage(index+2) <= std_logic_vector(s_datum(23 downto 16));
storage(index+1) <= std_logic_vector(s_datum(15 downto 8));
storage(index+0) <= std_logic_vector(s_datum(7 downto 0));
index := index + 4;
end if;
end loop;
data_out <= (others=>'X');
else -- (rst = '1'), normal operation
u_addr := unsigned(addr( (DATA_ADDRS_BITS-1) downto 0 ) );
index := to_integer(u_addr);
if sel = '0' and wr = '0' and rising_edge(strobe) then
assert (index >= 0) and (index < DATA_MEM_SZ)
report "ramWR index out of bounds: " & natural'image(index)
severity failure;
case byte_sel is
when b"1111" => -- SW
storage(index+3) <= data_inp(31 downto 24);
storage(index+2) <= data_inp(23 downto 16);
storage(index+1) <= data_inp(15 downto 8);
storage(index+0) <= data_inp(7 downto 0);
when b"1100" | b"0011" => -- SH
storage(index+1) <= data_inp(15 downto 8);
storage(index+0) <= data_inp(7 downto 0);
when b"0001" | b"0010" | b"0100" | b"1000" => -- SB
storage(index+0) <= data_inp(7 downto 0);
when others => null;
end case;
assert TRUE report "ramWR["& natural'image(index) &"] "
& SLV32HEX(data_inp) &" bySel=" & SLV2STR(byte_sel); -- DEBUG
end if; -- is write?
if sel = '0' and wr = '1' then
assert (index >= 0) and (index < DATA_MEM_SZ)
report "ramRD index out of bounds: " & natural'image(index)
severity failure;
case byte_sel is
when b"1111" => -- LW
d(31 downto 24) := storage(index+3);
d(23 downto 16) := storage(index+2);
d(15 downto 8) := storage(index+1);
d(7 downto 0) := storage(index+0);
when b"1100" => -- LH top-half
d(31 downto 24) := storage(index+1);
d(23 downto 16) := storage(index+0);
d(15 downto 0) := (others => 'X');
when b"0011" => -- LH bottom-half
d(31 downto 16) := (others => 'X');
d(15 downto 8) := storage(index+1);
d(7 downto 0) := storage(index+0);
when b"0001" => -- LB top byte
d(31 downto 8) := (others => 'X');
d(7 downto 0) := storage(index+0);
when b"0010" => -- LB mid-top byte
d(31 downto 16) := (others => 'X');
d(15 downto 8) := storage(index+0);
d(7 downto 0) := (others => 'X');
when b"0100" => -- LB mid-bot byte
d(31 downto 24) := (others => 'X');
d(23 downto 16) := storage(index+0);
d(15 downto 0) := (others => 'X');
when b"1000" => -- LB bottom byte
d(31 downto 24) := storage(index+0);
d(23 downto 0) := (others => 'X');
when others => d := (others => 'X');
end case;
assert TRUE report "ramRD["& natural'image(index) &"] "
& SLV32HEX(d) &" bySel="& SLV2STR(byte_sel); -- DEBUG
elsif rising_edge(dump_ram) then
i := 0;
while i < DATA_MEM_SZ-4 loop
d(31 downto 24) := storage(i+3);
d(23 downto 16) := storage(i+2);
d(15 downto 8) := storage(i+1);
d(7 downto 0) := storage(i+0);
write( dump_file, to_integer(signed(d)) );
i := i+4;
end loop; -- i
else
d := (others=>'X');
end if; -- is read?
data_out <= d;
end if; -- is reset?
end process accessRAM; -- ---------------------------------------------
end architecture simulation;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | cde9998affcf60ee47f42d0b8280207c | 0.484689 | 3.643541 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_muxcy.vhd | 15 | 10,538 | -------------------------------------------------------------------------------
-- $Id: or_muxcy.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_muxcy
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_muxcy.vhd
--
-- Description: This file is used to OR together consecutive bits within
-- sections of a bus.
--
-------------------------------------------------------------------------------
-- Structure: Common use module
-------------------------------------------------------------------------------
-- Author: ALS
-- History:
-- ALS 04/06/01 -- First version
--
-- ALS 05/18/01
-- ^^^^^^
-- Added use of carry chain muxes if number of bits is > 4
-- ~~~~~~
-- BLT 05/23/01
-- ^^^^^^
-- Removed pad_4 function, replaced with arithmetic expression
-- ~~~~~~
-- BLT 05/24/01
-- ^^^^^^
-- Removed Sig input, removed C_START_BIT and C_BUS_SIZE
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_cmb"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- Unisim library contains Xilinx primitives
library Unisim;
use Unisim.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_NUM_BITS -- number of bits to OR in bus section
--
-- Definition of Ports:
-- input In_Bus -- bus containing bits to be ORd
-- output Or_out -- OR result
--
-------------------------------------------------------------------------------
entity or_muxcy is
generic (
C_NUM_BITS : integer := 8
);
port (
In_bus : in std_logic_vector(0 to C_NUM_BITS-1);
Or_out : out std_logic
);
end or_muxcy;
architecture implementation of or_muxcy is
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-- Pad the number of bits to OR to the next multiple of 4
constant NUM_BITS_PAD : integer := ((C_NUM_BITS-1)/4+1)*4;
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Signal Declarations
-------------------------------------------------------------------------------
-- define output of OR chain
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
-- Carry Chain muxes are used to implement OR of 4 bits or more
component MUXCY
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component;
begin
-- If the number of bits to OR is 4 or less, a simple LUT can be used
LESSTHAN4_GEN: if C_NUM_BITS < 5 generate
-- define output of OR chain
signal or_tmp : std_logic_vector(0 to C_NUM_BITS-1) := (others => '0');
begin
BIT_LOOP: for i in 0 to C_NUM_BITS-1 generate
FIRST: if i = 0 generate
or_tmp(i) <= In_bus(0);
end generate FIRST;
REST: if i /= 0 generate
or_tmp(i) <= or_tmp(i-1) or In_bus(i);
end generate REST;
end generate BIT_LOOP;
Or_out <= or_tmp(C_NUM_BITS-1);
end generate LESSTHAN4_GEN;
-- If the number of bits to OR is 4 or more, then use LUTs and
-- carry chain. Pad the number of bits to the nearest multiple of 4
MORETHAN4_GEN: if C_NUM_BITS >= 5 generate
-- define output of LUTs
signal lut_out : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0');
-- define padded input bus
signal in_bus_pad : std_logic_vector(0 to NUM_BITS_PAD-1) := (others => '0');
-- define output of OR chain
signal or_tmp : std_logic_vector(0 to NUM_BITS_PAD/4-1) := (others => '0');
begin
-- pad input bus
in_bus_pad(0 to C_NUM_BITS-1) <= In_bus(0 to C_NUM_BITS-1);
OR_GENERATE: for i in 0 to NUM_BITS_PAD/4-1 generate
lut_out(i) <= not( in_bus_pad(i*4) or
in_bus_pad(i*4+1) or
in_bus_pad(i*4+2) or
in_bus_pad(i*4+3) );
FIRST: if i = 0 generate
FIRSTMUX_I: MUXCY
port map (
O => or_tmp(i), --[out]
CI => '0' , --[in]
DI => '1' , --[in]
S => lut_out(i) --[in]
);
end generate FIRST;
REST: if i /= 0 generate
RESTMUX_I: MUXCY
port map (
O => or_tmp(i), --[out]
CI => or_tmp(i-1), --[in]
DI => '1' , --[in]
S => lut_out(i) --[in]
);
end generate REST;
end generate OR_GENERATE;
Or_out <= or_tmp(NUM_BITS_PAD/4-1);
end generate MORETHAN4_GEN;
end implementation;
| apache-2.0 | c01c7d56158778ccfc2947fc4751d731 | 0.403302 | 5.018095 | false | false | false | false |
freecores/twofish | vhdl/twofish_cbc_decryption_monte_carlo_testbench_192bits.vhd | 1 | 11,468 | -- Twofish_cbc_decryption_monte_carlo_testbench_192bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
--
-- description : this file is the testbench for the Decryption Monte Carlo KAT of the twofish cipher with 192 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity cbc_decryption_monte_carlo_testbench192 is
end cbc_decryption_monte_carlo_testbench192;
architecture cbc_decryption192_monte_carlo_testbench_arch of cbc_decryption_monte_carlo_testbench192 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched192
port (
odd_in_tk192,
even_in_tk192 : in std_logic_vector(7 downto 0);
in_key_tk192 : in std_logic_vector(191 downto 0);
out_key_up_tk192,
out_key_down_tk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched192
port (
in_key_twk192 : in std_logic_vector(191 downto 0);
out_K0_twk192,
out_K1_twk192,
out_K2_twk192,
out_K3_twk192,
out_K4_twk192,
out_K5_twk192,
out_K6_twk192,
out_K7_twk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_decryption_round192
port (
in1_tdr192,
in2_tdr192,
in3_tdr192,
in4_tdr192,
in_Sfirst_tdr192,
in_Ssecond_tdr192,
in_Sthird_tdr192,
in_key_up_tdr192,
in_key_down_tdr192 : in std_logic_vector(31 downto 0);
out1_tdr192,
out2_tdr192,
out3_tdr192,
out4_tdr192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S192
port (
in_key_ts192 : in std_logic_vector(191 downto 0);
out_Sfirst_ts192,
out_Ssecond_ts192,
out_Sthird_ts192 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_cbc_decryption_monte_carlo_testvalues_192bits.txt";
FILE output_file : text is out "twofish_cbc_decryption_monte_carlo_192bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(191 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched192
port map (
in_key_twk192 => twofish_key,
out_K0_twk192 => K0,
out_K1_twk192 => K1,
out_K2_twk192 => K2,
out_K3_twk192 => K3,
out_K4_twk192 => K4,
out_K5_twk192 => K5,
out_K6_twk192 => K6,
out_K7_twk192 => K7
);
-- performing the input whitening XORs
from_xor0 <= K4 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K5 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K6 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K7 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched192
port map (
odd_in_tk192 => odd_number,
even_in_tk192 => even_number,
in_key_tk192 => twofish_key,
out_key_up_tk192 => key_up,
out_key_down_tk192 => key_down
);
producing_the_Skeys: twofish_S192
port map (
in_key_ts192 => twofish_key,
out_Sfirst_ts192 => Sfirst,
out_Ssecond_ts192 => Ssecond,
out_Sthird_ts192 => Sthird
);
the_decryption_circuit: twofish_decryption_round192
port map (
in1_tdr192 => to_round(127 downto 96),
in2_tdr192 => to_round(95 downto 64),
in3_tdr192 => to_round(63 downto 32),
in4_tdr192 => to_round(31 downto 0),
in_Sfirst_tdr192 => Sfirst,
in_Ssecond_tdr192 => Ssecond,
in_Sthird_tdr192 => Sthird,
in_key_up_tdr192 => key_up,
in_key_down_tdr192 => key_down,
out1_tdr192 => to_encr_reg128(127 downto 96),
out2_tdr192 => to_encr_reg128(95 downto 64),
out3_tdr192 => to_encr_reg128(63 downto 32),
out4_tdr192 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K0 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K1 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K2 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K3 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
cbc_dmc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f,
iv_f : line; -- ciphertext from file
variable key_v : std_logic_vector(191 downto 0); -- key vector input
variable pt_v , -- plaintext vector
ct_v,
iv_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable PT, CT, CV, CTj_1 : std_logic_vector(127 downto 0) := (others => '0');
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, iv_f);
readline(input_file,ct_f);
readline(input_file, pt_f);
hread(key_f,key_v);
hread(iv_f, iv_v);
hread(ct_f,ct_v);
hread(pt_f,pt_v);
twofish_key <= key_v;
CV := iv_v;
CT := ct_v;
for counter_10000 in 0 to 9999 loop
input_data <= CT;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00100110"; -- 38
odd_number <= "00100111"; -- 39
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector((((15-round)*2)+8), 8);
odd_number <= conv_std_logic_vector((((15-round)*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
PT := output_data XOR CV;
CV := CT;
CT := PT;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(iv_f, iv_v);
hwrite(ct_f, ct_v);
hwrite(pt_f, PT);
writeline(output_file,key_f);
writeline(output_file, iv_f);
writeline(output_file,ct_f);
writeline(output_file,pt_f);
assert (pt_v = PT) report "file entry and decryption result DO NOT match!!! :( " severity failure;
assert (pt_v /= PT) report "Decryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** CBC Decryption Monte Carlo Test with 192 bits key size ended succesfully! :) *****" severity failure;
end process cbc_dmc_proc;
end cbc_decryption192_monte_carlo_testbench_arch;
| gpl-2.0 | 913c5860735e36abf3802aaa573d7754 | 0.645448 | 2.69645 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_skid2mm_buf.vhd | 1 | 18,117 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_skid2mm_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
-- This Module also provides Write Data Bus Mirroring and WSTRB
-- Demuxing to match a narrow Stream to a wider MMap Write
-- Channel. By doing this in the skid buffer, the resource
-- utilization of the skid buffer can be minimized by only
-- having to buffer/mux the Stream data width, not the MMap
-- Data width.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_skid2mm_buf.vhd
-- |
-- |- axi_master_burst_wr_demux.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $10/19/2009$
--
-- History:
-- DET 1/19/2011 Initial
-- ~~~~~~
-- - Adapted from AXI DataMover v2_00_a axi_datamover_skid2axi_buf.vhd
-- ^^^^^^
--
-- DET 2/10/2011 Initial for EDK 13.2
-- ~~~~~~
-- -- Per CR593362
-- - Removed resets from the data path of the Skid and Output registers.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library axi_master_burst_v2_0;
use axi_master_burst_v2_0.axi_master_burst_wr_demux;
-------------------------------------------------------------------------------
entity axi_master_burst_skid2mm_buf is
generic (
C_MDATA_WIDTH : INTEGER range 32 to 256 := 32 ;
-- Width of the MMap Write Data bus (in bits)
C_SDATA_WIDTH : INTEGER range 8 to 256 := 32 ;
-- Width of the Stream Data bus (in bits)
C_ADDR_LSB_WIDTH : INTEGER range 1 to 8 := 5
-- Width of the LS address bus needed to Demux the WSTRB
);
port (
--------------------------------------------------------------------------
-- System Ports
--------------------------------------------------------------------------
aclk : In std_logic ; -- clock
arst : In std_logic ; -- reset
--------------------------------------------------------------------------
-- Slave Side (Wr Data Controller Input Side )
--------------------------------------------------------------------------
s_addr_lsb : in std_logic_vector(C_ADDR_LSB_WIDTH-1 downto 0); -- Demuxing Control
s_valid : In std_logic ; -- AXI4 Stream Like
s_ready : Out std_logic ; -- AXI4 Stream Like
s_data : In std_logic_vector(C_SDATA_WIDTH-1 downto 0); -- AXI4 Stream Like
s_strb : In std_logic_vector((C_SDATA_WIDTH/8)-1 downto 0); -- AXI4 Stream Like
s_last : In std_logic ; -- AXI4 Stream Like
--------------------------------------------------------------------------
-- Master Side (MMap Write Data Output Side)
--------------------------------------------------------------------------
m_valid : Out std_logic ; -- AXI4 Stream Like
m_ready : In std_logic ; -- AXI4 Stream Like
m_data : Out std_logic_vector(C_MDATA_WIDTH-1 downto 0); -- AXI4 Stream Like
m_strb : Out std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0); -- AXI4 Stream Like
m_last : Out std_logic -- AXI4 Stream Like
);
end entity axi_master_burst_skid2mm_buf;
architecture implementation of axi_master_burst_skid2mm_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
Constant IN_DATA_WIDTH : integer := C_SDATA_WIDTH;
Constant MM2STRM_WIDTH_RATIO : integer := C_MDATA_WIDTH/C_SDATA_WIDTH;
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(IN_DATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_mirror_data_out : std_logic_vector(C_MDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_wstrb_demux_out : std_logic_vector((C_MDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
m_valid <= sig_m_valid_out;
s_ready <= sig_s_ready_out;
m_strb <= sig_strb_reg_out;
m_last <= sig_last_reg_out;
m_data <= sig_mirror_data_out;
-- Assign the special s_ready FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup);
-- Generate the skid inpit register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else s_data;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
--Else s_strb;
Else sig_wstrb_demux_out;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else s_last;
-- m_valid combinational logic
sig_m_valid_comb <= s_valid or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(m_ready)));
-- s_ready combinational logic
sig_s_ready_comb <= m_ready or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(s_valid)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (aclk)
begin
if (aclk'event and aclk = '1') then
sig_reset_reg <= arst;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: s_ready_FLOP
--
-- Process Description:
-- Registers s_ready handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
s_ready_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process s_ready_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: m_valid_FLOP
--
-- Process Description:
-- Registers m_valid handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
m_valid_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1' or
sig_spcl_s_ready_set = '1') then -- Fix from AXI DMA
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process m_valid_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_DATA_REG
--
-- Process Description:
-- This process implements the Skid register for the
-- Skid Buffer Data signals.
--
-------------------------------------------------------------
SKID_DATA_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (sig_skid_reg_en = '1') then
sig_data_skid_reg <= s_data;
else
null; -- hold current state
end if;
end if;
end process SKID_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- Skid Buffer Control signals
--
-------------------------------------------------------------
SKID_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_strb_skid_reg <= sig_wstrb_demux_out;
sig_last_skid_reg <= s_last;
else
null; -- hold current state
end if;
end if;
end process SKID_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_DATA_REG
--
-- Process Description:
-- This process implements the Output register for the
-- Data signals.
--
-------------------------------------------------------------
OUTPUT_DATA_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_CNTL_REG
--
-- Process Description:
-- This process implements the Output registers for the
-- control signals.
--
-------------------------------------------------------------
OUTPUT_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_CNTL_REG;
-------------------------------------------------------------
-- Combinational Process
--
-- Label: DO_WR_DATA_MIRROR
--
-- Process Description:
-- Implement the Write Data Mirror structure
--
-- Note that it is required that the Stream Width be less than
-- or equal to the MMap WData width.
--
-------------------------------------------------------------
DO_WR_DATA_MIRROR : process (sig_data_reg_out)
begin
for slice_index in 0 to MM2STRM_WIDTH_RATIO-1 loop
sig_mirror_data_out(((C_SDATA_WIDTH*slice_index)+C_SDATA_WIDTH)-1
downto C_SDATA_WIDTH*slice_index)
<= sig_data_reg_out;
end loop;
end process DO_WR_DATA_MIRROR;
------------------------------------------------------------
-- Instance: I_WSTRB_DEMUX
--
-- Description:
-- Instance for the Write Strobe DeMux.
--
------------------------------------------------------------
I_WSTRB_DEMUX : entity axi_master_burst_v2_0.axi_master_burst_wr_demux
generic map (
C_SEL_ADDR_WIDTH => C_ADDR_LSB_WIDTH ,
C_MMAP_DWIDTH => C_MDATA_WIDTH ,
C_STREAM_DWIDTH => C_SDATA_WIDTH
)
port map (
wstrb_in => s_strb ,
demux_wstrb_out => sig_wstrb_demux_out ,
debeat_saddr_lsb => s_addr_lsb
);
end implementation;
| apache-2.0 | 506fe0940f854fe878d1e165e7bf67dd | 0.483524 | 4.39413 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/LEKO_LEKU/leko/g25.vhd | 1 | 12,422 | -- Xilinx XPort Language Converter, Version 4.1 (110)
--
-- ABEL Design Source: C:\home\kirill\xillinx\testing.abl
-- VHDL Design Output: testing.vhd
-- Created 02-Sep-2005 12:24 PM
--
-- Copyright (c) 2005, Xilinx, Inc. All Rights Reserved.
-- Xilinx Inc makes no warranty, expressed or implied, with respect to
-- the operation and/or functionality of the converted output files.
--
-- ee200 assignment 1
Library IEEE;
use IEEE.std_logic_1164.all;
entity testing is
Port (
A40, A39, A73: buffer std_logic;
A299, A265, A298: in std_logic;
A105: buffer std_logic;
A202, A201: in std_logic;
A43, A8, A41: buffer std_logic;
A269, A232, A170: in std_logic;
A106: buffer std_logic;
A166: in std_logic;
A76: buffer std_logic;
A234, A236, A167: in std_logic;
A109, A140: buffer std_logic;
A300: in std_logic;
A42: buffer std_logic;
A203, A267: in std_logic;
A108: buffer std_logic;
A235, A233: in std_logic;
A74: buffer std_logic;
A301, A168: in std_logic;
A138, A7, A139, A142, A6: buffer std_logic;
A268: in std_logic;
A141, A10, A72: buffer std_logic;
A200: in std_logic;
A75: buffer std_logic;
A169: in std_logic;
A107, A9: buffer std_logic;
A266, A302, A199: in std_logic
);
end testing;
architecture testing_behav of testing is
signal A165, A12, A137, A188, A270, A317, A314, A61, A258, A119, A66, A220,
A309, A230, A112, A295, A69, A310, A121, A325, A284, A91, A93, A257,
A34, A195, A160, A57, A321, A173, A281, A186, A89, A179, A48, A239,
A13, A120, A253, A23, A154, A50, A211, A190, A206, A175, A16, A68,
A38, A17, A225, A44, A129, A244, A85, A131, A192, A104, A273, A254,
A113, A223, A243, A4, A96, A101, A311, A318, A117, A124, A78, A147,
A324, A216, A161, A259, A31, A56, A315, A97, A231, A128, A278, A178,
A3, A22, A60, A198, A250, A26, A100, A306, A287, A261, A171, A227,
A94, A59, A82, A288, A172, A246, A185, A151, A155, A132, A30, A35,
A183, A224, A79, A189, A328, A14, A323, A116, A293, A65, A320, A207,
A88, A193, A305, A249, A327, A237, A215, A219, A277, A176, A53, A18,
A296, A125, A294, A228, A47, A280, A25, A217, A102, A205, A46, A67,
A5, A255, A251, A204, A272, A222, A64, A98, A95, A164, A135, A285,
A70, A149, A32, A312, A81, A49, A275, A248, A208, A123, A282, A289,
A146, A177, A159, A2, A118, A90, A297, A182, A242, A110, A152, A283,
A197, A83, A319, A307, A36, A241, A264, A326, A63, A260, A194, A144,
A330, A163, A212, A158, A291, A114, A133, A51, A229, A304, A29, A316,
A87, A28, A62, A54, A33, A276, A290, A322, A303, A145, A184, A111,
A274, A214, A58, A20, A226, A126, A245, A80, A86, A196, A52, A313,
A143, A218, A103, A209, A156, A11, A174, A187, A115, A71, A240, A256,
A92, A1, A247, A292, A150, A210, A134, A238, A162, A286, A329, A148,
A252, A213, A45, A21, A27, A127, A221, A99, A153, A263, A191, A19,
A37, A77, A24, A157, A279, A181, A55, A15, A271, A84, A262, A308,
A122, A180, A136, A130: std_logic;
begin
-- Start of original equations
A198 <= A176 and A191;
A197 <= (not A176) and (not A198);
A196 <= A176 or A191;
A195 <= (not A169) or (not A170);
A194 <= A176 or A191;
A193 <= (not A192) and (not A176);
A192 <= A176 and A191;
A191 <= (not A167) or (not A168);
A190 <= A168 and A180;
A189 <= A169 or A176;
A188 <= A169 or A170;
A187 <= (not A197) or (not A196);
A186 <= A195 and A188;
A185 <= ((not A169) and A176) or (A169 and (not A176));
A184 <= (not A193) or (not A194);
A183 <= (A168 and A180) or ((not A168) and (not A180));
A182 <= A190 or A167;
A181 <= A187 and A168;
A180 <= A189 and A188;
A179 <= A184 and A168;
A178 <= A186 and A185;
A177 <= ((not A178) and A168) or (A178 and (not A168));
A176 <= ((not A166) and A167) or (A166 and (not A167));
A175 <= A176 and A183;
A174 <= A176 and A182;
A173 <= A181 or A180;
A172 <= A179 or A178;
A171 <= A177 and A176;
A204 <= A210 and A209;
A205 <= A212 or A211;
A206 <= A214 or A213;
A207 <= A209 and A215;
A208 <= A209 and A216;
A209 <= ((not A199) and A200) or (A199 and (not A200));
A210 <= ((not A211) and A201) or (A211 and (not A201));
A211 <= A219 and A218;
A212 <= A217 and A201;
A213 <= A222 and A221;
A214 <= A220 and A201;
A215 <= A223 or A200;
A216 <= (A201 and A213) or ((not A201) and (not A213));
A217 <= (not A226) or (not A227);
A218 <= ((not A202) and A209) or (A202 and (not A209));
A219 <= A228 and A221;
A220 <= (not A230) or (not A229);
A221 <= A202 or A203;
A222 <= A202 or A209;
A223 <= A201 and A213;
A224 <= (not A200) or (not A201);
A225 <= A209 and A224;
A226 <= (not A225) and (not A209);
A227 <= A209 or A224;
A228 <= (not A202) or (not A203);
A229 <= A209 or A224;
A230 <= (not A209) and (not A231);
A231 <= A209 and A224;
A237 <= A243 and A242;
A238 <= A245 or A244;
A239 <= A247 or A246;
A240 <= A242 and A248;
A241 <= A242 and A249;
A242 <= ((not A232) and A233) or (A232 and (not A233));
A243 <= ((not A244) and A234) or (A244 and (not A234));
A244 <= A252 and A251;
A245 <= A250 and A234;
A246 <= A255 and A254;
A247 <= A253 and A234;
A248 <= A256 or A233;
A249 <= (A234 and A246) or ((not A234) and (not A246));
A250 <= (not A259) or (not A260);
A251 <= ((not A235) and A242) or (A235 and (not A242));
A252 <= A261 and A254;
A253 <= (not A263) or (not A262);
A254 <= A235 or A236;
A255 <= A235 or A242;
A256 <= A234 and A246;
A257 <= (not A233) or (not A234);
A258 <= A242 and A257;
A259 <= (not A258) and (not A242);
A260 <= A242 or A257;
A261 <= (not A235) or (not A236);
A262 <= A242 or A257;
A263 <= (not A235) or A235;
A264 <= A242 and A257;
A270 <= A276 and A275;
A271 <= A278 or A277;
A272 <= A280 or A279;
A273 <= A275 and A281;
A274 <= A275 and A282;
A275 <= ((not A265) and A266) or (A265 and (not A266));
A276 <= ((not A277) and A267) or (A277 and (not A267));
A277 <= A285 and A284;
A278 <= A283 and A267;
A279 <= A288 and A287;
A280 <= A286 and A267;
A281 <= A289 or A266;
A282 <= (A267 and A279) or ((not A267) and (not A279));
A283 <= (not A292) or (not A293);
A284 <= ((not A268) and A275) or (A268 and (not A275));
A285 <= A294 and A287;
A286 <= (not A296) or (not A295);
A287 <= A268 or A269;
A288 <= A268 or A275;
A289 <= A267 and A279;
A290 <= (not A266) or (not A267);
A291 <= A275 and A290;
A292 <= (not A291) and (not A275);
A293 <= A275 or A290;
A294 <= (not A268) or (not A269);
A295 <= A275 or A290;
A296 <= (not A275) and (not A297);
A297 <= A275 and A290;
A303 <= A309 and A308;
A304 <= A311 or A310;
A305 <= A313 or A312;
A306 <= A308 and A314;
A307 <= A308 and A315;
A308 <= ((not A298) and A299) or (A298 and (not A299));
A309 <= ((not A310) and A300) or (A310 and (not A300));
A310 <= A318 and A317;
A311 <= A316 and A300;
A312 <= A321 and A320;
A313 <= A319 and A300;
A314 <= A322 or A299;
A315 <= (A300 and A312) or ((not A300) and (not A312));
A316 <= (not A325) or (not A326);
A317 <= ((not A301) and A308) or (A301 and (not A308));
A318 <= A327 and A320;
A319 <= (not A329) or (not A328);
A320 <= A301 or A302;
A321 <= A301 or A308;
A322 <= A300 and A312;
A323 <= (not A299) or (not A300);
A324 <= A308 and A323;
A325 <= (not A324) and (not A308);
A326 <= A308 or A323;
A327 <= (not A301) or (not A302);
A328 <= A308 or A323;
A329 <= (not A308) and (not A330);
A330 <= A308 and A323;
A165 <= A143 and A158;
A164 <= (not A143) and (not A165);
A163 <= A143 or A158;
A162 <= (not A136) or (not A137);
A161 <= A143 or A158;
A160 <= (not A159) and (not A143);
A159 <= A143 and A158;
A158 <= (not A134) or (not A135);
A157 <= A135 and A147;
A156 <= A136 or A143;
A155 <= A136 or A137;
A154 <= (not A164) or (not A163);
A153 <= A162 and A155;
A152 <= ((not A136) and A143) or (A136 and (not A143));
A151 <= (not A160) or (not A161);
A150 <= (A135 and A147) or ((not A135) and (not A147));
A149 <= A157 or A134;
A148 <= A154 and A135;
A147 <= A156 and A155;
A146 <= A151 and A135;
A145 <= A153 and A152;
A144 <= ((not A145) and A135) or (A145 and (not A135));
A143 <= ((not A133) and A134) or (A133 and (not A134));
A142 <= A143 and A150;
A141 <= A143 and A149;
A140 <= A148 or A147;
A139 <= A146 or A145;
A138 <= A144 and A143;
A137 <= A307;
A136 <= A274;
A135 <= A241;
A134 <= A208;
A133 <= A171;
A132 <= A110 and A125;
A131 <= (not A110) and (not A132);
A130 <= A110 or A125;
A129 <= (not A103) or (not A104);
A128 <= A110 or A125;
A127 <= (not A126) and (not A110);
A126 <= A110 and A125;
A125 <= (not A101) or (not A102);
A124 <= A102 and A114;
A123 <= A103 or A110;
A122 <= A103 or A104;
A121 <= (not A131) or (not A130);
A120 <= A129 and A122;
A119 <= ((not A103) and A110) or (A103 and (not A110));
A118 <= (not A127) or (not A128);
A117 <= (A102 and A114) or ((not A102) and (not A114));
A116 <= A124 or A101;
A115 <= A121 and A102;
A114 <= A123 and A122;
A113 <= A118 and A102;
A112 <= A120 and A119;
A111 <= ((not A112) and A102) or (A112 and (not A102));
A110 <= ((not A100) and A101) or (A100 and (not A101));
A109 <= A110 and A117;
A108 <= A110 and A116;
A107 <= A115 or A114;
A106 <= A113 or A112;
A105 <= A111 and A110;
A104 <= A306;
A103 <= A273;
A102 <= A240;
A101 <= A207;
A100 <= A172;
A99 <= A77 and A92;
A98 <= (not A77) and (not A99);
A97 <= A77 or A92;
A96 <= (not A70) or (not A71);
A95 <= A77 or A92;
A94 <= (not A93) and (not A77);
A93 <= A77 and A92;
A92 <= (not A68) or (not A69);
A91 <= A69 and A81;
A90 <= A70 or A77;
A89 <= A70 or A71;
A88 <= (not A98) or (not A97);
A87 <= A96 and A89;
A86 <= ((not A70) and A77) or (A70 and (not A77));
A85 <= (not A94) or (not A95);
A84 <= (A69 and A81) or ((not A69) and (not A81));
A83 <= A91 or A68;
A82 <= A88 and A69;
A81 <= A90 and A89;
A80 <= A85 and A69;
A79 <= A87 and A86;
A78 <= ((not A79) and A69) or (A79 and (not A69));
A77 <= ((not A67) and A68) or (A67 and (not A68));
A76 <= A77 and A84;
A75 <= A77 and A83;
A74 <= A82 or A81;
A73 <= A80 or A79;
A72 <= A78 and A77;
A71 <= A305;
A70 <= A272;
A69 <= A239;
A68 <= A206;
A67 <= A173;
A66 <= A44 and A59;
A65 <= (not A44) and (not A66);
A64 <= A44 or A59;
A63 <= (not A37) or (not A38);
A62 <= A44 or A59;
A61 <= (not A60) and (not A44);
A60 <= A44 and A59;
A59 <= (not A35) or (not A36);
A58 <= A36 and A48;
A57 <= A37 or A44;
A56 <= A37 or A38;
A55 <= (not A65) or (not A64);
A54 <= A63 and A56;
A53 <= ((not A37) and A44) or (A37 and (not A44));
A52 <= (not A61) or (not A62);
A51 <= (A36 and A48) or ((not A36) and (not A48));
A50 <= A58 or A35;
A49 <= A55 and A36;
A48 <= A57 and A56;
A47 <= A52 and A36;
A46 <= A54 and A53;
A45 <= ((not A46) and A36) or (A46 and (not A36));
A44 <= ((not A34) and A35) or (A34 and (not A35));
A43 <= A44 and A51;
A42 <= A44 and A50;
A41 <= A49 or A48;
A40 <= A47 or A46;
A39 <= A45 and A44;
A38 <= A304;
A37 <= A271;
A36 <= A238;
A35 <= A205;
A34 <= A174;
A1 <= A303;
A2 <= A270;
A3 <= A237;
A4 <= A204;
A5 <= A175;
A6 <= A12 and A11;
A7 <= A14 or A13;
A8 <= A16 or A15;
A9 <= A11 and A17;
A10 <= A11 and A18;
A11 <= ((not A1) and A2) or (A1 and (not A2));
A12 <= ((not A13) and A3) or (A13 and (not A3));
A13 <= A21 and A20;
A14 <= A19 and A3;
A15 <= A24 and A23;
A16 <= A22 and A3;
A17 <= A25 or A2;
A18 <= (A3 and A15) or ((not A3) and (not A15));
A19 <= (not A28) or (not A29);
A20 <= ((not A4) and A11) or (A4 and (not A11));
A21 <= A30 and A23;
A22 <= (not A32) or (not A31);
A23 <= A4 or A5;
A24 <= A4 or A11;
A25 <= A3 and A15;
A26 <= (not A2) or (not A3);
A27 <= A11 and A26;
A28 <= (not A27) and (not A11);
A29 <= A11 or A26;
A30 <= (not A4) or (not A5);
A31 <= A11 or A26;
A32 <= (not A11) and (not A33);
A33 <= A11 and A26;
end testing_behav;
| gpl-3.0 | 8b385e5f0123e99c13377e69a10748e4 | 0.568507 | 2.418143 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_gate_f.vhd | 15 | 9,178 | -------------------------------------------------------------------------------
-- $Id: or_gate_f.vhd,v 1.1.4.2 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- or_gate_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: or_gate_f.vhd
--
-- Description: OR gates. The width of each OR gate (C_OR_WIDTH)
-- and the number of or gates (C_BUS_WIDTH) are
-- parameterizable.
--
-- Y(j) <= A(j) OR A(C_BUS_WIDTH+j)
-- OR A(2*C_BUS_WIDTH+j)
-- ...
-- OR A((C_OR_WIDTH-1)*C_BUS_WIDTH+j),
--
-- for 0 <= j < C_BUS_WIDTH
--
-- If C_FAMILY is set (or left defaulted) to "nofamily"
-- then the implementation will be by synthesis inference.
-- Otherwise, a structural implementation optimized to
-- C_FAMILY may be generated, depending on whether
-- C_FAMILY supports the needed primtives.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- or_gate_f.vhd
--
-------------------------------------------------------------------------------
-- Author: FLO
-- History:
-- FLO 2006-12-11
-- ^^^^^^
-- First Version, derived from or_gate by BLT
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library proc_common_v4_0;
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_OR_WIDTH -- Which Xilinx FPGA family to target when
-- syntesizing, affect the RLOC string values
-- C_BUS_WIDTH -- Which Y position the RLOC should start from
--
-- Definition of Ports:
-- A -- Input. Input buses are concatenated together to
-- form input A. Example: to OR buses R, S, and T,
-- assign A <= R & S & T;
-- Y -- Output. Same width as input buses.
--
-------------------------------------------------------------------------------
entity or_gate_f is
generic (
C_OR_WIDTH : natural := 17;
C_BUS_WIDTH : natural := 1;
C_FAMILY : string := "nofamily"
);
port (
A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1);
Y : out std_logic_vector(0 to C_BUS_WIDTH-1)
);
end entity or_gate_f;
architecture imp of or_gate_f is
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
signal test : std_logic_vector(0 to C_BUS_WIDTH-1);
-------------------------------------------------------------------------------
-- Begin architecture
-------------------------------------------------------------------------------
begin
BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate
signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1);
begin
ORDER_INPUT_BUS_PROCESS: process( A ) is
begin
for k in 0 to C_OR_WIDTH-1 loop
in_Bus(k) <= A(k*C_BUS_WIDTH+i);
end loop;
end process ORDER_INPUT_BUS_PROCESS;
OR_BITS_I: entity proc_common_v4_0.or_muxcy_f
generic map (
C_NUM_BITS => C_OR_WIDTH,
C_FAMILY => C_FAMILY
)
port map (
In_bus => in_Bus, --[in]
Or_out => Y(i) --[out]
);
end generate BUS_WIDTH_FOR_GEN;
end architecture imp;
| apache-2.0 | 776aeaa197770ce50e49426f38ee9a0d | 0.405971 | 5.147504 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu_tlb.vhd | 1 | 28,978 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dtz3P7HlOAUhGgkKBUy1QJEKYtdsSjEg+kf91P/U0XbT7beVk/yn9eddwMorkijl11+zINZbsr2E
HG7K60UYOg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
klGwdFw9Rq/gCZVUAKnPA5P/rx7diiCgOhH2oOVABIaASxci3MHuY0Xy7Qaq9w2kQa2VwWivku4l
PhYMEbZ7OVSw7lIvbLsg7XN1Pq3YWdrhtewnpZOY+3RniXsUa//E6oNgvwsG7jOSE7qH1Q/0MMHJ
IcWwo2W7dcN7FPk0xeo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Z9gS7SF2t0uwYJwobHhfuYwx7VLS6YCsOQuDDqSRoOh3/+j30NCX6YDXNHhq1OGpDRVlbGJZdjyy
V1Hz+K+kHAZ72clELlHIEoSXGid3Z8pGzm14Vjdy74NEjIGZE1Ad8GJnDNC4D5X6djPkqakBCGp1
74IxuYuyzEIxi+hZI3A1clZCWv9MHDCBbZ7Tvu6PXHdlT8PPSAv48vKq1KT1jKvvnI4diDXpMqES
WSrxCCuPX0l/qigCMYsqD7Pl6D2x6HOpiDsYA9KqC23G20nZcJPj9yhkIjhfeY1Zs6U1q7SUY+hs
WoWREEqjGHWUB+lLHy/CLjwumDm+YOkkOWuEuw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TsTWXSQs+mthVbd6rLqN5L9H9zjwJb41s0ywSwTZ3lwofnj44lwQX67dl6Ztja4Puuk1GMin00Ig
tTej4rWuabHvg2MDS++5mWfVWNQH2zC9M9J/u8Mdz85hGsIzQ/p2qrpLVlGvCMykrp1rWUzrid4Q
5mH7f3foAxzXLbJFbOU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tSx/8oDZuXVS+KqRCQhnI9WSaaqsor7JRG3xkklRisZ/CnyvGgjTOMW9EG0XQwFlXS4bZ23hGZuF
1YcXOBE9xCi4cAd0qxOPC7JHEN3aZ1Dxs+23ekUi0Y+ouSatuiKgS5rW8SLYRp2wJisflmmP5458
3ThV4b2oabKhiBYZOJ9gjC2T3jsaxYbvIbJfm6JrGjqynOErPPPs27kO8kU4+8VUBb+/kialkIg5
M7DnHT95qkKojGN6NrPo9cCYWxGssHNEU3aCxAvut+9/zpxSh+PiYoqrZalhdg22Rpk4drrBLKPy
lyvgeFExNjVjWMoi+IISPjGzWLl2CIS3qSHXIA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19712)
`protect data_block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=
`protect end_protected
| apache-2.0 | 600e3ded605dca78ca84e935ce1d3811 | 0.94589 | 1.84585 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/exception_registers_gti.vhd | 1 | 31,248 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EKaYq+hpcsRsjGLOALkte57PrUcYNLg7VAWqnFULbJ4HQmXV97wnjl1yx8dRqhDTBHWvUOfAxryO
0/7NXPCxaQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WFRsaTmDOHUy4etzQmTbMnv29NiiDQQmR6I4lyse599xL7W5dk5zmC45BNPOFXMig6+Bj+81jr5n
urD4QzGWrkupVeXUMd4XN6SN1ExkzyHN4BlIhuQCg4ofWRaTvQnMpz0/tCPORdxD0ECEcoWImTQN
hSyAYQLCTnjiZTaXTqM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
IhZUj8ThtP9De+XC6QcSgE09ODxsK8n3T4RgINcCoynWUFfraRKpqTCq6PA4hg4FfboOYh54HXYs
4DUflSP1HuMZj4aE1ASF4B4HwY2Ze6x69pelVHEPGWnsQNhKH91zO3vaq/oYpuJLlsroyYbyPDXE
sakIzIQIWQw5yub+GK48Vyo1Xvw2J2CAwxUog2Ey79SDz1aP9woTZCOeJ9NbTb3XFudU2ZT1M3bI
jXAi8k62kJOQvEcijJbxuxU27K8mLiXdebQkHB8mWigTIQYd/9+7Frr5QcF0TiJ2PALCRoZu6PEq
hIVzJARU+cuhtpBW9VtiGJ8iBJYfvmt1VfADzw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
q6gBzXMEwP35eQrCPvI6s44JgjI230nAz7uAk+JXIuTev7WUCvpomd6LbBEY697dqaJIokZ6/S/W
lIzCjpQ+MuL2kVnCs88OknMMNdY97su1oMQAVYIdzqjCrkxB/FJMsX07GQBIqQt2EGbejSp97Mqz
VuPI/JUKA1OwsnLuYSs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ovt3x0LSPEVRqWDWoXUj4tDwM20/BmMKjMW8fRahhniSrXPsbtJ8+5v43ajAlIqZW2AIvLQZIUVI
PrXHAtvA1Cfi+BD5p1gXCC43FnBP+Nqjxbj78dpCID48GgKxnq3kc+c5EP1tPcw+lq4pWAkxZ/uM
z8UfYv3UsgsmbL17cUQ6CC5tThXNNLF5gpVbO5a9HS2N/iOtO8Rg2kimuR2AvCdjh6jlOK9Us43W
QnjY870GNVDGoGpw06wpFHf3pgSaEbfUQRHZWUQp7x7YtdqYUk1NszFw9N+anbxpWPRz+in2aZ5a
lLovSSItvOCccxNGF0Bj75ZDTuxkMilKb1oVBg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21392)
`protect data_block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`protect end_protected
| apache-2.0 | 55c582a9c8f5ac08eadc5cb9e80d8e0c | 0.945276 | 1.837469 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/dc_ss_fwft.vhd | 5 | 9,156 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DrFNe3y2P5nP7Rx95qyoQtV577JZ6mHlWcJpkpgDuMk1tMBHYOxfAvMPjf6auNpYR8gPabRS6cnK
jRny4T5vWw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
IxQn8drvE7Sb9/3BUJVMQoZBd8cj4z9U1TZMSETxI02fgVKYupGpiEh+LiYhMW3es0I1ffs6McuE
bs7kk2EOtcuTfwPsa4Wu3OOcvxHHeC98yBqddgvOLVJoAEb5RNiGoYqws9c3o1iqC3JCd5sYhWwU
LB7ySr6E8tZPaq82yHw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UC27eDrtTOjJIFtKeyx8ADcLMtfAT4mJdO9dhuxbE9av5VWQotisvd42RGIAgggOPeCblnuSjCFN
MxJcsj0Ym5C2pXnWD8k45HFBUtM1CZYllaNawVznaxCoU9cbI/F/quA9dytfQ6E3nYD7NRUiQeXI
qNLRVlKOd3sHDl4Ml8BUzkUxkau+CLIMqPeItwxQ3t7N51OKF7jRvSKtsM42GZNwvj8StkQqJPGy
f05noKhb6G5GDYG/WWfCFpt3qATC3nfEMt61wsILal4ZZQnpwZN9UWH8SrVihRpVT0MA2gdJUbVX
9rjCb9QcTD0k1vglSUdT7+KsLKo6kCJgvn2v/A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iqIbH529vk+Zbr4o+0lOrJs0Ntf6Ib2MR0xJbZnnBLs3D+25tJbl1QpWtBcK9/Y2IAVXAleMTcjs
fxnkiRTPlx6HRCqglrfz+Vz0phKv5uAumD4xiUGt4yaxyu2wRfEx1rMjicoNaeBeKJNOOrM9a9FV
tnb/+g4KQpZTMCq7fVs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hK4UEFPXCgC7q4kNPisRaOqK53obm3ze8ng6fW4VvFqUMJP5MFWxSphzH/GYPeOeKkZBMmwyzQMN
47e7HNlOFny1piZcPvqRo22Qje54G7VKf8g4sBoKB6RwAZcCt47fKr2fP6BimKj7lZ8jjoAMqczT
V55bVQ34EHRLyi4l9r3Q2wQBGK4UQoKHoiSiCQoY2ZpDaDap/GKR7pdAqwAGcIS59GXn9NtJTQyZ
aLEkmd3ecgBvcYG/Im6ZmTT7EkS3zPDAAyygUTx3LO/s2tO4zMX2OySkUFOMbQZ8wzRO0TJ8aEkg
fSuuEquk4K9hgaUcIr+/UeW6VcPEOH9JB+BOtg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5040)
`protect data_block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`protect end_protected
| apache-2.0 | 47025be63baf4ac7e82c6210651b3782 | 0.923547 | 1.900768 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/3-ARF/asap-alap-random/arf_alap.vhd | 1 | 2,483 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.14:37:37)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY arf_alap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3);
output1, output2: OUT unsigned(0 TO 4));
END arf_alap_entity;
ARCHITECTURE arf_alap_description OF arf_alap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
register3 := input3 * 3;
register4 := input4 * 4;
WHEN "00000010" =>
register1 := register2 + register1;
register2 := register4 + register3;
WHEN "00000011" =>
register1 := register1 + 6;
register2 := register2 + 8;
WHEN "00000100" =>
register3 := register1 * 10;
register4 := register2 * 12;
register1 := register1 * 14;
register2 := register2 * 16;
WHEN "00000101" =>
register3 := register4 + register3;
register1 := register2 + register1;
WHEN "00000110" =>
register2 := register3 * 18;
register4 := register1 * 20;
register5 := input5 * 21;
register6 := input6 * 22;
register3 := register3 * 24;
register1 := register1 * 26;
register7 := input7 * 27;
register8 := input8 * 28;
WHEN "00000111" =>
register2 := register4 + register2;
register4 := register6 + register5;
register1 := register1 + register3;
register3 := register8 + register7;
WHEN "00001000" =>
output1 <= register4 + register2;
output2 <= register3 + register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END arf_alap_description; | gpl-3.0 | 59a9df8a30e34a1e3b1ee4bdb93bdfab | 0.659686 | 3.107635 | false | false | false | false |
freecores/twofish | vhdl/twofish.vhd | 1 | 183,136 | -- Twofish.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
-- description : this file includes all the components necessary to perform symmetric encryption
-- with the twofish 128 bit block cipher. Within there are four main parts of the file.
-- the first part is the twofish crypto primitives which are independent of the key
-- input length, the second part is the 128 bit key input components, the third part
-- is the 192 bit key components and finaly the 256 bit key input components
--
-- ====================================================== --
-- ====================================================== --
-- --
-- first part: key input independent component primitives --
-- --
-- ====================================================== --
-- ====================================================== --
--
-- q0
--
library ieee;
Use ieee.std_logic_1164.all;
entity q0 is
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end q0;
architecture q0_arch of q0 is
-- declaring internal signals
signal a0,b0,
a1,b1,
a2,b2,
a3,b3,
a4,b4 : std_logic_vector(3 downto 0);
signal b0_ror4,
a0_times_8,
b2_ror4,
a2_times_8 : std_logic_vector(3 downto 0);
-- beginning of the architecture description
begin
-- little endian
b0 <= in_q0(3 downto 0);
a0 <= in_q0(7 downto 4);
a1 <= a0 XOR b0;
-- signal b0 is ror4'ed by 1 bit
b0_ror4(2 downto 0) <= b0(3 downto 1);
b0_ror4(3) <= b0(0);
-- 8*a0 = 2^3*a0= a0 << 3
a0_times_8(2 downto 0) <= (others => '0');
a0_times_8(3) <= a0(0);
b1 <= a0 XOR b0_ror4 XOR a0_times_8;
--
-- t0 table
--
with a1 select
a2 <= "1000" when "0000", -- 8
"0001" when "0001", -- 1
"0111" when "0010", -- 7
"1101" when "0011", -- D
"0110" when "0100", -- 6
"1111" when "0101", -- F
"0011" when "0110", -- 3
"0010" when "0111", -- 2
"0000" when "1000", -- 0
"1011" when "1001", -- B
"0101" when "1010", -- 5
"1001" when "1011", -- 9
"1110" when "1100", -- E
"1100" when "1101", -- C
"1010" when "1110", -- A
"0100" when others; -- 4
--
-- t1 table
--
with b1 select
b2 <= "1110" when "0000", -- E
"1100" when "0001", -- C
"1011" when "0010", -- B
"1000" when "0011", -- 8
"0001" when "0100", -- 1
"0010" when "0101", -- 2
"0011" when "0110", -- 3
"0101" when "0111", -- 5
"1111" when "1000", -- F
"0100" when "1001", -- 4
"1010" when "1010", -- A
"0110" when "1011", -- 6
"0111" when "1100", -- 7
"0000" when "1101", -- 0
"1001" when "1110", -- 9
"1101" when others; -- D
a3 <= a2 XOR b2;
-- signal b2 is ror4'ed by 1 bit
b2_ror4(2 downto 0) <= b2(3 downto 1);
b2_ror4(3) <= b2(0);
-- 8*a2 = 2^3*a2=a2<<3
a2_times_8(2 downto 0) <= (others => '0');
a2_times_8(3) <= a2(0);
b3 <= a2 XOR b2_ror4 XOR a2_times_8;
--
-- t0 table
--
with a3 select
a4 <= "1011" when "0000", -- B
"1010" when "0001", -- A
"0101" when "0010", -- 5
"1110" when "0011", -- E
"0110" when "0100", -- 6
"1101" when "0101", -- D
"1001" when "0110", -- 9
"0000" when "0111", -- 0
"1100" when "1000", -- C
"1000" when "1001", -- 8
"1111" when "1010", -- F
"0011" when "1011", -- 3
"0010" when "1100", -- 2
"0100" when "1101", -- 4
"0111" when "1110", -- 7
"0001" when others; -- 1
--
-- t1 table
--
with b3 select
b4 <= "1101" when "0000", -- D
"0111" when "0001", -- 7
"1111" when "0010", -- F
"0100" when "0011", -- 4
"0001" when "0100", -- 1
"0010" when "0101", -- 2
"0110" when "0110", -- 6
"1110" when "0111", -- E
"1001" when "1000", -- 9
"1011" when "1001", -- B
"0011" when "1010", -- 3
"0000" when "1011", -- 0
"1000" when "1100", -- 8
"0101" when "1101", -- 5
"1100" when "1110", -- C
"1010" when others; -- A
-- the output of q0
out_q0 <= b4 & a4;
end q0_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- q1
--
library ieee;
Use ieee.std_logic_1164.all;
entity q1 is
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end q1;
-- architecture description
architecture q1_arch of q1 is
-- declaring the internal signals
signal a0,b0,
a1,b1,
a2,b2,
a3,b3,
a4,b4 : std_logic_vector(3 downto 0);
signal b0_ror4,
a0_times_8,
b2_ror4,
a2_times_8 : std_logic_vector(3 downto 0);
-- begin the architecture description
begin
-- little endian
b0 <= in_q1(3 downto 0);
a0 <= in_q1(7 downto 4);
a1 <= a0 XOR b0;
-- signal b0 is ror4'ed by 1 bit
b0_ror4(2 downto 0) <= b0(3 downto 1);
b0_ror4(3) <= b0(0);
-- 8*a0 = 2^3*a0=a0<<3
a0_times_8(2 downto 0) <= (others => '0');
a0_times_8(3) <= a0(0);
b1 <= a0 XOR b0_ror4 XOR a0_times_8;
--
-- t0 table
--
with a1 select
a2 <= "0010" when "0000", -- 2
"1000" when "0001", -- 8
"1011" when "0010", -- b
"1101" when "0011", -- d
"1111" when "0100", -- f
"0111" when "0101", -- 7
"0110" when "0110", -- 6
"1110" when "0111", -- e
"0011" when "1000", -- 3
"0001" when "1001", -- 1
"1001" when "1010", -- 9
"0100" when "1011", -- 4
"0000" when "1100", -- 0
"1010" when "1101", -- a
"1100" when "1110", -- c
"0101" when others; -- 5
--
-- t1 table
--
with b1 select
b2 <= "0001" when "0000", -- 1
"1110" when "0001", -- e
"0010" when "0010", -- 2
"1011" when "0011", -- b
"0100" when "0100", -- 4
"1100" when "0101", -- c
"0011" when "0110", -- 3
"0111" when "0111", -- 7
"0110" when "1000", -- 6
"1101" when "1001", -- d
"1010" when "1010", -- a
"0101" when "1011", -- 5
"1111" when "1100", -- f
"1001" when "1101", -- 9
"0000" when "1110", -- 0
"1000" when others; -- 8
a3 <= a2 XOR b2;
-- signal b2 is ror4'ed by 1 bit
b2_ror4(2 downto 0) <= b2(3 downto 1);
b2_ror4(3) <= b2(0);
-- 8*a2 = 2^3*a2=a2<<3
a2_times_8(2 downto 0) <= (others => '0');
a2_times_8(3) <= a2(0);
b3 <= a2 XOR b2_ror4 XOR a2_times_8;
--
-- t0 table
--
with a3 select
a4 <= "0100" when "0000", -- 4
"1100" when "0001", -- c
"0111" when "0010", -- 7
"0101" when "0011", -- 5
"0001" when "0100", -- 1
"0110" when "0101", -- 6
"1001" when "0110", -- 9
"1010" when "0111", -- a
"0000" when "1000", -- 0
"1110" when "1001", -- e
"1101" when "1010", -- d
"1000" when "1011", -- 8
"0010" when "1100", -- 2
"1011" when "1101", -- b
"0011" when "1110", -- 3
"1111" when others; -- f
--
-- t1 table
--
with b3 select
b4 <= "1011" when "0000", -- b
"1001" when "0001", -- 9
"0101" when "0010", -- 5
"0001" when "0011", -- 1
"1100" when "0100", -- c
"0011" when "0101", -- 3
"1101" when "0110", -- d
"1110" when "0111", -- e
"0110" when "1000", -- 6
"0100" when "1001", -- 4
"0111" when "1010", -- 7
"1111" when "1011", -- f
"0010" when "1100", -- 2
"0000" when "1101", -- 0
"1000" when "1110", -- 8
"1010" when others; -- a
-- output of q1
out_q1 <= b4 & a4;
end q1_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- ef multiplier
--
library ieee;
use ieee.std_logic_1164.all;
entity mul_ef is
port (
in_ef : in std_logic_vector(7 downto 0);
out_ef : out std_logic_vector(7 downto 0)
);
end mul_ef;
architecture mul_ef_arch of mul_ef is
begin
out_ef(0) <= in_ef(2) XOR in_ef(1) XOR in_ef(0);
out_ef(1) <= in_ef(3) XOR in_ef(2) XOR in_ef(1) XOR in_ef(0);
out_ef(2) <= in_ef(4) XOR in_ef(3) XOR in_ef(2) XOR in_ef(1) XOR in_ef(0);
out_ef(3) <= in_ef(5) XOR in_ef(4) XOR in_ef(3) XOR in_ef(0);
out_ef(4) <= in_ef(6) XOR in_ef(5) XOR in_ef(4) XOR in_ef(1);
out_ef(5) <= in_ef(7) XOR in_ef(6) XOR in_ef(5) XOR in_ef(1) XOR in_ef(0);
out_ef(6) <= in_ef(7) XOR in_ef(6) XOR in_ef(0);
out_ef(7) <= in_ef(7) XOR in_ef(1) XOR in_ef(0);
end mul_ef_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- 5b multiplier
--
library ieee;
use ieee.std_logic_1164.all;
entity mul_5b is
port (
in_5b : in std_logic_vector(7 downto 0);
out_5b : out std_logic_vector(7 downto 0)
);
end mul_5b;
architecture mul_5b_arch of mul_5b is
begin
out_5b(0) <= in_5b(2) XOR in_5b(0);
out_5b(1) <= in_5b(3) XOR in_5b(1) XOR in_5b(0);
out_5b(2) <= in_5b(4) XOR in_5b(2) XOR in_5b(1);
out_5b(3) <= in_5b(5) XOR in_5b(3) XOR in_5b(0);
out_5b(4) <= in_5b(6) XOR in_5b(4) XOR in_5b(1) XOR in_5b(0);
out_5b(5) <= in_5b(7) XOR in_5b(5) XOR in_5b(1);
out_5b(6) <= in_5b(6) XOR in_5b(0);
out_5b(7) <= in_5b(7) XOR in_5b(1);
end mul_5b_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- mds
--
library ieee;
use ieee.std_logic_1164.all;
entity mds is
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end mds;
-- architecture description of mds component
architecture mds_arch of mds is
-- we declare the multiplier by ef
component mul_ef
port (
in_ef : in std_logic_vector(7 downto 0);
out_ef : out std_logic_vector(7 downto 0)
);
end component;
-- we declare the multiplier by 5b
component mul_5b
port (
in_5b : in std_logic_vector(7 downto 0);
out_5b : out std_logic_vector(7 downto 0)
);
end component;
-- we declare the multiplier's outputs
signal y0_ef, y0_5b,
y1_ef, y1_5b,
y2_ef, y2_5b,
y3_ef, y3_5b : std_logic_vector(7 downto 0);
begin
-- we perform the signal multiplication
y0_times_ef: mul_ef
port map (
in_ef => y0,
out_ef => y0_ef
);
y0_times_5b: mul_5b
port map (
in_5b => y0,
out_5b => y0_5b
);
y1_times_ef: mul_ef
port map (
in_ef => y1,
out_ef => y1_ef
);
y1_times_5b: mul_5b
port map (
in_5b => y1,
out_5b => y1_5b
);
y2_times_ef: mul_ef
port map (
in_ef => y2,
out_ef => y2_ef
);
y2_times_5b: mul_5b
port map (
in_5b => y2,
out_5b => y2_5b
);
y3_times_ef: mul_ef
port map (
in_ef => y3,
out_ef => y3_ef
);
y3_times_5b: mul_5b
port map (
in_5b => y3,
out_5b => y3_5b
);
-- we perform the addition of the partial results in order to receive
-- the table output
-- z0 = y0*01 + y1*ef + y2*5b + y3*5b , opoy + bazoyme XOR
z0 <= y0 XOR y1_ef XOR y2_5b XOR y3_5b;
-- z1 = y0*5b + y1*ef + y2*ef + y3*01
z1 <= y0_5b XOR y1_ef XOR y2_ef XOR y3;
-- z2 = y0*ef + y1*5b + y2*01 +y3*ef
z2 <= y0_ef XOR y1_5b XOR y2 XOR y3_ef;
-- z3 = y0*ef + y1*01 + y2*ef + y3*5b
z3 <= y0_ef XOR y1 XOR y2_ef XOR y3_5b;
end mds_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- 1 bit adder
--
library ieee;
use ieee.std_logic_1164.all;
entity adder is
port (
in1_adder,
in2_adder,
in_carry_adder : in std_logic;
out_adder,
out_carry_adder : out std_logic
);
end adder;
architecture adder_arch of adder is
begin
out_adder <= in_carry_adder XOR in1_adder XOR in2_adder;
out_carry_adder <= (in_carry_adder AND (in1_adder XOR in2_adder)) OR (in1_adder AND in2_adder);
end adder_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- pht
--
library ieee;
use ieee.std_logic_1164.all;
entity pht is
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end pht;
-- architecture description
architecture pht_arch of pht is
-- we declare internal signals
signal intermediate_carry1,
intermediate_carry2,
to_upper_out : std_logic_vector(31 downto 0);
signal zero : std_logic;
component adder
port (
in1_adder,
in2_adder,
in_carry_adder : in std_logic;
out_adder,
out_carry_adder : out std_logic
);
end component;
begin
-- initializing zero signal
zero <= '0';
-- instantiating the upper adder of 32 bits
up_adder: for i in 0 to 31 generate
adder_one: if (i=0) generate
the_adder: adder
port map (
in1_adder => up_in_pht(0),
in2_adder => down_in_pht(0),
in_carry_adder => zero,
out_adder => to_upper_out(0),
out_carry_adder => intermediate_carry1(0)
);
end generate adder_one;
rest_adders: if (i>0) generate
next_adder: adder
port map (
in1_adder => up_in_pht(i),
in2_adder => down_in_pht(i),
in_carry_adder => intermediate_carry1(i-1),
out_adder => to_upper_out(i),
out_carry_adder => intermediate_carry1(i)
);
end generate rest_adders;
end generate up_adder;
intermediate_carry1(31) <= '0';
-- receiving the upper pht output
up_out_pht <= to_upper_out;
-- instantiating the lower adder of 32 bits
down_adder: for i in 0 to 31 generate
adder_one_1: if (i=0) generate
the_adder_1: adder
port map (
in1_adder => down_in_pht(0),
in2_adder => to_upper_out(0),
in_carry_adder => zero,
out_adder => down_out_pht(0),
out_carry_adder => intermediate_carry2(0)
);
end generate adder_one_1;
rest_adders_1: if (i>0) generate
next_adder_1: adder
port map (
in1_adder => down_in_pht(i),
in2_adder => to_upper_out(i),
in_carry_adder => intermediate_carry2(i-1),
out_adder => down_out_pht(i),
out_carry_adder => intermediate_carry2(i)
);
end generate rest_adders_1;
end generate down_adder;
intermediate_carry2(31) <= '0';
end pht_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 01
--
library ieee;
use ieee.std_logic_1164.all;
entity mul01 is
port (
in_mul01 : in std_logic_vector(7 downto 0);
out_mul01 : out std_logic_vector(7 downto 0)
);
end mul01;
architecture mul01_arch of mul01 is
begin
out_mul01 <= in_mul01;
end mul01_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by a4
--
library ieee;
use ieee.std_logic_1164.all;
entity mula4 is
port (
in_mula4 : in std_logic_vector(7 downto 0);
out_mula4 : out std_logic_vector(7 downto 0)
);
end mula4;
architecture mula4_arch of mula4 is
begin
out_mula4(0) <= in_mula4(7) xor in_mula4(1);
out_mula4(1) <= in_mula4(2);
out_mula4(2) <= in_mula4(7) xor in_mula4(3) xor in_mula4(1) xor in_mula4(0);
out_mula4(3) <= in_mula4(7) xor in_mula4(4) xor in_mula4(2);
out_mula4(4) <= in_mula4(5) xor in_mula4(3);
out_mula4(5) <= in_mula4(6) xor in_mula4(4) xor in_mula4(0);
out_mula4(6) <= in_mula4(5);
out_mula4(7) <= in_mula4(6) xor in_mula4(0);
end mula4_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 55
--
library ieee;
use ieee.std_logic_1164.all;
entity mul55 is
port (
in_mul55 : in std_logic_vector(7 downto 0);
out_mul55 : out std_logic_vector(7 downto 0)
);
end mul55;
architecture mul55_arch of mul55 is
begin
out_mul55(0) <= in_mul55(7) xor in_mul55(6) xor in_mul55(2) xor in_mul55(0);
out_mul55(1) <= in_mul55(7) xor in_mul55(3) xor in_mul55(1);
out_mul55(2) <= in_mul55(7) xor in_mul55(6) xor in_mul55(4) xor in_mul55(0);
out_mul55(3) <= in_mul55(6) xor in_mul55(5) xor in_mul55(2) xor in_mul55(1);
out_mul55(4) <= in_mul55(7) xor in_mul55(6) xor in_mul55(3) xor in_mul55(2) xor in_mul55(0);
out_mul55(5) <= in_mul55(7) xor in_mul55(4) xor in_mul55(3) xor in_mul55(1);
out_mul55(6) <= in_mul55(7) xor in_mul55(6) xor in_mul55(5) xor in_mul55(4) xor in_mul55(0);
out_mul55(7) <= in_mul55(7) xor in_mul55(6) xor in_mul55(5) xor in_mul55(1);
end mul55_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 87
--
library ieee;
use ieee.std_logic_1164.all;
entity mul87 is
port (
in_mul87 : in std_logic_vector(7 downto 0);
out_mul87 : out std_logic_vector(7 downto 0)
);
end mul87;
architecture mul87_arch of mul87 is
begin
out_mul87(0) <= in_mul87(7) xor in_mul87(5) xor in_mul87(3) xor in_mul87(1) xor in_mul87(0);
out_mul87(1) <= in_mul87(6) xor in_mul87(4) xor in_mul87(2) xor in_mul87(1) xor in_mul87(0);
out_mul87(2) <= in_mul87(2) xor in_mul87(0);
out_mul87(3) <= in_mul87(7) xor in_mul87(5);
out_mul87(4) <= in_mul87(6);
out_mul87(5) <= in_mul87(7);
out_mul87(6) <= in_mul87(7) xor in_mul87(5) xor in_mul87(3) xor in_mul87(1);
out_mul87(7) <= in_mul87(6) xor in_mul87(4) xor in_mul87(2) xor in_mul87(0);
end mul87_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 5a
--
library ieee;
use ieee.std_logic_1164.all;
entity mul5a is
port (
in_mul5a : in std_logic_vector(7 downto 0);
out_mul5a : out std_logic_vector(7 downto 0)
);
end mul5a;
architecture mul5a_arch of mul5a is
begin
out_mul5a(0) <= in_mul5a(7) xor in_mul5a(5) xor in_mul5a(2);
out_mul5a(1) <= in_mul5a(6) xor in_mul5a(3) xor in_mul5a(0);
out_mul5a(2) <= in_mul5a(5) xor in_mul5a(4) xor in_mul5a(2) xor in_mul5a(1);
out_mul5a(3) <= in_mul5a(7) xor in_mul5a(6) xor in_mul5a(3) xor in_mul5a(0);
out_mul5a(4) <= in_mul5a(7) xor in_mul5a(4) xor in_mul5a(1) xor in_mul5a(0);
out_mul5a(5) <= in_mul5a(5) xor in_mul5a(2) xor in_mul5a(1);
out_mul5a(6) <= in_mul5a(7) xor in_mul5a(6) xor in_mul5a(5) xor in_mul5a(3) xor in_mul5a(0);
out_mul5a(7) <= in_mul5a(7) xor in_mul5a(6) xor in_mul5a(4) xor in_mul5a(1);
end mul5a_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 58
--
library ieee;
use ieee.std_logic_1164.all;
entity mul58 is
port (
in_mul58 : in std_logic_vector(7 downto 0);
out_mul58 : out std_logic_vector(7 downto 0)
);
end mul58;
architecture mul58_arch of mul58 is
begin
out_mul58(0) <= in_mul58(5) xor in_mul58(2);
out_mul58(1) <= in_mul58(6) xor in_mul58(3);
out_mul58(2) <= in_mul58(7) xor in_mul58(5) xor in_mul58(4) xor in_mul58(2);
out_mul58(3) <= in_mul58(6) xor in_mul58(3) xor in_mul58(2) xor in_mul58(0);
out_mul58(4) <= in_mul58(7) xor in_mul58(4) xor in_mul58(3) xor in_mul58(1) xor in_mul58(0);
out_mul58(5) <= in_mul58(5) xor in_mul58(4) xor in_mul58(2) xor in_mul58(1);
out_mul58(6) <= in_mul58(6) xor in_mul58(3) xor in_mul58(0);
out_mul58(7) <= in_mul58(7) xor in_mul58(4) xor in_mul58(1);
end mul58_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by db
--
library ieee;
use ieee.std_logic_1164.all;
entity muldb is
port (
in_muldb : in std_logic_vector(7 downto 0);
out_muldb : out std_logic_vector(7 downto 0)
);
end muldb;
architecture muldb_arch of muldb is
begin
out_muldb(0) <= in_muldb(7) xor in_muldb(6) xor in_muldb(3) xor in_muldb(2) xor in_muldb(1) xor in_muldb(0);
out_muldb(1) <= in_muldb(7) xor in_muldb(4) xor in_muldb(3) xor in_muldb(2) xor in_muldb(1) xor in_muldb(0);
out_muldb(2) <= in_muldb(7) xor in_muldb(6) xor in_muldb(5) xor in_muldb(4);
out_muldb(3) <= in_muldb(5) xor in_muldb(3) xor in_muldb(2) xor in_muldb(1) xor in_muldb(0);
out_muldb(4) <= in_muldb(6) xor in_muldb(4) xor in_muldb(3) xor in_muldb(2) xor in_muldb(1) xor in_muldb(0);
out_muldb(5) <= in_muldb(7) xor in_muldb(5) xor in_muldb(4) xor in_muldb(3) xor in_muldb(2) xor in_muldb(1);
out_muldb(6) <= in_muldb(7) xor in_muldb(5) xor in_muldb(4) xor in_muldb(1) xor in_muldb(0);
out_muldb(7) <= in_muldb(6) xor in_muldb(5) xor in_muldb(2) xor in_muldb(1) xor in_muldb(0);
end muldb_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 9e
--
library ieee;
use ieee.std_logic_1164.all;
entity mul9e is
port (
in_mul9e : in std_logic_vector(7 downto 0);
out_mul9e : out std_logic_vector(7 downto 0)
);
end mul9e;
architecture mul9e_arch of mul9e is
begin
out_mul9e(0) <= in_mul9e(6) xor in_mul9e(4) xor in_mul9e(3) xor in_mul9e(1);
out_mul9e(1) <= in_mul9e(7) xor in_mul9e(5) xor in_mul9e(4) xor in_mul9e(2) xor in_mul9e(0);
out_mul9e(2) <= in_mul9e(5) xor in_mul9e(4) xor in_mul9e(0);
out_mul9e(3) <= in_mul9e(5) xor in_mul9e(4) xor in_mul9e(3) xor in_mul9e(0);
out_mul9e(4) <= in_mul9e(6) xor in_mul9e(5) xor in_mul9e(4) xor in_mul9e(1) xor in_mul9e(0);
out_mul9e(5) <= in_mul9e(7) xor in_mul9e(6) xor in_mul9e(5) xor in_mul9e(2) xor in_mul9e(1);
out_mul9e(6) <= in_mul9e(7) xor in_mul9e(4) xor in_mul9e(2) xor in_mul9e(1);
out_mul9e(7) <= in_mul9e(5) xor in_mul9e(3) xor in_mul9e(2) xor in_mul9e(0);
end mul9e_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 56
--
library ieee;
use ieee.std_logic_1164.all;
entity mul56 is
port (
in_mul56 : in std_logic_vector(7 downto 0);
out_mul56 : out std_logic_vector(7 downto 0)
);
end mul56;
architecture mul56_arch of mul56 is
begin
out_mul56(0) <= in_mul56(6) xor in_mul56(2);
out_mul56(1) <= in_mul56(7) xor in_mul56(3) xor in_mul56(0);
out_mul56(2) <= in_mul56(6) xor in_mul56(4) xor in_mul56(2) xor in_mul56(1) xor in_mul56(0);
out_mul56(3) <= in_mul56(7) xor in_mul56(6) xor in_mul56(5) xor in_mul56(3) xor in_mul56(1);
out_mul56(4) <= in_mul56(7) xor in_mul56(6) xor in_mul56(4) xor in_mul56(2) xor in_mul56(0);
out_mul56(5) <= in_mul56(7) xor in_mul56(5) xor in_mul56(3) xor in_mul56(1);
out_mul56(6) <= in_mul56(4) xor in_mul56(0);
out_mul56(7) <= in_mul56(5) xor in_mul56(1);
end mul56_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 82
--
library ieee;
use ieee.std_logic_1164.all;
entity mul82 is
port (
in_mul82 : in std_logic_vector(7 downto 0);
out_mul82 : out std_logic_vector(7 downto 0)
);
end mul82;
architecture mul82_arch of mul82 is
begin
out_mul82(0) <= in_mul82(7) xor in_mul82(6) xor in_mul82(5) xor in_mul82(3) xor in_mul82(1);
out_mul82(1) <= in_mul82(7) xor in_mul82(6) xor in_mul82(4) xor in_mul82(2) xor in_mul82(0);
out_mul82(2) <= in_mul82(6);
out_mul82(3) <= in_mul82(6) xor in_mul82(5) xor in_mul82(3) xor in_mul82(1);
out_mul82(4) <= in_mul82(7) xor in_mul82(6) xor in_mul82(4) xor in_mul82(2);
out_mul82(5) <= in_mul82(7) xor in_mul82(5) xor in_mul82(3);
out_mul82(6) <= in_mul82(7) xor in_mul82(5) xor in_mul82(4) xor in_mul82(3) xor in_mul82(1);
out_mul82(7) <= in_mul82(6) xor in_mul82(5) xor in_mul82(4) xor in_mul82(2) xor in_mul82(0);
end mul82_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by f3
--
library ieee;
use ieee.std_logic_1164.all;
entity mulf3 is
port (
in_mulf3 : in std_logic_vector(7 downto 0);
out_mulf3 : out std_logic_vector(7 downto 0)
);
end mulf3;
architecture mulf3_arch of mulf3 is
begin
out_mulf3(0) <= in_mulf3(7) xor in_mulf3(6) xor in_mulf3(2) xor in_mulf3(1) xor in_mulf3(0);
out_mulf3(1) <= in_mulf3(7) xor in_mulf3(3) xor in_mulf3(2) xor in_mulf3(1) xor in_mulf3(0);
out_mulf3(2) <= in_mulf3(7) xor in_mulf3(6) xor in_mulf3(4) xor in_mulf3(3);
out_mulf3(3) <= in_mulf3(6) xor in_mulf3(5) xor in_mulf3(4) xor in_mulf3(2) xor in_mulf3(1);
out_mulf3(4) <= in_mulf3(7) xor in_mulf3(6) xor in_mulf3(5) xor in_mulf3(3) xor in_mulf3(2) xor in_mulf3(0);
out_mulf3(5) <= in_mulf3(7) xor in_mulf3(6) xor in_mulf3(4) xor in_mulf3(3) xor in_mulf3(1) xor in_mulf3(0);
out_mulf3(6) <= in_mulf3(6) xor in_mulf3(5) xor in_mulf3(4) xor in_mulf3(0);
out_mulf3(7) <= in_mulf3(7) xor in_mulf3(6) xor in_mulf3(5) xor in_mulf3(1) xor in_mulf3(0);
end mulf3_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 1e
--
library ieee;
use ieee.std_logic_1164.all;
entity mul1e is
port (
in_mul1e : in std_logic_vector(7 downto 0);
out_mul1e : out std_logic_vector(7 downto 0)
);
end mul1e;
architecture mul1e_arch of mul1e is
begin
out_mul1e(0) <= in_mul1e(5) xor in_mul1e(4);
out_mul1e(1) <= in_mul1e(6) xor in_mul1e(5) xor in_mul1e(0);
out_mul1e(2) <= in_mul1e(7) xor in_mul1e(6) xor in_mul1e(5) xor in_mul1e(4) xor in_mul1e(1) xor in_mul1e(0);
out_mul1e(3) <= in_mul1e(7) xor in_mul1e(6) xor in_mul1e(4) xor in_mul1e(2) xor in_mul1e(1) xor in_mul1e(0);
out_mul1e(4) <= in_mul1e(7) xor in_mul1e(5) xor in_mul1e(3) xor in_mul1e(2) xor in_mul1e(1) xor in_mul1e(0);
out_mul1e(5) <= in_mul1e(6) xor in_mul1e(4) xor in_mul1e(3) xor in_mul1e(2) xor in_mul1e(1);
out_mul1e(6) <= in_mul1e(7) xor in_mul1e(3) xor in_mul1e(2);
out_mul1e(7) <= in_mul1e(4) xor in_mul1e(3);
end mul1e_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by c6
--
library ieee;
use ieee.std_logic_1164.all;
entity mulc6 is
port (
in_mulc6 : in std_logic_vector(7 downto 0);
out_mulc6 : out std_logic_vector(7 downto 0)
);
end mulc6;
architecture mulc6_arch of mulc6 is
begin
out_mulc6(0) <= in_mulc6(6) xor in_mulc6(5) xor in_mulc6(4) xor in_mulc6(3) xor in_mulc6(2) xor in_mulc6(1);
out_mulc6(1) <= in_mulc6(7) xor in_mulc6(6) xor in_mulc6(5) xor in_mulc6(4) xor in_mulc6(3) xor in_mulc6(2) xor in_mulc6(0);
out_mulc6(2) <= in_mulc6(7) xor in_mulc6(2) xor in_mulc6(0);
out_mulc6(3) <= in_mulc6(6) xor in_mulc6(5) xor in_mulc6(4) xor in_mulc6(2);
out_mulc6(4) <= in_mulc6(7) xor in_mulc6(6) xor in_mulc6(5) xor in_mulc6(3);
out_mulc6(5) <= in_mulc6(7) xor in_mulc6(6) xor in_mulc6(4);
out_mulc6(6) <= in_mulc6(7) xor in_mulc6(6) xor in_mulc6(4) xor in_mulc6(3) xor in_mulc6(2) xor in_mulc6(1) xor in_mulc6(0);
out_mulc6(7) <= in_mulc6(7) xor in_mulc6(5) xor in_mulc6(4) xor in_mulc6(3) xor in_mulc6(2) xor in_mulc6(1) xor in_mulc6(0);
end mulc6_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 68
--
library ieee;
use ieee.std_logic_1164.all;
entity mul68 is
port (
in_mul68 : in std_logic_vector(7 downto 0);
out_mul68 : out std_logic_vector(7 downto 0)
);
end mul68;
architecture mul68_arch of mul68 is
begin
out_mul68(0) <= in_mul68(7) xor in_mul68(6) xor in_mul68(4) xor in_mul68(3) xor in_mul68(2);
out_mul68(1) <= in_mul68(7) xor in_mul68(5) xor in_mul68(4) xor in_mul68(3);
out_mul68(2) <= in_mul68(7) xor in_mul68(5) xor in_mul68(3) xor in_mul68(2);
out_mul68(3) <= in_mul68(7) xor in_mul68(2) xor in_mul68(0);
out_mul68(4) <= in_mul68(3) xor in_mul68(1);
out_mul68(5) <= in_mul68(4) xor in_mul68(2) xor in_mul68(0);
out_mul68(6) <= in_mul68(7) xor in_mul68(6) xor in_mul68(5) xor in_mul68(4) xor in_mul68(2) xor in_mul68(1) xor in_mul68(0);
out_mul68(7) <= in_mul68(7) xor in_mul68(6) xor in_mul68(5) xor in_mul68(3) xor in_mul68(2) xor in_mul68(1);
end mul68_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by e5
--
library ieee;
use ieee.std_logic_1164.all;
entity mule5 is
port (
in_mule5 : in std_logic_vector(7 downto 0);
out_mule5 : out std_logic_vector(7 downto 0)
);
end mule5;
architecture mule5_arch of mule5 is
begin
out_mule5(0) <= in_mule5(6) xor in_mule5(4) xor in_mule5(2) xor in_mule5(1) xor in_mule5(0);
out_mule5(1) <= in_mule5(7) xor in_mule5(5) xor in_mule5(3) xor in_mule5(2) xor in_mule5(1);
out_mule5(2) <= in_mule5(3) xor in_mule5(1) xor in_mule5(0);
out_mule5(3) <= in_mule5(6);
out_mule5(4) <= in_mule5(7);
out_mule5(5) <= in_mule5(0);
out_mule5(6) <= in_mule5(6) xor in_mule5(4) xor in_mule5(2) xor in_mule5(0);
out_mule5(7) <= in_mule5(7) xor in_mule5(5) xor in_mule5(3) xor in_mule5(1) xor in_mule5(0);
end mule5_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 02
--
library ieee;
use ieee.std_logic_1164.all;
entity mul02 is
port (
in_mul02 : in std_logic_vector(7 downto 0);
out_mul02 : out std_logic_vector(7 downto 0)
);
end mul02;
architecture mul02_arch of mul02 is
begin
out_mul02(0) <= in_mul02(7);
out_mul02(1) <= in_mul02(0);
out_mul02(2) <= in_mul02(7) xor in_mul02(1);
out_mul02(3) <= in_mul02(7) xor in_mul02(2);
out_mul02(4) <= in_mul02(3);
out_mul02(5) <= in_mul02(4);
out_mul02(6) <= in_mul02(7) xor in_mul02(5);
out_mul02(7) <= in_mul02(6);
end mul02_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by a1
--
library ieee;
use ieee.std_logic_1164.all;
entity mula1 is
port (
in_mula1 : in std_logic_vector(7 downto 0);
out_mula1 : out std_logic_vector(7 downto 0)
);
end mula1;
architecture mula1_arch of mula1 is
begin
out_mula1(0) <= in_mula1(7) xor in_mula1(6) xor in_mula1(1) xor in_mula1(0);
out_mula1(1) <= in_mula1(7) xor in_mula1(2) xor in_mula1(1);
out_mula1(2) <= in_mula1(7) xor in_mula1(6) xor in_mula1(3) xor in_mula1(2) xor in_mula1(1);
out_mula1(3) <= in_mula1(6) xor in_mula1(4) xor in_mula1(3) xor in_mula1(2) xor in_mula1(1);
out_mula1(4) <= in_mula1(7) xor in_mula1(5) xor in_mula1(4) xor in_mula1(3) xor in_mula1(2);
out_mula1(5) <= in_mula1(6) xor in_mula1(5) xor in_mula1(4) xor in_mula1(3) xor in_mula1(0);
out_mula1(6) <= in_mula1(5) xor in_mula1(4);
out_mula1(7) <= in_mula1(6) xor in_mula1(5) xor in_mula1(0);
end mula1_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by fc
--
library ieee;
use ieee.std_logic_1164.all;
entity mulfc is
port (
in_mulfc : in std_logic_vector(7 downto 0);
out_mulfc : out std_logic_vector(7 downto 0)
);
end mulfc;
architecture mulfc_arch of mulfc is
begin
out_mulfc(0) <= in_mulfc(7) xor in_mulfc(5) xor in_mulfc(2) xor in_mulfc(1);
out_mulfc(1) <= in_mulfc(6) xor in_mulfc(3) xor in_mulfc(2);
out_mulfc(2) <= in_mulfc(5) xor in_mulfc(4) xor in_mulfc(3) xor in_mulfc(2) xor in_mulfc(1) xor in_mulfc(0);
out_mulfc(3) <= in_mulfc(7) xor in_mulfc(6) xor in_mulfc(4) xor in_mulfc(3) xor in_mulfc(0);
out_mulfc(4) <= in_mulfc(7) xor in_mulfc(5) xor in_mulfc(4) xor in_mulfc(1) xor in_mulfc(0);
out_mulfc(5) <= in_mulfc(6) xor in_mulfc(5) xor in_mulfc(2) xor in_mulfc(1) xor in_mulfc(0);
out_mulfc(6) <= in_mulfc(6) xor in_mulfc(5) xor in_mulfc(3) xor in_mulfc(0);
out_mulfc(7) <= in_mulfc(7) xor in_mulfc(6) xor in_mulfc(4) xor in_mulfc(1) xor in_mulfc(0);
end mulfc_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by c1
--
library ieee;
use ieee.std_logic_1164.all;
entity mulc1 is
port (
in_mulc1 : in std_logic_vector(7 downto 0);
out_mulc1 : out std_logic_vector(7 downto 0)
);
end mulc1;
architecture mulc1_arch of mulc1 is
begin
out_mulc1(0) <= in_mulc1(7) xor in_mulc1(5) xor in_mulc1(4) xor in_mulc1(3) xor in_mulc1(2) xor in_mulc1(1) xor in_mulc1(0);
out_mulc1(1) <= in_mulc1(6) xor in_mulc1(5) xor in_mulc1(4) xor in_mulc1(3) xor in_mulc1(2) xor in_mulc1(1);
out_mulc1(2) <= in_mulc1(6) xor in_mulc1(1);
out_mulc1(3) <= in_mulc1(5) xor in_mulc1(4) xor in_mulc1(3) xor in_mulc1(1);
out_mulc1(4) <= in_mulc1(6) xor in_mulc1(5) xor in_mulc1(4) xor in_mulc1(2);
out_mulc1(5) <= in_mulc1(7) xor in_mulc1(6) xor in_mulc1(5) xor in_mulc1(3);
out_mulc1(6) <= in_mulc1(6) xor in_mulc1(5) xor in_mulc1(3) xor in_mulc1(2) xor in_mulc1(1) xor in_mulc1(0);
out_mulc1(7) <= in_mulc1(7) xor in_mulc1(6) xor in_mulc1(4) xor in_mulc1(3) xor in_mulc1(2) xor in_mulc1(1) xor in_mulc1(0);
end mulc1_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 47
--
library ieee;
use ieee.std_logic_1164.all;
entity mul47 is
port (
in_mul47 : in std_logic_vector(7 downto 0);
out_mul47 : out std_logic_vector(7 downto 0)
);
end mul47;
architecture mul47_arch of mul47 is
begin
out_mul47(0) <= in_mul47(4) xor in_mul47(2) xor in_mul47(0);
out_mul47(1) <= in_mul47(5) xor in_mul47(3) xor in_mul47(1) xor in_mul47(0);
out_mul47(2) <= in_mul47(6) xor in_mul47(1) xor in_mul47(0);
out_mul47(3) <= in_mul47(7) xor in_mul47(4) xor in_mul47(1);
out_mul47(4) <= in_mul47(5) xor in_mul47(2);
out_mul47(5) <= in_mul47(6) xor in_mul47(3);
out_mul47(6) <= in_mul47(7) xor in_mul47(2) xor in_mul47(0);
out_mul47(7) <= in_mul47(3) xor in_mul47(1);
end mul47_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by ae
--
library ieee;
use ieee.std_logic_1164.all;
entity mulae is
port (
in_mulae : in std_logic_vector(7 downto 0);
out_mulae : out std_logic_vector(7 downto 0)
);
end mulae;
architecture mulae_arch of mulae is
begin
out_mulae(0) <= in_mulae(7) xor in_mulae(5) xor in_mulae(1);
out_mulae(1) <= in_mulae(6) xor in_mulae(2) xor in_mulae(0);
out_mulae(2) <= in_mulae(5) xor in_mulae(3) xor in_mulae(0);
out_mulae(3) <= in_mulae(7) xor in_mulae(6) xor in_mulae(5) xor in_mulae(4) xor in_mulae(0);
out_mulae(4) <= in_mulae(7) xor in_mulae(6) xor in_mulae(5) xor in_mulae(1);
out_mulae(5) <= in_mulae(7) xor in_mulae(6) xor in_mulae(2) xor in_mulae(0);
out_mulae(6) <= in_mulae(5) xor in_mulae(3);
out_mulae(7) <= in_mulae(6) xor in_mulae(4) xor in_mulae(0);
end mulae_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 3d
--
library ieee;
use ieee.std_logic_1164.all;
entity mul3d is
port (
in_mul3d : in std_logic_vector(7 downto 0);
out_mul3d : out std_logic_vector(7 downto 0)
);
end mul3d;
architecture mul3d_arch of mul3d is
begin
out_mul3d(0) <= in_mul3d(4) xor in_mul3d(3) xor in_mul3d(0);
out_mul3d(1) <= in_mul3d(5) xor in_mul3d(4) xor in_mul3d(1);
out_mul3d(2) <= in_mul3d(6) xor in_mul3d(5) xor in_mul3d(4) xor in_mul3d(3) xor in_mul3d(2) xor in_mul3d(0);
out_mul3d(3) <= in_mul3d(7) xor in_mul3d(6) xor in_mul3d(5) xor in_mul3d(1) xor in_mul3d(0);
out_mul3d(4) <= in_mul3d(7) xor in_mul3d(6) xor in_mul3d(2) xor in_mul3d(1) xor in_mul3d(0);
out_mul3d(5) <= in_mul3d(7) xor in_mul3d(3) xor in_mul3d(2) xor in_mul3d(1) xor in_mul3d(0);
out_mul3d(6) <= in_mul3d(2) xor in_mul3d(1);
out_mul3d(7) <= in_mul3d(3) xor in_mul3d(2);
end mul3d_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 19
--
library ieee;
use ieee.std_logic_1164.all;
entity mul19 is
port (
in_mul19 : in std_logic_vector(7 downto 0);
out_mul19 : out std_logic_vector(7 downto 0)
);
end mul19;
architecture mul19_arch of mul19 is
begin
out_mul19(0) <= in_mul19(7) xor in_mul19(6) xor in_mul19(5) xor in_mul19(4) xor in_mul19(0);
out_mul19(1) <= in_mul19(7) xor in_mul19(6) xor in_mul19(5) xor in_mul19(1);
out_mul19(2) <= in_mul19(5) xor in_mul19(4) xor in_mul19(2);
out_mul19(3) <= in_mul19(7) xor in_mul19(4) xor in_mul19(3) xor in_mul19(0);
out_mul19(4) <= in_mul19(5) xor in_mul19(4) xor in_mul19(1) xor in_mul19(0);
out_mul19(5) <= in_mul19(6) xor in_mul19(5) xor in_mul19(2) xor in_mul19(1);
out_mul19(6) <= in_mul19(5) xor in_mul19(4) xor in_mul19(3) xor in_mul19(2);
out_mul19(7) <= in_mul19(6) xor in_mul19(5) xor in_mul19(4) xor in_mul19(3);
end mul19_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- multiplier by 03
--
library ieee;
use ieee.std_logic_1164.all;
entity mul03 is
port (
in_mul03 : in std_logic_vector(7 downto 0);
out_mul03 : out std_logic_vector(7 downto 0)
);
end mul03;
architecture mul03_arch of mul03 is
begin
out_mul03(0) <= in_mul03(7) xor in_mul03(0);
out_mul03(1) <= in_mul03(1) xor in_mul03(0);
out_mul03(2) <= in_mul03(7) xor in_mul03(2) xor in_mul03(1);
out_mul03(3) <= in_mul03(7) xor in_mul03(3) xor in_mul03(2);
out_mul03(4) <= in_mul03(4) xor in_mul03(3);
out_mul03(5) <= in_mul03(5) xor in_mul03(4);
out_mul03(6) <= in_mul03(7) xor in_mul03(6) xor in_mul03(5);
out_mul03(7) <= in_mul03(7) xor in_mul03(6);
end mul03_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish data input is the component
-- that transforms the data input to the
-- first round to the wanted form as is
-- described in the twofish prototype
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_data_input is
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end twofish_data_input;
architecture twofish_data_input_arch of twofish_data_input is
-- we declare internal signals
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6,
byte7, byte8, byte9,
byte10, byte11, byte12,
byte13, byte14, byte15 : std_logic_vector(7 downto 0);
signal P0, P1, P2, P3 : std_logic_vector(31 downto 0);
begin
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte15 <= in_tdi(7 downto 0);
byte14 <= in_tdi(15 downto 8);
byte13 <= in_tdi(23 downto 16);
byte12 <= in_tdi(31 downto 24);
byte11 <= in_tdi(39 downto 32);
byte10 <= in_tdi(47 downto 40);
byte9 <= in_tdi(55 downto 48);
byte8 <= in_tdi(63 downto 56);
byte7 <= in_tdi(71 downto 64);
byte6 <= in_tdi(79 downto 72);
byte5 <= in_tdi(87 downto 80);
byte4 <= in_tdi(95 downto 88);
byte3 <= in_tdi(103 downto 96);
byte2 <= in_tdi(111 downto 104);
byte1 <= in_tdi(119 downto 112);
byte0 <= in_tdi(127 downto 120);
-- we rearrange the bytes and send them to exit
P0 <= byte3 & byte2 & byte1 & byte0;
P1 <= byte7 & byte6 & byte5 & byte4;
P2 <= byte11 & byte10 & byte9 & byte8;
P3 <= byte15 & byte14 & byte13 & byte12;
out_tdi <= P0 & P1 & P2 & P3;
end twofish_data_input_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish data output is the component
-- that transforms the data output from the
-- 16th round to the wanted form as is
-- described in the twofish prototype
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_data_output is
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end twofish_data_output;
architecture twofish_data_output_arch of twofish_data_output is
-- we declare internal signals
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6,
byte7, byte8, byte9,
byte10, byte11, byte12,
byte13, byte14, byte15 : std_logic_vector(7 downto 0);
signal C0, C1, C2, C3 : std_logic_vector(31 downto 0);
begin
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte15 <= in_tdo(7 downto 0);
byte14 <= in_tdo(15 downto 8);
byte13 <= in_tdo(23 downto 16);
byte12 <= in_tdo(31 downto 24);
byte11 <= in_tdo(39 downto 32);
byte10 <= in_tdo(47 downto 40);
byte9 <= in_tdo(55 downto 48);
byte8 <= in_tdo(63 downto 56);
byte7 <= in_tdo(71 downto 64);
byte6 <= in_tdo(79 downto 72);
byte5 <= in_tdo(87 downto 80);
byte4 <= in_tdo(95 downto 88);
byte3 <= in_tdo(103 downto 96);
byte2 <= in_tdo(111 downto 104);
byte1 <= in_tdo(119 downto 112);
byte0 <= in_tdo(127 downto 120);
-- we rearrange the bytes and send them to exit
C0 <= byte3 & byte2 & byte1 & byte0;
C1 <= byte7 & byte6 & byte5 & byte4;
C2 <= byte11 & byte10 & byte9 & byte8;
C3 <= byte15 & byte14 & byte13 & byte12;
out_tdo <= C0 & C1 & C2 & C3;
end twofish_data_output_arch;
-- =======-======================================= --
-- =============================================== --
-- --
-- second part: 128 key input dependent components --
-- --
-- =============================================== --
-- =============================================== --
--
-- reed solomon for 128bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity reed_solomon128 is
port (
in_rs128 : in std_logic_vector(127 downto 0);
out_Sfirst_rs128,
out_Ssecond_rs128 : out std_logic_vector(31 downto 0)
);
end reed_solomon128;
architecture rs_128_arch of reed_solomon128 is
-- declaring all components necessary for reed solomon
-- 01
component mul01
port (
in_mul01 : in std_logic_vector(7 downto 0);
out_mul01 : out std_logic_vector(7 downto 0)
);
end component;
-- a4
component mula4
port (
in_mula4 : in std_logic_vector(7 downto 0);
out_mula4 : out std_logic_vector(7 downto 0)
);
end component;
-- 55
component mul55
port (
in_mul55 : in std_logic_vector(7 downto 0);
out_mul55 : out std_logic_vector(7 downto 0)
);
end component;
-- 87
component mul87
port (
in_mul87 : in std_logic_vector(7 downto 0);
out_mul87 : out std_logic_vector(7 downto 0)
);
end component;
-- 5a
component mul5a
port (
in_mul5a : in std_logic_vector(7 downto 0);
out_mul5a : out std_logic_vector(7 downto 0)
);
end component;
-- 58
component mul58
port (
in_mul58 : in std_logic_vector(7 downto 0);
out_mul58 : out std_logic_vector(7 downto 0)
);
end component;
-- db
component muldb
port (
in_muldb : in std_logic_vector(7 downto 0);
out_muldb : out std_logic_vector(7 downto 0)
);
end component;
-- 9e
component mul9e
port (
in_mul9e : in std_logic_vector(7 downto 0);
out_mul9e : out std_logic_vector(7 downto 0)
);
end component;
-- 56
component mul56
port (
in_mul56 : in std_logic_vector(7 downto 0);
out_mul56 : out std_logic_vector(7 downto 0)
);
end component;
-- 82
component mul82
port (
in_mul82 : in std_logic_vector(7 downto 0);
out_mul82 : out std_logic_vector(7 downto 0)
);
end component;
-- f3
component mulf3
port (
in_mulf3 : in std_logic_vector(7 downto 0);
out_mulf3 : out std_logic_vector(7 downto 0)
);
end component;
-- 1e
component mul1e
port (
in_mul1e : in std_logic_vector(7 downto 0);
out_mul1e : out std_logic_vector(7 downto 0)
);
end component;
-- c6
component mulc6
port (
in_mulc6 : in std_logic_vector(7 downto 0);
out_mulc6 : out std_logic_vector(7 downto 0)
);
end component;
-- 68
component mul68
port (
in_mul68 : in std_logic_vector(7 downto 0);
out_mul68 : out std_logic_vector(7 downto 0)
);
end component;
-- e5
component mule5
port (
in_mule5 : in std_logic_vector(7 downto 0);
out_mule5 : out std_logic_vector(7 downto 0)
);
end component;
-- 02
component mul02
port (
in_mul02 : in std_logic_vector(7 downto 0);
out_mul02 : out std_logic_vector(7 downto 0)
);
end component;
-- a1
component mula1
port (
in_mula1 : in std_logic_vector(7 downto 0);
out_mula1 : out std_logic_vector(7 downto 0)
);
end component;
-- fc
component mulfc
port (
in_mulfc : in std_logic_vector(7 downto 0);
out_mulfc : out std_logic_vector(7 downto 0)
);
end component;
-- c1
component mulc1
port (
in_mulc1 : in std_logic_vector(7 downto 0);
out_mulc1 : out std_logic_vector(7 downto 0)
);
end component;
-- 47
component mul47
port (
in_mul47 : in std_logic_vector(7 downto 0);
out_mul47 : out std_logic_vector(7 downto 0)
);
end component;
-- ae
component mulae
port (
in_mulae : in std_logic_vector(7 downto 0);
out_mulae : out std_logic_vector(7 downto 0)
);
end component;
-- 3d
component mul3d
port (
in_mul3d : in std_logic_vector(7 downto 0);
out_mul3d : out std_logic_vector(7 downto 0)
);
end component;
-- 19
component mul19
port (
in_mul19 : in std_logic_vector(7 downto 0);
out_mul19 : out std_logic_vector(7 downto 0)
);
end component;
-- 03
component mul03
port (
in_mul03 : in std_logic_vector(7 downto 0);
out_mul03 : out std_logic_vector(7 downto 0)
);
end component;
-- declaring internal signals
signal m0,m1,m2,m3,m4,m5,m6,m7,m8,m9,m10,m11,m12,m13,m14,m15 : std_logic_vector(7 downto 0);
signal s00,s01,s02,s03,s10,s11,s12,s13 : std_logic_vector(7 downto 0);
signal m0_01,m1_a4,m2_55,m3_87,m4_5a,m5_58,m6_db,m7_9e,
m0_a4,m1_56,m2_82,m3_f3,m4_1e,m5_c6,m6_68,m7_e5,
m0_02,m1_a1,m2_fc,m3_c1,m4_47,m5_ae,m6_3d,m7_19,
m0_a4_1,m1_55,m2_87,m3_5a,m4_58,m5_db,m6_9e,m7_03 : std_logic_vector(7 downto 0);
signal m8_01,m9_a4,m10_55,m11_87,m12_5a,m13_58,m14_db,m15_9e,
m8_a4,m9_56,m10_82,m11_f3,m12_1e,m13_c6,m14_68,m15_e5,
m8_02,m9_a1,m10_fc,m11_c1,m12_47,m13_ae,m14_3d,m15_19,
m8_a4_1,m9_55,m10_87,m11_5a,m12_58,m13_db,m14_9e,m15_03 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- first, we separate the input to the respective m
-- for s1,j j=0..3
m0 <= in_rs128(7 downto 0);
m1 <= in_rs128(15 downto 8);
m2 <= in_rs128(23 downto 16);
m3 <= in_rs128(31 downto 24);
m4 <= in_rs128(39 downto 32);
m5 <= in_rs128(47 downto 40);
m6 <= in_rs128(55 downto 48);
m7 <= in_rs128(63 downto 56);
-- for s0,j j=0..3
m8 <= in_rs128(71 downto 64);
m9 <= in_rs128(79 downto 72);
m10 <= in_rs128(87 downto 80);
m11 <= in_rs128(95 downto 88);
m12 <= in_rs128(103 downto 96);
m13 <= in_rs128(111 downto 104);
m14 <= in_rs128(119 downto 112);
m15 <= in_rs128(127 downto 120);
-- after separating signals, we drive them to multipliers
-- the first line of m0..7 forms s00
m0_with_01: mul01
port map (
in_mul01 => m0,
out_mul01 => m0_01
);
m1_with_a4: mula4
port map (
in_mula4 => m1,
out_mula4 => m1_a4
);
m2_with_55: mul55
port map (
in_mul55 => m2,
out_mul55 => m2_55
);
m3_with_87: mul87
port map (
in_mul87 => m3,
out_mul87 => m3_87
);
m4_with_5a: mul5a
port map (
in_mul5a => m4,
out_mul5a => m4_5a
);
m5_with_58: mul58
port map (
in_mul58 => m5,
out_mul58 => m5_58
);
m6_with_db: muldb
port map (
in_muldb => m6,
out_muldb => m6_db
);
m7_with_9e: mul9e
port map (
in_mul9e => m7,
out_mul9e => m7_9e
);
-- the second row creates s01
m0_with_a4: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4
);
m1_with_56: mul56
port map (
in_mul56 => m1,
out_mul56 => m1_56
);
m2_with_82: mul82
port map (
in_mul82 => m2,
out_mul82 => m2_82
);
m3_with_f3: mulf3
port map (
in_mulf3 => m3,
out_mulf3 => m3_f3
);
m4_with_1e: mul1e
port map (
in_mul1e => m4,
out_mul1e => m4_1e
);
m5_with_c6: mulc6
port map (
in_mulc6 => m5,
out_mulc6 => m5_c6
);
m6_with_68: mul68
port map (
in_mul68 => m6,
out_mul68 => m6_68
);
m7_with_e5: mule5
port map (
in_mule5 => m7,
out_mule5 => m7_e5
);
-- the third row creates s02
m0_with_02: mul02
port map (
in_mul02 => m0,
out_mul02 => m0_02
);
m1_with_a1: mula1
port map (
in_mula1 => m1,
out_mula1 => m1_a1
);
m2_with_fc: mulfc
port map (
in_mulfc => m2,
out_mulfc => m2_fc
);
m3_with_c1: mulc1
port map (
in_mulc1 => m3,
out_mulc1 => m3_c1
);
m4_with_47: mul47
port map (
in_mul47 => m4,
out_mul47 => m4_47
);
m5_with_ae: mulae
port map (
in_mulae => m5,
out_mulae => m5_ae
);
m6_with_3d: mul3d
port map (
in_mul3d => m6,
out_mul3d => m6_3d
);
m7_with_19: mul19
port map (
in_mul19 => m7,
out_mul19 => m7_19
);
-- the fourth row creates s03
m0_with_a4_1: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4_1
);
m1_with_55: mul55
port map (
in_mul55 => m1,
out_mul55 => m1_55
);
m2_with_87: mul87
port map (
in_mul87 => m2,
out_mul87 => m2_87
);
m3_with_5a: mul5a
port map (
in_mul5a => m3,
out_mul5a => m3_5a
);
m4_with_58: mul58
port map (
in_mul58 => m4,
out_mul58 => m4_58
);
m5_with_db: muldb
port map (
in_muldb => m5,
out_muldb => m5_db
);
m6_with_9e: mul9e
port map (
in_mul9e => m6,
out_mul9e => m6_9e
);
m7_with_03: mul03
port map (
in_mul03 => m7,
out_mul03 => m7_03
);
-- we create the s1,j j=0..3
-- the first row of m0..7 creates the s10
m8_with_01: mul01
port map (
in_mul01 => m8,
out_mul01 => m8_01
);
m9_with_a4: mula4
port map (
in_mula4 => m9,
out_mula4 => m9_a4
);
m10_with_55: mul55
port map (
in_mul55 => m10,
out_mul55 => m10_55
);
m11_with_87: mul87
port map (
in_mul87 => m11,
out_mul87 => m11_87
);
m12_with_5a: mul5a
port map (
in_mul5a => m12,
out_mul5a => m12_5a
);
m13_with_58: mul58
port map (
in_mul58 => m13,
out_mul58 => m13_58
);
m14_with_db: muldb
port map (
in_muldb => m14,
out_muldb => m14_db
);
m15_with_9e: mul9e
port map (
in_mul9e => m15,
out_mul9e => m15_9e
);
-- the second row creates s11
m8_with_a4: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4
);
m9_with_56: mul56
port map (
in_mul56 => m9,
out_mul56 => m9_56
);
m10_with_82: mul82
port map (
in_mul82 => m10,
out_mul82 => m10_82
);
m11_with_f3: mulf3
port map (
in_mulf3 => m11,
out_mulf3 => m11_f3
);
m12_with_1e: mul1e
port map (
in_mul1e => m12,
out_mul1e => m12_1e
);
m13_with_c6: mulc6
port map (
in_mulc6 => m13,
out_mulc6 => m13_c6
);
m14_with_68: mul68
port map (
in_mul68 => m14,
out_mul68 => m14_68
);
m15_with_e5: mule5
port map (
in_mule5 => m15,
out_mule5 => m15_e5
);
-- the third row creates s12
m8_with_02: mul02
port map (
in_mul02 => m8,
out_mul02 => m8_02
);
m9_with_a1: mula1
port map (
in_mula1 => m9,
out_mula1 => m9_a1
);
m10_with_fc: mulfc
port map (
in_mulfc => m10,
out_mulfc => m10_fc
);
m11_with_c1: mulc1
port map (
in_mulc1 => m11,
out_mulc1 => m11_c1
);
m12_with_47: mul47
port map (
in_mul47 => m12,
out_mul47 => m12_47
);
m13_with_ae: mulae
port map (
in_mulae => m13,
out_mulae => m13_ae
);
m14_with_3d: mul3d
port map (
in_mul3d => m14,
out_mul3d => m14_3d
);
m15_with_19: mul19
port map (
in_mul19 => m15,
out_mul19 => m15_19
);
-- the fourth row creates s13
m8_with_a4_1: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4_1
);
m9_with_55: mul55
port map (
in_mul55 => m9,
out_mul55 => m9_55
);
m10_with_87: mul87
port map (
in_mul87 => m10,
out_mul87 => m10_87
);
m11_with_5a: mul5a
port map (
in_mul5a => m11,
out_mul5a => m11_5a
);
m12_with_58: mul58
port map (
in_mul58 => m12,
out_mul58 => m12_58
);
m13_with_db: muldb
port map (
in_muldb => m13,
out_muldb => m13_db
);
m14_with_9e: mul9e
port map (
in_mul9e => m14,
out_mul9e => m14_9e
);
m15_with_03: mul03
port map (
in_mul03 => m15,
out_mul03 => m15_03
);
-- after getting the results from multipliers
-- we combine them in order to get the additions
s00 <= m0_01 XOR m1_a4 XOR m2_55 XOR m3_87 XOR m4_5a XOR m5_58 XOR m6_db XOR m7_9e;
s01 <= m0_a4 XOR m1_56 XOR m2_82 XOR m3_f3 XOR m4_1e XOR m5_c6 XOR m6_68 XOR m7_e5;
s02 <= m0_02 XOR m1_a1 XOR m2_fc XOR m3_c1 XOR m4_47 XOR m5_ae XOR m6_3d XOR m7_19;
s03 <= m0_a4_1 XOR m1_55 XOR m2_87 XOR m3_5a XOR m4_58 XOR m5_db XOR m6_9e XOR m7_03;
-- after creating s0,j j=0...3 we form the S0
-- little endian
out_Sfirst_rs128 <= s03 & s02 & s01 & s00;
s10 <= m8_01 XOR m9_a4 XOR m10_55 XOR m11_87 XOR m12_5a XOR m13_58 XOR m14_db XOR m15_9e;
s11 <= m8_a4 XOR m9_56 XOR m10_82 XOR m11_f3 XOR m12_1e XOR m13_c6 XOR m14_68 XOR m15_e5;
s12 <= m8_02 XOR m9_a1 XOR m10_fc XOR m11_c1 XOR m12_47 XOR m13_ae XOR m14_3d XOR m15_19;
s13 <= m8_a4_1 XOR m9_55 XOR m10_87 XOR m11_5a XOR m12_58 XOR m13_db XOR m14_9e XOR m15_03;
-- after creating s1,j j=0...3 we form the S1
-- little endian
out_Ssecond_rs128 <= s13 & s12 & s11 & s10;
end rs_128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- h function for 128 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity h_128 is
port (
in_h128 : in std_logic_vector(7 downto 0);
Mfirst_h128,
Msecond_h128 : in std_logic_vector(31 downto 0);
out_h128 : out std_logic_vector(31 downto 0)
);
end h_128;
architecture h128_arch of h_128 is
-- we declare internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
to_mds : std_logic_vector(31 downto 0);
-- we declare all components needed
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q0_1: q0
port map (
in_q0 => in_h128,
out_q0 => from_first_row(7 downto 0)
);
first_q1_1: q1
port map (
in_q1 => in_h128,
out_q1 => from_first_row(15 downto 8)
);
first_q0_2: q0
port map (
in_q0 => in_h128,
out_q0 => from_first_row(23 downto 16)
);
first_q1_2: q1
port map (
in_q1 => in_h128,
out_q1 => from_first_row(31 downto 24)
);
-- we perform the XOR of the results of the first row
-- with first M of h (Mfist_h128)
to_second_row <= from_first_row XOR Mfirst_h128;
-- second row of q
second_q0_1: q0
port map (
in_q0 => to_second_row(7 downto 0),
out_q0 => from_second_row(7 downto 0)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(15 downto 8),
out_q0 => from_second_row(15 downto 8)
);
second_q1_1: q1
port map (
in_q1 => to_second_row(23 downto 16),
out_q1 => from_second_row(23 downto 16)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(31 downto 24),
out_q1 => from_second_row(31 downto 24)
);
-- we perform the second XOR
to_third_row <= from_second_row XOR Msecond_h128;
-- the third row of q
third_q1_1: q1
port map (
in_q1 => to_third_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
third_q0_1: q0
port map (
in_q0 => to_third_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_h128(7 downto 0),
z1 => out_h128(15 downto 8),
z2 => out_h128(23 downto 16),
z3 => out_h128(31 downto 24)
);
end h128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- g function for 128 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity g_128 is
port (
in_g128,
in_S0_g128,
in_S1_g128 : in std_logic_vector(31 downto 0);
out_g128 : out std_logic_vector(31 downto 0)
);
end g_128;
architecture g128_arch of g_128 is
-- we declare the internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
to_mds : std_logic_vector(31 downto 0);
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q0_1: q0
port map (
in_q0 => in_g128(7 downto 0),
out_q0 => from_first_row(7 downto 0)
);
first_q1_1: q1
port map (
in_q1 => in_g128(15 downto 8),
out_q1 => from_first_row(15 downto 8)
);
first_q0_2: q0
port map (
in_q0 => in_g128(23 downto 16),
out_q0 => from_first_row(23 downto 16)
);
first_q1_2: q1
port map (
in_q1 => in_g128(31 downto 24),
out_q1 => from_first_row(31 downto 24)
);
-- we XOR the result of the first row
-- with the S0
to_second_row <= from_first_row XOR in_S0_g128;
-- second row of q
second_q0_1: q0
port map (
in_q0 => to_second_row(7 downto 0),
out_q0 => from_second_row(7 downto 0)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(15 downto 8),
out_q0 => from_second_row(15 downto 8)
);
second_q1_1: q1
port map (
in_q1 => to_second_row(23 downto 16),
out_q1 => from_second_row(23 downto 16)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(31 downto 24),
out_q1 => from_second_row(31 downto 24)
);
-- we perform the XOR
to_third_row <= from_second_row XOR in_S1_g128;
-- third row of q
third_q1_1: q1
port map (
in_q1 => to_third_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
third_q0_1: q0
port map (
in_q0 => to_third_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_g128(7 downto 0),
z1 => out_g128(15 downto 8),
z2 => out_g128(23 downto 16),
z3 => out_g128(31 downto 24)
);
end g128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- f function with 128 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity f_128 is
port (
up_in_f128,
low_in_f128,
S0_in_f128,
S1_in_f128,
up_key_f128,
low_key_f128 : in std_logic_vector(31 downto 0);
up_out_f128,
low_out_f128 : out std_logic_vector(31 downto 0)
);
end f_128;
architecture f128_arch of f_128 is
-- we declare the internal signals
signal from_shift_8,
to_up_pht,
to_low_pht,
to_up_key,
to_low_key,
intermediate_carry1,
intermediate_carry2 : std_logic_vector(31 downto 0);
signal zero : std_logic;
component g_128
port (
in_g128,
in_S0_g128,
in_S1_g128 : in std_logic_vector(31 downto 0);
out_g128 : out std_logic_vector(31 downto 0)
);
end component;
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component adder
port (
in1_adder,
in2_adder,
in_carry_adder : in std_logic;
out_adder,
out_carry_adder : out std_logic
);
end component;
-- begin architecture description
begin
-- we initialize zero
zero <= '0';
-- upper g_128
upper_g128: g_128
port map (
in_g128 => up_in_f128,
in_S0_g128 => S0_in_f128,
in_S1_g128 => S1_in_f128,
out_g128 => to_up_pht
);
-- left rotation by 8
from_shift_8(31 downto 8) <= low_in_f128(23 downto 0);
from_shift_8(7 downto 0) <= low_in_f128(31 downto 24);
-- lower g128
lower_g128: g_128
port map (
in_g128 => from_shift_8,
in_S0_g128 => S0_in_f128,
in_S1_g128 => S1_in_f128,
out_g128 => to_low_pht
);
-- pht
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => to_low_pht,
up_out_pht => to_up_key,
down_out_pht => to_low_key
);
-- upper adder of 32 bits
up_adder: for i in 0 to 31 generate
first: if (i=0) generate
the_adder: adder
port map (
in1_adder => to_up_key(0),
in2_adder => up_key_f128(0),
in_carry_adder => zero,
out_adder => up_out_f128(0),
out_carry_adder => intermediate_carry1(0)
);
end generate first;
the_rest: if (i>0) generate
the_adders: adder
port map (
in1_adder => to_up_key(i),
in2_adder => up_key_f128(i),
in_carry_adder => intermediate_carry1(i-1),
out_adder => up_out_f128(i),
out_carry_adder => intermediate_carry1(i)
);
end generate the_rest;
end generate up_adder;
-- lower adder of 32 bits
low_adder: for i in 0 to 31 generate
first1: if (i=0) generate
the_adder1:adder
port map (
in1_adder => to_low_key(0),
in2_adder => low_key_f128(0),
in_carry_adder => zero,
out_adder => low_out_f128(0),
out_carry_adder => intermediate_carry2(0)
);
end generate first1;
the_rest1: if (i>0) generate
the_adders1: adder
port map (
in1_adder => to_low_key(i),
in2_adder => low_key_f128(i),
in_carry_adder => intermediate_carry2(i-1),
out_adder => low_out_f128(i),
out_carry_adder => intermediate_carry2(i)
);
end generate the_rest1;
end generate low_adder;
end f128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish key scheduler for 128 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_keysched128 is
port (
odd_in_tk128,
even_in_tk128 : in std_logic_vector(7 downto 0);
in_key_tk128 : in std_logic_vector(127 downto 0);
out_key_up_tk128,
out_key_down_tk128 : out std_logic_vector(31 downto 0)
);
end twofish_keysched128;
architecture twofish_keysched128_arch of twofish_keysched128 is
-- we declare internal signals
signal to_up_pht,
to_shift_8,
from_shift_8,
to_shift_9,
M0, M1, M2, M3 : std_logic_vector(31 downto 0);
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6, byte7,
byte8, byte9, byte10, byte11,
byte12, byte13, byte14, byte15 : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_128
port (
in_h128 : in std_logic_vector(7 downto 0);
Mfirst_h128,
Msecond_h128 : in std_logic_vector(31 downto 0);
out_h128 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte15 <= in_key_tk128(7 downto 0);
byte14 <= in_key_tk128(15 downto 8);
byte13 <= in_key_tk128(23 downto 16);
byte12 <= in_key_tk128(31 downto 24);
byte11 <= in_key_tk128(39 downto 32);
byte10 <= in_key_tk128(47 downto 40);
byte9 <= in_key_tk128(55 downto 48);
byte8 <= in_key_tk128(63 downto 56);
byte7 <= in_key_tk128(71 downto 64);
byte6 <= in_key_tk128(79 downto 72);
byte5 <= in_key_tk128(87 downto 80);
byte4 <= in_key_tk128(95 downto 88);
byte3 <= in_key_tk128(103 downto 96);
byte2 <= in_key_tk128(111 downto 104);
byte1 <= in_key_tk128(119 downto 112);
byte0 <= in_key_tk128(127 downto 120);
-- we form the M{0..3}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
-- upper h
upper_h: h_128
port map (
in_h128 => even_in_tk128,
Mfirst_h128 => M2,
Msecond_h128 => M0,
out_h128 => to_up_pht
);
-- lower h
lower_h: h_128
port map (
in_h128 => odd_in_tk128,
Mfirst_h128 => M3,
Msecond_h128 => M1,
out_h128 => to_shift_8
);
-- left rotate by 8
from_shift_8(31 downto 8) <= to_shift_8(23 downto 0);
from_shift_8(7 downto 0) <= to_shift_8(31 downto 24);
-- pht transformation
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => from_shift_8,
up_out_pht => out_key_up_tk128,
down_out_pht => to_shift_9
);
-- left rotate by 9
out_key_down_tk128(31 downto 9) <= to_shift_9(22 downto 0);
out_key_down_tk128(8 downto 0) <= to_shift_9(31 downto 23);
end twofish_keysched128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish S key component for 128 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_S128 is
port (
in_key_ts128 : in std_logic_vector(127 downto 0);
out_Sfirst_ts128,
out_Ssecond_ts128 : out std_logic_vector(31 downto 0)
);
end twofish_S128;
architecture twofish_S128_arch of twofish_S128 is
-- we declare the components to be used
component reed_solomon128
port (
in_rs128 : in std_logic_vector(127 downto 0);
out_Sfirst_rs128,
out_Ssecond_rs128 : out std_logic_vector(31 downto 0)
);
end component;
signal twofish_key : std_logic_vector(127 downto 0);
signal byte15, byte14, byte13, byte12, byte11, byte10,
byte9, byte8, byte7, byte6, byte5, byte4,
byte3, byte2, byte1, byte0 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- splitting the input
byte15 <= in_key_ts128(7 downto 0);
byte14 <= in_key_ts128(15 downto 8);
byte13 <= in_key_ts128(23 downto 16);
byte12 <= in_key_ts128(31 downto 24);
byte11 <= in_key_ts128(39 downto 32);
byte10 <= in_key_ts128(47 downto 40);
byte9 <= in_key_ts128(55 downto 48);
byte8 <= in_key_ts128(63 downto 56);
byte7 <= in_key_ts128(71 downto 64);
byte6 <= in_key_ts128(79 downto 72);
byte5 <= in_key_ts128(87 downto 80);
byte4 <= in_key_ts128(95 downto 88);
byte3 <= in_key_ts128(103 downto 96);
byte2 <= in_key_ts128(111 downto 104);
byte1 <= in_key_ts128(119 downto 112);
byte0 <= in_key_ts128(127 downto 120);
-- forming the key
twofish_key <= byte15 & byte14 & byte13 & byte12 & byte11 & byte10 & byte9 & byte8 & byte7 &
byte6 & byte5 & byte4 & byte3 & byte2 & byte1 & byte0;
-- the keys S0,1
produce_S0_S1: reed_solomon128
port map (
in_rs128 => twofish_key,
out_Sfirst_rs128 => out_Sfirst_ts128,
out_Ssecond_rs128 => out_Ssecond_ts128
);
end twofish_S128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish whitening key scheduler for 128 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_whit_keysched128 is
port (
in_key_twk128 : in std_logic_vector(127 downto 0);
out_K0_twk128,
out_K1_twk128,
out_K2_twk128,
out_K3_twk128,
out_K4_twk128,
out_K5_twk128,
out_K6_twk128,
out_K7_twk128 : out std_logic_vector(31 downto 0)
);
end twofish_whit_keysched128;
architecture twofish_whit_keysched128_arch of twofish_whit_keysched128 is
-- we declare internal signals
signal to_up_pht_1,
to_shift_8_1,
from_shift_8_1,
to_shift_9_1,
to_up_pht_2,
to_shift_8_2,
from_shift_8_2,
to_shift_9_2,
to_up_pht_3,
to_shift_8_3,
from_shift_8_3,
to_shift_9_3,
to_up_pht_4,
to_shift_8_4,
from_shift_8_4,
to_shift_9_4,
M0, M1, M2, M3 : std_logic_vector(31 downto 0);
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6, byte7,
byte8, byte9, byte10, byte11,
byte12, byte13, byte14, byte15 : std_logic_vector(7 downto 0);
signal zero, one, two, three, four, five, six, seven : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_128
port (
in_h128 : in std_logic_vector(7 downto 0);
Mfirst_h128,
Msecond_h128 : in std_logic_vector(31 downto 0);
out_h128 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we produce the first eight numbers
zero <= "00000000";
one <= "00000001";
two <= "00000010";
three <= "00000011";
four <= "00000100";
five <= "00000101";
six <= "00000110";
seven <= "00000111";
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte15 <= in_key_twk128(7 downto 0);
byte14 <= in_key_twk128(15 downto 8);
byte13 <= in_key_twk128(23 downto 16);
byte12 <= in_key_twk128(31 downto 24);
byte11 <= in_key_twk128(39 downto 32);
byte10 <= in_key_twk128(47 downto 40);
byte9 <= in_key_twk128(55 downto 48);
byte8 <= in_key_twk128(63 downto 56);
byte7 <= in_key_twk128(71 downto 64);
byte6 <= in_key_twk128(79 downto 72);
byte5 <= in_key_twk128(87 downto 80);
byte4 <= in_key_twk128(95 downto 88);
byte3 <= in_key_twk128(103 downto 96);
byte2 <= in_key_twk128(111 downto 104);
byte1 <= in_key_twk128(119 downto 112);
byte0 <= in_key_twk128(127 downto 120);
-- we form the M{0..3}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
-- we produce the keys for the whitening steps
-- keys K0,1
-- upper h
upper_h1: h_128
port map (
in_h128 => zero,
Mfirst_h128 => M2,
Msecond_h128 => M0,
out_h128 => to_up_pht_1
);
-- lower h
lower_h1: h_128
port map (
in_h128 => one,
Mfirst_h128 => M3,
Msecond_h128 => M1,
out_h128 => to_shift_8_1
);
-- left rotate by 8
from_shift_8_1(31 downto 8) <= to_shift_8_1(23 downto 0);
from_shift_8_1(7 downto 0) <= to_shift_8_1(31 downto 24);
-- pht transformation
pht_transform1: pht
port map (
up_in_pht => to_up_pht_1,
down_in_pht => from_shift_8_1,
up_out_pht => out_K0_twk128,
down_out_pht => to_shift_9_1
);
-- left rotate by 9
out_K1_twk128(31 downto 9) <= to_shift_9_1(22 downto 0);
out_K1_twk128(8 downto 0) <= to_shift_9_1(31 downto 23);
-- keys K2,3
-- upper h
upper_h2: h_128
port map (
in_h128 => two,
Mfirst_h128 => M2,
Msecond_h128 => M0,
out_h128 => to_up_pht_2
);
-- lower h
lower_h2: h_128
port map (
in_h128 => three,
Mfirst_h128 => M3,
Msecond_h128 => M1,
out_h128 => to_shift_8_2
);
-- left rotate by 8
from_shift_8_2(31 downto 8) <= to_shift_8_2(23 downto 0);
from_shift_8_2(7 downto 0) <= to_shift_8_2(31 downto 24);
-- pht transformation
pht_transform2: pht
port map (
up_in_pht => to_up_pht_2,
down_in_pht => from_shift_8_2,
up_out_pht => out_K2_twk128,
down_out_pht => to_shift_9_2
);
-- left rotate by 9
out_K3_twk128(31 downto 9) <= to_shift_9_2(22 downto 0);
out_K3_twk128(8 downto 0) <= to_shift_9_2(31 downto 23);
-- keys K4,5
-- upper h
upper_h3: h_128
port map (
in_h128 => four,
Mfirst_h128 => M2,
Msecond_h128 => M0,
out_h128 => to_up_pht_3
);
-- lower h
lower_h3: h_128
port map (
in_h128 => five,
Mfirst_h128 => M3,
Msecond_h128 => M1,
out_h128 => to_shift_8_3
);
-- left rotate by 8
from_shift_8_3(31 downto 8) <= to_shift_8_3(23 downto 0);
from_shift_8_3(7 downto 0) <= to_shift_8_3(31 downto 24);
-- pht transformation
pht_transform3: pht
port map (
up_in_pht => to_up_pht_3,
down_in_pht => from_shift_8_3,
up_out_pht => out_K4_twk128,
down_out_pht => to_shift_9_3
);
-- left rotate by 9
out_K5_twk128(31 downto 9) <= to_shift_9_3(22 downto 0);
out_K5_twk128(8 downto 0) <= to_shift_9_3(31 downto 23);
-- keys K6,7
-- upper h
upper_h4: h_128
port map (
in_h128 => six,
Mfirst_h128 => M2,
Msecond_h128 => M0,
out_h128 => to_up_pht_4
);
-- lower h
lower_h4: h_128
port map (
in_h128 => seven,
Mfirst_h128 => M3,
Msecond_h128 => M1,
out_h128 => to_shift_8_4
);
-- left rotate by 8
from_shift_8_4(31 downto 8) <= to_shift_8_4(23 downto 0);
from_shift_8_4(7 downto 0) <= to_shift_8_4(31 downto 24);
-- pht transformation
pht_transform4: pht
port map (
up_in_pht => to_up_pht_4,
down_in_pht => from_shift_8_4,
up_out_pht => out_K6_twk128,
down_out_pht => to_shift_9_4
);
-- left rotate by 9
out_K7_twk128(31 downto 9) <= to_shift_9_4(22 downto 0);
out_K7_twk128(8 downto 0) <= to_shift_9_4(31 downto 23);
end twofish_whit_keysched128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish encryption round with 128 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_encryption_round128 is
port (
in1_ter128,
in2_ter128,
in3_ter128,
in4_ter128,
in_Sfirst_ter128,
in_Ssecond_ter128,
in_key_up_ter128,
in_key_down_ter128 : in std_logic_vector(31 downto 0);
out1_ter128,
out2_ter128,
out3_ter128,
out4_ter128 : out std_logic_vector(31 downto 0)
);
end twofish_encryption_round128;
architecture twofish_encryption_round128_arch of twofish_encryption_round128 is
-- we declare internal signals
signal to_left_shift,
from_right_shift,
to_xor_with3,
to_xor_with4 : std_logic_vector(31 downto 0);
component f_128
port (
up_in_f128,
low_in_f128,
S0_in_f128,
S1_in_f128,
up_key_f128,
low_key_f128 : in std_logic_vector(31 downto 0);
up_out_f128,
low_out_f128 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we declare f_128
function_f: f_128
port map (
up_in_f128 => in1_ter128,
low_in_f128 => in2_ter128,
S0_in_f128 => in_Sfirst_ter128,
S1_in_f128 => in_Ssecond_ter128,
up_key_f128 => in_key_up_ter128,
low_key_f128 => in_key_down_ter128,
up_out_f128 => to_xor_with3,
low_out_f128 => to_xor_with4
);
-- we perform the exchange
-- in1_ter128 -> out3_ter128
-- in2_ter128 -> out4_ter128
-- in3_ter128 -> out1_ter128
-- in4_ter128 -> out2_ter128
-- we perform the left xor between the upper f function and
-- the third input (input 3)
to_left_shift <= to_xor_with3 XOR in3_ter128;
-- we perform the left side rotation to the right by 1 and
-- we perform the exchange too
out1_ter128(30 downto 0) <= to_left_shift(31 downto 1);
out1_ter128(31) <= to_left_shift(0);
-- we perform the right side rotation to the left by 1
from_right_shift(0) <= in4_ter128(31);
from_right_shift(31 downto 1) <= in4_ter128(30 downto 0);
-- we perform the right xor between the lower f function and
-- the fourth input (input 4)
out2_ter128 <= from_right_shift XOR to_xor_with4;
-- we perform the last exchanges
out3_ter128 <= in1_ter128;
out4_ter128 <= in2_ter128;
end twofish_encryption_round128_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish decryption round with 128 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_decryption_round128 is
port (
in1_tdr128,
in2_tdr128,
in3_tdr128,
in4_tdr128,
in_Sfirst_tdr128,
in_Ssecond_tdr128,
in_key_up_tdr128,
in_key_down_tdr128 : in std_logic_vector(31 downto 0);
out1_tdr128,
out2_tdr128,
out3_tdr128,
out4_tdr128 : out std_logic_vector(31 downto 0)
);
end twofish_decryption_round128;
architecture twofish_decryption_round128_arch of twofish_decryption_round128 is
signal to_xor_with3,
to_xor_with4,
to_xor_with_up_f,
from_xor_with_down_f : std_logic_vector(31 downto 0);
component f_128
port (
up_in_f128,
low_in_f128,
S0_in_f128,
S1_in_f128,
up_key_f128,
low_key_f128 : in std_logic_vector(31 downto 0);
up_out_f128,
low_out_f128 : out std_logic_vector(31 downto 0)
);
end component;
begin
-- we instantiate f function
function_f: f_128
port map (
up_in_f128 => in1_tdr128,
low_in_f128 => in2_tdr128,
S0_in_f128 => in_Sfirst_tdr128,
S1_in_f128 => in_Ssecond_tdr128,
up_key_f128 => in_key_up_tdr128,
low_key_f128 => in_key_down_tdr128,
up_out_f128 => to_xor_with3,
low_out_f128 => to_xor_with4
);
-- output 1: input3 with upper f
-- we first rotate the input3 by 1 bit leftwise
to_xor_with_up_f(0) <= in3_tdr128(31);
to_xor_with_up_f(31 downto 1) <= in3_tdr128(30 downto 0);
-- we perform the XOR with the upper output of f and the result
-- is ouput 1
out1_tdr128 <= to_xor_with_up_f XOR to_xor_with3;
-- output 2: input4 with lower f
-- we perform the XOR with the lower output of f
from_xor_with_down_f <= in4_tdr128 XOR to_xor_with4;
-- we perform the rotation by 1 bit rightwise and the result
-- is output2
out2_tdr128(31) <= from_xor_with_down_f(0);
out2_tdr128(30 downto 0) <= from_xor_with_down_f(31 downto 1);
-- we assign outputs 3 and 4
out3_tdr128 <= in1_tdr128;
out4_tdr128 <= in2_tdr128;
end twofish_decryption_round128_arch;
-- ============================================== --
-- ============================================== --
-- --
-- third part: 192 key input dependent components --
-- --
-- ============================================== --
-- ============================================== --
--
-- reed solomon for 192bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity reed_solomon192 is
port (
in_rs192 : in std_logic_vector(191 downto 0);
out_Sfirst_rs192,
out_Ssecond_rs192,
out_Sthird_rs192 : out std_logic_vector(31 downto 0)
);
end reed_solomon192;
architecture rs_192_arch of reed_solomon192 is
-- declaring all components necessary for reed solomon
-- 01
component mul01
port (
in_mul01 : in std_logic_vector(7 downto 0);
out_mul01 : out std_logic_vector(7 downto 0)
);
end component;
-- a4
component mula4
port (
in_mula4 : in std_logic_vector(7 downto 0);
out_mula4 : out std_logic_vector(7 downto 0)
);
end component;
-- 55
component mul55
port (
in_mul55 : in std_logic_vector(7 downto 0);
out_mul55 : out std_logic_vector(7 downto 0)
);
end component;
-- 87
component mul87
port (
in_mul87 : in std_logic_vector(7 downto 0);
out_mul87 : out std_logic_vector(7 downto 0)
);
end component;
-- 5a
component mul5a
port (
in_mul5a : in std_logic_vector(7 downto 0);
out_mul5a : out std_logic_vector(7 downto 0)
);
end component;
-- 58
component mul58
port (
in_mul58 : in std_logic_vector(7 downto 0);
out_mul58 : out std_logic_vector(7 downto 0)
);
end component;
-- db
component muldb
port (
in_muldb : in std_logic_vector(7 downto 0);
out_muldb : out std_logic_vector(7 downto 0)
);
end component;
-- 9e
component mul9e
port (
in_mul9e : in std_logic_vector(7 downto 0);
out_mul9e : out std_logic_vector(7 downto 0)
);
end component;
-- 56
component mul56
port (
in_mul56 : in std_logic_vector(7 downto 0);
out_mul56 : out std_logic_vector(7 downto 0)
);
end component;
-- 82
component mul82
port (
in_mul82 : in std_logic_vector(7 downto 0);
out_mul82 : out std_logic_vector(7 downto 0)
);
end component;
-- f3
component mulf3
port (
in_mulf3 : in std_logic_vector(7 downto 0);
out_mulf3 : out std_logic_vector(7 downto 0)
);
end component;
-- 1e
component mul1e
port (
in_mul1e : in std_logic_vector(7 downto 0);
out_mul1e : out std_logic_vector(7 downto 0)
);
end component;
-- c6
component mulc6
port (
in_mulc6 : in std_logic_vector(7 downto 0);
out_mulc6 : out std_logic_vector(7 downto 0)
);
end component;
-- 68
component mul68
port (
in_mul68 : in std_logic_vector(7 downto 0);
out_mul68 : out std_logic_vector(7 downto 0)
);
end component;
-- e5
component mule5
port (
in_mule5 : in std_logic_vector(7 downto 0);
out_mule5 : out std_logic_vector(7 downto 0)
);
end component;
-- 02
component mul02
port (
in_mul02 : in std_logic_vector(7 downto 0);
out_mul02 : out std_logic_vector(7 downto 0)
);
end component;
-- a1
component mula1
port (
in_mula1 : in std_logic_vector(7 downto 0);
out_mula1 : out std_logic_vector(7 downto 0)
);
end component;
-- fc
component mulfc
port (
in_mulfc : in std_logic_vector(7 downto 0);
out_mulfc : out std_logic_vector(7 downto 0)
);
end component;
-- c1
component mulc1
port (
in_mulc1 : in std_logic_vector(7 downto 0);
out_mulc1 : out std_logic_vector(7 downto 0)
);
end component;
-- 47
component mul47
port (
in_mul47 : in std_logic_vector(7 downto 0);
out_mul47 : out std_logic_vector(7 downto 0)
);
end component;
-- ae
component mulae
port (
in_mulae : in std_logic_vector(7 downto 0);
out_mulae : out std_logic_vector(7 downto 0)
);
end component;
-- 3d
component mul3d
port (
in_mul3d : in std_logic_vector(7 downto 0);
out_mul3d : out std_logic_vector(7 downto 0)
);
end component;
-- 19
component mul19
port (
in_mul19 : in std_logic_vector(7 downto 0);
out_mul19 : out std_logic_vector(7 downto 0)
);
end component;
-- 03
component mul03
port (
in_mul03 : in std_logic_vector(7 downto 0);
out_mul03 : out std_logic_vector(7 downto 0)
);
end component;
-- declaring internal signals
signal m0,m1,m2,m3,m4,m5,m6,m7,m8,m9,m10,m11,m12,m13,m14,m15,
m16, m17, m18, m19, m20, m21, m22, m23 : std_logic_vector(7 downto 0);
signal s00,s01,s02,s03,s10,s11,s12,s13, s20, s21, s22, s23 : std_logic_vector(7 downto 0);
signal m0_01,m1_a4,m2_55,m3_87,m4_5a,m5_58,m6_db,m7_9e,
m0_a4,m1_56,m2_82,m3_f3,m4_1e,m5_c6,m6_68,m7_e5,
m0_02,m1_a1,m2_fc,m3_c1,m4_47,m5_ae,m6_3d,m7_19,
m0_a4_1,m1_55,m2_87,m3_5a,m4_58,m5_db,m6_9e,m7_03 : std_logic_vector(7 downto 0);
signal m8_01,m9_a4,m10_55,m11_87,m12_5a,m13_58,m14_db,m15_9e,
m8_a4,m9_56,m10_82,m11_f3,m12_1e,m13_c6,m14_68,m15_e5,
m8_02,m9_a1,m10_fc,m11_c1,m12_47,m13_ae,m14_3d,m15_19,
m8_a4_1,m9_55,m10_87,m11_5a,m12_58,m13_db,m14_9e,m15_03 : std_logic_vector(7 downto 0);
signal m16_01,m17_a4,m18_55,m19_87,m20_5a,m21_58,m22_db,m23_9e,
m16_a4,m17_56,m18_82,m19_f3,m20_1e,m21_c6,m22_68,m23_e5,
m16_02,m17_a1,m18_fc,m19_c1,m20_47,m21_ae,m22_3d,m23_19,
m16_a4_1,m17_55,m18_87,m19_5a,m20_58,m21_db,m22_9e,m23_03 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- first, we separate the input to the respective m
-- for s0j j=0..3
m0 <= in_rs192(7 downto 0);
m1 <= in_rs192(15 downto 8);
m2 <= in_rs192(23 downto 16);
m3 <= in_rs192(31 downto 24);
m4 <= in_rs192(39 downto 32);
m5 <= in_rs192(47 downto 40);
m6 <= in_rs192(55 downto 48);
m7 <= in_rs192(63 downto 56);
-- for s1j j=0..3
m8 <= in_rs192(71 downto 64);
m9 <= in_rs192(79 downto 72);
m10 <= in_rs192(87 downto 80);
m11 <= in_rs192(95 downto 88);
m12 <= in_rs192(103 downto 96);
m13 <= in_rs192(111 downto 104);
m14 <= in_rs192(119 downto 112);
m15 <= in_rs192(127 downto 120);
-- for s2j j=0..3
m16 <= in_rs192(135 downto 128);
m17 <= in_rs192(143 downto 136);
m18 <= in_rs192(151 downto 144);
m19 <= in_rs192(159 downto 152);
m20 <= in_rs192(167 downto 160);
m21 <= in_rs192(175 downto 168);
m22 <= in_rs192(183 downto 176);
m23 <= in_rs192(191 downto 184);
-- after separating signals, we drive them to multipliers
-- the first line of m0..7 forms s00
m0_with_01: mul01
port map (
in_mul01 => m0,
out_mul01 => m0_01
);
m1_with_a4: mula4
port map (
in_mula4 => m1,
out_mula4 => m1_a4
);
m2_with_55: mul55
port map (
in_mul55 => m2,
out_mul55 => m2_55
);
m3_with_87: mul87
port map (
in_mul87 => m3,
out_mul87 => m3_87
);
m4_with_5a: mul5a
port map (
in_mul5a => m4,
out_mul5a => m4_5a
);
m5_with_58: mul58
port map (
in_mul58 => m5,
out_mul58 => m5_58
);
m6_with_db: muldb
port map (
in_muldb => m6,
out_muldb => m6_db
);
m7_with_9e: mul9e
port map (
in_mul9e => m7,
out_mul9e => m7_9e
);
-- the second row creates s01
m0_with_a4: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4
);
m1_with_56: mul56
port map (
in_mul56 => m1,
out_mul56 => m1_56
);
m2_with_82: mul82
port map (
in_mul82 => m2,
out_mul82 => m2_82
);
m3_with_f3: mulf3
port map (
in_mulf3 => m3,
out_mulf3 => m3_f3
);
m4_with_1e: mul1e
port map (
in_mul1e => m4,
out_mul1e => m4_1e
);
m5_with_c6: mulc6
port map (
in_mulc6 => m5,
out_mulc6 => m5_c6
);
m6_with_68: mul68
port map (
in_mul68 => m6,
out_mul68 => m6_68
);
m7_with_e5: mule5
port map (
in_mule5 => m7,
out_mule5 => m7_e5
);
-- the third row creates s02
m0_with_02: mul02
port map (
in_mul02 => m0,
out_mul02 => m0_02
);
m1_with_a1: mula1
port map (
in_mula1 => m1,
out_mula1 => m1_a1
);
m2_with_fc: mulfc
port map (
in_mulfc => m2,
out_mulfc => m2_fc
);
m3_with_c1: mulc1
port map (
in_mulc1 => m3,
out_mulc1 => m3_c1
);
m4_with_47: mul47
port map (
in_mul47 => m4,
out_mul47 => m4_47
);
m5_with_ae: mulae
port map (
in_mulae => m5,
out_mulae => m5_ae
);
m6_with_3d: mul3d
port map (
in_mul3d => m6,
out_mul3d => m6_3d
);
m7_with_19: mul19
port map (
in_mul19 => m7,
out_mul19 => m7_19
);
-- the fourth row creates s03
m0_with_a4_1: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4_1
);
m1_with_55: mul55
port map (
in_mul55 => m1,
out_mul55 => m1_55
);
m2_with_87: mul87
port map (
in_mul87 => m2,
out_mul87 => m2_87
);
m3_with_5a: mul5a
port map (
in_mul5a => m3,
out_mul5a => m3_5a
);
m4_with_58: mul58
port map (
in_mul58 => m4,
out_mul58 => m4_58
);
m5_with_db: muldb
port map (
in_muldb => m5,
out_muldb => m5_db
);
m6_with_9e: mul9e
port map (
in_mul9e => m6,
out_mul9e => m6_9e
);
m7_with_03: mul03
port map (
in_mul03 => m7,
out_mul03 => m7_03
);
-- we create the s1,j j=0..3
-- the first row of m8..15 creates the s10
m8_with_01: mul01
port map (
in_mul01 => m8,
out_mul01 => m8_01
);
m9_with_a4: mula4
port map (
in_mula4 => m9,
out_mula4 => m9_a4
);
m10_with_55: mul55
port map (
in_mul55 => m10,
out_mul55 => m10_55
);
m11_with_87: mul87
port map (
in_mul87 => m11,
out_mul87 => m11_87
);
m12_with_5a: mul5a
port map (
in_mul5a => m12,
out_mul5a => m12_5a
);
m13_with_58: mul58
port map (
in_mul58 => m13,
out_mul58 => m13_58
);
m14_with_db: muldb
port map (
in_muldb => m14,
out_muldb => m14_db
);
m15_with_9e: mul9e
port map (
in_mul9e => m15,
out_mul9e => m15_9e
);
-- the second row creates s11
m8_with_a4: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4
);
m9_with_56: mul56
port map (
in_mul56 => m9,
out_mul56 => m9_56
);
m10_with_82: mul82
port map (
in_mul82 => m10,
out_mul82 => m10_82
);
m11_with_f3: mulf3
port map (
in_mulf3 => m11,
out_mulf3 => m11_f3
);
m12_with_1e: mul1e
port map (
in_mul1e => m12,
out_mul1e => m12_1e
);
m13_with_c6: mulc6
port map (
in_mulc6 => m13,
out_mulc6 => m13_c6
);
m14_with_68: mul68
port map (
in_mul68 => m14,
out_mul68 => m14_68
);
m15_with_e5: mule5
port map (
in_mule5 => m15,
out_mule5 => m15_e5
);
-- the third row creates s12
m8_with_02: mul02
port map (
in_mul02 => m8,
out_mul02 => m8_02
);
m9_with_a1: mula1
port map (
in_mula1 => m9,
out_mula1 => m9_a1
);
m10_with_fc: mulfc
port map (
in_mulfc => m10,
out_mulfc => m10_fc
);
m11_with_c1: mulc1
port map (
in_mulc1 => m11,
out_mulc1 => m11_c1
);
m12_with_47: mul47
port map (
in_mul47 => m12,
out_mul47 => m12_47
);
m13_with_ae: mulae
port map (
in_mulae => m13,
out_mulae => m13_ae
);
m14_with_3d: mul3d
port map (
in_mul3d => m14,
out_mul3d => m14_3d
);
m15_with_19: mul19
port map (
in_mul19 => m15,
out_mul19 => m15_19
);
-- the fourth row creates s13
m8_with_a4_1: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4_1
);
m9_with_55: mul55
port map (
in_mul55 => m9,
out_mul55 => m9_55
);
m10_with_87: mul87
port map (
in_mul87 => m10,
out_mul87 => m10_87
);
m11_with_5a: mul5a
port map (
in_mul5a => m11,
out_mul5a => m11_5a
);
m12_with_58: mul58
port map (
in_mul58 => m12,
out_mul58 => m12_58
);
m13_with_db: muldb
port map (
in_muldb => m13,
out_muldb => m13_db
);
m14_with_9e: mul9e
port map (
in_mul9e => m14,
out_mul9e => m14_9e
);
m15_with_03: mul03
port map (
in_mul03 => m15,
out_mul03 => m15_03
);
-- we create the s2,j j=0..3
-- the first row of m16..23 creates the s20
m16_with_01: mul01
port map (
in_mul01 => m16,
out_mul01 => m16_01
);
m17_with_a4: mula4
port map (
in_mula4 => m17,
out_mula4 => m17_a4
);
m18_with_55: mul55
port map (
in_mul55 => m18,
out_mul55 => m18_55
);
m19_with_87: mul87
port map (
in_mul87 => m19,
out_mul87 => m19_87
);
m20_with_5a: mul5a
port map (
in_mul5a => m20,
out_mul5a => m20_5a
);
m21_with_58: mul58
port map (
in_mul58 => m21,
out_mul58 => m21_58
);
m22_with_db: muldb
port map (
in_muldb => m22,
out_muldb => m22_db
);
m23_with_9e: mul9e
port map (
in_mul9e => m23,
out_mul9e => m23_9e
);
-- the second row creates s21
m16_with_a4: mula4
port map (
in_mula4 => m16,
out_mula4 => m16_a4
);
m17_with_56: mul56
port map (
in_mul56 => m17,
out_mul56 => m17_56
);
m18_with_82: mul82
port map (
in_mul82 => m18,
out_mul82 => m18_82
);
m19_with_f3: mulf3
port map (
in_mulf3 => m19,
out_mulf3 => m19_f3
);
m20_with_1e: mul1e
port map (
in_mul1e => m20,
out_mul1e => m20_1e
);
m21_with_c6: mulc6
port map (
in_mulc6 => m21,
out_mulc6 => m21_c6
);
m22_with_68: mul68
port map (
in_mul68 => m22,
out_mul68 => m22_68
);
m23_with_e5: mule5
port map (
in_mule5 => m23,
out_mule5 => m23_e5
);
-- the third row creates s22
m16_with_02: mul02
port map (
in_mul02 => m16,
out_mul02 => m16_02
);
m17_with_a1: mula1
port map (
in_mula1 => m17,
out_mula1 => m17_a1
);
m18_with_fc: mulfc
port map (
in_mulfc => m18,
out_mulfc => m18_fc
);
m19_with_c1: mulc1
port map (
in_mulc1 => m19,
out_mulc1 => m19_c1
);
m20_with_47: mul47
port map (
in_mul47 => m20,
out_mul47 => m20_47
);
m21_with_ae: mulae
port map (
in_mulae => m21,
out_mulae => m21_ae
);
m22_with_3d: mul3d
port map (
in_mul3d => m22,
out_mul3d => m22_3d
);
m23_with_19: mul19
port map (
in_mul19 => m23,
out_mul19 => m23_19
);
-- the fourth row creates s23
m16_with_a4_1: mula4
port map (
in_mula4 => m16,
out_mula4 => m16_a4_1
);
m17_with_55: mul55
port map (
in_mul55 => m17,
out_mul55 => m17_55
);
m18_with_87: mul87
port map (
in_mul87 => m18,
out_mul87 => m18_87
);
m19_with_5a: mul5a
port map (
in_mul5a => m19,
out_mul5a => m19_5a
);
m20_with_58: mul58
port map (
in_mul58 => m20,
out_mul58 => m20_58
);
m21_with_db: muldb
port map (
in_muldb => m21,
out_muldb => m21_db
);
m22_with_9e: mul9e
port map (
in_mul9e => m22,
out_mul9e => m22_9e
);
m23_with_03: mul03
port map (
in_mul03 => m23,
out_mul03 => m23_03
);
-- after getting the results from multipliers
-- we combine them in order to get the additions
s00 <= m0_01 XOR m1_a4 XOR m2_55 XOR m3_87 XOR m4_5a XOR m5_58 XOR m6_db XOR m7_9e;
s01 <= m0_a4 XOR m1_56 XOR m2_82 XOR m3_f3 XOR m4_1e XOR m5_c6 XOR m6_68 XOR m7_e5;
s02 <= m0_02 XOR m1_a1 XOR m2_fc XOR m3_c1 XOR m4_47 XOR m5_ae XOR m6_3d XOR m7_19;
s03 <= m0_a4_1 XOR m1_55 XOR m2_87 XOR m3_5a XOR m4_58 XOR m5_db XOR m6_9e XOR m7_03;
-- after creating s0,j j=0...3 we form the S0
-- little endian
out_Sfirst_rs192 <= s03 & s02 & s01 & s00;
s10 <= m8_01 XOR m9_a4 XOR m10_55 XOR m11_87 XOR m12_5a XOR m13_58 XOR m14_db XOR m15_9e;
s11 <= m8_a4 XOR m9_56 XOR m10_82 XOR m11_f3 XOR m12_1e XOR m13_c6 XOR m14_68 XOR m15_e5;
s12 <= m8_02 XOR m9_a1 XOR m10_fc XOR m11_c1 XOR m12_47 XOR m13_ae XOR m14_3d XOR m15_19;
s13 <= m8_a4_1 XOR m9_55 XOR m10_87 XOR m11_5a XOR m12_58 XOR m13_db XOR m14_9e XOR m15_03;
-- after creating s1,j j=0...3 we form the S1
-- little endian
out_Ssecond_rs192 <= s13 & s12 & s11 & s10;
s20 <= m16_01 XOR m17_a4 XOR m18_55 XOR m19_87 XOR m20_5a XOR m21_58 XOR m22_db XOR m23_9e;
s21 <= m16_a4 XOR m17_56 XOR m18_82 XOR m19_f3 XOR m20_1e XOR m21_c6 XOR m22_68 XOR m23_e5;
s22 <= m16_02 XOR m17_a1 XOR m18_fc XOR m19_c1 XOR m20_47 XOR m21_ae XOR m22_3d XOR m23_19;
s23 <= m16_a4_1 XOR m17_55 XOR m18_87 XOR m19_5a XOR m20_58 XOR m21_db XOR m22_9e XOR m23_03;
-- after creating s2j j=0...3 we form the S2
-- little endian
out_Sthird_rs192 <= s23 & s22 & s21 & s20;
end rs_192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- h function for 192 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity h_192 is
port (
in_h192 : in std_logic_vector(7 downto 0);
Mfirst_h192,
Msecond_h192,
Mthird_h192 : in std_logic_vector(31 downto 0);
out_h192 : out std_logic_vector(31 downto 0)
);
end h_192;
architecture h192_arch of h_192 is
-- we declare internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
from_third_row,
to_fourth_row,
to_mds : std_logic_vector(31 downto 0);
-- we declare all components needed
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q1_1: q1
port map (
in_q1 => in_h192,
out_q1 => from_first_row(7 downto 0)
);
first_q1_2: q1
port map (
in_q1 => in_h192,
out_q1 => from_first_row(15 downto 8)
);
first_q0_1: q0
port map (
in_q0 => in_h192,
out_q0 => from_first_row(23 downto 16)
);
first_q0_2: q0
port map (
in_q0 => in_h192,
out_q0 => from_first_row(31 downto 24)
);
-- we perform the XOR of the results of the first row
-- with first M of h (Mfirst_h128)
to_second_row <= from_first_row XOR Mfirst_h192;
-- second row of q
second_q0_1: q0
port map (
in_q0 => to_second_row(7 downto 0),
out_q0 => from_second_row(7 downto 0)
);
second_q1_1: q1
port map (
in_q1 => to_second_row(15 downto 8),
out_q1 => from_second_row(15 downto 8)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(23 downto 16),
out_q0 => from_second_row(23 downto 16)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(31 downto 24),
out_q1 => from_second_row(31 downto 24)
);
-- we perform the XOR of the results of the second row
-- with second M of h (Msecond_h128)
to_third_row <= from_second_row XOR Msecond_h192;
-- third row of q
third_q0_1: q0
port map (
in_q0 => to_third_row(7 downto 0),
out_q0 => from_third_row(7 downto 0)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(15 downto 8),
out_q0 => from_third_row(15 downto 8)
);
third_q1_1: q1
port map (
in_q1 => to_third_row(23 downto 16),
out_q1 => from_third_row(23 downto 16)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(31 downto 24),
out_q1 => from_third_row(31 downto 24)
);
-- we perform the third XOR
to_fourth_row <= from_third_row XOR Mthird_h192;
-- the fourth row of q
fourth_q1_1: q1
port map (
in_q1 => to_fourth_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
fourth_q0_1: q0
port map (
in_q0 => to_fourth_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
fourth_q1_2: q1
port map (
in_q1 => to_fourth_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
fourth_q0_2: q0
port map (
in_q0 => to_fourth_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_h192(7 downto 0),
z1 => out_h192(15 downto 8),
z2 => out_h192(23 downto 16),
z3 => out_h192(31 downto 24)
);
end h192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- g function for 192 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity g_192 is
port (
in_g192,
in_S0_g192,
in_S1_g192,
in_S2_g192 : in std_logic_vector(31 downto 0);
out_g192 : out std_logic_vector(31 downto 0)
);
end g_192;
architecture g192_arch of g_192 is
-- we declare the internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
from_third_row,
to_fourth_row,
to_mds : std_logic_vector(31 downto 0);
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q1_1: q1
port map (
in_q1 => in_g192(7 downto 0),
out_q1 => from_first_row(7 downto 0)
);
first_q1_2: q1
port map (
in_q1 => in_g192(15 downto 8),
out_q1 => from_first_row(15 downto 8)
);
first_q0_1: q0
port map (
in_q0 => in_g192(23 downto 16),
out_q0 => from_first_row(23 downto 16)
);
first_q0_2: q0
port map (
in_q0 => in_g192(31 downto 24),
out_q0 => from_first_row(31 downto 24)
);
-- we XOR the result of the first row
-- with the S0
to_second_row <= from_first_row XOR in_S0_g192;
-- second row of q
second_q0_1: q0
port map (
in_q0 => to_second_row(7 downto 0),
out_q0 => from_second_row(7 downto 0)
);
second_q1_1: q1
port map (
in_q1 => to_second_row(15 downto 8),
out_q1 => from_second_row(15 downto 8)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(23 downto 16),
out_q0 => from_second_row(23 downto 16)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(31 downto 24),
out_q1 => from_second_row(31 downto 24)
);
-- we perform the XOR
to_third_row <= from_second_row XOR in_S1_g192;
-- third row of q
third_q0_1: q0
port map (
in_q0 => to_third_row(7 downto 0),
out_q0 => from_third_row(7 downto 0)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(15 downto 8),
out_q0 => from_third_row(15 downto 8)
);
third_q1_1: q1
port map (
in_q1 => to_third_row(23 downto 16),
out_q1 => from_third_row(23 downto 16)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(31 downto 24),
out_q1 => from_third_row(31 downto 24)
);
-- we perform the XOR
to_fourth_row <= from_third_row XOR in_S2_g192;
-- fourth row of q
fourth_q1_1: q1
port map (
in_q1=> to_fourth_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
fourth_q0_1: q0
port map (
in_q0 => to_fourth_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
fourth_q1_2: q1
port map (
in_q1 => to_fourth_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
fourth_q0_2: q0
port map (
in_q0 => to_fourth_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_g192(7 downto 0),
z1 => out_g192(15 downto 8),
z2 => out_g192(23 downto 16),
z3 => out_g192(31 downto 24)
);
end g192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- f function with 192 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity f_192 is
port (
up_in_f192,
low_in_f192,
S0_in_f192,
S1_in_f192,
S2_in_f192,
up_key_f192,
low_key_f192 : in std_logic_vector(31 downto 0);
up_out_f192,
low_out_f192 : out std_logic_vector(31 downto 0)
);
end f_192;
architecture f192_arch of f_192 is
-- we declare the internal signals
signal from_shift_8,
to_up_pht,
to_low_pht,
to_up_key,
to_low_key,
intermediate_carry1,
intermediate_carry2 : std_logic_vector(31 downto 0);
signal zero : std_logic;
component g_192
port (
in_g192,
in_S0_g192,
in_S1_g192,
in_S2_g192 : in std_logic_vector(31 downto 0);
out_g192 : out std_logic_vector(31 downto 0)
);
end component;
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component adder
port (
in1_adder,
in2_adder,
in_carry_adder : in std_logic;
out_adder,
out_carry_adder : out std_logic
);
end component;
-- begin architecture description
begin
-- we initialize zero
zero <= '0';
-- upper g_192
upper_g192: g_192
port map (
in_g192 => up_in_f192,
in_S0_g192 => S0_in_f192,
in_S1_g192 => S1_in_f192,
in_S2_g192 => S2_in_f192,
out_g192 => to_up_pht
);
-- left rotation by 8
from_shift_8(31 downto 8) <= low_in_f192(23 downto 0);
from_shift_8(7 downto 0) <= low_in_f192(31 downto 24);
-- lower g192
lower_g192: g_192
port map (
in_g192 => from_shift_8,
in_S0_g192 => S0_in_f192,
in_S1_g192 => S1_in_f192,
in_S2_g192 => S2_in_f192,
out_g192 => to_low_pht
);
-- pht
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => to_low_pht,
up_out_pht => to_up_key,
down_out_pht => to_low_key
);
-- upper adder of 32 bits
up_adder: for i in 0 to 31 generate
first: if (i=0) generate
the_adder: adder
port map (
in1_adder => to_up_key(0),
in2_adder => up_key_f192(0),
in_carry_adder => zero,
out_adder => up_out_f192(0),
out_carry_adder => intermediate_carry1(0)
);
end generate first;
the_rest: if (i>0) generate
the_adders: adder
port map (
in1_adder => to_up_key(i),
in2_adder => up_key_f192(i),
in_carry_adder => intermediate_carry1(i-1),
out_adder => up_out_f192(i),
out_carry_adder => intermediate_carry1(i)
);
end generate the_rest;
end generate up_adder;
-- lower adder of 32 bits
low_adder: for i in 0 to 31 generate
first1: if (i=0) generate
the_adder1:adder
port map (
in1_adder => to_low_key(0),
in2_adder => low_key_f192(0),
in_carry_adder => zero,
out_adder => low_out_f192(0),
out_carry_adder => intermediate_carry2(0)
);
end generate first1;
the_rest1: if (i>0) generate
the_adders1: adder
port map (
in1_adder => to_low_key(i),
in2_adder => low_key_f192(i),
in_carry_adder => intermediate_carry2(i-1),
out_adder => low_out_f192(i),
out_carry_adder => intermediate_carry2(i)
);
end generate the_rest1;
end generate low_adder;
end f192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish key scheduler for 192 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_keysched192 is
port (
odd_in_tk192,
even_in_tk192 : in std_logic_vector(7 downto 0);
in_key_tk192 : in std_logic_vector(191 downto 0);
out_key_up_tk192,
out_key_down_tk192 : out std_logic_vector(31 downto 0)
);
end twofish_keysched192;
architecture twofish_keysched192_arch of twofish_keysched192 is
-- we declare internal signals
signal to_up_pht,
to_shift_8,
from_shift_8,
to_shift_9,
M0, M1, M2, M3, M4, M5 : std_logic_vector(31 downto 0);
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6, byte7,
byte8, byte9, byte10, byte11,
byte12, byte13, byte14, byte15,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23 : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_192
port (
in_h192 : in std_logic_vector(7 downto 0);
Mfirst_h192,
Msecond_h192,
Mthird_h192 : in std_logic_vector(31 downto 0);
out_h192 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte23 <= in_key_tk192(7 downto 0);
byte22 <= in_key_tk192(15 downto 8);
byte21 <= in_key_tk192(23 downto 16);
byte20 <= in_key_tk192(31 downto 24);
byte19 <= in_key_tk192(39 downto 32);
byte18 <= in_key_tk192(47 downto 40);
byte17 <= in_key_tk192(55 downto 48);
byte16 <= in_key_tk192(63 downto 56);
byte15 <= in_key_tk192(71 downto 64);
byte14 <= in_key_tk192(79 downto 72);
byte13 <= in_key_tk192(87 downto 80);
byte12 <= in_key_tk192(95 downto 88);
byte11 <= in_key_tk192(103 downto 96);
byte10 <= in_key_tk192(111 downto 104);
byte9 <= in_key_tk192(119 downto 112);
byte8 <= in_key_tk192(127 downto 120);
byte7 <= in_key_tk192(135 downto 128);
byte6 <= in_key_tk192(143 downto 136);
byte5 <= in_key_tk192(151 downto 144);
byte4 <= in_key_tk192(159 downto 152);
byte3 <= in_key_tk192(167 downto 160);
byte2 <= in_key_tk192(175 downto 168);
byte1 <= in_key_tk192(183 downto 176);
byte0 <= in_key_tk192(191 downto 184);
-- we form the M{0..5}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
M4 <= byte19 & byte18 & byte17 & byte16;
M5 <= byte23 & byte22 & byte21 & byte20;
-- upper h
upper_h: h_192
port map (
in_h192 => even_in_tk192,
Mfirst_h192 => M4,
Msecond_h192 => M2,
Mthird_h192 => M0,
out_h192 => to_up_pht
);
-- lower h
lower_h: h_192
port map (
in_h192 => odd_in_tk192,
Mfirst_h192 => M5,
Msecond_h192 => M3,
Mthird_h192 => M1,
out_h192 => to_shift_8
);
-- left rotate by 8
from_shift_8(31 downto 8) <= to_shift_8(23 downto 0);
from_shift_8(7 downto 0) <= to_shift_8(31 downto 24);
-- pht transformation
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => from_shift_8,
up_out_pht => out_key_up_tk192,
down_out_pht => to_shift_9
);
-- left rotate by 9
out_key_down_tk192(31 downto 9) <= to_shift_9(22 downto 0);
out_key_down_tk192(8 downto 0) <= to_shift_9(31 downto 23);
end twofish_keysched192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish S key component for 192 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_S192 is
port (
in_key_ts192 : in std_logic_vector(191 downto 0);
out_Sfirst_ts192,
out_Ssecond_ts192,
out_Sthird_ts192 : out std_logic_vector(31 downto 0)
);
end twofish_S192;
architecture twofish_S192_arch of twofish_S192 is
-- we declare the components to be used
component reed_solomon192
port (
in_rs192 : in std_logic_vector(191 downto 0);
out_Sfirst_rs192,
out_Ssecond_rs192,
out_Sthird_rs192 : out std_logic_vector(31 downto 0)
);
end component;
signal twofish_key : std_logic_vector(191 downto 0);
signal byte15, byte14, byte13, byte12, byte11, byte10,
byte9, byte8, byte7, byte6, byte5, byte4,
byte3, byte2, byte1, byte0,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- splitting the input
byte23 <= in_key_ts192(7 downto 0);
byte22 <= in_key_ts192(15 downto 8);
byte21 <= in_key_ts192(23 downto 16);
byte20 <= in_key_ts192(31 downto 24);
byte19 <= in_key_ts192(39 downto 32);
byte18 <= in_key_ts192(47 downto 40);
byte17 <= in_key_ts192(55 downto 48);
byte16 <= in_key_ts192(63 downto 56);
byte15 <= in_key_ts192(71 downto 64);
byte14 <= in_key_ts192(79 downto 72);
byte13 <= in_key_ts192(87 downto 80);
byte12 <= in_key_ts192(95 downto 88);
byte11 <= in_key_ts192(103 downto 96);
byte10 <= in_key_ts192(111 downto 104);
byte9 <= in_key_ts192(119 downto 112);
byte8 <= in_key_ts192(127 downto 120);
byte7 <= in_key_ts192(135 downto 128);
byte6 <= in_key_ts192(143 downto 136);
byte5 <= in_key_ts192(151 downto 144);
byte4 <= in_key_ts192(159 downto 152);
byte3 <= in_key_ts192(167 downto 160);
byte2 <= in_key_ts192(175 downto 168);
byte1 <= in_key_ts192(183 downto 176);
byte0 <= in_key_ts192(191 downto 184);
-- forming the key
twofish_key <= byte23 & byte22 & byte21 & byte20 & byte19 & byte18 & byte17 & byte16 &
byte15 & byte14 & byte13 & byte12 & byte11 & byte10 & byte9 & byte8 & byte7 &
byte6 & byte5 & byte4 & byte3 & byte2 & byte1 & byte0;
-- the keys S0,1,2
produce_S0_S1_S2: reed_solomon192
port map (
in_rs192 => twofish_key,
out_Sfirst_rs192 => out_Sfirst_ts192,
out_Ssecond_rs192 => out_Ssecond_ts192,
out_Sthird_rs192 => out_Sthird_ts192
);
end twofish_S192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish whitening key scheduler for 192 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_whit_keysched192 is
port (
in_key_twk192 : in std_logic_vector(191 downto 0);
out_K0_twk192,
out_K1_twk192,
out_K2_twk192,
out_K3_twk192,
out_K4_twk192,
out_K5_twk192,
out_K6_twk192,
out_K7_twk192 : out std_logic_vector(31 downto 0)
);
end twofish_whit_keysched192;
architecture twofish_whit_keysched192_arch of twofish_whit_keysched192 is
-- we declare internal signals
signal to_up_pht_1,
to_shift_8_1,
from_shift_8_1,
to_shift_9_1,
to_up_pht_2,
to_shift_8_2,
from_shift_8_2,
to_shift_9_2,
to_up_pht_3,
to_shift_8_3,
from_shift_8_3,
to_shift_9_3,
to_up_pht_4,
to_shift_8_4,
from_shift_8_4,
to_shift_9_4,
M0, M1, M2, M3, M4, M5 : std_logic_vector(31 downto 0);
signal byte0, byte1, byte2, byte3,
byte4, byte5, byte6, byte7,
byte8, byte9, byte10, byte11,
byte12, byte13, byte14, byte15,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23 : std_logic_vector(7 downto 0);
signal zero, one, two, three, four, five, six, seven : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_192
port (
in_h192 : in std_logic_vector(7 downto 0);
Mfirst_h192,
Msecond_h192,
Mthird_h192 : in std_logic_vector(31 downto 0);
out_h192 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we produce the first eight numbers
zero <= "00000000";
one <= "00000001";
two <= "00000010";
three <= "00000011";
four <= "00000100";
five <= "00000101";
six <= "00000110";
seven <= "00000111";
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte23 <= in_key_twk192(7 downto 0);
byte22 <= in_key_twk192(15 downto 8);
byte21 <= in_key_twk192(23 downto 16);
byte20 <= in_key_twk192(31 downto 24);
byte19 <= in_key_twk192(39 downto 32);
byte18 <= in_key_twk192(47 downto 40);
byte17 <= in_key_twk192(55 downto 48);
byte16 <= in_key_twk192(63 downto 56);
byte15 <= in_key_twk192(71 downto 64);
byte14 <= in_key_twk192(79 downto 72);
byte13 <= in_key_twk192(87 downto 80);
byte12 <= in_key_twk192(95 downto 88);
byte11 <= in_key_twk192(103 downto 96);
byte10 <= in_key_twk192(111 downto 104);
byte9 <= in_key_twk192(119 downto 112);
byte8 <= in_key_twk192(127 downto 120);
byte7 <= in_key_twk192(135 downto 128);
byte6 <= in_key_twk192(143 downto 136);
byte5 <= in_key_twk192(151 downto 144);
byte4 <= in_key_twk192(159 downto 152);
byte3 <= in_key_twk192(167 downto 160);
byte2 <= in_key_twk192(175 downto 168);
byte1 <= in_key_twk192(183 downto 176);
byte0 <= in_key_twk192(191 downto 184);
-- we form the M{0..5}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
M4 <= byte19 & byte18 & byte17 & byte16;
M5 <= byte23 & byte22 & byte21 & byte20;
-- we produce the keys for the whitening steps
-- keys K0,1
-- upper h
upper_h1: h_192
port map (
in_h192 => zero,
Mfirst_h192 => M4,
Msecond_h192 => M2,
Mthird_h192 => M0,
out_h192 => to_up_pht_1
);
-- lower h
lower_h1: h_192
port map (
in_h192 => one,
Mfirst_h192 => M5,
Msecond_h192 => M3,
Mthird_h192 => M1,
out_h192 => to_shift_8_1
);
-- left rotate by 8
from_shift_8_1(31 downto 8) <= to_shift_8_1(23 downto 0);
from_shift_8_1(7 downto 0) <= to_shift_8_1(31 downto 24);
-- pht transformation
pht_transform1: pht
port map (
up_in_pht => to_up_pht_1,
down_in_pht => from_shift_8_1,
up_out_pht => out_K0_twk192,
down_out_pht => to_shift_9_1
);
-- left rotate by 9
out_K1_twk192(31 downto 9) <= to_shift_9_1(22 downto 0);
out_K1_twk192(8 downto 0) <= to_shift_9_1(31 downto 23);
-- keys K2,3
-- upper h
upper_h2: h_192
port map (
in_h192 => two,
Mfirst_h192 => M4,
Msecond_h192 => M2,
Mthird_h192 => M0,
out_h192 => to_up_pht_2
);
-- lower h
lower_h2: h_192
port map (
in_h192 => three,
Mfirst_h192 => M5,
Msecond_h192 => M3,
Mthird_h192 => M1,
out_h192 => to_shift_8_2
);
-- left rotate by 8
from_shift_8_2(31 downto 8) <= to_shift_8_2(23 downto 0);
from_shift_8_2(7 downto 0) <= to_shift_8_2(31 downto 24);
-- pht transformation
pht_transform2: pht
port map (
up_in_pht => to_up_pht_2,
down_in_pht => from_shift_8_2,
up_out_pht => out_K2_twk192,
down_out_pht => to_shift_9_2
);
-- left rotate by 9
out_K3_twk192(31 downto 9) <= to_shift_9_2(22 downto 0);
out_K3_twk192(8 downto 0) <= to_shift_9_2(31 downto 23);
-- keys K4,5
-- upper h
upper_h3: h_192
port map (
in_h192 => four,
Mfirst_h192 => M4,
Msecond_h192 => M2,
Mthird_h192 => M0,
out_h192 => to_up_pht_3
);
-- lower h
lower_h3: h_192
port map (
in_h192 => five,
Mfirst_h192 => M5,
Msecond_h192 => M3,
Mthird_h192 => M1,
out_h192 => to_shift_8_3
);
-- left rotate by 8
from_shift_8_3(31 downto 8) <= to_shift_8_3(23 downto 0);
from_shift_8_3(7 downto 0) <= to_shift_8_3(31 downto 24);
-- pht transformation
pht_transform3: pht
port map (
up_in_pht => to_up_pht_3,
down_in_pht => from_shift_8_3,
up_out_pht => out_K4_twk192,
down_out_pht => to_shift_9_3
);
-- left rotate by 9
out_K5_twk192(31 downto 9) <= to_shift_9_3(22 downto 0);
out_K5_twk192(8 downto 0) <= to_shift_9_3(31 downto 23);
-- keys K6,7
-- upper h
upper_h4: h_192
port map (
in_h192 => six,
Mfirst_h192 => M4,
Msecond_h192 => M2,
Mthird_h192 => M0,
out_h192 => to_up_pht_4
);
-- lower h
lower_h4: h_192
port map (
in_h192 => seven,
Mfirst_h192 => M5,
Msecond_h192 => M3,
Mthird_h192 => M1,
out_h192 => to_shift_8_4
);
-- left rotate by 8
from_shift_8_4(31 downto 8) <= to_shift_8_4(23 downto 0);
from_shift_8_4(7 downto 0) <= to_shift_8_4(31 downto 24);
-- pht transformation
pht_transform4: pht
port map (
up_in_pht => to_up_pht_4,
down_in_pht => from_shift_8_4,
up_out_pht => out_K6_twk192,
down_out_pht => to_shift_9_4
);
-- left rotate by 9
out_K7_twk192(31 downto 9) <= to_shift_9_4(22 downto 0);
out_K7_twk192(8 downto 0) <= to_shift_9_4(31 downto 23);
end twofish_whit_keysched192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish encryption round with 192 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_encryption_round192 is
port (
in1_ter192,
in2_ter192,
in3_ter192,
in4_ter192,
in_Sfirst_ter192,
in_Ssecond_ter192,
in_Sthird_ter192,
in_key_up_ter192,
in_key_down_ter192 : in std_logic_vector(31 downto 0);
out1_ter192,
out2_ter192,
out3_ter192,
out4_ter192 : out std_logic_vector(31 downto 0)
);
end twofish_encryption_round192;
architecture twofish_encryption_round192_arch of twofish_encryption_round192 is
-- we declare internal signals
signal to_left_shift,
from_right_shift,
to_xor_with3,
to_xor_with4 : std_logic_vector(31 downto 0);
component f_192
port (
up_in_f192,
low_in_f192,
S0_in_f192,
S1_in_f192,
S2_in_f192,
up_key_f192,
low_key_f192 : in std_logic_vector(31 downto 0);
up_out_f192,
low_out_f192 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we declare f_192
function_f: f_192
port map (
up_in_f192 => in1_ter192,
low_in_f192 => in2_ter192,
S0_in_f192 => in_Sfirst_ter192,
S1_in_f192 => in_Ssecond_ter192,
S2_in_f192 => in_Sthird_ter192,
up_key_f192 => in_key_up_ter192,
low_key_f192 => in_key_down_ter192,
up_out_f192 => to_xor_with3,
low_out_f192 => to_xor_with4
);
-- we perform the exchange
-- in1_ter128 -> out3_ter128
-- in2_ter128 -> out4_ter128
-- in3_ter128 -> out1_ter128
-- in4_ter128 -> out2_ter128
-- we perform the left xor between the upper f function and
-- the third input (input 3)
to_left_shift <= to_xor_with3 XOR in3_ter192;
-- we perform the left side rotation to the right by 1 and
-- we perform the exchange too
out1_ter192(30 downto 0) <= to_left_shift(31 downto 1);
out1_ter192(31) <= to_left_shift(0);
-- we perform the right side rotation to the left by 1
from_right_shift(0) <= in4_ter192(31);
from_right_shift(31 downto 1) <= in4_ter192(30 downto 0);
-- we perform the right xor between the lower f function and
-- the fourth input (input 4)
out2_ter192 <= from_right_shift XOR to_xor_with4;
-- we perform the last exchanges
out3_ter192 <= in1_ter192;
out4_ter192 <= in2_ter192;
end twofish_encryption_round192_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish decryption round with 192 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_decryption_round192 is
port (
in1_tdr192,
in2_tdr192,
in3_tdr192,
in4_tdr192,
in_Sfirst_tdr192,
in_Ssecond_tdr192,
in_Sthird_tdr192,
in_key_up_tdr192,
in_key_down_tdr192 : in std_logic_vector(31 downto 0);
out1_tdr192,
out2_tdr192,
out3_tdr192,
out4_tdr192 : out std_logic_vector(31 downto 0)
);
end twofish_decryption_round192;
architecture twofish_decryption_round192_arch of twofish_decryption_round192 is
signal to_xor_with3,
to_xor_with4,
to_xor_with_up_f,
from_xor_with_down_f : std_logic_vector(31 downto 0);
component f_192
port (
up_in_f192,
low_in_f192,
S0_in_f192,
S1_in_f192,
S2_in_f192,
up_key_f192,
low_key_f192 : in std_logic_vector(31 downto 0);
up_out_f192,
low_out_f192 : out std_logic_vector(31 downto 0)
);
end component;
begin
-- we instantiate f function
function_f: f_192
port map (
up_in_f192 => in1_tdr192,
low_in_f192 => in2_tdr192,
S0_in_f192 => in_Sfirst_tdr192,
S1_in_f192 => in_Ssecond_tdr192,
S2_in_f192 => in_Sthird_tdr192,
up_key_f192 => in_key_up_tdr192,
low_key_f192 => in_key_down_tdr192,
up_out_f192 => to_xor_with3,
low_out_f192 => to_xor_with4
);
-- output 1: input3 with upper f
-- we first rotate the input3 by 1 bit leftwise
to_xor_with_up_f(0) <= in3_tdr192(31);
to_xor_with_up_f(31 downto 1) <= in3_tdr192(30 downto 0);
-- we perform the XOR with the upper output of f and the result
-- is ouput 1
out1_tdr192 <= to_xor_with_up_f XOR to_xor_with3;
-- output 2: input4 with lower f
-- we perform the XOR with the lower output of f
from_xor_with_down_f <= in4_tdr192 XOR to_xor_with4;
-- we perform the rotation by 1 bit rightwise and the result
-- is output2
out2_tdr192(31) <= from_xor_with_down_f(0);
out2_tdr192(30 downto 0) <= from_xor_with_down_f(31 downto 1);
-- we assign outputs 3 and 4
out3_tdr192 <= in1_tdr192;
out4_tdr192 <= in2_tdr192;
end twofish_decryption_round192_arch;
-- =============================================== --
-- =============================================== --
-- --
-- fourth part: 256 key input dependent components --
-- --
-- =============================================== --
-- =============================================== --
--
-- reed solomon for 256bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity reed_solomon256 is
port (
in_rs256 : in std_logic_vector(255 downto 0);
out_Sfirst_rs256,
out_Ssecond_rs256,
out_Sthird_rs256,
out_Sfourth_rs256 : out std_logic_vector(31 downto 0)
);
end reed_solomon256;
architecture rs_256_arch of reed_solomon256 is
-- declaring all components necessary for reed solomon
-- 01
component mul01
port (
in_mul01 : in std_logic_vector(7 downto 0);
out_mul01 : out std_logic_vector(7 downto 0)
);
end component;
-- a4
component mula4
port (
in_mula4 : in std_logic_vector(7 downto 0);
out_mula4 : out std_logic_vector(7 downto 0)
);
end component;
-- 55
component mul55
port (
in_mul55 : in std_logic_vector(7 downto 0);
out_mul55 : out std_logic_vector(7 downto 0)
);
end component;
-- 87
component mul87
port (
in_mul87 : in std_logic_vector(7 downto 0);
out_mul87 : out std_logic_vector(7 downto 0)
);
end component;
-- 5a
component mul5a
port (
in_mul5a : in std_logic_vector(7 downto 0);
out_mul5a : out std_logic_vector(7 downto 0)
);
end component;
-- 58
component mul58
port (
in_mul58 : in std_logic_vector(7 downto 0);
out_mul58 : out std_logic_vector(7 downto 0)
);
end component;
-- db
component muldb
port (
in_muldb : in std_logic_vector(7 downto 0);
out_muldb : out std_logic_vector(7 downto 0)
);
end component;
-- 9e
component mul9e
port (
in_mul9e : in std_logic_vector(7 downto 0);
out_mul9e : out std_logic_vector(7 downto 0)
);
end component;
-- 56
component mul56
port (
in_mul56 : in std_logic_vector(7 downto 0);
out_mul56 : out std_logic_vector(7 downto 0)
);
end component;
-- 82
component mul82
port (
in_mul82 : in std_logic_vector(7 downto 0);
out_mul82 : out std_logic_vector(7 downto 0)
);
end component;
-- f3
component mulf3
port (
in_mulf3 : in std_logic_vector(7 downto 0);
out_mulf3 : out std_logic_vector(7 downto 0)
);
end component;
-- 1e
component mul1e
port (
in_mul1e : in std_logic_vector(7 downto 0);
out_mul1e : out std_logic_vector(7 downto 0)
);
end component;
-- c6
component mulc6
port (
in_mulc6 : in std_logic_vector(7 downto 0);
out_mulc6 : out std_logic_vector(7 downto 0)
);
end component;
-- 68
component mul68
port (
in_mul68 : in std_logic_vector(7 downto 0);
out_mul68 : out std_logic_vector(7 downto 0)
);
end component;
-- e5
component mule5
port (
in_mule5 : in std_logic_vector(7 downto 0);
out_mule5 : out std_logic_vector(7 downto 0)
);
end component;
-- 02
component mul02
port (
in_mul02 : in std_logic_vector(7 downto 0);
out_mul02 : out std_logic_vector(7 downto 0)
);
end component;
-- a1
component mula1
port (
in_mula1 : in std_logic_vector(7 downto 0);
out_mula1 : out std_logic_vector(7 downto 0)
);
end component;
-- fc
component mulfc
port (
in_mulfc : in std_logic_vector(7 downto 0);
out_mulfc : out std_logic_vector(7 downto 0)
);
end component;
-- c1
component mulc1
port (
in_mulc1 : in std_logic_vector(7 downto 0);
out_mulc1 : out std_logic_vector(7 downto 0)
);
end component;
-- 47
component mul47
port (
in_mul47 : in std_logic_vector(7 downto 0);
out_mul47 : out std_logic_vector(7 downto 0)
);
end component;
-- ae
component mulae
port (
in_mulae : in std_logic_vector(7 downto 0);
out_mulae : out std_logic_vector(7 downto 0)
);
end component;
-- 3d
component mul3d
port (
in_mul3d : in std_logic_vector(7 downto 0);
out_mul3d : out std_logic_vector(7 downto 0)
);
end component;
-- 19
component mul19
port (
in_mul19 : in std_logic_vector(7 downto 0);
out_mul19 : out std_logic_vector(7 downto 0)
);
end component;
-- 03
component mul03
port (
in_mul03 : in std_logic_vector(7 downto 0);
out_mul03 : out std_logic_vector(7 downto 0)
);
end component;
-- declaring internal signals
signal m0,m1,m2,m3,m4,m5,m6,m7,m8,m9,m10,m11,m12,m13,m14,m15,
m16, m17, m18, m19, m20, m21, m22, m23, m24, m25, m26, m27, m28, m29, m30, m31 : std_logic_vector(7 downto 0);
signal s00,s01,s02,s03,s10,s11,s12,s13, s20, s21, s22, s23, s30, s31, s32, s33 : std_logic_vector(7 downto 0);
signal m0_01,m1_a4,m2_55,m3_87,m4_5a,m5_58,m6_db,m7_9e,
m0_a4,m1_56,m2_82,m3_f3,m4_1e,m5_c6,m6_68,m7_e5,
m0_02,m1_a1,m2_fc,m3_c1,m4_47,m5_ae,m6_3d,m7_19,
m0_a4_1,m1_55,m2_87,m3_5a,m4_58,m5_db,m6_9e,m7_03 : std_logic_vector(7 downto 0);
signal m8_01,m9_a4,m10_55,m11_87,m12_5a,m13_58,m14_db,m15_9e,
m8_a4,m9_56,m10_82,m11_f3,m12_1e,m13_c6,m14_68,m15_e5,
m8_02,m9_a1,m10_fc,m11_c1,m12_47,m13_ae,m14_3d,m15_19,
m8_a4_1,m9_55,m10_87,m11_5a,m12_58,m13_db,m14_9e,m15_03 : std_logic_vector(7 downto 0);
signal m16_01,m17_a4,m18_55,m19_87,m20_5a,m21_58,m22_db,m23_9e,
m16_a4,m17_56,m18_82,m19_f3,m20_1e,m21_c6,m22_68,m23_e5,
m16_02,m17_a1,m18_fc,m19_c1,m20_47,m21_ae,m22_3d,m23_19,
m16_a4_1,m17_55,m18_87,m19_5a,m20_58,m21_db,m22_9e,m23_03 : std_logic_vector(7 downto 0);
signal m24_01,m25_a4,m26_55,m27_87,m28_5a,m29_58,m30_db,m31_9e,
m24_a4,m25_56,m26_82,m27_f3,m28_1e,m29_c6,m30_68,m31_e5,
m24_02,m25_a1,m26_fc,m27_c1,m28_47,m29_ae,m30_3d,m31_19,
m24_a4_1,m25_55,m26_87,m27_5a,m28_58,m29_db,m30_9e,m31_03 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- first, we separate the input to the respective m
-- for s0j j=0..3
m0 <= in_rs256(7 downto 0);
m1 <= in_rs256(15 downto 8);
m2 <= in_rs256(23 downto 16);
m3 <= in_rs256(31 downto 24);
m4 <= in_rs256(39 downto 32);
m5 <= in_rs256(47 downto 40);
m6 <= in_rs256(55 downto 48);
m7 <= in_rs256(63 downto 56);
-- for s1j j=0..3
m8 <= in_rs256(71 downto 64);
m9 <= in_rs256(79 downto 72);
m10 <= in_rs256(87 downto 80);
m11 <= in_rs256(95 downto 88);
m12 <= in_rs256(103 downto 96);
m13 <= in_rs256(111 downto 104);
m14 <= in_rs256(119 downto 112);
m15 <= in_rs256(127 downto 120);
-- for s2j j=0..3
m16 <= in_rs256(135 downto 128);
m17 <= in_rs256(143 downto 136);
m18 <= in_rs256(151 downto 144);
m19 <= in_rs256(159 downto 152);
m20 <= in_rs256(167 downto 160);
m21 <= in_rs256(175 downto 168);
m22 <= in_rs256(183 downto 176);
m23 <= in_rs256(191 downto 184);
-- for s3j j=0..3
m24 <= in_rs256(199 downto 192);
m25 <= in_rs256(207 downto 200);
m26 <= in_rs256(215 downto 208);
m27 <= in_rs256(223 downto 216);
m28 <= in_rs256(231 downto 224);
m29 <= in_rs256(239 downto 232);
m30 <= in_rs256(247 downto 240);
m31 <= in_rs256(255 downto 248);
-- after separating signals, we drive them to multipliers
-- the first line of m0..7 forms s00
m0_with_01: mul01
port map (
in_mul01 => m0,
out_mul01 => m0_01
);
m1_with_a4: mula4
port map (
in_mula4 => m1,
out_mula4 => m1_a4
);
m2_with_55: mul55
port map (
in_mul55 => m2,
out_mul55 => m2_55
);
m3_with_87: mul87
port map (
in_mul87 => m3,
out_mul87 => m3_87
);
m4_with_5a: mul5a
port map (
in_mul5a => m4,
out_mul5a => m4_5a
);
m5_with_58: mul58
port map (
in_mul58 => m5,
out_mul58 => m5_58
);
m6_with_db: muldb
port map (
in_muldb => m6,
out_muldb => m6_db
);
m7_with_9e: mul9e
port map (
in_mul9e => m7,
out_mul9e => m7_9e
);
-- the second row creates s01
m0_with_a4: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4
);
m1_with_56: mul56
port map (
in_mul56 => m1,
out_mul56 => m1_56
);
m2_with_82: mul82
port map (
in_mul82 => m2,
out_mul82 => m2_82
);
m3_with_f3: mulf3
port map (
in_mulf3 => m3,
out_mulf3 => m3_f3
);
m4_with_1e: mul1e
port map (
in_mul1e => m4,
out_mul1e => m4_1e
);
m5_with_c6: mulc6
port map (
in_mulc6 => m5,
out_mulc6 => m5_c6
);
m6_with_68: mul68
port map (
in_mul68 => m6,
out_mul68 => m6_68
);
m7_with_e5: mule5
port map (
in_mule5 => m7,
out_mule5 => m7_e5
);
-- the third row creates s02
m0_with_02: mul02
port map (
in_mul02 => m0,
out_mul02 => m0_02
);
m1_with_a1: mula1
port map (
in_mula1 => m1,
out_mula1 => m1_a1
);
m2_with_fc: mulfc
port map (
in_mulfc => m2,
out_mulfc => m2_fc
);
m3_with_c1: mulc1
port map (
in_mulc1 => m3,
out_mulc1 => m3_c1
);
m4_with_47: mul47
port map (
in_mul47 => m4,
out_mul47 => m4_47
);
m5_with_ae: mulae
port map (
in_mulae => m5,
out_mulae => m5_ae
);
m6_with_3d: mul3d
port map (
in_mul3d => m6,
out_mul3d => m6_3d
);
m7_with_19: mul19
port map (
in_mul19 => m7,
out_mul19 => m7_19
);
-- the fourth row creates s03
m0_with_a4_1: mula4
port map (
in_mula4 => m0,
out_mula4 => m0_a4_1
);
m1_with_55: mul55
port map (
in_mul55 => m1,
out_mul55 => m1_55
);
m2_with_87: mul87
port map (
in_mul87 => m2,
out_mul87 => m2_87
);
m3_with_5a: mul5a
port map (
in_mul5a => m3,
out_mul5a => m3_5a
);
m4_with_58: mul58
port map (
in_mul58 => m4,
out_mul58 => m4_58
);
m5_with_db: muldb
port map (
in_muldb => m5,
out_muldb => m5_db
);
m6_with_9e: mul9e
port map (
in_mul9e => m6,
out_mul9e => m6_9e
);
m7_with_03: mul03
port map (
in_mul03 => m7,
out_mul03 => m7_03
);
-- we create the s1,j j=0..3
-- the first row of m8..15 creates the s10
m8_with_01: mul01
port map (
in_mul01 => m8,
out_mul01 => m8_01
);
m9_with_a4: mula4
port map (
in_mula4 => m9,
out_mula4 => m9_a4
);
m10_with_55: mul55
port map (
in_mul55 => m10,
out_mul55 => m10_55
);
m11_with_87: mul87
port map (
in_mul87 => m11,
out_mul87 => m11_87
);
m12_with_5a: mul5a
port map (
in_mul5a => m12,
out_mul5a => m12_5a
);
m13_with_58: mul58
port map (
in_mul58 => m13,
out_mul58 => m13_58
);
m14_with_db: muldb
port map (
in_muldb => m14,
out_muldb => m14_db
);
m15_with_9e: mul9e
port map (
in_mul9e => m15,
out_mul9e => m15_9e
);
-- the second row creates s11
m8_with_a4: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4
);
m9_with_56: mul56
port map (
in_mul56 => m9,
out_mul56 => m9_56
);
m10_with_82: mul82
port map (
in_mul82 => m10,
out_mul82 => m10_82
);
m11_with_f3: mulf3
port map (
in_mulf3 => m11,
out_mulf3 => m11_f3
);
m12_with_1e: mul1e
port map (
in_mul1e => m12,
out_mul1e => m12_1e
);
m13_with_c6: mulc6
port map (
in_mulc6 => m13,
out_mulc6 => m13_c6
);
m14_with_68: mul68
port map (
in_mul68 => m14,
out_mul68 => m14_68
);
m15_with_e5: mule5
port map (
in_mule5 => m15,
out_mule5 => m15_e5
);
-- the third row creates s12
m8_with_02: mul02
port map (
in_mul02 => m8,
out_mul02 => m8_02
);
m9_with_a1: mula1
port map (
in_mula1 => m9,
out_mula1 => m9_a1
);
m10_with_fc: mulfc
port map (
in_mulfc => m10,
out_mulfc => m10_fc
);
m11_with_c1: mulc1
port map (
in_mulc1 => m11,
out_mulc1 => m11_c1
);
m12_with_47: mul47
port map (
in_mul47 => m12,
out_mul47 => m12_47
);
m13_with_ae: mulae
port map (
in_mulae => m13,
out_mulae => m13_ae
);
m14_with_3d: mul3d
port map (
in_mul3d => m14,
out_mul3d => m14_3d
);
m15_with_19: mul19
port map (
in_mul19 => m15,
out_mul19 => m15_19
);
-- the fourth row creates s13
m8_with_a4_1: mula4
port map (
in_mula4 => m8,
out_mula4 => m8_a4_1
);
m9_with_55: mul55
port map (
in_mul55 => m9,
out_mul55 => m9_55
);
m10_with_87: mul87
port map (
in_mul87 => m10,
out_mul87 => m10_87
);
m11_with_5a: mul5a
port map (
in_mul5a => m11,
out_mul5a => m11_5a
);
m12_with_58: mul58
port map (
in_mul58 => m12,
out_mul58 => m12_58
);
m13_with_db: muldb
port map (
in_muldb => m13,
out_muldb => m13_db
);
m14_with_9e: mul9e
port map (
in_mul9e => m14,
out_mul9e => m14_9e
);
m15_with_03: mul03
port map (
in_mul03 => m15,
out_mul03 => m15_03
);
-- we create the s2,j j=0..3
-- the first row of m16..23 creates the s20
m16_with_01: mul01
port map (
in_mul01 => m16,
out_mul01 => m16_01
);
m17_with_a4: mula4
port map (
in_mula4 => m17,
out_mula4 => m17_a4
);
m18_with_55: mul55
port map (
in_mul55 => m18,
out_mul55 => m18_55
);
m19_with_87: mul87
port map (
in_mul87 => m19,
out_mul87 => m19_87
);
m20_with_5a: mul5a
port map (
in_mul5a => m20,
out_mul5a => m20_5a
);
m21_with_58: mul58
port map (
in_mul58 => m21,
out_mul58 => m21_58
);
m22_with_db: muldb
port map (
in_muldb => m22,
out_muldb => m22_db
);
m23_with_9e: mul9e
port map (
in_mul9e => m23,
out_mul9e => m23_9e
);
-- the second row creates s21
m16_with_a4: mula4
port map (
in_mula4 => m16,
out_mula4 => m16_a4
);
m17_with_56: mul56
port map (
in_mul56 => m17,
out_mul56 => m17_56
);
m18_with_82: mul82
port map (
in_mul82 => m18,
out_mul82 => m18_82
);
m19_with_f3: mulf3
port map (
in_mulf3 => m19,
out_mulf3 => m19_f3
);
m20_with_1e: mul1e
port map (
in_mul1e => m20,
out_mul1e => m20_1e
);
m21_with_c6: mulc6
port map (
in_mulc6 => m21,
out_mulc6 => m21_c6
);
m22_with_68: mul68
port map (
in_mul68 => m22,
out_mul68 => m22_68
);
m23_with_e5: mule5
port map (
in_mule5 => m23,
out_mule5 => m23_e5
);
-- the third row creates s22
m16_with_02: mul02
port map (
in_mul02 => m16,
out_mul02 => m16_02
);
m17_with_a1: mula1
port map (
in_mula1 => m17,
out_mula1 => m17_a1
);
m18_with_fc: mulfc
port map (
in_mulfc => m18,
out_mulfc => m18_fc
);
m19_with_c1: mulc1
port map (
in_mulc1 => m19,
out_mulc1 => m19_c1
);
m20_with_47: mul47
port map (
in_mul47 => m20,
out_mul47 => m20_47
);
m21_with_ae: mulae
port map (
in_mulae => m21,
out_mulae => m21_ae
);
m22_with_3d: mul3d
port map (
in_mul3d => m22,
out_mul3d => m22_3d
);
m23_with_19: mul19
port map (
in_mul19 => m23,
out_mul19 => m23_19
);
-- the fourth row creates s23
m16_with_a4_1: mula4
port map (
in_mula4 => m16,
out_mula4 => m16_a4_1
);
m17_with_55: mul55
port map (
in_mul55 => m17,
out_mul55 => m17_55
);
m18_with_87: mul87
port map (
in_mul87 => m18,
out_mul87 => m18_87
);
m19_with_5a: mul5a
port map (
in_mul5a => m19,
out_mul5a => m19_5a
);
m20_with_58: mul58
port map (
in_mul58 => m20,
out_mul58 => m20_58
);
m21_with_db: muldb
port map (
in_muldb => m21,
out_muldb => m21_db
);
m22_with_9e: mul9e
port map (
in_mul9e => m22,
out_mul9e => m22_9e
);
m23_with_03: mul03
port map (
in_mul03 => m23,
out_mul03 => m23_03
);
-- we create the s3j j=0..3
-- the first row of m24..31 creates the s30
m24_with_01: mul01
port map (
in_mul01 => m24,
out_mul01 => m24_01
);
m25_with_a4: mula4
port map (
in_mula4 => m25,
out_mula4 => m25_a4
);
m26_with_55: mul55
port map (
in_mul55 => m26,
out_mul55 => m26_55
);
m27_with_87: mul87
port map (
in_mul87 => m27,
out_mul87 => m27_87
);
m28_with_5a: mul5a
port map (
in_mul5a => m28,
out_mul5a => m28_5a
);
m29_with_58: mul58
port map (
in_mul58 => m29,
out_mul58 => m29_58
);
m30_with_db: muldb
port map (
in_muldb => m30,
out_muldb => m30_db
);
m31_with_9e: mul9e
port map (
in_mul9e => m31,
out_mul9e => m31_9e
);
-- the second row creates s31
m24_with_a4: mula4
port map (
in_mula4 => m24,
out_mula4 => m24_a4
);
m25_with_56: mul56
port map (
in_mul56 => m25,
out_mul56 => m25_56
);
m26_with_82: mul82
port map (
in_mul82 => m26,
out_mul82 => m26_82
);
m27_with_f3: mulf3
port map (
in_mulf3 => m27,
out_mulf3 => m27_f3
);
m28_with_1e: mul1e
port map (
in_mul1e => m28,
out_mul1e => m28_1e
);
m29_with_c6: mulc6
port map (
in_mulc6 => m29,
out_mulc6 => m29_c6
);
m30_with_68: mul68
port map (
in_mul68 => m30,
out_mul68 => m30_68
);
m31_with_e5: mule5
port map (
in_mule5 => m31,
out_mule5 => m31_e5
);
-- the third row creates s32
m24_with_02: mul02
port map (
in_mul02 => m24,
out_mul02 => m24_02
);
m25_with_a1: mula1
port map (
in_mula1 => m25,
out_mula1 => m25_a1
);
m26_with_fc: mulfc
port map (
in_mulfc => m26,
out_mulfc => m26_fc
);
m27_with_c1: mulc1
port map (
in_mulc1 => m27,
out_mulc1 => m27_c1
);
m28_with_47: mul47
port map (
in_mul47 => m28,
out_mul47 => m28_47
);
m29_with_ae: mulae
port map (
in_mulae => m29,
out_mulae => m29_ae
);
m30_with_3d: mul3d
port map (
in_mul3d => m30,
out_mul3d => m30_3d
);
m31_with_19: mul19
port map (
in_mul19 => m31,
out_mul19 => m31_19
);
-- the fourth row creates s33
m24_with_a4_1: mula4
port map (
in_mula4 => m24,
out_mula4 => m24_a4_1
);
m25_with_55: mul55
port map (
in_mul55 => m25,
out_mul55 => m25_55
);
m26_with_87: mul87
port map (
in_mul87 => m26,
out_mul87 => m26_87
);
m27_with_5a: mul5a
port map (
in_mul5a => m27,
out_mul5a => m27_5a
);
m28_with_58: mul58
port map (
in_mul58 => m28,
out_mul58 => m28_58
);
m29_with_db: muldb
port map (
in_muldb => m29,
out_muldb => m29_db
);
m30_with_9e: mul9e
port map (
in_mul9e => m30,
out_mul9e => m30_9e
);
m31_with_03: mul03
port map (
in_mul03 => m31,
out_mul03 => m31_03
);
-- after getting the results from multipliers
-- we combine them in order to get the additions
s00 <= m0_01 XOR m1_a4 XOR m2_55 XOR m3_87 XOR m4_5a XOR m5_58 XOR m6_db XOR m7_9e;
s01 <= m0_a4 XOR m1_56 XOR m2_82 XOR m3_f3 XOR m4_1e XOR m5_c6 XOR m6_68 XOR m7_e5;
s02 <= m0_02 XOR m1_a1 XOR m2_fc XOR m3_c1 XOR m4_47 XOR m5_ae XOR m6_3d XOR m7_19;
s03 <= m0_a4_1 XOR m1_55 XOR m2_87 XOR m3_5a XOR m4_58 XOR m5_db XOR m6_9e XOR m7_03;
-- after creating s0,j j=0...3 we form the S0
-- little endian
out_Sfirst_rs256 <= s03 & s02 & s01 & s00;
s10 <= m8_01 XOR m9_a4 XOR m10_55 XOR m11_87 XOR m12_5a XOR m13_58 XOR m14_db XOR m15_9e;
s11 <= m8_a4 XOR m9_56 XOR m10_82 XOR m11_f3 XOR m12_1e XOR m13_c6 XOR m14_68 XOR m15_e5;
s12 <= m8_02 XOR m9_a1 XOR m10_fc XOR m11_c1 XOR m12_47 XOR m13_ae XOR m14_3d XOR m15_19;
s13 <= m8_a4_1 XOR m9_55 XOR m10_87 XOR m11_5a XOR m12_58 XOR m13_db XOR m14_9e XOR m15_03;
-- after creating s1,j j=0...3 we form the S1
-- little endian
out_Ssecond_rs256 <= s13 & s12 & s11 & s10;
s20 <= m16_01 XOR m17_a4 XOR m18_55 XOR m19_87 XOR m20_5a XOR m21_58 XOR m22_db XOR m23_9e;
s21 <= m16_a4 XOR m17_56 XOR m18_82 XOR m19_f3 XOR m20_1e XOR m21_c6 XOR m22_68 XOR m23_e5;
s22 <= m16_02 XOR m17_a1 XOR m18_fc XOR m19_c1 XOR m20_47 XOR m21_ae XOR m22_3d XOR m23_19;
s23 <= m16_a4_1 XOR m17_55 XOR m18_87 XOR m19_5a XOR m20_58 XOR m21_db XOR m22_9e XOR m23_03;
-- after creating s2j j=0...3 we form the S2
-- little endian
out_Sthird_rs256 <= s23 & s22 & s21 & s20;
s30 <= m24_01 XOR m25_a4 XOR m26_55 XOR m27_87 XOR m28_5a XOR m29_58 XOR m30_db XOR m31_9e;
s31 <= m24_a4 XOR m25_56 XOR m26_82 XOR m27_f3 XOR m28_1e XOR m29_c6 XOR m30_68 XOR m31_e5;
s32 <= m24_02 XOR m25_a1 XOR m26_fc XOR m27_c1 XOR m28_47 XOR m29_ae XOR m30_3d XOR m31_19;
s33 <= m24_a4_1 XOR m25_55 XOR m26_87 XOR m27_5a XOR m28_58 XOR m29_db XOR m30_9e XOR m31_03;
-- after creating s3j j=0...3 we form the S3
-- little endian
out_Sfourth_rs256 <= s33 & s32 & s31 & s30;
end rs_256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- h function for 256 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity h_256 is
port (
in_h256 : in std_logic_vector(7 downto 0);
Mfirst_h256,
Msecond_h256,
Mthird_h256,
Mfourth_h256 : in std_logic_vector(31 downto 0);
out_h256 : out std_logic_vector(31 downto 0)
);
end h_256;
architecture h256_arch of h_256 is
-- we declare internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
from_third_row,
to_fourth_row,
from_fourth_row,
to_fifth_row,
to_mds : std_logic_vector(31 downto 0);
-- we declare all components needed
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q1_1: q1
port map (
in_q1 => in_h256,
out_q1 => from_first_row(7 downto 0)
);
first_q0_1: q0
port map (
in_q0 => in_h256,
out_q0 => from_first_row(15 downto 8)
);
first_q0_2: q0
port map (
in_q0 => in_h256,
out_q0 => from_first_row(23 downto 16)
);
first_q1_2: q1
port map (
in_q1 => in_h256,
out_q1 => from_first_row(31 downto 24)
);
-- we perform the XOR of the results of the first row
-- with first M of h (Mfirst_h256)
to_second_row <= from_first_row XOR Mfirst_h256;
-- second row of q
second_q1_1: q1
port map (
in_q1 => to_second_row(7 downto 0),
out_q1 => from_second_row(7 downto 0)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(15 downto 8),
out_q1 => from_second_row(15 downto 8)
);
second_q0_1: q0
port map (
in_q0 => to_second_row(23 downto 16),
out_q0 => from_second_row(23 downto 16)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(31 downto 24),
out_q0 => from_second_row(31 downto 24)
);
-- we perform the XOR of the results of the second row
-- with second M of h (Msecond_h256)
to_third_row <= from_second_row XOR Msecond_h256;
-- third row of q
third_q0_1: q0
port map (
in_q0 => to_third_row(7 downto 0),
out_q0 => from_third_row(7 downto 0)
);
third_q1_1: q1
port map (
in_q1 => to_third_row(15 downto 8),
out_q1 => from_third_row(15 downto 8)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(23 downto 16),
out_q0 => from_third_row(23 downto 16)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(31 downto 24),
out_q1 => from_third_row(31 downto 24)
);
-- we perform the XOR of the results of the third row
-- with third M of h (Mthird_h256)
to_fourth_row <= from_third_row XOR Mthird_h256;
-- fourth row of q
fourth_q0_1: q0
port map (
in_q0 => to_fourth_row(7 downto 0),
out_q0 => from_fourth_row(7 downto 0)
);
fourth_q0_2: q0
port map (
in_q0 => to_fourth_row(15 downto 8),
out_q0 => from_fourth_row(15 downto 8)
);
fourth_q1_1: q1
port map (
in_q1 => to_fourth_row(23 downto 16),
out_q1 => from_fourth_row(23 downto 16)
);
fourth_q1_2: q1
port map (
in_q1 => to_fourth_row(31 downto 24),
out_q1 => from_fourth_row(31 downto 24)
);
-- we perform the fourth XOR
to_fifth_row <= from_fourth_row XOR Mfourth_h256;
-- the fifth row of q
fifth_q1_1: q1
port map (
in_q1 => to_fifth_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
fifth_q0_1: q0
port map (
in_q0 => to_fifth_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
fifth_q1_2: q1
port map (
in_q1 => to_fifth_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
fifth_q0_2: q0
port map (
in_q0 => to_fifth_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_h256(7 downto 0),
z1 => out_h256(15 downto 8),
z2 => out_h256(23 downto 16),
z3 => out_h256(31 downto 24)
);
end h256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- g function for 256 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity g_256 is
port (
in_g256,
in_S0_g256,
in_S1_g256,
in_S2_g256,
in_S3_g256 : in std_logic_vector(31 downto 0);
out_g256 : out std_logic_vector(31 downto 0)
);
end g_256;
architecture g256_arch of g_256 is
-- we declare the internal signals
signal from_first_row,
to_second_row,
from_second_row,
to_third_row,
from_third_row,
to_fourth_row,
from_fourth_row,
to_fifth_row,
to_mds : std_logic_vector(31 downto 0);
component q0
port (
in_q0 : in std_logic_vector(7 downto 0);
out_q0 : out std_logic_vector(7 downto 0)
);
end component;
component q1
port (
in_q1 : in std_logic_vector(7 downto 0);
out_q1 : out std_logic_vector(7 downto 0)
);
end component;
component mds
port (
y0,
y1,
y2,
y3 : in std_logic_vector(7 downto 0);
z0,
z1,
z2,
z3 : out std_logic_vector(7 downto 0)
);
end component;
-- begin architecture description
begin
-- first row of q
first_q1_1: q1
port map (
in_q1 => in_g256(7 downto 0),
out_q1 => from_first_row(7 downto 0)
);
first_q0_1: q0
port map (
in_q0 => in_g256(15 downto 8),
out_q0 => from_first_row(15 downto 8)
);
first_q0_2: q0
port map (
in_q0 => in_g256(23 downto 16),
out_q0 => from_first_row(23 downto 16)
);
first_q1_2: q1
port map (
in_q1 => in_g256(31 downto 24),
out_q1 => from_first_row(31 downto 24)
);
-- we perform the XOR of the results of the first row
-- with S0
to_second_row <= from_first_row XOR in_S0_g256;
-- second row of q
second_q1_1: q1
port map (
in_q1 => to_second_row(7 downto 0),
out_q1 => from_second_row(7 downto 0)
);
second_q1_2: q1
port map (
in_q1 => to_second_row(15 downto 8),
out_q1 => from_second_row(15 downto 8)
);
second_q0_1: q0
port map (
in_q0 => to_second_row(23 downto 16),
out_q0 => from_second_row(23 downto 16)
);
second_q0_2: q0
port map (
in_q0 => to_second_row(31 downto 24),
out_q0 => from_second_row(31 downto 24)
);
-- we perform the XOR of the results of the second row
-- with S1
to_third_row <= from_second_row XOR in_S1_g256;
-- third row of q
third_q0_1: q0
port map (
in_q0 => to_third_row(7 downto 0),
out_q0 => from_third_row(7 downto 0)
);
third_q1_1: q1
port map (
in_q1 => to_third_row(15 downto 8),
out_q1 => from_third_row(15 downto 8)
);
third_q0_2: q0
port map (
in_q0 => to_third_row(23 downto 16),
out_q0 => from_third_row(23 downto 16)
);
third_q1_2: q1
port map (
in_q1 => to_third_row(31 downto 24),
out_q1 => from_third_row(31 downto 24)
);
-- we perform the XOR of the results of the third row
-- with S2
to_fourth_row <= from_third_row XOR in_S2_g256;
-- fourth row of q
fourth_q0_1: q0
port map (
in_q0 => to_fourth_row(7 downto 0),
out_q0 => from_fourth_row(7 downto 0)
);
fourth_q0_2: q0
port map (
in_q0 => to_fourth_row(15 downto 8),
out_q0 => from_fourth_row(15 downto 8)
);
fourth_q1_1: q1
port map (
in_q1 => to_fourth_row(23 downto 16),
out_q1 => from_fourth_row(23 downto 16)
);
fourth_q1_2: q1
port map (
in_q1 => to_fourth_row(31 downto 24),
out_q1 => from_fourth_row(31 downto 24)
);
-- we perform the fourth XOR
to_fifth_row <= from_fourth_row XOR in_S3_g256;
-- the fifth row of q
fifth_q1_1: q1
port map (
in_q1 => to_fifth_row(7 downto 0),
out_q1 => to_mds(7 downto 0)
);
fifth_q0_1: q0
port map (
in_q0 => to_fifth_row(15 downto 8),
out_q0 => to_mds(15 downto 8)
);
fifth_q1_2: q1
port map (
in_q1 => to_fifth_row(23 downto 16),
out_q1 => to_mds(23 downto 16)
);
fifth_q0_2: q0
port map (
in_q0 => to_fifth_row(31 downto 24),
out_q0 => to_mds(31 downto 24)
);
-- mds table
mds_table: mds
port map (
y0 => to_mds(7 downto 0),
y1 => to_mds(15 downto 8),
y2 => to_mds(23 downto 16),
y3 => to_mds(31 downto 24),
z0 => out_g256(7 downto 0),
z1 => out_g256(15 downto 8),
z2 => out_g256(23 downto 16),
z3 => out_g256(31 downto 24)
);
end g256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- f function with 256 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity f_256 is
port (
up_in_f256,
low_in_f256,
S0_in_f256,
S1_in_f256,
S2_in_f256,
S3_in_f256,
up_key_f256,
low_key_f256 : in std_logic_vector(31 downto 0);
up_out_f256,
low_out_f256 : out std_logic_vector(31 downto 0)
);
end f_256;
architecture f256_arch of f_256 is
-- we declare the internal signals
signal from_shift_8,
to_up_pht,
to_low_pht,
to_up_key,
to_low_key,
intermediate_carry1,
intermediate_carry2 : std_logic_vector(31 downto 0);
signal zero : std_logic;
component g_256
port (
in_g256,
in_S0_g256,
in_S1_g256,
in_S2_g256,
in_S3_g256 : in std_logic_vector(31 downto 0);
out_g256 : out std_logic_vector(31 downto 0)
);
end component;
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component adder
port (
in1_adder,
in2_adder,
in_carry_adder : in std_logic;
out_adder,
out_carry_adder : out std_logic
);
end component;
-- begin architecture description
begin
-- we initialize zero
zero <= '0';
-- upper g_256
upper_g256: g_256
port map (
in_g256 => up_in_f256,
in_S0_g256 => S0_in_f256,
in_S1_g256 => S1_in_f256,
in_S2_g256 => S2_in_f256,
in_S3_g256 => S3_in_f256,
out_g256 => to_up_pht
);
-- left rotation by 8
from_shift_8(31 downto 8) <= low_in_f256(23 downto 0);
from_shift_8(7 downto 0) <= low_in_f256(31 downto 24);
-- lower g256
lower_g256: g_256
port map (
in_g256 => from_shift_8,
in_S0_g256 => S0_in_f256,
in_S1_g256 => S1_in_f256,
in_S2_g256 => S2_in_f256,
in_S3_g256 => S3_in_f256,
out_g256 => to_low_pht
);
-- pht
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => to_low_pht,
up_out_pht => to_up_key,
down_out_pht => to_low_key
);
-- upper adder of 32 bits
up_adder: for i in 0 to 31 generate
first: if (i=0) generate
the_adder: adder
port map (
in1_adder => to_up_key(0),
in2_adder => up_key_f256(0),
in_carry_adder => zero,
out_adder => up_out_f256(0),
out_carry_adder => intermediate_carry1(0)
);
end generate first;
the_rest: if (i>0) generate
the_adders: adder
port map (
in1_adder => to_up_key(i),
in2_adder => up_key_f256(i),
in_carry_adder => intermediate_carry1(i-1),
out_adder => up_out_f256(i),
out_carry_adder => intermediate_carry1(i)
);
end generate the_rest;
end generate up_adder;
-- lower adder of 32 bits
low_adder: for i in 0 to 31 generate
first1: if (i=0) generate
the_adder1:adder
port map (
in1_adder => to_low_key(0),
in2_adder => low_key_f256(0),
in_carry_adder => zero,
out_adder => low_out_f256(0),
out_carry_adder => intermediate_carry2(0)
);
end generate first1;
the_rest1: if (i>0) generate
the_adders1: adder
port map (
in1_adder => to_low_key(i),
in2_adder => low_key_f256(i),
in_carry_adder => intermediate_carry2(i-1),
out_adder => low_out_f256(i),
out_carry_adder => intermediate_carry2(i)
);
end generate the_rest1;
end generate low_adder;
end f256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish key scheduler for 256 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_keysched256 is
port (
odd_in_tk256,
even_in_tk256 : in std_logic_vector(7 downto 0);
in_key_tk256 : in std_logic_vector(255 downto 0);
out_key_up_tk256,
out_key_down_tk256 : out std_logic_vector(31 downto 0)
);
end twofish_keysched256;
architecture twofish_keysched256_arch of twofish_keysched256 is
-- we declare internal signals
signal to_up_pht,
to_shift_8,
from_shift_8,
to_shift_9,
M0, M1, M2, M3, M4, M5, M6, M7 : std_logic_vector(31 downto 0);
signal byte15, byte14, byte13, byte12, byte11, byte10,
byte9, byte8, byte7, byte6, byte5, byte4,
byte3, byte2, byte1, byte0,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23,
byte24, byte25, byte26, byte27,
byte28, byte29, byte30, byte31 : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_256
port (
in_h256 : in std_logic_vector(7 downto 0);
Mfirst_h256,
Msecond_h256,
Mthird_h256,
Mfourth_h256 : in std_logic_vector(31 downto 0);
out_h256 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we assign the input signal to the respective
-- bytes as is described in the prototype
-- splitting the input
byte31 <= in_key_tk256(7 downto 0);
byte30 <= in_key_tk256(15 downto 8);
byte29 <= in_key_tk256(23 downto 16);
byte28 <= in_key_tk256(31 downto 24);
byte27 <= in_key_tk256(39 downto 32);
byte26 <= in_key_tk256(47 downto 40);
byte25 <= in_key_tk256(55 downto 48);
byte24 <= in_key_tk256(63 downto 56);
byte23 <= in_key_tk256(71 downto 64);
byte22 <= in_key_tk256(79 downto 72);
byte21 <= in_key_tk256(87 downto 80);
byte20 <= in_key_tk256(95 downto 88);
byte19 <= in_key_tk256(103 downto 96);
byte18 <= in_key_tk256(111 downto 104);
byte17 <= in_key_tk256(119 downto 112);
byte16 <= in_key_tk256(127 downto 120);
byte15 <= in_key_tk256(135 downto 128);
byte14 <= in_key_tk256(143 downto 136);
byte13 <= in_key_tk256(151 downto 144);
byte12 <= in_key_tk256(159 downto 152);
byte11 <= in_key_tk256(167 downto 160);
byte10 <= in_key_tk256(175 downto 168);
byte9 <= in_key_tk256(183 downto 176);
byte8 <= in_key_tk256(191 downto 184);
byte7 <= in_key_tk256(199 downto 192);
byte6 <= in_key_tk256(207 downto 200);
byte5 <= in_key_tk256(215 downto 208);
byte4 <= in_key_tk256(223 downto 216);
byte3 <= in_key_tk256(231 downto 224);
byte2 <= in_key_tk256(239 downto 232);
byte1 <= in_key_tk256(247 downto 240);
byte0 <= in_key_tk256(255 downto 248);
-- we form the M{0..7}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
M4 <= byte19 & byte18 & byte17 & byte16;
M5 <= byte23 & byte22 & byte21 & byte20;
M6 <= byte27 & byte26 & byte25 & byte24;
M7 <= byte31 & byte30 & byte29 & byte28;
-- upper h
upper_h: h_256
port map (
in_h256 => even_in_tk256,
Mfirst_h256 => M6,
Msecond_h256 => M4,
Mthird_h256 => M2,
Mfourth_h256 => M0,
out_h256 => to_up_pht
);
-- lower h
lower_h: h_256
port map (
in_h256 => odd_in_tk256,
Mfirst_h256 => M7,
Msecond_h256 => M5,
Mthird_h256 => M3,
Mfourth_h256 => M1,
out_h256 => to_shift_8
);
-- left rotate by 8
from_shift_8(31 downto 8) <= to_shift_8(23 downto 0);
from_shift_8(7 downto 0) <= to_shift_8(31 downto 24);
-- pht transformation
pht_transform: pht
port map (
up_in_pht => to_up_pht,
down_in_pht => from_shift_8,
up_out_pht => out_key_up_tk256,
down_out_pht => to_shift_9
);
-- left rotate by 9
out_key_down_tk256(31 downto 9) <= to_shift_9(22 downto 0);
out_key_down_tk256(8 downto 0) <= to_shift_9(31 downto 23);
end twofish_keysched256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish S key component for 256 bits key
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_S256 is
port (
in_key_ts256 : in std_logic_vector(255 downto 0);
out_Sfirst_ts256,
out_Ssecond_ts256,
out_Sthird_ts256,
out_Sfourth_ts256 : out std_logic_vector(31 downto 0)
);
end twofish_S256;
architecture twofish_S256_arch of twofish_S256 is
-- we declare the components to be used
component reed_solomon256
port (
in_rs256 : in std_logic_vector(255 downto 0);
out_Sfirst_rs256,
out_Ssecond_rs256,
out_Sthird_rs256,
out_Sfourth_rs256 : out std_logic_vector(31 downto 0)
);
end component;
signal twofish_key : std_logic_vector(255 downto 0);
signal byte15, byte14, byte13, byte12, byte11, byte10,
byte9, byte8, byte7, byte6, byte5, byte4,
byte3, byte2, byte1, byte0,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23,
byte24, byte25, byte26, byte27,
byte28, byte29, byte30, byte31 : std_logic_vector(7 downto 0);
-- begin architecture description
begin
-- splitting the input
byte31 <= in_key_ts256(7 downto 0);
byte30 <= in_key_ts256(15 downto 8);
byte29 <= in_key_ts256(23 downto 16);
byte28 <= in_key_ts256(31 downto 24);
byte27 <= in_key_ts256(39 downto 32);
byte26 <= in_key_ts256(47 downto 40);
byte25 <= in_key_ts256(55 downto 48);
byte24 <= in_key_ts256(63 downto 56);
byte23 <= in_key_ts256(71 downto 64);
byte22 <= in_key_ts256(79 downto 72);
byte21 <= in_key_ts256(87 downto 80);
byte20 <= in_key_ts256(95 downto 88);
byte19 <= in_key_ts256(103 downto 96);
byte18 <= in_key_ts256(111 downto 104);
byte17 <= in_key_ts256(119 downto 112);
byte16 <= in_key_ts256(127 downto 120);
byte15 <= in_key_ts256(135 downto 128);
byte14 <= in_key_ts256(143 downto 136);
byte13 <= in_key_ts256(151 downto 144);
byte12 <= in_key_ts256(159 downto 152);
byte11 <= in_key_ts256(167 downto 160);
byte10 <= in_key_ts256(175 downto 168);
byte9 <= in_key_ts256(183 downto 176);
byte8 <= in_key_ts256(191 downto 184);
byte7 <= in_key_ts256(199 downto 192);
byte6 <= in_key_ts256(207 downto 200);
byte5 <= in_key_ts256(215 downto 208);
byte4 <= in_key_ts256(223 downto 216);
byte3 <= in_key_ts256(231 downto 224);
byte2 <= in_key_ts256(239 downto 232);
byte1 <= in_key_ts256(247 downto 240);
byte0 <= in_key_ts256(255 downto 248);
-- forming the key
twofish_key <= byte31 & byte30 & byte29 & byte28 & byte27 & byte26 & byte25 & byte24 &
byte23 & byte22 & byte21 & byte20 & byte19 & byte18 & byte17 & byte16 &
byte15 & byte14 & byte13 & byte12 & byte11 & byte10 & byte9 & byte8 & byte7 &
byte6 & byte5 & byte4 & byte3 & byte2 & byte1 & byte0;
-- the keys S0,1,2,3
produce_S0_S1_S2_S3: reed_solomon256
port map (
in_rs256 => twofish_key,
out_Sfirst_rs256 => out_Sfirst_ts256,
out_Ssecond_rs256 => out_Ssecond_ts256,
out_Sthird_rs256 => out_Sthird_ts256,
out_Sfourth_rs256 => out_Sfourth_ts256
);
end twofish_S256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish whitening key scheduler for 256 bits key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_whit_keysched256 is
port (
in_key_twk256 : in std_logic_vector(255 downto 0);
out_K0_twk256,
out_K1_twk256,
out_K2_twk256,
out_K3_twk256,
out_K4_twk256,
out_K5_twk256,
out_K6_twk256,
out_K7_twk256 : out std_logic_vector(31 downto 0)
);
end twofish_whit_keysched256;
architecture twofish_whit_keysched256_arch of twofish_whit_keysched256 is
-- we declare internal signals
signal to_up_pht_1,
to_shift_8_1,
from_shift_8_1,
to_shift_9_1,
to_up_pht_2,
to_shift_8_2,
from_shift_8_2,
to_shift_9_2,
to_up_pht_3,
to_shift_8_3,
from_shift_8_3,
to_shift_9_3,
to_up_pht_4,
to_shift_8_4,
from_shift_8_4,
to_shift_9_4,
M0, M1, M2, M3, M4, M5, M6, M7 : std_logic_vector(31 downto 0);
signal byte15, byte14, byte13, byte12, byte11, byte10,
byte9, byte8, byte7, byte6, byte5, byte4,
byte3, byte2, byte1, byte0,
byte16, byte17, byte18, byte19,
byte20, byte21, byte22, byte23,
byte24, byte25, byte26, byte27,
byte28, byte29, byte30, byte31 : std_logic_vector(7 downto 0);
signal zero, one, two, three, four, five, six, seven : std_logic_vector(7 downto 0);
-- we declare the components to be used
component pht
port (
up_in_pht,
down_in_pht : in std_logic_vector(31 downto 0);
up_out_pht,
down_out_pht : out std_logic_vector(31 downto 0)
);
end component;
component h_256
port (
in_h256 : in std_logic_vector(7 downto 0);
Mfirst_h256,
Msecond_h256,
Mthird_h256,
Mfourth_h256 : in std_logic_vector(31 downto 0);
out_h256 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we produce the first eight numbers
zero <= "00000000";
one <= "00000001";
two <= "00000010";
three <= "00000011";
four <= "00000100";
five <= "00000101";
six <= "00000110";
seven <= "00000111";
-- we assign the input signal to the respective
-- bytes as is described in the prototype
byte31 <= in_key_twk256(7 downto 0);
byte30 <= in_key_twk256(15 downto 8);
byte29 <= in_key_twk256(23 downto 16);
byte28 <= in_key_twk256(31 downto 24);
byte27 <= in_key_twk256(39 downto 32);
byte26 <= in_key_twk256(47 downto 40);
byte25 <= in_key_twk256(55 downto 48);
byte24 <= in_key_twk256(63 downto 56);
byte23 <= in_key_twk256(71 downto 64);
byte22 <= in_key_twk256(79 downto 72);
byte21 <= in_key_twk256(87 downto 80);
byte20 <= in_key_twk256(95 downto 88);
byte19 <= in_key_twk256(103 downto 96);
byte18 <= in_key_twk256(111 downto 104);
byte17 <= in_key_twk256(119 downto 112);
byte16 <= in_key_twk256(127 downto 120);
byte15 <= in_key_twk256(135 downto 128);
byte14 <= in_key_twk256(143 downto 136);
byte13 <= in_key_twk256(151 downto 144);
byte12 <= in_key_twk256(159 downto 152);
byte11 <= in_key_twk256(167 downto 160);
byte10 <= in_key_twk256(175 downto 168);
byte9 <= in_key_twk256(183 downto 176);
byte8 <= in_key_twk256(191 downto 184);
byte7 <= in_key_twk256(199 downto 192);
byte6 <= in_key_twk256(207 downto 200);
byte5 <= in_key_twk256(215 downto 208);
byte4 <= in_key_twk256(223 downto 216);
byte3 <= in_key_twk256(231 downto 224);
byte2 <= in_key_twk256(239 downto 232);
byte1 <= in_key_twk256(247 downto 240);
byte0 <= in_key_twk256(255 downto 248);
-- we form the M{0..7}
M0 <= byte3 & byte2 & byte1 & byte0;
M1 <= byte7 & byte6 & byte5 & byte4;
M2 <= byte11 & byte10 & byte9 & byte8;
M3 <= byte15 & byte14 & byte13 & byte12;
M4 <= byte19 & byte18 & byte17 & byte16;
M5 <= byte23 & byte22 & byte21 & byte20;
M6 <= byte27 & byte26 & byte25 & byte24;
M7 <= byte31 & byte30 & byte29 & byte28;
-- we produce the keys for the whitening steps
-- keys K0,1
-- upper h
upper_h1: h_256
port map (
in_h256 => zero,
Mfirst_h256 => M6,
Msecond_h256 => M4,
Mthird_h256 => M2,
Mfourth_h256 => M0,
out_h256 => to_up_pht_1
);
-- lower h
lower_h1: h_256
port map (
in_h256 => one,
Mfirst_h256 => M7,
Msecond_h256 => M5,
Mthird_h256 => M3,
Mfourth_h256 => M1,
out_h256 => to_shift_8_1
);
-- left rotate by 8
from_shift_8_1(31 downto 8) <= to_shift_8_1(23 downto 0);
from_shift_8_1(7 downto 0) <= to_shift_8_1(31 downto 24);
-- pht transformation
pht_transform1: pht
port map (
up_in_pht => to_up_pht_1,
down_in_pht => from_shift_8_1,
up_out_pht => out_K0_twk256,
down_out_pht => to_shift_9_1
);
-- left rotate by 9
out_K1_twk256(31 downto 9) <= to_shift_9_1(22 downto 0);
out_K1_twk256(8 downto 0) <= to_shift_9_1(31 downto 23);
-- keys K2,3
-- upper h
upper_h2: h_256
port map (
in_h256 => two,
Mfirst_h256 => M6,
Msecond_h256 => M4,
Mthird_h256 => M2,
Mfourth_h256 => M0,
out_h256 => to_up_pht_2
);
-- lower h
lower_h2: h_256
port map (
in_h256 => three,
Mfirst_h256 => M7,
Msecond_h256 => M5,
Mthird_h256 => M3,
Mfourth_h256 => M1,
out_h256 => to_shift_8_2
);
-- left rotate by 8
from_shift_8_2(31 downto 8) <= to_shift_8_2(23 downto 0);
from_shift_8_2(7 downto 0) <= to_shift_8_2(31 downto 24);
-- pht transformation
pht_transform2: pht
port map (
up_in_pht => to_up_pht_2,
down_in_pht => from_shift_8_2,
up_out_pht => out_K2_twk256,
down_out_pht => to_shift_9_2
);
-- left rotate by 9
out_K3_twk256(31 downto 9) <= to_shift_9_2(22 downto 0);
out_K3_twk256(8 downto 0) <= to_shift_9_2(31 downto 23);
-- keys K4,5
-- upper h
upper_h3: h_256
port map (
in_h256 => four,
Mfirst_h256 => M6,
Msecond_h256 => M4,
Mthird_h256 => M2,
Mfourth_h256 => M0,
out_h256 => to_up_pht_3
);
-- lower h
lower_h3: h_256
port map (
in_h256 => five,
Mfirst_h256 => M7,
Msecond_h256 => M5,
Mthird_h256 => M3,
Mfourth_h256 => M1,
out_h256 => to_shift_8_3
);
-- left rotate by 8
from_shift_8_3(31 downto 8) <= to_shift_8_3(23 downto 0);
from_shift_8_3(7 downto 0) <= to_shift_8_3(31 downto 24);
-- pht transformation
pht_transform3: pht
port map (
up_in_pht => to_up_pht_3,
down_in_pht => from_shift_8_3,
up_out_pht => out_K4_twk256,
down_out_pht => to_shift_9_3
);
-- left rotate by 9
out_K5_twk256(31 downto 9) <= to_shift_9_3(22 downto 0);
out_K5_twk256(8 downto 0) <= to_shift_9_3(31 downto 23);
-- keys K6,7
-- upper h
upper_h4: h_256
port map (
in_h256 => six,
Mfirst_h256 => M6,
Msecond_h256 => M4,
Mthird_h256 => M2,
Mfourth_h256 => M0,
out_h256 => to_up_pht_4
);
-- lower h
lower_h4: h_256
port map (
in_h256 => seven,
Mfirst_h256 => M7,
Msecond_h256 => M5,
Mthird_h256 => M3,
Mfourth_h256 => M1,
out_h256 => to_shift_8_4
);
-- left rotate by 8
from_shift_8_4(31 downto 8) <= to_shift_8_4(23 downto 0);
from_shift_8_4(7 downto 0) <= to_shift_8_4(31 downto 24);
-- pht transformation
pht_transform4: pht
port map (
up_in_pht => to_up_pht_4,
down_in_pht => from_shift_8_4,
up_out_pht => out_K6_twk256,
down_out_pht => to_shift_9_4
);
-- left rotate by 9
out_K7_twk256(31 downto 9) <= to_shift_9_4(22 downto 0);
out_K7_twk256(8 downto 0) <= to_shift_9_4(31 downto 23);
end twofish_whit_keysched256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish encryption round with 256 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_encryption_round256 is
port (
in1_ter256,
in2_ter256,
in3_ter256,
in4_ter256,
in_Sfirst_ter256,
in_Ssecond_ter256,
in_Sthird_ter256,
in_Sfourth_ter256,
in_key_up_ter256,
in_key_down_ter256 : in std_logic_vector(31 downto 0);
out1_ter256,
out2_ter256,
out3_ter256,
out4_ter256 : out std_logic_vector(31 downto 0)
);
end twofish_encryption_round256;
architecture twofish_encryption_round256_arch of twofish_encryption_round256 is
-- we declare internal signals
signal to_left_shift,
from_right_shift,
to_xor_with3,
to_xor_with4 : std_logic_vector(31 downto 0);
component f_256
port (
up_in_f256,
low_in_f256,
S0_in_f256,
S1_in_f256,
S2_in_f256,
S3_in_f256,
up_key_f256,
low_key_f256 : in std_logic_vector(31 downto 0);
up_out_f256,
low_out_f256 : out std_logic_vector(31 downto 0)
);
end component;
-- begin architecture description
begin
-- we declare f_256
function_f: f_256
port map (
up_in_f256 => in1_ter256,
low_in_f256 => in2_ter256,
S0_in_f256 => in_Sfirst_ter256,
S1_in_f256 => in_Ssecond_ter256,
S2_in_f256 => in_Sthird_ter256,
S3_in_f256 => in_Sfourth_ter256,
up_key_f256 => in_key_up_ter256,
low_key_f256 => in_key_down_ter256,
up_out_f256 => to_xor_with3,
low_out_f256 => to_xor_with4
);
-- we perform the exchange
-- in1_ter256 -> out3_ter256
-- in2_ter256 -> out4_ter256
-- in3_ter256 -> out1_ter256
-- in4_ter256 -> out2_ter256
-- we perform the left xor between the upper f function and
-- the third input (input 3)
to_left_shift <= to_xor_with3 XOR in3_ter256;
-- we perform the left side rotation to the right by 1 and
-- we perform the exchange too
out1_ter256(30 downto 0) <= to_left_shift(31 downto 1);
out1_ter256(31) <= to_left_shift(0);
-- we perform the right side rotation to the left by 1
from_right_shift(0) <= in4_ter256(31);
from_right_shift(31 downto 1) <= in4_ter256(30 downto 0);
-- we perform the right xor between the lower f function and
-- the fourth input (input 4)
out2_ter256 <= from_right_shift XOR to_xor_with4;
-- we perform the last exchanges
out3_ter256 <= in1_ter256;
out4_ter256 <= in2_ter256;
end twofish_encryption_round256_arch;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
-- --
-- new component --
-- --
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ --
--
-- twofish decryption round with 256 bit key input
--
library ieee;
use ieee.std_logic_1164.all;
entity twofish_decryption_round256 is
port (
in1_tdr256,
in2_tdr256,
in3_tdr256,
in4_tdr256,
in_Sfirst_tdr256,
in_Ssecond_tdr256,
in_Sthird_tdr256,
in_Sfourth_tdr256,
in_key_up_tdr256,
in_key_down_tdr256 : in std_logic_vector(31 downto 0);
out1_tdr256,
out2_tdr256,
out3_tdr256,
out4_tdr256 : out std_logic_vector(31 downto 0)
);
end twofish_decryption_round256;
architecture twofish_decryption_round256_arch of twofish_decryption_round256 is
signal to_xor_with3,
to_xor_with4,
to_xor_with_up_f,
from_xor_with_down_f : std_logic_vector(31 downto 0);
component f_256
port (
up_in_f256,
low_in_f256,
S0_in_f256,
S1_in_f256,
S2_in_f256,
S3_in_f256,
up_key_f256,
low_key_f256 : in std_logic_vector(31 downto 0);
up_out_f256,
low_out_f256 : out std_logic_vector(31 downto 0)
);
end component;
begin
-- we instantiate f function
function_f: f_256
port map (
up_in_f256 => in1_tdr256,
low_in_f256 => in2_tdr256,
S0_in_f256 => in_Sfirst_tdr256,
S1_in_f256 => in_Ssecond_tdr256,
S2_in_f256 => in_Sthird_tdr256,
S3_in_f256 => in_Sfourth_tdr256,
up_key_f256 => in_key_up_tdr256,
low_key_f256 => in_key_down_tdr256,
up_out_f256 => to_xor_with3,
low_out_f256 => to_xor_with4
);
-- output 1: input3 with upper f
-- we first rotate the input3 by 1 bit leftwise
to_xor_with_up_f(0) <= in3_tdr256(31);
to_xor_with_up_f(31 downto 1) <= in3_tdr256(30 downto 0);
-- we perform the XOR with the upper output of f and the result
-- is ouput 1
out1_tdr256 <= to_xor_with_up_f XOR to_xor_with3;
-- output 2: input4 with lower f
-- we perform the XOR with the lower output of f
from_xor_with_down_f <= in4_tdr256 XOR to_xor_with4;
-- we perform the rotation by 1 bit rightwise and the result
-- is output2
out2_tdr256(31) <= from_xor_with_down_f(0);
out2_tdr256(30 downto 0) <= from_xor_with_down_f(31 downto 1);
-- we assign outputs 3 and 4
out3_tdr256 <= in1_tdr256;
out4_tdr256 <= in2_tdr256;
end twofish_decryption_round256_arch;
| gpl-2.0 | 5fa6a5fe18f9a09c548e6e4435d46e31 | 0.563887 | 2.376384 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_skid_buf.vhd | 1 | 21,110 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_skid_buf.vhd
--
-- Description:
-- Implements the AXi Skid Buffer in the Option 2 (Registerd outputs) mode.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_skid_buf.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $1/19/2011$
--
-- History:
-- DET 1/19/2011 Initial
-- ~~~~~~
-- - Adapted from AXI DataMover v2_00_a axi_datamover_skid_buf.vhd
-- ^^^^^^
--
-- DET 2/10/2011 Initial for EDK 13.2
-- ~~~~~~
-- -- Per CR593362
-- - Removed resets from the data path of the Skid and Output registers.
-- ^^^^^^
--
-- DET 2/15/2011 Initial for EDk 13.2
-- ~~~~~~
-- -- Per CR593812
-- - Modifications to remove unused features to improve Code coverage.
-- Used "-- coverage off" and "-- coverage on" strings.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_skid_buf is
generic (
C_WDATA_WIDTH : INTEGER range 8 to 512 := 32
-- Width of the Stream Data bus (in bits)
);
port (
---------------------------------------------------------------------------
-- System Ports
---------------------------------------------------------------------------
aclk : In std_logic ; -- Clock input
arst : In std_logic ; -- Reset input
---------------------------------------------------------------------------
-- Shutdown control (assert for 1 clk pulse)
---------------------------------------------------------------------------
skid_stop : In std_logic ; -- Stop Control
---------------------------------------------------------------------------
-- Slave Side (Stream Data Input)
---------------------------------------------------------------------------
s_valid : In std_logic ; -- AXI4 Slave Stream
s_ready : Out std_logic ; -- AXI4 Slave Stream
s_data : In std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- AXI4 Slave Stream
s_strb : In std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0);-- AXI4 Slave Stream
s_last : In std_logic ; -- AXI4 Slave Stream
---------------------------------------------------------------------------
-- Master Side (Stream Data Output
---------------------------------------------------------------------------
m_valid : Out std_logic ; -- AXI4 Master Stream
m_ready : In std_logic ; -- AXI4 Master Stream
m_data : Out std_logic_vector(C_WDATA_WIDTH-1 downto 0); -- AXI4 Master Stream
m_strb : Out std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0); -- AXI4 Master Stream
m_last : Out std_logic -- AXI4 Master Stream
);
end entity axi_master_burst_skid_buf;
architecture implementation of axi_master_burst_skid_buf is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Signals decalrations -------------------------
Signal sig_reset_reg : std_logic := '0';
signal sig_spcl_s_ready_set : std_logic := '0';
signal sig_data_skid_reg : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_reg : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_reg : std_logic := '0';
signal sig_skid_reg_en : std_logic := '0';
signal sig_data_skid_mux_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_skid_mux_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_skid_mux_out : std_logic := '0';
signal sig_skid_mux_sel : std_logic := '0';
signal sig_data_reg_out : std_logic_vector(C_WDATA_WIDTH-1 downto 0) := (others => '0');
signal sig_strb_reg_out : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_last_reg_out : std_logic := '0';
signal sig_data_reg_out_en : std_logic := '0';
signal sig_m_valid_out : std_logic := '0';
signal sig_m_valid_dup : std_logic := '0';
signal sig_m_valid_comb : std_logic := '0';
signal sig_s_ready_out : std_logic := '0';
signal sig_s_ready_dup : std_logic := '0';
signal sig_s_ready_comb : std_logic := '0';
signal sig_stop_request : std_logic := '0';
signal sig_stopped : std_logic := '0';
signal sig_sready_stop : std_logic := '0';
signal sig_sready_early_stop : std_logic := '0';
signal sig_sready_stop_set : std_logic := '0';
signal sig_sready_stop_reg : std_logic := '0';
signal sig_mvalid_stop_reg : std_logic := '0';
signal sig_mvalid_stop : std_logic := '0';
signal sig_mvalid_early_stop : std_logic := '0';
signal sig_mvalid_stop_set : std_logic := '0';
signal sig_slast_with_stop : std_logic := '0';
signal sig_sstrb_stop_mask : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
signal sig_sstrb_with_stop : std_logic_vector((C_WDATA_WIDTH/8)-1 downto 0) := (others => '0');
-- Register duplication attribute assignments to control fanout
-- on handshake output signals
Attribute KEEP : string; -- declaration
Attribute EQUIVALENT_REGISTER_REMOVAL : string; -- declaration
Attribute KEEP of sig_m_valid_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_m_valid_dup : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_out : signal is "TRUE"; -- definition
Attribute KEEP of sig_s_ready_dup : signal is "TRUE"; -- definition
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_m_valid_dup : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_out : signal is "no";
Attribute EQUIVALENT_REGISTER_REMOVAL of sig_s_ready_dup : signal is "no";
begin --(architecture implementation)
m_valid <= sig_m_valid_out;
s_ready <= sig_s_ready_out;
m_strb <= sig_strb_reg_out;
m_last <= sig_last_reg_out;
m_data <= sig_data_reg_out;
-- Special shutdown logic version od Slast.
-- A halt request forces a tlast through the skig buffer
sig_slast_with_stop <= s_last or sig_stop_request;
sig_sstrb_with_stop <= s_strb or sig_sstrb_stop_mask;
-- Assign the special s_ready FLOP set signal
sig_spcl_s_ready_set <= sig_reset_reg;
-- Generate the ouput register load enable control
sig_data_reg_out_en <= m_ready or not(sig_m_valid_dup);
-- Generate the skid input register load enable control
sig_skid_reg_en <= sig_s_ready_dup;
-- Generate the skid mux select control
sig_skid_mux_sel <= not(sig_s_ready_dup);
-- Skid Mux
sig_data_skid_mux_out <= sig_data_skid_reg
When (sig_skid_mux_sel = '1')
Else s_data;
sig_strb_skid_mux_out <= sig_strb_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_sstrb_with_stop;
sig_last_skid_mux_out <= sig_last_skid_reg
When (sig_skid_mux_sel = '1')
Else sig_slast_with_stop;
-- m_valid combinational logic
sig_m_valid_comb <= s_valid or
(sig_m_valid_dup and
(not(sig_s_ready_dup) or
not(m_ready)));
-- s_ready combinational logic
sig_s_ready_comb <= m_ready or
(sig_s_ready_dup and
(not(sig_m_valid_dup) or
not(s_valid)));
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: REG_THE_RST
--
-- Process Description:
-- Register input reset
--
-------------------------------------------------------------
REG_THE_RST : process (aclk)
begin
if (aclk'event and aclk = '1') then
sig_reset_reg <= arst;
end if;
end process REG_THE_RST;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: S_READY_FLOP
--
-- Process Description:
-- Registers s_ready handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
S_READY_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1' or
sig_sready_stop = '1' or
sig_sready_early_stop = '1') then -- Special stop condition
sig_s_ready_out <= '0';
sig_s_ready_dup <= '0';
Elsif (sig_spcl_s_ready_set = '1') Then
sig_s_ready_out <= '1';
sig_s_ready_dup <= '1';
else
sig_s_ready_out <= sig_s_ready_comb;
sig_s_ready_dup <= sig_s_ready_comb;
end if;
end if;
end process S_READY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: M_VALID_FLOP
--
-- Process Description:
-- Registers m_valid handshake signals per Skid Buffer
-- Option 2 scheme
--
-------------------------------------------------------------
M_VALID_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1' or
sig_spcl_s_ready_set = '1' or -- Fix from AXI DMA
sig_mvalid_stop = '1' or
sig_mvalid_stop_set = '1') then -- Special stop condition
sig_m_valid_out <= '0';
sig_m_valid_dup <= '0';
else
sig_m_valid_out <= sig_m_valid_comb;
sig_m_valid_dup <= sig_m_valid_comb;
end if;
end if;
end process M_VALID_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_DATA_REG
--
-- Process Description:
-- This process implements the skid register for the
-- Skid Buffer Data signals. Note that reset has been removed
-- to reduce route of resets for very wide data buses.
--
-------------------------------------------------------------
SKID_DATA_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (sig_skid_reg_en = '1') then
sig_data_skid_reg <= s_data;
else
null; -- hold current state
end if;
end if;
end process SKID_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: SKID_CNTL_REG
--
-- Process Description:
-- This process implements the skid registers for the
-- Skid Buffer control signals
--
-------------------------------------------------------------
SKID_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_strb_skid_reg <= (others => '0');
sig_last_skid_reg <= '0';
elsif (sig_skid_reg_en = '1') then
sig_strb_skid_reg <= sig_sstrb_with_stop;
sig_last_skid_reg <= sig_slast_with_stop;
else
null; -- hold current state
end if;
end if;
end process SKID_CNTL_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_DATA_REG
--
-- Process Description:
-- This process implements the output register for the
-- Skid Buffer Data signals. Note that reset has been removed
-- to reduce route of resets for very wide data buses.
--
-------------------------------------------------------------
OUTPUT_DATA_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (sig_data_reg_out_en = '1') then
sig_data_reg_out <= sig_data_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_DATA_REG;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: OUTPUT_CNTL_REG
--
-- Process Description:
-- This process implements the output registers for the
-- Skid Buffer Control signals.
--
-------------------------------------------------------------
OUTPUT_CNTL_REG : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1' or
sig_mvalid_stop_reg = '1') then
sig_strb_reg_out <= (others => '0');
sig_last_reg_out <= '0';
elsif (sig_data_reg_out_en = '1') then
sig_strb_reg_out <= sig_strb_skid_mux_out;
sig_last_reg_out <= sig_last_skid_mux_out;
else
null; -- hold current state
end if;
end if;
end process OUTPUT_CNTL_REG;
-------- Special Stop Logic --------------------------------------
sig_sready_stop <= sig_sready_stop_reg;
sig_sready_early_stop <= skid_stop; -- deassert S_READY immediately
sig_sready_stop_set <= sig_sready_early_stop;
sig_mvalid_stop <= sig_mvalid_stop_reg;
sig_mvalid_early_stop <= sig_m_valid_dup and
m_ready and
skid_stop;
sig_mvalid_stop_set <= sig_mvalid_early_stop or
(sig_stop_request and
not(sig_m_valid_dup)) or
(sig_m_valid_dup and
m_ready and
sig_stop_request);
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_STOP_REQ_FLOP
--
-- Process Description:
-- This process implements the Stop request flop. It is a
-- sample and hold register that can only be cleared by reset.
--
-------------------------------------------------------------
IMP_STOP_REQ_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_stop_request <= '0';
sig_sstrb_stop_mask <= (others => '0');
-- coverage off
elsif (skid_stop = '1') then
sig_stop_request <= '1';
sig_sstrb_stop_mask <= (others => '1');
-- coverage on
else
null; -- hold current state
end if;
end if;
end process IMP_STOP_REQ_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_SREADY_FLOP
--
-- Process Description:
-- This process implements the flag to clear the s_ready
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_SREADY_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_sready_stop_reg <= '0';
-- coverage off
elsif (sig_sready_stop_set = '1') then
sig_sready_stop_reg <= '1';
-- coverage on
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_SREADY_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_CLR_MVALID_FLOP
--
-- Process Description:
-- This process implements the flag to clear the m_valid
-- flop at a stop condition.
--
-------------------------------------------------------------
IMP_CLR_MVALID_FLOP : process (aclk)
begin
if (aclk'event and aclk = '1') then
if (arst = '1') then
sig_mvalid_stop_reg <= '0';
-- coverage off
elsif (sig_mvalid_stop_set = '1') then
sig_mvalid_stop_reg <= '1';
-- coverage on
else
null; -- hold current state
end if;
end if;
end process IMP_CLR_MVALID_FLOP;
end implementation;
| apache-2.0 | 44a17c096a742ebe2c47917e4bc271aa | 0.467314 | 4.50972 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl16_fifo.vhd | 15 | 13,201 | -------------------------------------------------------------------------------
-- $Id: srl16_fifo.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl16_fifo.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl16_fifo.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl16_fifo.vhd
--
-------------------------------------------------------------------------------
-- Author: D.Thorpe
--
-- History:
-- DET 2001-10-11 First Version adapted from Goran B. srl_fifo.vhd
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "Bus_clk", "Bus_clk_div#", "Bus_clk_#x"
-- Bus_rst signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_adder;
use proc_common_v4_0.pf_counter_top;
use proc_common_v4_0.pf_occ_counter_top;
library ieee;
use ieee.std_logic_1164.all;
library ieee;
use ieee.std_logic_arith.all;
library ieee;
use ieee.std_logic_unsigned.all;
-------------------------------------------------------------------------------
entity srl16_fifo is
generic (
C_FIFO_WIDTH : integer range 1 to 128 := 8;
-- Width of FIFO Data Bus
C_FIFO_DEPTH_LOG2X : integer range 2 to 4 := 4;
-- Depth of FIFO in address bit width
-- ie 4 = 16 locations deep
-- 3 = 8 locations deep
-- 2 = 4 ocations deep
C_INCLUDE_VACANCY : Boolean := true
-- Command to include vacancy calculation
);
port (
Bus_clk : in std_logic;
Bus_rst : in std_logic;
Wr_Req : in std_logic;
Wr_Data : in std_logic_vector(0 to C_FIFO_WIDTH-1);
Rd_Req : in std_logic;
Rd_Data : out std_logic_vector(0 to C_FIFO_WIDTH-1);
Full : out std_logic;
Almostfull : Out std_logic;
Empty : Out std_logic;
Almostempty : Out std_logic;
Occupancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X);
Vacancy : Out std_logic_vector(0 to C_FIFO_DEPTH_LOG2X)
);
end entity srl16_fifo;
-------------------------------------------------------------------------------
architecture implementation of srl16_fifo is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
Signal sig_occupancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X);
Signal sig_occ_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X);
Signal sig_addr_load_value : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1);
Signal sig_logic_low : std_logic;
signal sig_almost_full : std_logic;
signal sig_full : std_logic;
signal sig_almost_empty : std_logic;
signal sig_empty : std_logic;
signal sig_valid_write : std_logic;
signal sig_inc_addr : std_logic;
signal sig_dec_addr : std_logic;
signal sig_valid_read : std_logic;
signal sig_addr : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X-1);
signal sig_srl_addr : std_logic_vector(0 to 3);
signal sig_addr_is_nonzero : std_logic;
signal sig_addr_is_zero : std_logic;
begin -- architecture implementation
-- Misc I/O
Full <= sig_full;
Almostfull <= sig_almost_full;
Empty <= sig_empty;
Almostempty <= sig_almost_empty;
Occupancy <= sig_occupancy;
----------------------------------------------------------------------------
-- Occupancy Counter Function
----------------------------------------------------------------------------
sig_occ_load_value <= (others => '0');
sig_logic_low <= '0';
I_OCCUPANCY_CNTR : entity proc_common_v4_0.pf_occ_counter_top
generic map(
C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X+1
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => sig_logic_low,
Load_value => sig_occ_load_value,
Count_Down => sig_valid_read,
Count_Up => sig_valid_write,
By_2 => sig_logic_low,
Count_Out => sig_occupancy,
almost_full => sig_almost_full,
full => sig_full,
almost_empty => sig_almost_empty,
empty => sig_empty
);
----------------------------------------------------------------------------
-- Address Counter Function
----------------------------------------------------------------------------
sig_addr_load_value <= (others => '0');
sig_addr_is_nonzero <= (sig_srl_addr(0)
or sig_srl_addr(1)
or sig_srl_addr(2)
or sig_srl_addr(3));
sig_addr_is_zero <= not(sig_addr_is_nonzero);
sig_valid_write <= Wr_Req and not(sig_full);
sig_valid_read <= Rd_Req and not(sig_empty);
sig_inc_addr <= (sig_valid_write and not(sig_empty))
and not(sig_valid_read and sig_addr_is_zero);
sig_dec_addr <= sig_valid_read and sig_addr_is_nonzero;
I_ADDR_CNTR : entity proc_common_v4_0.pf_counter_top
generic map(
C_COUNT_WIDTH => C_FIFO_DEPTH_LOG2X
)
port map(
Clk => Bus_clk,
Rst => Bus_rst,
Load_Enable => sig_logic_low,
Load_value => sig_addr_load_value,
Count_Down => sig_dec_addr,
Count_Up => sig_inc_addr,
Count_Out => sig_addr
);
ASSIGN_ADDRESS : process(sig_addr)
Begin
sig_srl_addr <= (others => '0'); -- assign default values
for i in 0 to C_FIFO_DEPTH_LOG2X-1 loop
sig_srl_addr((4-C_FIFO_DEPTH_LOG2X)+i) <= sig_addr(i);
end loop;
end process ASSIGN_ADDRESS;
----------------------------------------------------------------------------
-- SRL memory function
----------------------------------------------------------------------------
FIFO_RAM : for i in 0 to C_FIFO_WIDTH-1 generate
I_SRL16E : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => sig_valid_write,
D => Wr_Data(i),
Clk => Bus_clk,
A0 => sig_srl_addr(3),
A1 => sig_srl_addr(2),
A2 => sig_srl_addr(1),
A3 => sig_srl_addr(0),
Q => Rd_Data(i)
);
end generate FIFO_RAM;
INCLUDE_VACANCY : if (C_INCLUDE_VACANCY = true) generate
Constant REGISTER_VACANCY : boolean := false;
Constant OCC_CNTR_WIDTH : integer := C_FIFO_DEPTH_LOG2X+1;
Constant MAX_OCCUPANCY : integer := 2**C_FIFO_DEPTH_LOG2X;
Signal slv_max_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
Signal int_vacancy : std_logic_vector(0 to OCC_CNTR_WIDTH-1);
begin
Vacancy <= int_vacancy; -- set to zeroes for now.
slv_max_vacancy <= CONV_STD_LOGIC_VECTOR(MAX_OCCUPANCY, OCC_CNTR_WIDTH);
I_VAC_CALC : entity proc_common_v4_0.pf_adder
generic map(
C_REGISTERED_RESULT => REGISTER_VACANCY,
C_COUNT_WIDTH => OCC_CNTR_WIDTH
)
port map (
Clk => Bus_Clk,
Rst => Bus_rst,
Ain => slv_max_vacancy,
Bin => sig_occupancy,
Add_sub_n => '0', -- always subtract
result_out => int_vacancy
);
end generate; -- INCLUDE_VACANCY
OMIT_VACANCY : if (C_INCLUDE_VACANCY = false) generate
Signal int_vacancy : std_logic_vector(0 to C_FIFO_DEPTH_LOG2X);
begin
int_vacancy <= (others => '0');
Vacancy <= int_vacancy; -- set to zeroes for now.
end generate; -- INCLUDE_VACANCY
end architecture implementation;
| apache-2.0 | c76435d451814b99a1d3271f7136d171 | 0.457465 | 4.41505 | false | false | false | false |
rcls/sdr | vhdl/multifilter.vhd | 1 | 2,749 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
library work;
use work.defs.all;
-- Multiplex streams through the filter (currently four). One sample is
-- processed every four clock cycles, i.e., each stream gets 1 every
-- 16 cycles. The provider of the data should have carried out the second order
-- summation; we do the second order differencing. We output
-- x(t)-x(t-236)-x(t-244)+x(t-480)
-- with a latency of four (?) clock cycles, and t incrementing once every 4
-- cycles.
--
-- Phase 0: acc = -x(t-59*4), start load x(t-120*4), output prev,
-- Phase 1: acc -= x(t-61*4), start load x(t).
-- Phase 2: acc += x(t-120*4), start load x(t-59*4+"+1").
-- Phase 3: acc += x(t), start load x(t-61*4+"+1").
-- Phase 0, index += 120*4.
-- Phase 1, index += "+1"-59*4
-- Phase 2, index += -2*4
-- Phase 3, index += -59*4
-- Note that the "+1" is +1 mod 4, but is either +5 or +1, choosen so that
-- floor(t/4) increments, so that the total increment over 16 cycles is +16.
-- We store 1 sample per cycle. In phase 0, make sure that the store pointer
-- is not conflicting with the read pointer.
entity multifilter is
port (dd : in four_mf_signed;
qq : out mf_signed;
qq_last : out std_logic;
Clk : in std_logic);
end;
architecture multifilter of multifilter is
subtype index_t is unsigned9;
type ram_t is array(0 to 511) of mf_signed;
signal ram : ram_t;
signal rambuf : mf_signed;
signal ramout : mf_signed;
signal index, windex : index_t;
signal data : mf_signed;
alias phase : unsigned2 is windex(1 downto 0);
alias switch : std_logic is index(0);
signal acc : mf_signed;
attribute keep of rambuf : signal is "true";
begin
process
begin
wait until rising_edge(clk);
rambuf <= ram(to_integer(index));
ramout <= rambuf;
phase <= phase + 1;
ram(to_integer(windex)) <= data;
case phase is
when "00" =>
qq <= acc;
-- The index has already advanced, so we are outputing the last
-- channel (3) when the index is on channel 0.
qq_last <= b2s(index(1 downto 0) = "00");
index(8 downto 2) <= index(8 downto 2) + 120;
windex(8 downto 2) <= index(8 downto 2);
acc <= -ramout;
data <= dd(1);
when "01" =>
index(8 downto 2) <= index(8 downto 2) - 59 + 1;
index(1 downto 0) <= index(1 downto 0) + 1;
acc <= acc - ramout;
data <= dd(2);
when "10" =>
index(8 downto 2) <= index(8 downto 2) - 2;
acc <= acc + ramout;
data <= dd(3);
when others => -- "11"
index(8 downto 2) <= index(8 downto 2) - 59;
acc <= acc + ramout;
data <= dd(0);
end case;
end process;
end multifilter;
| gpl-3.0 | 71f043b903858d14c7b1f651cd959c13 | 0.602401 | 3.1854 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_top_v6.vhd | 5 | 52,905 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mXNSQK/SUn5WKu9di7tCsBSbM99q2TTxVpP5AEGWSbTwazyo6ryKJe/G5BLBgJIedVo1ZYewauFr
td8zI3B0cA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
cco+9BW6/XXIPO+Oj+K+XVA0VQ7DmqELy6EWZFcrQLE6fEPUOY0qPkuw3Yrz5/rsWX1ocp9BSK4E
ghI+RuPiLB6+70w64jza73szQ+9gce1kYZVU3bPYDQQTVi19ZPuMMb3rnYJOlkP8tkFekqZzLnkd
PKRjDpHeJeFLxfpAkPo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UetISM2Kj+dw9fPIY5/TQEnnpkHTEi+uMEXpAUbTzVTW7uPntumtxjhtT+ZeahOEpu6dhv6X4Zs/
gYxZBgdnqkhJ6bimynlyp6/QbElKwcCKPBTucFG7N6e61RXEJLZkDzXSr2TAch3zIYi35eTLoCVs
PGOV6Mu3nKqvUxyILPxa2DSerZQAjl+ttl8r6fCAVe+QWjvvFOOfhr5RvE0ORQrGJk4SRvh2hCP4
oNqpMajnSPn0Xf5x5WHPME2y1miL2a2hMyGY8ftLJbbyun7r+hxCnzXj8zL5lyHn8+iSUCdLsi3q
2N//o1cYWqYEoDrck4ivX2MmZFH56LKdUfFHfA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
upvKKrT8hRiwHK62C1Wk6nNnsDQTLaEnOAWHueoenBhoveVXgZejlDIIIwoZrpH1wJL0oztpG0/2
QCIT5iF4kZUBAMtxxN+rqT1O4kMCoOCpGNrtjg3S7waMZL+bdQnBoz/cU6+3pI0Tl6iNHBmapUgN
F0wZ7hvMbQHoQpFHp7E=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ERkiiA9BwyoNQXx+u/EMKBReJTLMCwGbthvKKEBK2YKZev3kkMLBngaP/Vm0PwXs7X7JC7TD4W4E
kp1/BbetU2fBf8OJ9N90OkfKYA4A0jbI+2zo5VAdQC1UuGZscNO0YFoz+kg8+DPoB7LgDa/SQRj5
w/PZRr/P1U1lILkpgL+j6JEdtpRiQxmiryUnZ7sAtHttPk4aHv5bgR9NTwT2RBhELYNy2strYjpz
BWzTfphZVDs4ErwQtnLvjfvpJKSbruIMJaHkbq7HDieM0egxMc42A6zEKBMBonvCep6BujJM8zTE
utTL7KxYKEy+2SzcXba2pWK0oH+GTNkedg2TSg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 37424)
`protect data_block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`protect end_protected
| apache-2.0 | 026afbb4eefcca68df1dd6c0b6068c1c | 0.948795 | 1.812622 | false | false | false | false |
sandrosalvato94/System-Design-Project | Tmp/TopLevelEntity.vhd | 1 | 4,804 | library ieee;
use ieee.std_logic_1164.all;
use work.CONSTANTS.all;
entity TOP_ENTITY is
port(
clock : in std_logic;
reset : in std_logic;
data : inout std_logic_vector (DATA_WIDTH-1 downto 0);
address : in std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : in std_logic;
R_enable : in std_logic;
generic_enable : in std_logic;
interrupt : out std_logic
);
end TOP_ENTITY;
architecture STRUCTURAL of TOP_ENTITY is
component DATA_BUFFER is
port(
rst : in std_logic;
row_0 : out std_logic_vector (DATA_WIDTH-1 downto 0); -- First line of the buffer. Must be read constantly by the ip manager
--PORT_0
data_cpu : inout std_logic_vector (DATA_WIDTH-1 downto 0);
address_cpu : in std_logic_vector(ADD_WIDTH-1 downto 0);
WE_CPU : in std_logic;
RE_CPU : in std_logic;
GE_CPU : in std_logic;
--PORT_1
data_in_ip : in std_logic_vector (DATA_WIDTH-1 downto 0);
data_out_ip : out std_logic_vector (DATA_WIDTH-1 downto 0);
address_ip : in std_logic_vector(ADD_WIDTH-1 downto 0);
WE_IP : in std_logic;
RE_IP : in std_logic;
GE_IP : in std_logic
);
end component DATA_BUFFER;
component ip_manager is
port (
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector(data_width-1 downto 0);
data_out : in std_logic_vector(data_width-1 downto 0);
add : out std_logic_vector(add_width-1 downto 0);
w_enable : out std_logic;
r_enable : out std_logic;
generic_en : out std_logic;
interrupt : out std_logic;
row_0 : in std_logic_vector(data_width-1 downto 0);
data_in_ips : in data_array;
data_out_ips : out data_array;
add_ips : in add_array;
w_enable_ips : in std_logic_vector(0 to num_ips-1);
r_enable_ips : in std_logic_vector(0 to num_ips-1);
generic_en_ips : in std_logic_vector(0 to num_ips-1);
enable_ips : out std_logic_vector(0 to num_ips-1);
ack_ips : out std_logic_vector(0 to num_ips-1);
interrupt_ips : in std_logic_vector(0 to num_ips-1));
end component ip_manager;
component ip_adder is
port (
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector(data_width-1 downto 0);
data_out : in std_logic_vector(data_width-1 downto 0);
address : out std_logic_vector(add_width-1 downto 0);
w_enable : out std_logic;
r_enable : out std_logic;
generic_en : out std_logic;
enable : in std_logic;
ack : in std_logic;
interrupt : out std_logic);
end component ip_adder;
signal row_0 : std_logic_vector (DATA_WIDTH-1 downto 0);
signal data_in_ip : std_logic_vector (DATA_WIDTH-1 downto 0);
signal data_out_ip : std_logic_vector (DATA_WIDTH-1 downto 0);
signal address_ip : std_logic_vector (ADD_WIDTH-1 downto 0);
signal WE_IP : std_logic;
signal RE_IP : std_logic;
signal GE_IP : std_logic;
signal data_in_IPs : data_array;
signal data_out_IPs : data_array;
signal add_IPs : add_array;
signal W_enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal R_enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal generic_en_IPs : std_logic_vector(0 to NUM_IPS-1);
signal enable_IPs : std_logic_vector(0 to NUM_IPS-1);
signal ack_IPs : std_logic_vector(0 to NUM_IPS-1);
signal interrupt_IPs : std_logic_vector(0 to NUM_IPS-1);
begin
data_buff: DATA_BUFFER
port map( rst => reset,
row_0 => row_0,
--PORT_0
data_cpu => data,
address_cpu => address,
WE_CPU => W_enable,
RE_CPU => R_enable,
GE_CPU => generic_enable,
--PORT_1
data_in_ip => data_in_ip,
data_out_ip => data_out_ip,
address_ip => address_ip,
WE_IP => WE_IP,
RE_IP => RE_IP,
GE_IP => GE_IP);
ip_man: ip_manager
port map( clk => clock,
rst => reset,
data_in => data_in_ip,
data_out => data_out_ip,
add => address_ip,
W_enable => WE_IP,
R_enable => RE_IP,
generic_en => GE_IP,
interrupt => interrupt,
row_0 => row_0,
data_in_IPs => data_in_IPs,
data_out_IPs => data_out_IPs,
add_IPs => add_IPs,
W_enable_IPs => W_enable_IPs,
R_enable_IPs => R_enable_IPs,
generic_en_IPs => generic_en_IPs,
enable_IPs => enable_IPs,
ack_IPs => ack_IPs,
interrupt_IPs => interrupt_IPs);
mapIP_0: IP_Adder
PORT MAP(
clk => clock,
rst => reset,
data_in => data_in_IPs(0),
data_out => data_out_IPs(0),
address => add_IPs(0),
W_enable => W_enable_IPs(0),
R_enable => R_enable_IPs(0),
generic_en => generic_en_IPs(0),
enable => enable_IPs(0),
ack => ack_IPs(0),
interrupt => interrupt_IPs(0));
end architecture; | lgpl-3.0 | e4ef55f2d46b9bcdcaea52456fed5884 | 0.600749 | 2.562133 | false | false | false | false |
BBN-Q/APS2-Comms | src/tcp_mux.vhd | 1 | 4,021 | -- Mux streams going to tcp tx: memory write/read response, CPLD tx
-- Cross to the tcp clock
-- Adapt to 8bit wide data path
-- Byte-swaping as necessary
-- Original author: Colm Ryan
-- Copyright 2015, Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.tcp_bridge_pkg.all;
entity tcp_mux is
port (
clk : in std_logic;
rst : in std_logic;
clk_tcp : in std_logic;
rst_tcp : in std_logic;
--memory write/read streams
memory_tx_write_resp_tdata : in std_logic_vector(31 downto 0);
memory_tx_write_resp_tvalid : in std_logic;
memory_tx_write_resp_tlast : in std_logic;
memory_tx_write_resp_tready : out std_logic;
memory_tx_read_resp_tdata : in std_logic_vector(31 downto 0);
memory_tx_read_resp_tvalid : in std_logic;
memory_tx_read_resp_tlast : in std_logic;
memory_tx_read_resp_tready : out std_logic;
--CPLD tx stream
cpld_tx_tdata : in std_logic_vector(31 downto 0);
cpld_tx_tvalid : in std_logic;
cpld_tx_tready : out std_logic;
cpld_tx_tlast : in std_logic;
--TCP tx stream
tcp_tx_tdata : out std_logic_vector(7 downto 0);
tcp_tx_tvalid : out std_logic;
tcp_tx_tready : in std_logic
);
end entity;
architecture arch of tcp_mux is
signal muxed_tdata : std_logic_vector(31 downto 0) := (others => '0');
signal muxed_tvalid, muxed_tlast, muxed_tready : std_logic := '0';
signal muxed_tcp_clk_tdata : std_logic_vector(31 downto 0) := (others => '0');
signal muxed_tcp_clk_tvalid, muxed_tcp_clk_tlast, muxed_tcp_clk_tready : std_logic := '0';
begin
--Mux together all the streams
mux_inst : axis_arb_mux_3
generic map (
DATA_WIDTH => 32,
ARB_TYPE => "ROUND_ROBIN",
LSB_PRIORITY => "HIGH"
)
port map (
clk => clk,
rst => rst,
input_0_axis_tdata => memory_tx_read_resp_tdata,
input_0_axis_tvalid => memory_tx_read_resp_tvalid,
input_0_axis_tready => memory_tx_read_resp_tready,
input_0_axis_tlast => memory_tx_read_resp_tlast,
input_0_axis_tuser => '0',
input_1_axis_tdata => memory_tx_write_resp_tdata,
input_1_axis_tvalid => memory_tx_write_resp_tvalid,
input_1_axis_tready => memory_tx_write_resp_tready,
input_1_axis_tlast => memory_tx_write_resp_tlast,
input_1_axis_tuser => '0',
input_2_axis_tdata => cpld_tx_tdata,
input_2_axis_tvalid => cpld_tx_tvalid,
input_2_axis_tready => cpld_tx_tready,
input_2_axis_tlast => cpld_tx_tlast,
input_2_axis_tuser => '0',
output_axis_tdata => muxed_tdata,
output_axis_tvalid => muxed_tvalid,
output_axis_tready => muxed_tready,
output_axis_tlast => muxed_tlast,
output_axis_tuser => open
);
--Cross to the tcp clock domain
axi2tcp_fifo_inst : axis_async_fifo
generic map (
ADDR_WIDTH => 5,
DATA_WIDTH => 32
)
port map (
async_rst => rst,
input_clk => clk,
input_axis_tdata => muxed_tdata,
input_axis_tvalid => muxed_tvalid,
input_axis_tready => muxed_tready,
input_axis_tlast => muxed_tlast,
input_axis_tuser => '0',
output_clk => clk_tcp,
output_axis_tdata => muxed_tcp_clk_tdata,
output_axis_tvalid => muxed_tcp_clk_tvalid,
output_axis_tready => muxed_tcp_clk_tready,
output_axis_tlast => muxed_tcp_clk_tlast,
output_axis_tuser => open
);
--Convert down to the byte wide data path
to_8bit_adapter_inst : axis_adapter
generic map (
INPUT_DATA_WIDTH => 32,
INPUT_KEEP_WIDTH => 4,
OUTPUT_DATA_WIDTH => 8,
OUTPUT_KEEP_WIDTH => 1
)
port map (
clk => clk_tcp,
rst => rst_tcp,
input_axis_tdata => byte_swap(muxed_tcp_clk_tdata),
input_axis_tkeep => (others => '1'),
input_axis_tvalid => muxed_tcp_clk_tvalid,
input_axis_tready => muxed_tcp_clk_tready,
input_axis_tlast => '0',
input_axis_tuser => '0',
output_axis_tdata => tcp_tx_tdata,
output_axis_tkeep => open,
output_axis_tvalid => tcp_tx_tvalid,
output_axis_tready => tcp_tx_tready,
output_axis_tlast => open,
output_axis_tuser => open
);
end architecture;
| mpl-2.0 | 1f7e4079b0dac5971f8bc05f676cc9b0 | 0.654066 | 2.811888 | false | false | false | false |
rcls/sdr | vhdl/bandpass.vhd | 1 | 19,917 | -- Filter given by a fifth order polynomial:
-- plot 10 * log ((sin(x*pi*65/80) * sin(x*pi*74/80) * sin(x*pi*87/80) * sin(x*pi*99/80) * sin(x*pi*106/80) * 80 * 80 * 80 * 80 * 80 / 65 / 74 / 87 / 99 / 106 / pi / pi / pi / pi / pi / x / x / x / x / x)**2) /log(10)
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.defs.all;
entity bandpass is
port(adc_data : in signed14;
freq, gain : in unsigned8;
out_r, out_i : out signed15;
strobe : out std_logic;
clk : in std_logic);
end bandpass;
architecture bandpass of bandpass is
constant state_max : integer := 79;
signal state : integer range 0 to state_max;
signal phase : unsigned9 := "0" & x"00";
alias shift : unsigned(3 downto 0) is gain(5 downto 2);
-- Select part of trig. rom.
alias table_select : unsigned2 is gain(1 downto 0);
alias enable : std_logic is gain(7);
-- Accumulator widths minus one...
constant width1 : integer := 52;
constant width2 : integer := 39;
constant width3 : integer := 30;
constant width4 : integer := 22;
constant width5 : integer := 14;
-- Differencer width minus one...
constant diffw : integer := 14;
-- Type used for arithmetic in the differencers.
subtype wordd is signed(diffw downto 0);
type wordd_array is array (natural range <>) of wordd;
constant zero : wordd := (others => '0');
signal cos, sin, cos_1, sin_1, cos_2, sin_2 : signed16;
signal cos_neg : boolean;
signal sin_neg : boolean;
signal cos_neg_1 : boolean;
signal sin_neg_1 : boolean;
signal cos_neg_2 : boolean;
signal sin_neg_2 : boolean;
signal cos_neg_3 : boolean;
signal sin_neg_3 : boolean;
signal cos_neg_4 : boolean;
signal sin_neg_4 : boolean;
signal adc_r0 : signed18;
signal adc_i0 : signed18;
constant wprod : integer := 33;
signal prod_r : signed(wprod downto 0);
signal prod_i : signed(wprod downto 0);
signal prod1_r : signed(wprod downto 0);
signal prod1_i : signed(wprod downto 0);
-- The multiplier can absorb two levels of registers; but we want to keep
-- the second level in fabric to be as close as possible to the big adders.
attribute keep of prod_r : signal is "true";
attribute keep of prod_i : signal is "true";
signal acc1_r : signed(width1 downto 0);
signal acc1_i : signed(width1 downto 0);
signal acc2_r : signed(width2 downto 0);
signal acc2_i : signed(width2 downto 0);
signal acc3_r : signed(width3 downto 0);
signal acc3_i : signed(width3 downto 0);
signal acc4_r : signed(width4 downto 0);
signal acc4_i : signed(width4 downto 0);
signal acc5_r : signed(width5 downto 0);
signal acc5_i : signed(width5 downto 0);
signal shift1_r : signed(diffw + 3 downto 0);
signal shift1_i : signed(diffw + 3 downto 0);
signal shift2_r : signed(diffw downto 0);
signal shift2_i : signed(diffw downto 0);
signal flt_r : wordd_array(0 to 5);
signal flt_i : wordd_array(0 to 5);
subtype opcode_t is std_logic_vector(1 downto 0);
constant op_pass : opcode_t := "00";
constant op_add : opcode_t := "10";
constant op_shift : opcode_t := "11";
type opcodes_t is array (natural range <>) of opcode_t;
signal op : opcodes_t(0 to 5);
signal op1 : opcodes_t(0 to 5);
attribute keep of op : signal is "true";
function shift_or_add(acc : wordd; prev : wordd; adc : wordd;
o : opcode_t; i : integer) return wordd is
variable addend1 : wordd;
variable addend2 : wordd;
variable sum : wordd;
begin
if o(0) = '1' then
addend1 := prev;
addend2 := zero;
else
addend1 := acc;
addend2 := adc;
end if;
if i mod 2 = 0 then
sum := addend1 - addend2;
else
sum := addend1 + addend2;
end if;
return sum;
end shift_or_add;
-- The cos table is 4 tables of 160 entries. We store half a cycle, negated.
-- This means that +1 never occurs and we can use the full negative range.
type signed16_array is array (natural range <>) of signed16;
signal cos_table : signed16_array(0 to 1023) := (
-- Scale = 19484.
x"b3e4", x"b3e8", x"b3f3", x"b406", x"b420", x"0000", x"0000", x"0000",
x"b442", x"b46b", x"b49c", x"b4d4", x"b513", x"0000", x"0000", x"0000",
x"b55a", x"b5a9", x"b5fe", x"b65b", x"b6c0", x"0000", x"0000", x"0000",
x"b72b", x"b79e", x"b817", x"b898", x"b920", x"0000", x"0000", x"0000",
x"b9af", x"ba45", x"bae2", x"bb85", x"bc30", x"0000", x"0000", x"0000",
x"bce1", x"bd98", x"be57", x"bf1b", x"bfe6", x"0000", x"0000", x"0000",
x"c0b8", x"c18f", x"c26d", x"c351", x"c43b", x"0000", x"0000", x"0000",
x"c52b", x"c620", x"c71c", x"c81c", x"c923", x"0000", x"0000", x"0000",
x"ca2f", x"cb40", x"cc56", x"cd72", x"ce92", x"0000", x"0000", x"0000",
x"cfb8", x"d0e2", x"d210", x"d344", x"d47b", x"0000", x"0000", x"0000",
x"d5b7", x"d6f7", x"d83c", x"d984", x"dad0", x"0000", x"0000", x"0000",
x"dc1f", x"dd72", x"dec9", x"e023", x"e180", x"0000", x"0000", x"0000",
x"e2e0", x"e443", x"e5a8", x"e710", x"e87b", x"0000", x"0000", x"0000",
x"e9e8", x"eb57", x"ecc8", x"ee3c", x"efb0", x"0000", x"0000", x"0000",
x"f127", x"f29f", x"f418", x"f592", x"f70e", x"0000", x"0000", x"0000",
x"f88a", x"fa07", x"fb85", x"fd03", x"fe81", x"0000", x"0000", x"0000",
x"0000", x"017f", x"02fd", x"047b", x"05f9", x"0000", x"0000", x"0000",
x"0776", x"08f2", x"0a6e", x"0be8", x"0d61", x"0000", x"0000", x"0000",
x"0ed9", x"1050", x"11c4", x"1338", x"14a9", x"0000", x"0000", x"0000",
x"1618", x"1785", x"18f0", x"1a58", x"1bbd", x"0000", x"0000", x"0000",
x"1d20", x"1e80", x"1fdd", x"2137", x"228e", x"0000", x"0000", x"0000",
x"23e1", x"2530", x"267c", x"27c4", x"2909", x"0000", x"0000", x"0000",
x"2a49", x"2b85", x"2cbc", x"2df0", x"2f1e", x"0000", x"0000", x"0000",
x"3048", x"316e", x"328e", x"33aa", x"34c0", x"0000", x"0000", x"0000",
x"35d1", x"36dd", x"37e4", x"38e4", x"39e0", x"0000", x"0000", x"0000",
x"3ad5", x"3bc5", x"3caf", x"3d93", x"3e71", x"0000", x"0000", x"0000",
x"3f48", x"401a", x"40e5", x"41a9", x"4268", x"0000", x"0000", x"0000",
x"431f", x"43d0", x"447b", x"451e", x"45bb", x"0000", x"0000", x"0000",
x"4651", x"46e0", x"4768", x"47e9", x"4862", x"0000", x"0000", x"0000",
x"48d5", x"4940", x"49a5", x"4a02", x"4a57", x"0000", x"0000", x"0000",
x"4aa6", x"4aed", x"4b2c", x"4b64", x"4b95", x"0000", x"0000", x"0000",
x"4bbe", x"4be0", x"4bfa", x"4c0d", x"4c18", x"0000", x"0000", x"0000",
-- Scale = 23170.5.
x"a57e", x"a582", x"a58f", x"a5a6", x"a5c5", x"0000", x"0000", x"0000",
x"a5ed", x"a61e", x"a658", x"a69b", x"a6e6", x"0000", x"0000", x"0000",
x"a73b", x"a798", x"a7fe", x"a86c", x"a8e3", x"0000", x"0000", x"0000",
x"a963", x"a9ec", x"aa7c", x"ab16", x"abb7", x"0000", x"0000", x"0000",
x"ac61", x"ad13", x"adce", x"ae90", x"af5b", x"0000", x"0000", x"0000",
x"b02d", x"b108", x"b1ea", x"b2d4", x"b3c5", x"0000", x"0000", x"0000",
x"b4be", x"b5bf", x"b6c7", x"b7d6", x"b8ec", x"0000", x"0000", x"0000",
x"ba09", x"bb2d", x"bc58", x"bd89", x"bec1", x"0000", x"0000", x"0000",
x"c000", x"c145", x"c290", x"c3e1", x"c538", x"0000", x"0000", x"0000",
x"c695", x"c7f7", x"c95f", x"cacd", x"cc3f", x"0000", x"0000", x"0000",
x"cdb7", x"cf34", x"d0b5", x"d23c", x"d3c6", x"0000", x"0000", x"0000",
x"d556", x"d6e9", x"d880", x"da1b", x"dbba", x"0000", x"0000", x"0000",
x"dd5d", x"df03", x"e0ac", x"e259", x"e408", x"0000", x"0000", x"0000",
x"e5ba", x"e76f", x"e926", x"eadf", x"ec9a", x"0000", x"0000", x"0000",
x"ee58", x"f017", x"f1d7", x"f399", x"f55d", x"0000", x"0000", x"0000",
x"f721", x"f8e6", x"faac", x"fc72", x"fe39", x"0000", x"0000", x"0000",
x"0000", x"01c7", x"038e", x"0554", x"071a", x"0000", x"0000", x"0000",
x"08df", x"0aa3", x"0c67", x"0e29", x"0fe9", x"0000", x"0000", x"0000",
x"11a8", x"1366", x"1521", x"16da", x"1891", x"0000", x"0000", x"0000",
x"1a46", x"1bf8", x"1da7", x"1f54", x"20fd", x"0000", x"0000", x"0000",
x"22a3", x"2446", x"25e5", x"2780", x"2917", x"0000", x"0000", x"0000",
x"2aaa", x"2c3a", x"2dc4", x"2f4b", x"30cc", x"0000", x"0000", x"0000",
x"3249", x"33c1", x"3533", x"36a1", x"3809", x"0000", x"0000", x"0000",
x"396b", x"3ac8", x"3c1f", x"3d70", x"3ebb", x"0000", x"0000", x"0000",
x"4000", x"413f", x"4277", x"43a8", x"44d3", x"0000", x"0000", x"0000",
x"45f7", x"4714", x"482a", x"4939", x"4a41", x"0000", x"0000", x"0000",
x"4b42", x"4c3b", x"4d2c", x"4e16", x"4ef8", x"0000", x"0000", x"0000",
x"4fd3", x"50a5", x"5170", x"5232", x"52ed", x"0000", x"0000", x"0000",
x"539f", x"5449", x"54ea", x"5584", x"5614", x"0000", x"0000", x"0000",
x"569d", x"571d", x"5794", x"5802", x"5868", x"0000", x"0000", x"0000",
x"58c5", x"591a", x"5965", x"59a8", x"59e2", x"0000", x"0000", x"0000",
x"5a13", x"5a3b", x"5a5a", x"5a71", x"5a7e", x"0000", x"0000", x"0000",
-- Scale = 27554.5.
x"945e", x"9463", x"9473", x"948d", x"94b2", x"0000", x"0000", x"0000",
x"94e2", x"951d", x"9561", x"95b1", x"960b", x"0000", x"0000", x"0000",
x"966f", x"96de", x"9757", x"97da", x"9868", x"0000", x"0000", x"0000",
x"9900", x"99a2", x"9a4e", x"9b05", x"9bc5", x"0000", x"0000", x"0000",
x"9c8f", x"9d63", x"9e41", x"9f28", x"a019", x"0000", x"0000", x"0000",
x"a113", x"a217", x"a324", x"a43a", x"a559", x"0000", x"0000", x"0000",
x"a681", x"a7b2", x"a8ec", x"aa2e", x"ab79", x"0000", x"0000", x"0000",
x"accc", x"ae27", x"af8b", x"b0f6", x"b269", x"0000", x"0000", x"0000",
x"b3e4", x"b566", x"b6f0", x"b881", x"ba19", x"0000", x"0000", x"0000",
x"bbb8", x"bd5d", x"bf09", x"c0bc", x"c275", x"0000", x"0000", x"0000",
x"c434", x"c5f8", x"c7c3", x"c993", x"cb68", x"0000", x"0000", x"0000",
x"cd43", x"cf23", x"d107", x"d2f0", x"d4de", x"0000", x"0000", x"0000",
x"d6cf", x"d8c5", x"dabf", x"dcbc", x"debd", x"0000", x"0000", x"0000",
x"e0c1", x"e2c9", x"e4d3", x"e6e0", x"e8ef", x"0000", x"0000", x"0000",
x"eb00", x"ed14", x"ef2a", x"f141", x"f359", x"0000", x"0000", x"0000",
x"f573", x"f78e", x"f9aa", x"fbc6", x"fde3", x"0000", x"0000", x"0000",
x"0000", x"021d", x"043a", x"0656", x"0872", x"0000", x"0000", x"0000",
x"0a8d", x"0ca7", x"0ebf", x"10d6", x"12ec", x"0000", x"0000", x"0000",
x"1500", x"1711", x"1920", x"1b2d", x"1d37", x"0000", x"0000", x"0000",
x"1f3f", x"2143", x"2344", x"2541", x"273b", x"0000", x"0000", x"0000",
x"2931", x"2b22", x"2d10", x"2ef9", x"30dd", x"0000", x"0000", x"0000",
x"32bd", x"3498", x"366d", x"383d", x"3a08", x"0000", x"0000", x"0000",
x"3bcc", x"3d8b", x"3f44", x"40f7", x"42a3", x"0000", x"0000", x"0000",
x"4448", x"45e7", x"477f", x"4910", x"4a9a", x"0000", x"0000", x"0000",
x"4c1c", x"4d97", x"4f0a", x"5075", x"51d9", x"0000", x"0000", x"0000",
x"5334", x"5487", x"55d2", x"5714", x"584e", x"0000", x"0000", x"0000",
x"597f", x"5aa7", x"5bc6", x"5cdc", x"5de9", x"0000", x"0000", x"0000",
x"5eed", x"5fe7", x"60d8", x"61bf", x"629d", x"0000", x"0000", x"0000",
x"6371", x"643b", x"64fb", x"65b2", x"665e", x"0000", x"0000", x"0000",
x"6700", x"6798", x"6826", x"68a9", x"6922", x"0000", x"0000", x"0000",
x"6991", x"69f5", x"6a4f", x"6a9f", x"6ae3", x"0000", x"0000", x"0000",
x"6b1e", x"6b4e", x"6b73", x"6b8d", x"6b9d", x"0000", x"0000", x"0000",
-- Scale = 32768.
x"8000", x"8006", x"8019", x"8039", x"8065", x"0000", x"0000", x"0000",
x"809e", x"80e3", x"8135", x"8193", x"81fe", x"0000", x"0000", x"0000",
x"8276", x"82f9", x"8389", x"8426", x"84ce", x"0000", x"0000", x"0000",
x"8583", x"8644", x"8711", x"87e9", x"88ce", x"0000", x"0000", x"0000",
x"89be", x"8aba", x"8bc2", x"8cd5", x"8df3", x"0000", x"0000", x"0000",
x"8f1d", x"9052", x"9192", x"92dd", x"9432", x"0000", x"0000", x"0000",
x"9592", x"96fd", x"9872", x"99f1", x"9b7b", x"0000", x"0000", x"0000",
x"9d0e", x"9eab", x"a052", x"a202", x"a3bb", x"0000", x"0000", x"0000",
x"a57e", x"a749", x"a91d", x"aafa", x"acdf", x"0000", x"0000", x"0000",
x"aecc", x"b0c2", x"b2bf", x"b4c3", x"b6d0", x"0000", x"0000", x"0000",
x"b8e3", x"bafe", x"bd1f", x"bf47", x"c175", x"0000", x"0000", x"0000",
x"c3a9", x"c5e4", x"c824", x"ca69", x"ccb4", x"0000", x"0000", x"0000",
x"cf04", x"d159", x"d3b2", x"d610", x"d872", x"0000", x"0000", x"0000",
x"dad8", x"dd41", x"dfae", x"e21e", x"e492", x"0000", x"0000", x"0000",
x"e707", x"e980", x"ebfa", x"ee76", x"f0f5", x"0000", x"0000", x"0000",
x"f374", x"f5f5", x"f877", x"fafa", x"fd7d", x"0000", x"0000", x"0000",
x"0000", x"0283", x"0506", x"0789", x"0a0b", x"0000", x"0000", x"0000",
x"0c8c", x"0f0b", x"118a", x"1406", x"1680", x"0000", x"0000", x"0000",
x"18f9", x"1b6e", x"1de2", x"2052", x"22bf", x"0000", x"0000", x"0000",
x"2528", x"278e", x"29f0", x"2c4e", x"2ea7", x"0000", x"0000", x"0000",
x"30fc", x"334c", x"3597", x"37dc", x"3a1c", x"0000", x"0000", x"0000",
x"3c57", x"3e8b", x"40b9", x"42e1", x"4502", x"0000", x"0000", x"0000",
x"471d", x"4930", x"4b3d", x"4d41", x"4f3e", x"0000", x"0000", x"0000",
x"5134", x"5321", x"5506", x"56e3", x"58b7", x"0000", x"0000", x"0000",
x"5a82", x"5c45", x"5dfe", x"5fae", x"6155", x"0000", x"0000", x"0000",
x"62f2", x"6485", x"660f", x"678e", x"6903", x"0000", x"0000", x"0000",
x"6a6e", x"6bce", x"6d23", x"6e6e", x"6fae", x"0000", x"0000", x"0000",
x"70e3", x"720d", x"732b", x"743e", x"7546", x"0000", x"0000", x"0000",
x"7642", x"7732", x"7817", x"78ef", x"79bc", x"0000", x"0000", x"0000",
x"7a7d", x"7b32", x"7bda", x"7c77", x"7d07", x"0000", x"0000", x"0000",
x"7d8a", x"7e02", x"7e6d", x"7ecb", x"7f1d", x"0000", x"0000", x"0000",
x"7f62", x"7f9b", x"7fc7", x"7fe7", x"7ffa", x"0000", x"0000", x"0000"
);
begin
process
begin
-- A little bit of logic outside the enable: reseting the state counter
-- and the output strobe. This makes sure the strobe does not get stuck
-- high while we're disabled.
wait until rising_edge(clk);
if state = state_max then
state <= 0;
strobe <= '1';
else
state <= state + 1;
strobe <= '0';
end if;
end process;
process
variable sprod_r, sprod_i : signed(wprod downto 0);
variable shift0_r : signed(diffw + 11 downto 0);
variable shift0_i : signed(diffw + 11 downto 0);
begin
wait until rising_edge(clk) and enable = '1';
phase <= addmod320(phase, '0' & freq);
-- We actually use -cos(phase) + i sin(phase).
cos <= cos_table(to_integer(table_select & phase(7 downto 0)));
sin <= cos_table(to_integer(table_select & phase(7 downto 0)
xor "0010000000"));
cos_neg <= phase(8) = '1';
sin_neg <= phase(8) /= phase(7);
if shift(2) = '1' then
adc_r0 <= adc_data & "0000";
adc_i0 <= adc_data & "0000";
else
adc_r0 <= (others => adc_data(13));
adc_i0 <= (others => adc_data(13));
adc_r0(13 downto 0) <= adc_data;
adc_i0(13 downto 0) <= adc_data;
end if;
cos_1 <= cos;
sin_1 <= sin;
cos_neg_1 <= cos_neg;
sin_neg_1 <= sin_neg;
cos_2 <= cos_1;
sin_2 <= sin_1;
cos_neg_2 <= cos_neg_1;
sin_neg_2 <= sin_neg_1;
prod_r <= adc_r0 * cos_2;
prod_i <= adc_i0 * sin_2;
cos_neg_3 <= cos_neg_2;
sin_neg_3 <= sin_neg_2;
prod1_r <= prod_r;
prod1_i <= prod_i;
cos_neg_4 <= cos_neg_3;
sin_neg_4 <= sin_neg_3;
if shift(3) = '1' then
sprod_r := prod1_r;
sprod_i := prod1_i;
else
sprod_r := (others => prod1_r(wprod));
sprod_i := (others => prod1_i(wprod));
sprod_r(wprod - 8 downto 0) := prod1_r(wprod downto 8);
sprod_i(wprod - 8 downto 0) := prod1_i(wprod downto 8);
end if;
if cos_neg_4 then
acc1_r <= acc1_r - sprod_r;
else
acc1_r <= acc1_r + sprod_r;
end if;
if sin_neg_4 then
acc1_i <= acc1_i - sprod_i;
else
acc1_i <= acc1_i + sprod_i;
end if;
acc2_r <= acc2_r + take(acc1_r(width1 downto width1 - width2 - 2),
2, shift and x"2");
acc2_i <= acc2_i + take(acc1_i(width1 downto width1 - width2 - 2),
2, shift and x"2");
acc3_r <= acc3_r + take(acc2_r(width2 downto width2 - width3 - 1),
1, shift and x"1");
acc3_i <= acc3_i + take(acc2_i(width2 downto width2 - width3 - 1),
1, shift and x"1");
acc4_r <= acc4_r + acc3_r(width3 downto width3 - width4);
acc4_i <= acc4_i + acc3_i(width3 downto width3 - width4);
acc5_r <= acc5_r + acc4_r(width4 downto width4 - width5);
acc5_i <= acc5_i + acc4_i(width4 downto width4 - width5);
for i in 0 to 5 loop
op(i) <= op_pass;
end loop;
case state is
when 0 => op(2) <= op_add; -- 160 = 2*80 + 0 = 21 +65 +74
when 6 => op(1) <= op_add; -- 86 = 1*80 + 6 = 21 +65
when 7 => op(3) <= op_add; -- 247 = 3*80 + 7 = 21 +65 +74 +87
when 13 => op(2) <= op_add; -- 173 = 2*80 +13 = 21 +65 +87
when 15 => op(1) <= op_add; -- 95 = 1*80 +15 = 21 +74
when 19 => op(3) <= op_add; -- 259 = 3*80 +19 = 21 +65 +74 +99
when 21 => op(0) <= op_add; -- 21 = 0*80 +21 = 21
when 22 => op(2) <= op_add; -- 182 = 2*80 +22 = 21 +74 +87
when 25 => op(2) <= op_add; -- 185 = 2*80 +25 = 21 +65 +99
when 26 => op(3) <= op_add; -- 266 = 3*80 +26 = 21 +65 +74 +106
op(4) <= op_add; -- 346 = 4*80 +26 = 21 +65 +74 +87 +99
when 28 => op(1) <= op_add; -- 108 = 1*80 +28 = 21 +87
when 32 => op(2) <= op_add; -- 192 = 2*80 +32 = 21 +65 +106
op(3) <= op_add; -- 272 = 3*80 +32 = 21 +65 +87 +99
when 33 => op(4) <= op_add; -- 353 = 4*80 +33 = 21 +65 +74 +87 +106
when 34 => op(2) <= op_add; -- 194 = 2*80 +34 = 21 +74 +99
when 39 => op(3) <= op_add; -- 279 = 3*80 +39 = 21 +65 +87 +106
when 40 => op(1) <= op_add; -- 120 = 1*80 +40 = 21 +99
when 41 => op(2) <= op_add; -- 201 = 2*80 +41 = 21 +74 +106
op(3) <= op_add; -- 281 = 3*80 +41 = 21 +74 +87 +99
when 45 => op(4) <= op_add; -- 365 = 4*80 +45 = 21 +65 +74 +99 +106
when 47 => op(1) <= op_add; -- 127 = 1*80 +47 = 21 +106
op(2) <= op_add; -- 207 = 2*80 +47 = 21 +87 +99
when 48 => op(3) <= op_add; -- 288 = 3*80 +48 = 21 +74 +87 +106
when 51 => op(3) <= op_add; -- 291 = 3*80 +51 = 21 +65 +99 +106
when 52 => op(5) <= op_add; -- 452 = 5*80 +52 = 21 +65 +74 +87 +99 +106
when 54 => op(2) <= op_add; -- 214 = 2*80 +54 = 21 +87 +106
when 58 => op(4) <= op_add; -- 378 = 4*80 +58 = 21 +65 +87 +99 +106
when 60 => op(3) <= op_add; -- 300 = 3*80 +60 = 21 +74 +99 +106
when 66 => op(2) <= op_add; -- 226 = 2*80 +66 = 21 +99 +106
when 67 => op(4) <= op_add; -- 387 = 4*80 +67 = 21 +74 +87 +99 +106
when 73 => op(3) <= op_add; -- 313 = 3*80 +73 = 21 +87 +99 +106
when 78 =>
for i in 0 to 5 loop
op(i) <= op_shift;
end loop;
when others =>
end case;
op1 <= op;
if op1(0)(1) = '1' then
flt_r(0) <= shift_or_add(flt_r(0), zero, acc5_r, op1(0), 0);
flt_i(0) <= shift_or_add(flt_i(0), zero, acc5_i, op1(0), 0);
end if;
for i in 1 to 5 loop
if op1(i)(1) = '1' then
flt_r(i) <= shift_or_add(flt_r(i), flt_r(i-1), acc5_r, op1(i), i);
flt_i(i) <= shift_or_add(flt_i(i), flt_i(i-1), acc5_i, op1(i), i);
end if;
end loop;
if state = state_max then
out_r <= flt_r(5)(diffw downto diffw - 14);
out_i <= flt_i(5)(diffw downto diffw - 14);
end if;
end process;
end bandpass;
| gpl-3.0 | 3a09fe272fb6cdf4531d60034cd40525 | 0.53487 | 2.257907 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/IPManager_HardwareGroup.vhd | 2 | 5,201 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.CONSTANTS.all;
entity IP_MANAGER is
port(
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector (DATA_WIDTH-1 downto 0);
data_out : in std_logic_vector (DATA_WIDTH-1 downto 0);
add : out std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : out std_logic;
R_enable : out std_logic;
generic_en : out std_logic;
interrupt : out std_logic;
row_0 : in std_logic_vector (DATA_WIDTH-1 downto 0);
data_in_IPs : in data_array;
data_out_IPs : out data_array;
add_IPs : in add_array;
W_enable_IPs : in std_logic_vector(0 to NUM_IPS-1);
R_enable_IPs : in std_logic_vector(0 to NUM_IPS-1);
generic_en_IPs : in std_logic_vector(0 to NUM_IPS-1);
enable_IPs : out std_logic_vector(0 to NUM_IPS-1);
ack_IPs : out std_logic_vector(0 to NUM_IPS-1);
interrupt_IPs : in std_logic_vector(0 to NUM_IPS-1)
);
end IP_MANAGER;
architecture BEHAVIOURAL of IP_MANAGER is
begin
-- PROC_1 manages the behavior of the IPMANAGER.
PROC_1: process (clk, rst)
begin -- process Clk
if Rst = '1' then -- asynchronous reset (active high)
data_in <= (others => '0');
data_out_IPs <= (others => ((others => '0')));
add <= (others => '0');
W_enable <= '0';
R_enable <= '0';
generic_en <= '0';
enable_IPs <= (others => '0');
ack_IPs <= (others => '0');
interrupt <= '0';
elsif Clk'event and Clk = '1' then -- rising clock edge
-- NOT configuration mode:
if (conv_integer(row_0(IPADD_POS downto 0)) /= 0 ) then
-- Assuring that only one IPs is enable in case the cpu decides to change the IP core without properly ending the transaction
enable_IPs <= (others => '0');
data_in <= (others => '0');
data_out_IPs <= (others => ((others => '0')));
add <= (others => '0');
W_enable <= '0';
R_enable <= '0';
generic_en <= '0';
ack_IPs <= (others => '0');
-- Releasing interrupt:
if (row_0(INT_POS) = '1' AND row_0(BE_POS) = '1' )then
interrupt <= '0';
ack_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= '1';
end if;
-- Begin ( or continue ) transaction:
if row_0(BE_POS) = '1' then
enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= '1';
data_in <= data_in_IPs(conv_integer(row_0(IPADD_POS downto 0))-1);
data_out_IPs(conv_integer(row_0(IPADD_POS downto 0))-1) <= data_out ;
add <= add_IPs(conv_integer(row_0(IPADD_POS downto 0))-1);
W_enable <= W_enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1);
R_enable <= R_enable_IPs(conv_integer(row_0(IPADD_POS downto 0))-1);
generic_en <= generic_en_IPs(conv_integer(row_0(IPADD_POS downto 0))-1);
-- Interrupt mode:
-- If some IPs raise the interrupt and there is no current transaction
elsif (row_0(BE_POS) = '0' and conv_integer(interrupt_IPs) /= 0 ) then
for I in (NUM_IPS-1) downto 0 loop -- scan from the lower priority IP to the higher (this avoid to insert a break statement, that is not synthesizable)
if (interrupt_IPs(I) = '1') then -- check if it rises the interrupt signal and the transaction with the Master is ended
--Write in row_0 the address of the ip with the highest priority
add <= (others => '0');
data_in <= row_0(DATA_WIDTH-1 downto 12) & conv_std_logic_vector(I+1, IPADD_POS+1);
W_enable <= '1';
R_enable <= '0';
generic_en <= '1';
-- Signal the cpu that one interrupt request must be served
interrupt <= '1';
end if;
end loop;
end if;
---- TODO : Future feature
---- Manager configuration mode:
-- else
end if;
end if;
end process PROC_1;
end architecture; | lgpl-3.0 | 5b127e9f248464134d4eef648095e3f2 | 0.443761 | 3.884242 | false | false | false | false |
jdryg/tis100cpu | node_port_readdec.vhd | 1 | 1,001 | -- Added these lines on rev. 42 in order to remove the commit message saying that
-- there is a bug in the implementation, since the bug has been fixed in the same rev.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity node_port_readdec is
Port ( I_clk : in STD_LOGIC;
I_portID : in STD_LOGIC_VECTOR (2 downto 0);
I_readEnable : in STD_LOGIC;
O_readEnableUp : out STD_LOGIC;
O_readEnableDown : out STD_LOGIC;
O_readEnableLeft : out STD_LOGIC;
O_readEnableRight : out STD_LOGIC);
end node_port_readdec;
-- NOTE: The architecture below doesn't support ANY or LAST ports.
architecture Behavioral of node_port_readdec is
begin
O_readEnableUp <= I_readEnable when I_portID = "000" else '0';
O_readEnableDown <= I_readEnable when I_portID = "001" else '0';
O_readEnableLeft <= I_readEnable when I_portID = "010" else '0';
O_readEnableRight <= I_readEnable when I_portID = "011" else '0';
end Behavioral;
| mit | 22458d72832d8baa207aa5281ea12715 | 0.663337 | 3.39322 | false | false | false | false |
jdryg/tis100cpu | reg_tb.vhd | 1 | 1,479 | LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
ENTITY reg_tb IS
END reg_tb;
ARCHITECTURE behavior OF reg_tb IS
constant REG_WIDTH: integer := 16;
-- Component Declaration for the Unit Under Test (UUT)
COMPONENT reg
GENERIC(WIDTH : integer := REG_WIDTH);
PORT(
I_clk : IN std_logic;
I_reset : IN std_logic;
I_dataIn : IN std_logic_vector(WIDTH-1 downto 0);
O_dataOut : OUT std_logic_vector(WIDTH-1 downto 0)
);
END COMPONENT;
--Inputs
signal I_clk : std_logic := '0';
signal I_reset : std_logic := '0';
signal I_dataIn : std_logic_vector(REG_WIDTH-1 downto 0) := (others => '0');
--Outputs
signal O_dataOut : std_logic_vector(REG_WIDTH-1 downto 0);
-- Clock period definitions
constant I_clk_period : time := 10 ns;
BEGIN
-- Instantiate the Unit Under Test (UUT)
uut: reg PORT MAP (
I_clk => I_clk,
I_reset => I_reset,
I_dataIn => I_dataIn,
O_dataOut => O_dataOut
);
-- Clock process definitions
I_clk_process :process
begin
I_clk <= '0';
wait for I_clk_period/2;
I_clk <= '1';
wait for I_clk_period/2;
end process;
-- Stimulus process
stim_proc: process
begin
I_reset <= '1';
wait for I_clk_period;
I_reset <= '0';
I_dataIn <= X"0010";
wait for I_clk_period;
assert O_dataOut = X"0010" report "Wrong output." severity error;
wait;
end process;
END;
| mit | 32b4ed3c08c6f87e3c9773f8a106e0f9 | 0.584855 | 3.346154 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_dc_as.vhd | 5 | 10,866 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
OcvYd5i0BcKeWB/Jp7nnNElW4m5b6NeYExc0Bwhjzp0FEC1Bh5YNMJ/JK1EKaBwH7+Ish06Dsccs
JkSXdGML1A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
o/UjyAM0GBjGiZFYR89t4uZo9uyf8umitBYRNI2RNuveuiL6SoMIrSXFVzCPTq1wz3UIKZHuMDcn
t9K4nwVnfoojlNoIB8QzPBfcfs07YR0tOMWu1zNwi2SNyTGPbqbbBlnoPf9QxUV5KFZWWP3AaQ4u
A2Hsf08+3sBH3itm480=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nlFFAJaPniMh72vyWFgO+S+GMy707MUAvORFUP8hgAblTDJq4v3E+DBxlyzYCKJq+CQtrOUn4asq
dydlBNdOo//bNpjGacC4H/lO3WbIs5qBbdYgFmXOWuPaQDZKa1zAnLgFo6gwTZYux0Eyce1qpO8Q
e3N9M3PIASrkRYE8lZIcghBs0DRsqSCkdX07zmogKIoSNzeZocfr3q6REi2TvjyAPN+pveeltSWZ
b5QFuBO43G5CO5S2oYzaXTt9fsLs/iHJKHGoF82HWx19M5fDajQJGrnssrcSB9Dv2/UAnSd7UwFM
HSHhCHR5BPJLXNZ8OW4cHpnr3XMSIlXn7f33Ow==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qIff327NTNs08x5xtfB4R7EYUcKpPpkHncae9xEsfgWhQ+Lg2CEV09OZXvIa9XDwWBtWmdxd+WdN
aIFjt5FRUGLcXr3K9k9EYpbDftjhwuN+v8cbZW5TVJFVy8Lq40bL5Hi+TBCJcRgUJx/r6UGP+Zhq
1iRegRw9P3oFsr2j6vE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
qRUL7l1F7/yBshvPneLXPL+TQcMvMDzs15L3qdQ1ylp07VeTLJspX8TapU+nI0+WlDf17rMDysSe
yJweoxo8GMGEMTBv+DsEpEPX3uxzV6OCAdofPdnxycff7CJXo+t1mHteo0YSIUJiuTG2iT9rmCgd
TE31vL4Va7NANbP6CCDCSZcNsho62mWXco7u1qy2H5sxa14xH51EAFaCUbma9fd50KU9JCFdCRcK
j6ngw3TD8nqdb4/ZJ9kJ6iitmDayuv/umjz+yGXnV/VjHczkxlc02C/gObytf7vYFdsohzpy8kbz
EGdRxPGgVZTJOBa8c3mSMpv4fWVIH+WcxgyH2w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6304)
`protect data_block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`protect end_protected
| apache-2.0 | 054b70258b8742e9c856b6f7bb4a7103 | 0.926744 | 1.888425 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/vec_mux.vhd | 1 | 10,822 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
gfm/woXRtSIpdSIc3URqiGBmhX2MMVc+7eQbmkJbYKn6n4yvrrtb2DJj9//NYMj/Nd61L1rXp8sZ
XQldMo/hfg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
huafkhci6VbnK2vp1T3sbLtl2vyrR6sfNH93GcO6wxcqnd8Tj/wBpihS0Bx4p559469Eu7b79L81
ZS1MBY/ZwbByl05ziVX4cK7iavwEmJZEY+JXuQdIH9q2DIBMtZkcjqP/BoSn61XK/bOLnF0s5G9X
9RQsS+q+b6WXr3EWhHA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
CLPrmqTrk0zDfUUnS/74pSmZH7Oxz2C2d2xKY2lETDbXBknfROwjQG3R7Pv7vMQhjd33/rnRsfEJ
3M2yDAqButHqVB+SZPOE8la25dC3UdM1hgCEi6IzxT/872QcIVZejZUDppPFMvhGFnxCKq4embrT
OIbXQPRbYgTh0ZbNpBLOYVLKGvlyQfIhhVHmCfC3zeW3lkIeHgr/VmgQKfn3Y+zKCVQCjdVYAl+U
0wcJUrligViSipjncUieFaO6luVuEDYkXKOoJnDyeRlOfAFDhzvs7UyQRA3X+zsmQODBd34uBN0y
TrOGudYo+b8Gfnlaqjo9X+faaEDEXJ9Got3XBg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
tM9MTkGgNDbLUIekVtOfk/jviqxGPRawBeoOiJwl3JbL5YclV54ScT/XQGAnj2d9ec5blsGvHeAg
+kCiw0rXF8yrgUJEOdH/UrNtX4lAuuFi8zmsbInnIXwyKfRJ0ZQelMBaG3xgzL5ENP72jiKbTCce
CjKYcRr/kFQSWrdkR7A=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lX+7CIZisgYJUNamSgXoCQsFCQ5f3J9EX6bTAT+DCHGTgwbaRmjuNLMOUPPeF9E+12O1Wqvy4ACt
/zzrSIHFSjsw1kY65E0ZJ/btvwE6hZQj65bg2UkbRt/4r60M5k+UBcByhIIL6d8PJrq5/wM43Vjb
XqDKKBTuctWPeORxFtDBIeKoX2V1X9Gwv97q4Z/9W6DgLvOH/Q0bvqHx6ylJX15xPFOiCI4uO1s7
W2+ADzhjX8M7gk3q939TzgZ9Y01XCYm2Cv9wHZ0F256agF9u/yJI28R67h+UA0Z2oXdxjy4qCKR+
J1zczGtsXTKxaPltS8GbG8ZSH1g9wekBNRMkUA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6272)
`protect data_block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`protect end_protected
| apache-2.0 | aa7eb77ea0ff0543a5a4f88f27426904 | 0.926816 | 1.899596 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/SDcard.vhd | 2 | 42,425 | --**********************************************************************
-- Copyright (c) 2012-2014 by XESS Corp <http://www.xess.com>.
-- All rights reserved.
--
-- This library is free software; you can redistribute it and/or
-- modify it under the terms of the GNU Lesser General Public
-- License as published by the Free Software Foundation; either
-- version 3.0 of the License, or (at your option) any later version.
--
-- This library is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the GNU
-- Lesser General Public License for more details.
--
-- You should have received a copy of the GNU Lesser General Public
-- License along with this library. If not, see
-- <http://www.gnu.org/licenses/>.
--**********************************************************************
--*********************************************************************
-- SD MEMORY CARD INTERFACE
--
-- Reads/writes a single or multiple blocks of data to/from an SD Flash card.
--
-- Based on XESS by by Steven J. Merrifield, June 2008:
-- http : //stevenmerrifield.com/tools/sd.vhd
--
-- Most of what I learned about interfacing to SD/SDHC cards came from here:
-- http://elm-chan.org/docs/mmc/mmc_e.html
--
-- OPERATION
--
-- Set-up:
-- First of all, you have to give the controller a clock signal on the clk_i
-- input with a higher frequency than the serial clock sent to the SD card
-- through the sclk_o output. You can set generic parameters for the
-- controller to tell it the master clock frequency (100 MHz), the SCLK
-- frequency for initialization (400 KHz), the SCLK frequency for normal
-- operation (25MHz), the size of data sectors in the Flash memory (512bytes),
-- and the type of card (either SD or SDHC). I typically use a 100 MHz
-- clock if I'm running an SD card with a 25 Mbps serial data stream.
--
-- Initialize it:
-- Pulsing the reset_i input high and then bringing it low again will make
-- the controller initialize the SD card so it will XESS in SPI mode.
-- Basically, it sends the card the commands CMD0, CMD8 and then ACMD41 (which
-- is CMD55 followed by CMD41). The busy_o output will be high during the
-- initialization and will go low once it is done.
--
-- After the initialization command sequence, the SD card will send back an R1
-- response byte. If only the IDLE bit of the R1 response is set, then the
-- controller will repeatedly re-try the ACMD41 command while busy_o remains
-- high.
--
-- If any other bit of the R1 response is set, then an error occurred. The
-- controller will stall, lower busy_o, and output the R1 response code on the
-- error_o bus. You'll have to pulse reset_i to unfreeze the controller.
--
-- If the R1 response is all zeroes (i.e., no errors occurred during the
-- initialization), then the controller will lower busy_o and wait for a
-- read or write operation from the host. The controller will only accept new
-- operations when busy_o is low.
--
-- Write data:
-- To write a data block to the SD card, the address of a block is placed
-- on the addr_i input bus and the wr_i input is raised. The address and
-- write strobe can be removed once busy_o goes high to indicate the write
-- operation is underway. The data to be written to the SD card is passed as
-- follows:
--
-- 1. The controller requests a byte of data by raising the hndShk_o output.
-- 2. The host applies the next byte to the data_i input bus and raises the
-- hndShk_i input.
-- 3. The controller accepts the byte and lowers the hndShk_o output.
-- 4. The host lowers the hndShk_i input.
--
-- This sequence of steps is repeated until all BLOCK_SIZE_G bytes of the
-- data block are passed from the host to the controller. Once all the data
-- is passed, the sector on the SD card will be written and the busy_o output
-- will be lowered.
--
-- Read data:
-- To read a block of data from the SD card, the address of a block is
-- placed on the addr_i input bus and the rd_i input is raised. The address
-- and read strobe can be removed once busy_o goes high to indicate the read
-- operation is underway. The data read from the SD card is passed to the
-- host as follows:
--
-- 1. The controller raises the hndShk_o output when the next data byte
-- is available.
-- 2. The host reads the byte from the data_o output bus and raises the
-- hndShk_i input.
-- 3. The controller lowers the hndShk_o output.
-- 4. The host lowers the hndShk_i input.
--
-- This sequence of steps is repeated until all BLOCK_SIZE_G bytes of the
-- data block are passed from the controller to the host. Once all the data
-- is read, the busy_o output will be lowered.
--
-- Handle errors:
-- If an error is detected during either a read or write operation, then the
-- controller will stall, lower busy_o, and output an error code on the
-- error_o bus. You'll have to pulse reset_i to unfreeze the controller. That
-- may seem a bit excessive, but it does guarantee that you can't ignore any
-- errors that occur.
--
-- TODO:
--
-- * Implement multi-block read and write commands.
-- * Allow host to send/receive SPI commands/data directly to
-- the SD card through the controller.
-- *********************************************************************
------------------------------------------------------------------------------
-- Commonly-used functions and constants.
------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package CommonPckg is
-- constant YES : std_logic := '1';
-- constant NO : std_logic := '0';
-- constant HI : std_logic := '1';
-- constant LO : std_logic := '0';
-- constant ONE : std_logic := '1';
-- constant ZERO : std_logic := '0';
constant HIZ : std_logic := 'Z';
-- FPGA chip families.
type FpgaFamily_t is (SPARTAN3A_E, SPARTAN6_E);
-- XESS FPGA boards.
type XessBoard_t is (XULA_E, XULA2_E);
-- Convert a Boolean to a std_logic.
function BooleanToStdLogic(b : in boolean) return std_logic;
-- Find the base-2 logarithm of a number.
function Log2(v : in natural) return natural;
-- Select one of two integers based on a Boolean.
function IntSelect(s : in boolean; a : in integer; b : in integer) return integer;
-- Select one of two reals based on a Boolean.
function RealSelect(s : in boolean; a : in real; b : in real) return real;
-- Convert a binary number to a graycode number.
function BinaryToGray(b : in std_logic_vector) return std_logic_vector;
-- Convert a graycode number to a binary number.
function GrayToBinary(g : in std_logic_vector) return std_logic_vector;
-- Find the maximum of two integers.
function IntMax(a : in integer; b : in integer) return integer;
end package;
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
package body CommonPckg is
-- Convert a Boolean to a std_logic.
function BooleanToStdLogic(b : in boolean) return std_logic is
variable s : std_logic;
begin
if b then
s := '1';
else
s := '0';
end if;
return s;
end function BooleanToStdLogic;
-- Find the base 2 logarithm of a number.
function Log2(v : in natural) return natural is
variable n : natural;
variable logn : natural;
begin
n := 1;
for i in 0 to 128 loop
logn := i;
exit when (n >= v);
n := n * 2;
end loop;
return logn;
end function Log2;
-- Select one of two integers based on a Boolean.
function IntSelect(s : in boolean; a : in integer; b : in integer) return integer is
begin
if s then
return a;
else
return b;
end if;
return a;
end function IntSelect;
-- Select one of two reals based on a Boolean.
function RealSelect(s : in boolean; a : in real; b : in real) return real is
begin
if s then
return a;
else
return b;
end if;
return a;
end function RealSelect;
-- Convert a binary number to a graycode number.
function BinaryToGray(b : in std_logic_vector) return std_logic_vector is
variable g : std_logic_vector(b'range);
begin
for i in b'low to b'high-1 loop
g(i) := b(i) xor b(i+1);
end loop;
g(b'high) := b(b'high);
return g;
end function BinaryToGray;
-- Convert a graycode number to a binary number.
function GrayToBinary(g : in std_logic_vector) return std_logic_vector is
variable b : std_logic_vector(g'range);
begin
b(b'high) := g(b'high);
for i in g'high-1 downto g'low loop
b(i) := b(i+1) xor g(i);
end loop;
return b;
end function GrayToBinary;
-- Find the maximum of two integers.
function IntMax(a : in integer; b : in integer) return integer is
begin
if a > b then
return a;
else
return b;
end if;
return a;
end function IntMax;
end package body;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.CommonPckg.all;
use work.p_wires.all;
package SdCardPckg is
type CardType_t is (SD_CARD_E, SDHC_CARD_E); -- Define the different types of SD cards.
component SdCardCtrl is
generic (
FREQ_G : real := 100.0; -- Master clock frequency (MHz).
INIT_SPI_FREQ_G : real := 0.4; -- Slow SPI clock freq. during initialization (MHz).
SPI_FREQ_G : real := 25.0; -- Operational SPI freq. to the SD card (MHz).
BLOCK_SIZE_G : natural := 512; -- Number of bytes in an SD card block or sector.
CARD_TYPE_G : CardType_t := SD_CARD_E -- Type of SD card connected to this controller.
);
port (
-- Host-side interface signals.
clk_i : in std_logic; -- Master clock.
reset_i : in std_logic := NO; -- active-high, synchronous reset.
rd_i : in std_logic := NO; -- active-high read block request.
wr_i : in std_logic := NO; -- active-high write block request.
continue_i : in std_logic := NO; -- If true, inc address and continue R/W.
addr_i : in std_logic_vector(31 downto 0) := x"00000000"; -- Block address.
data_i : in std_logic_vector(7 downto 0) := x"00"; -- Data to write to block.
data_o : out std_logic_vector(7 downto 0) := x"00"; -- Data read from block.
busy_o : out std_logic; -- High when controller is busy performing some operation.
hndShk_i : in std_logic; -- High when host has data to give or has taken data.
hndShk_o : out std_logic; -- High when controller has taken data or has data to give.
error_o : out std_logic_vector(15 downto 0) := (others => NO);
-- I/O signals to the external SD card.
cs_bo : out std_logic := HI; -- Active-low chip-select.
sclk_o : out std_logic := LO; -- Serial clock to SD card.
mosi_o : out std_logic := HI; -- Serial data output to SD card.
miso_i : in std_logic := ZERO; -- Serial data input from SD card.
state : out std_logic_vector(4 downto 0) -- state debugging only
);
end component;
end package;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.math_real.all;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.CommonPckg.all;
use work.SdCardPckg.all;
use work.p_wires.all;
entity SdCardCtrl is
generic (
FREQ_G : real := 100.0; -- Master clock frequency (MHz).
INIT_SPI_FREQ_G : real := 0.4; -- Slow SPI clock freq. during initialization (MHz).
SPI_FREQ_G : real := 25.0; -- Operational SPI freq. to the SD card (MHz).
BLOCK_SIZE_G : natural := 512; -- Number of bytes in an SD card block or sector.
CARD_TYPE_G : CardType_t := SD_CARD_E -- Type of SD card connected to this controller.
);
port (
-- Host-side interface signals.
clk_i : in std_logic; -- Master clock.
reset_i : in std_logic := NO; -- active-high, synchronous reset.
rd_i : in std_logic := NO; -- active-high read block request.
wr_i : in std_logic := NO; -- active-high write block request.
continue_i : in std_logic := NO; -- If true, inc address and continue R/W.
addr_i : in std_logic_vector(31 downto 0) := x"00000000"; -- Block address.
data_i : in std_logic_vector(7 downto 0) := x"00"; -- Data to write to block.
data_o : out std_logic_vector(7 downto 0) := x"00"; -- Data read from block.
busy_o : out std_logic; -- High when controller is busy performing some operation.
hndShk_i : in std_logic; -- High when host has data to give or has taken data.
hndShk_o : out std_logic; -- High when controller has taken data or has data to give.
error_o : out std_logic_vector(15 downto 0) := (others => NO);
-- I/O signals to the external SD card.
cs_bo : out std_logic := HI; -- Active-low chip-select.
sclk_o : out std_logic := LO; -- Serial clock to SD card.
mosi_o : out std_logic := HI; -- Serial data output to SD card.
miso_i : in std_logic := ZERO; -- Serial data input from SD card.
state : out std_logic_vector(4 downto 0) -- state debugging only
);
end entity;
architecture rtl of SdCardCtrl is
signal sclk_r : std_logic := ZERO; -- Register output drives SD card clock.
signal hndShk_r : std_logic := NO; -- Register output drives handshake output to host.
signal sd_state_dbg : integer:= 0; -- debugging only
begin
process(clk_i) -- FSM process for the SD card controller.
type FsmState_t is ( -- States of the SD card controller FSM.
START_INIT, -- 0 Send initialization clock pulses to the deselected SD card.
SEND_CMD0, -- 1 Put the SD card in the IDLE state.
CHK_CMD0_RESPONSE, -- 2 Check card's R1 response to the CMD0.
SEND_CMD8, -- 3 This command is needed to initialize SDHC cards.
GET_CMD8_RESPONSE, -- 4 Get the R7 response to CMD8.
SEND_CMD55, -- 5 Send CMD55 to the SD card.
SEND_CMD41, -- 6 Send CMD41 to the SD card.
CHK_ACMD41_RESPONSE, -- 7 Check if the SD card has left the IDLE state.
WAIT_FOR_HOST_RW, -- 8 Wait for the host to issue a read or write command.
RD_BLK, -- 9 Read a block of data from the SD card.
WR_BLK, -- 10 Write a block of data to the SD card.
WR_WAIT, -- 11 Wait for SD card to finish writing the data block.
START_TX, -- 12 Start sending command/data.
TX_BITS, -- 13 Shift out remaining command/data bits.
GET_CMD_RESPONSE, -- 14 Get the R1 response of the SD card to a command.
RX_BITS, -- 15 Receive response/data from the SD card.
DESELECT, -- 16 De-select the SD card and send some clock pulses (Must enter with sclk at zero.)
PULSE_SCLK, -- 17 Issue some clock pulses. (Must enter with sclk at zero.)
REPORT_ERROR -- 18 Report error and stall until reset.
);
attribute SYN_ENCODING of FsmState_t : type is "safe";
variable state_v : FsmState_t := START_INIT; -- Current state of the FSM.
variable rtnState_v : FsmState_t; -- State FSM returns to when FSM subroutine completes.
-- Timing constants based on the master clock frequency and the SPI SCLK frequencies.
constant CLKS_PER_INIT_SCLK_C : real := FREQ_G / INIT_SPI_FREQ_G;
constant CLKS_PER_SCLK_C : real := FREQ_G / SPI_FREQ_G;
constant MAX_CLKS_PER_SCLK_C : real := realmax(CLKS_PER_INIT_SCLK_C, CLKS_PER_SCLK_C);
constant MAX_CLKS_PER_SCLK_PHASE_C : natural := integer(round(MAX_CLKS_PER_SCLK_C / 2.0));
constant INIT_SCLK_PHASE_PERIOD_C : natural := integer(round(CLKS_PER_INIT_SCLK_C / 2.0));
constant SCLK_PHASE_PERIOD_C : natural := integer(round(CLKS_PER_SCLK_C / 2.0));
constant DELAY_BETWEEN_BLOCK_RW_C : natural := SCLK_PHASE_PERIOD_C;
-- Registers for generating slow SPI SCLK from the faster master clock.
variable clkDivider_v : natural range 0 to MAX_CLKS_PER_SCLK_PHASE_C; -- Holds the SCLK period.
variable sclkPhaseTimer_v : natural range 0 to MAX_CLKS_PER_SCLK_PHASE_C; -- Counts down to zero, then SCLK toggles.
constant NUM_INIT_CLKS_C : natural := 160; -- Number of initialization clocks to SD card.
variable bitCnt_v : natural range 0 to NUM_INIT_CLKS_C; -- Tx/Rx bit counter.
constant CRC_SZ_C : natural := 2; -- Number of CRC bytes for read/write blocks.
-- When reading blocks of data, get 0xFE + [DATA_BLOCK] + [CRC].
constant RD_BLK_SZ_C : natural := 1 + BLOCK_SIZE_G + CRC_SZ_C;
-- When writing blocks of data, send 0xFF + 0xFE + [DATA BLOCK] + [CRC] then receive response byte.
constant WR_BLK_SZ_C : natural := 1 + 1 + BLOCK_SIZE_G + CRC_SZ_C + 1;
variable byteCnt_v : natural range 0 to IntMax(WR_BLK_SZ_C, RD_BLK_SZ_C); -- Tx/Rx byte counter.
-- Command bytes for various SD card operations.
subtype Cmd_t is std_logic_vector(7 downto 0);
constant CMD0_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 0, Cmd_t'length));
constant CMD8_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 8, Cmd_t'length));
constant CMD55_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 55, Cmd_t'length));
constant CMD41_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 41, Cmd_t'length));
constant READ_BLK_CMD_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 17, Cmd_t'length));
constant WRITE_BLK_CMD_C : Cmd_t := std_logic_vector(to_unsigned(16#40# + 24, Cmd_t'length));
-- Except for CMD0 and CMD8, SD card ops don't need a CRC, so use a fake one for that slot in the command.
constant FAKE_CRC_C : std_logic_vector(7 downto 0) := x"FF";
variable addr_v : unsigned(addr_i'range); -- Address of current block for R/W operations.
-- Maximum Tx to SD card consists of command + address + CRC. Data Tx is just a single byte.
variable tx_v : std_logic_vector(CMD0_C'length + addr_v'length + FAKE_CRC_C'length - 1 downto 0); -- Data/command to SD card.
alias txCmd_v is tx_v; -- Command transmission shift register.
alias txData_v is tx_v(tx_v'high downto tx_v'high - data_i'length + 1); -- Data byte transmission shift register.
variable rx_v : std_logic_vector(data_i'range); -- Data/response byte received from SD card.
-- Various response codes.
subtype Response_t is std_logic_vector(rx_v'range);
constant ACTIVE_NO_ERRORS_C : Response_t := "00000000"; -- Normal R1 code after initialization.
constant IDLE_NO_ERRORS_C : Response_t := "00000001"; -- Normal R1 code after CMD0.
constant DATA_ACCEPTED_C : Response_t := "---00101"; -- SD card accepts data block from host.
constant DATA_REJ_CRC_C : Response_t := "---01011"; -- SD card rejects data block from host due to CRC error.
constant DATA_REJ_WERR_C : Response_t := "---01101"; -- SD card rejects data block from host due to write error.
-- Various tokens.
subtype Token_t is std_logic_vector(rx_v'range);
constant NO_TOKEN_C : Token_t := x"FF"; -- Received before the SD card responds to a block read command.
constant START_TOKEN_C : Token_t := x"FE"; -- Starting byte preceding a data block.
-- Flags that are set/cleared to affect the operation of the FSM.
variable getCmdResponse_v : boolean; -- When true, get R1 response to command sent to SD card.
variable rtnData_v : boolean; -- When true, signal to host when a data byte arrives from SD card.
variable doDeselect_v : boolean; -- When true, de-select SD card after a command is issued.
begin
sd_state_dbg <= FsmState_t'pos(state_v); -- debugging only
state <= std_logic_vector(to_unsigned(sd_state_dbg, 5));
if rising_edge(clk_i) then
if reset_i = YES then -- Perform a reset.
state_v := START_INIT; -- Send the FSM to the initialization entry-point.
sclkPhaseTimer_v := 0; -- Don't delay the initialization right after reset.
busy_o <= YES; -- Busy while the SD card interface is being initialized.
elsif sclkPhaseTimer_v /= 0 then
-- Setting the clock phase timer to a non-zero value delays any further actions
-- and generates the slower SPI clock from the faster master clock.
sclkPhaseTimer_v := sclkPhaseTimer_v - 1;
-- Clock phase timer has reached zero, so check handshaking sync. between host and controller.
-- Handshaking lets the host control the flow of data to/from the SD card controller.
-- Handshaking between the SD card controller and the host proceeds as follows:
-- 1: Controller raises its handshake and waits.
-- 2: Host sees controller handshake and raises its handshake in acknowledgement.
-- 3: Controller sees host handshake acknowledgement and lowers its handshake.
-- 4: Host sees controller lower its handshake and removes its handshake.
--
-- Handshaking is bypassed when the controller FSM is initializing the SD card.
elsif state_v /= START_INIT and hndShk_r = HI and hndShk_i = LO then
null; -- Waiting for the host to acknowledge handshake.
elsif state_v /= START_INIT and hndShk_r = HI and hndShk_i = HI then
txData_v := data_i; -- Get any data passed from the host.
hndShk_r <= LO; -- The host acknowledged, so lower the controller handshake.
elsif state_v /= START_INIT and hndShk_r = LO and hndShk_i = HI then
null; -- Waiting for the host to lower its handshake.
elsif (state_v = START_INIT) or (hndShk_r = LO and hndShk_i = LO) then
-- Both handshakes are low, so the controller operations can proceed.
busy_o <= YES; -- Busy by default. Only false when waiting for R/W from host or stalled by error.
case state_v is
when START_INIT => -- Deselect the SD card and send it a bunch of clock pulses with MOSI high.
error_o <= (others => ZERO); -- Clear error flags.
clkDivider_v := INIT_SCLK_PHASE_PERIOD_C - 1; -- Use slow SPI clock freq during init.
sclkPhaseTimer_v := INIT_SCLK_PHASE_PERIOD_C - 1; -- and set the duration of the next clock phase.
sclk_r <= LO; -- Start with low clock to the SD card.
hndShk_r <= LO; -- Initialize handshake signal.
addr_v := (others => ZERO); -- Initialize address.
rtnData_v := false; -- No data is returned to host during initialization.
bitCnt_v := NUM_INIT_CLKS_C; -- Generate this many clock pulses.
state_v := DESELECT; -- De-select the SD card and pulse SCLK.
rtnState_v := SEND_CMD0; -- Then go to this state after the clock pulses are done.
when SEND_CMD0 => -- Put the SD card in the IDLE state.
cs_bo <= LO; -- Enable the SD card.
txCmd_v := CMD0_C & x"00000000" & x"95"; -- 0x95 is the correct CRC for this command.
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
getCmdResponse_v := true; -- Sending a command that generates a response.
doDeselect_v := true; -- De-select SD card after this command finishes.
state_v := START_TX; -- Go to FSM subroutine to send the command.
rtnState_v := CHK_CMD0_RESPONSE; -- Then check the response to the command.
when CHK_CMD0_RESPONSE => -- Check card's R1 response to the CMD0.
if rx_v = IDLE_NO_ERRORS_C then
state_v := SEND_CMD8; -- Continue init if SD card is in IDLE state with no errors
else
state_v := SEND_CMD0; -- Otherwise, try CMD0 again.
end if;
when SEND_CMD8 => -- This command is needed to initialize SDHC cards.
cs_bo <= LO; -- Enable the SD card.
txCmd_v := CMD8_C & x"000001aa" & x"87"; -- 0x87 is the correct CRC for this command.
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
getCmdResponse_v := true; -- Sending a command that generates a response.
doDeselect_v := false; -- Don't de-select, need to get the R7 response sent from the SD card.
state_v := START_TX; -- Go to FSM subroutine to send the command.
rtnState_v := GET_CMD8_RESPONSE; -- Then go to this state after the command is sent.
when GET_CMD8_RESPONSE => -- Get the R7 response to CMD8.
cs_bo <= LO; -- The SD card should already be enabled, but let's be explicit.
bitCnt_v := 31; -- Four bytes (32 bits) in R7 response.
getCmdResponse_v := false; -- Not sending a command that generates a response.
doDeselect_v := true; -- De-select card to end the command after getting the four bytes.
state_v := RX_BITS; -- Go to FSM subroutine to get the R7 response.
rtnState_v := SEND_CMD55; -- Then go here (we don't care what the actual R7 response is).
when SEND_CMD55 => -- Send CMD55 as preamble of ACMD41 initialization command.
cs_bo <= LO; -- Enable the SD card.
txCmd_v := CMD55_C & x"00000000" & FAKE_CRC_C;
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
getCmdResponse_v := true; -- Sending a command that generates a response.
doDeselect_v := true; -- De-select SD card after this command finishes.
state_v := START_TX; -- Go to FSM subroutine to send the command.
rtnState_v := SEND_CMD41; -- Then go to this state after the command is sent.
when SEND_CMD41 => -- Send the SD card the initialization command.
cs_bo <= LO; -- Enable the SD card.
txCmd_v := CMD41_C & x"40000000" & FAKE_CRC_C;
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
getCmdResponse_v := true; -- Sending a command that generates a response.
doDeselect_v := true; -- De-select SD card after this command finishes.
state_v := START_TX; -- Go to FSM subroutine to send the command.
rtnState_v := CHK_ACMD41_RESPONSE; -- Then check the response to the command.
when CHK_ACMD41_RESPONSE =>
-- The CMD55, CMD41 sequence should cause the SD card to leave the IDLE state
-- and become ready for SPI read/write operations. If still IDLE, then repeat the CMD55, CMD41 sequence.
-- If one of the R1 error flags is set, then report the error and stall.
if rx_v = ACTIVE_NO_ERRORS_C then -- Not IDLE, no errors.
state_v := WAIT_FOR_HOST_RW; -- Start processing R/W commands from the host.
elsif rx_v = IDLE_NO_ERRORS_C then -- Still IDLE but no errors.
state_v := SEND_CMD55; -- Repeat the CMD55, CMD41 sequence.
else -- Some error occurred.
state_v := REPORT_ERROR; -- Report the error and stall.
end if;
when WAIT_FOR_HOST_RW => -- Wait for the host to read or write a block of data from the SD card.
clkDivider_v := SCLK_PHASE_PERIOD_C - 1; -- Set SPI clock frequency for normal operation.
getCmdResponse_v := true; -- Get R1 response to any commands issued to the SD card.
if rd_i = YES then -- send READ command and address to the SD card.
cs_bo <= LO; -- Enable the SD card.
if continue_i = YES then -- Multi-block read. Use stored address.
if CARD_TYPE_G = SD_CARD_E then -- SD cards use byte-addressing,
addr_v := addr_v + BLOCK_SIZE_G; -- so add block-size to get next block address.
else -- SDHC cards use block-addressing,
addr_v := addr_v + 1; -- so just increment current block address.
end if;
txCmd_v := READ_BLK_CMD_C & std_logic_vector(addr_v) & FAKE_CRC_C;
else -- Single-block read.
txCmd_v := READ_BLK_CMD_C & addr_i & FAKE_CRC_C; -- Use address supplied by host.
addr_v := unsigned(addr_i); -- Store address for multi-block operations.
end if;
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
byteCnt_v := RD_BLK_SZ_C;
state_v := START_TX; -- Go to FSM subroutine to send the command.
rtnState_v := RD_BLK; -- Then go to this state to read the data block.
elsif wr_i = YES then -- send WRITE command and address to the SD card.
cs_bo <= LO; -- Enable the SD card.
if continue_i = YES then -- Multi-block write. Use stored address.
if CARD_TYPE_G = SD_CARD_E then -- SD cards use byte-addressing,
addr_v := addr_v + BLOCK_SIZE_G; -- so add block-size to get next block address.
else -- SDHC cards use block-addressing,
addr_v := addr_v + 1; -- so just increment current block address.
end if;
txCmd_v := WRITE_BLK_CMD_C & std_logic_vector(addr_v) & FAKE_CRC_C;
else -- Single-block write.
txCmd_v := WRITE_BLK_CMD_C & addr_i & FAKE_CRC_C; -- Use address supplied by host.
addr_v := unsigned(addr_i); -- Store address for multi-block operations.
end if;
bitCnt_v := txCmd_v'length; -- Set bit counter to the size of the command.
byteCnt_v := WR_BLK_SZ_C; -- Set number of bytes to write.
state_v := START_TX; -- Go to this FSM subroutine to send the command
rtnState_v := WR_BLK; -- then go to this state to write the data block.
else -- Do nothing and wait for command from host.
cs_bo <= HI; -- Deselect the SD card.
busy_o <= NO; -- SD card interface is waiting for R/W from host, so it's not busy.
state_v := WAIT_FOR_HOST_RW; -- Keep waiting for command from host.
end if;
when RD_BLK => -- Read a block of data from the SD card.
-- Some default values for these...
rtnData_v := false; -- Data is only returned to host in one place.
bitCnt_v := rx_v'length - 1; -- Receiving byte-sized data.
state_v := RX_BITS; -- Call the bit receiver routine.
rtnState_v := RD_BLK; -- Return here when done receiving a byte.
if byteCnt_v = RD_BLK_SZ_C then -- Initial read to prime the pump.
byteCnt_v := byteCnt_v - 1;
elsif byteCnt_v = RD_BLK_SZ_C -1 then -- Then look for the data block start token.
if rx_v = NO_TOKEN_C then -- Receiving 0xFF means the card hasn't responded yet. Keep trying.
null;
elsif rx_v = START_TOKEN_C then
rtnData_v := true; -- Found the start token, so now start returning data byes to the host.
byteCnt_v := byteCnt_v - 1;
else -- Getting anything else means something strange has happened.
state_v := REPORT_ERROR;
end if;
elsif byteCnt_v >= 3 then -- Now bytes of data from the SD card are received.
rtnData_v := true; -- Return this data to the host.
byteCnt_v := byteCnt_v - 1;
elsif byteCnt_v = 2 then -- Receive the 1st CRC byte at the end of the data block.
byteCnt_v := byteCnt_v - 1;
elsif byteCnt_v = 1 then -- Receive the 2nd
byteCnt_v := byteCnt_v - 1;
else -- Reading is done, so deselect the SD card.
sclk_r <= LO;
bitCnt_v := 2;
state_v := DESELECT;
rtnState_v := WAIT_FOR_HOST_RW;
end if;
when WR_BLK => -- Write a block of data to the SD card.
-- Some default values for these...
getCmdResponse_v := false; -- Sending data bytes so there's no command response from SD card.
bitCnt_v := txData_v'length; -- Transmitting byte-sized data.
state_v := START_TX; -- Call the bit transmitter routine.
rtnState_v := WR_BLK; -- Return here when done transmitting a byte.
if byteCnt_v = WR_BLK_SZ_C then
txData_v := NO_TOKEN_C; -- Hold MOSI high for one byte before data block goes out.
elsif byteCnt_v = WR_BLK_SZ_C - 1 then -- Send start token.
txData_v := START_TOKEN_C; -- Starting token for data block.
elsif byteCnt_v >= 4 then -- Now send bytes in the data block.
hndShk_r <= HI; -- Signal host to provide data.
-- The transmit shift register is loaded with data from host in the handshaking section above.
elsif byteCnt_v = 3 or byteCnt_v = 2 then -- Send two phony CRC bytes at end of packet.
txData_v := FAKE_CRC_C;
elsif byteCnt_v = 1 then
bitCnt_v := rx_v'length - 1;
state_v := RX_BITS; -- Get response of SD card to the write operation.
rtnState_v := WR_WAIT;
else -- Check received response byte.
if std_match(rx_v, DATA_ACCEPTED_C) then -- Data block was accepted.
state_v := WR_WAIT; -- Wait for the SD card to finish writing the data into Flash.
else -- Data block was rejected.
error_o(15 downto 8) <= rx_v;
state_v := REPORT_ERROR; -- Report the error.
end if;
end if;
byteCnt_v := byteCnt_v - 1;
when WR_WAIT => -- Wait for SD card to finish writing the data block.
-- The SD card will pull MISO low while it is busy, and raise it when it is done.
sclk_r <= not sclk_r; -- Toggle the SPI clock...
sclkPhaseTimer_v := clkDivider_v; -- and set the duration of the next clock phase.
if sclk_r = HI and miso_i = HI then -- Data block has been written, so deselect the SD card.
bitCnt_v := 2;
state_v := DESELECT;
rtnState_v := WAIT_FOR_HOST_RW;
end if;
when START_TX =>
-- Start sending command/data by lowering SCLK and outputing MSB of command/data
-- so it has plenty of setup before the rising edge of SCLK.
sclk_r <= LO; -- Lower the SCLK (although it should already be low).
sclkPhaseTimer_v := clkDivider_v; -- Set the duration of the low SCLK.
mosi_o <= tx_v(tx_v'high); -- Output MSB of command/data.
tx_v := tx_v(tx_v'high-1 downto 0) & ONE; -- Shift command/data register by one bit.
bitCnt_v := bitCnt_v - 1; -- The first bit has been sent, so decrement bit counter.
state_v := TX_BITS; -- Go here to shift out the rest of the command/data bits.
when TX_BITS => -- Shift out remaining command/data bits and (possibly) get response from SD card.
sclk_r <= not sclk_r; -- Toggle the SPI clock...
sclkPhaseTimer_v := clkDivider_v; -- and set the duration of the next clock phase.
if sclk_r = HI then
-- SCLK is going to be flipped from high to low, so output the next command/data bit
-- so it can setup while SCLK is low.
if bitCnt_v /= 0 then -- Keep sending bits until the bit counter hits zero.
mosi_o <= tx_v(tx_v'high);
tx_v := tx_v(tx_v'high-1 downto 0) & ONE;
bitCnt_v := bitCnt_v - 1;
else
if getCmdResponse_v then
state_v := GET_CMD_RESPONSE; -- Get a response to the command from the SD card.
bitCnt_v := Response_t'length - 1; -- Length of the expected response.
else
state_v := rtnState_v; -- Return to calling state (no need to get a response).
sclkPhaseTimer_v := 0; -- Clear timer so next SPI op can begin ASAP with SCLK low.
end if;
end if;
end if;
when GET_CMD_RESPONSE => -- Get the response of the SD card to a command.
if sclk_r = HI and miso_i = LO then -- MISO will be held high by SD card until 1st bit
-- of R1 response, which is 0.
rx_v := rx_v(rx_v'high-1 downto 0) & miso_i; -- Shift in the MSB bit of the response.
bitCnt_v := bitCnt_v - 1;
state_v := RX_BITS; -- Now receive the reset of the response.
end if;
sclk_r <= not sclk_r; -- Toggle the SPI clock...
sclkPhaseTimer_v := clkDivider_v; -- and set the duration of the next clock phase.
when RX_BITS => -- Receive bits from the SD card.
if sclk_r = HI then -- Bits enter after the rising edge of SCLK.
rx_v := rx_v(rx_v'high-1 downto 0) & miso_i;
if bitCnt_v /= 0 then -- More bits left to receive.
bitCnt_v := bitCnt_v - 1;
else -- Last bit has been received.
if rtnData_v then -- Send the received data to the host.
data_o <= rx_v; -- Output received data to the host.
hndShk_r <= HI; -- Signal to the host that the data is ready.
end if;
if doDeselect_v then
bitCnt_v := 1;
state_v := DESELECT; -- De-select SD card before returning.
else
state_v := rtnState_v; -- Otherwise, return to calling state without de-selecting.
end if;
end if;
end if;
sclk_r <= not sclk_r; -- Toggle the SPI clock...
sclkPhaseTimer_v := clkDivider_v; -- and set the duration of the next clock phase.
when DESELECT => -- De-select the SD card and send some clock pulses (Must enter with sclk at zero.)
doDeselect_v := false; -- Once the de-select is done, clear the flag that caused it.
cs_bo <= HI; -- De-select the SD card.
mosi_o <= HI; -- Keep the data input of the SD card pulled high.
state_v := PULSE_SCLK; -- Pulse the clock so the SD card will see the de-select.
sclk_r <= LO; -- Clock is set low so the next rising edge will see the new CS and MOSI
sclkPhaseTimer_v := clkDivider_v; -- Set the duration of the next clock phase.
when PULSE_SCLK => -- Issue some clock pulses. (Must enter with sclk at zero.)
if sclk_r = HI then
if bitCnt_v /= 0 then
bitCnt_v := bitCnt_v - 1;
else -- Return to the calling routine when the pulse counter reaches zero.
state_v := rtnState_v;
end if;
end if;
sclk_r <= not sclk_r; -- Toggle the SPI clock...
sclkPhaseTimer_v := clkDivider_v; -- and set the duration of the next clock phase.
when REPORT_ERROR => -- Report the error code and stall here until a reset occurs.
error_o(rx_v'range) <= rx_v; -- Output the SD card response as the error code.
busy_o <= NO; -- Not busy.
when others =>
state_v := START_INIT;
end case;
end if;
end if;
end process;
sclk_o <= sclk_r; -- Output the generated SPI clock for the SD card.
hndShk_o <= hndShk_r; -- Output the generated handshake to the host.
end architecture rtl;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of SdCardCtrl is
begin
data_o <= (others => 'X'); -- Data read from block.
busy_o <= LO; -- High when controller is busy performing some operation.
cs_bo <= HI; -- Active-low chip-select.
sclk_o <= LO; -- Serial clock to SD card.
mosi_o <= HI; -- Serial data output to SD card.
state <= (others => 'X'); -- state debugging only
end architecture fake;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | cd144013bbfa78dcbcc872ae935ba768 | 0.573318 | 3.926423 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/Mux5x1.vhd | 1 | 833 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Mux5x1 is
generic (N : integer := 16);
port (B : in std_logic_vector(N-1 downto 0);
C : in std_logic_vector(N-1 downto 0);
D: in std_logic_vector(N-1 downto 0);
E : in std_logic_vector(N-1 downto 0);
sel : in std_logic_vector(2 downto 0);
O : out std_logic_vector(N-1 downto 0)
);
end Mux5x1;
architecture Behavioral of Mux5x1 is
constant S : std_logic_vector(N-1 downto 0) := (others => '0');
begin
process(B, C, D, E, sel)
begin
case sel is
when "000" => -- 0
O <= S;
when "001" => -- +A
O <= B;
when "010" => -- -A
O <= C;
when "011" => -- +2A
O <= D;
when "100" => -- -2A
O <= E;
when others =>
O <= (others => '0');
end case;
end process;
end Behavioral;
| lgpl-3.0 | 8c9570c938f96741ca94df038b89c68e | 0.527011 | 2.644444 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_sys_reset_v5_0/51a8c173/hdl/src/vhdl/upcnt_n.vhd | 7 | 7,208 | -------------------------------------------------------------------------------
-- $Id: upcnt_n.vhd,v 1.1.2.1 2010/03/25 15:33:04 sanjayk Exp $
-------------------------------------------------------------------------------
-- upcnt_n - entity/architecture pair
-------------------------------------------------------------------------------
--
-- ************************************************************************
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This file contains proprietary and confidential information of **
-- ** Xilinx, Inc. ("Xilinx"), that is distributed under a license **
-- ** from Xilinx, and may be used, copied and/or disclosed only **
-- ** pursuant to the terms of a valid license agreement with Xilinx. **
-- ** **
-- ** XILINX IS PROVIDING THIS DESIGN, CODE, OR INFORMATION **
-- ** ("MATERIALS") "AS IS" WITHOUT WARRANTY OF ANY KIND, EITHER **
-- ** EXPRESSED, IMPLIED, OR STATUTORY, INCLUDING WITHOUT **
-- ** LIMITATION, ANY WARRANTY WITH RESPECT TO NONINFRINGEMENT, **
-- ** MERCHANTABILITY OR FITNESS FOR ANY PARTICULAR PURPOSE. Xilinx **
-- ** does not warrant that functions included in the Materials will **
-- ** meet the requirements of Licensee, or that the operation of the **
-- ** Materials will be uninterrupted or error-free, or that defects **
-- ** in the Materials will be corrected. Furthermore, Xilinx does **
-- ** not warrant or make any representations regarding use, or the **
-- ** results of the use, of the Materials in terms of correctness, **
-- ** accuracy, reliability or otherwise. **
-- ** **
-- ** Xilinx products are not designed or intended to be fail-safe, **
-- ** or for use in any application requiring fail-safe performance, **
-- ** such as life-support or safety devices or systems, Class III **
-- ** medical devices, nuclear facilities, applications related to **
-- ** the deployment of airbags, or any other applications that could **
-- ** lead to death, personal injury or severe property or **
-- ** environmental damage (individually and collectively, "critical **
-- ** applications"). Customer assumes the sole risk and liability **
-- ** of any use of Xilinx products in critical applications, **
-- ** subject only to applicable laws and regulations governing **
-- ** limitations on product liability. **
-- ** **
-- ** Copyright 2010 Xilinx, Inc. **
-- ** All rights reserved. **
-- ** **
-- ** This disclaimer and copyright notice must be retained as part **
-- ** of this file at all times. **
-- ************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: upcnt_n.vhd
-- Version: v4.00a
-- Description: Parameterizeable top level processor reset module.
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure: This section should show the hierarchical structure of the
-- designs.Separate lines with blank lines if necessary to improve
-- readability.
--
-- proc_sys_reset.vhd
-- upcnt_n.vhd
-- lpf.vhd
-- sequence.vhd
-------------------------------------------------------------------------------
-- Author: Kurt Conover
-- History:
-- Kurt Conover 11/07/01 -- First Release
--
-- ~~~~~~~
-- SK 03/11/10
-- ^^^^^^^
-- 1. Updated the core so support the active low "Interconnect_aresetn" and
-- "Peripheral_aresetn" signals.
-- ^^^^^^^
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
-------------------------------------------------------------------------------
-- Port Declaration
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_SIZE -- Number of bits in counter
--
--
-- Definition of Ports:
-- Data -- parallel data input
-- Cnt_en -- count enable
-- Load -- Load Data
-- Clr -- reset
-- Clk -- Clock
-- Qout -- Count output
--
-------------------------------------------------------------------------------
entity upcnt_n is
generic(
C_SIZE : Integer
);
port(
Data : in STD_LOGIC_VECTOR (C_SIZE-1 downto 0);
Cnt_en : in STD_LOGIC;
Load : in STD_LOGIC;
Clr : in STD_LOGIC;
Clk : in STD_LOGIC;
Qout : out STD_LOGIC_VECTOR (C_SIZE-1 downto 0)
);
end upcnt_n;
architecture imp of upcnt_n is
constant CLEAR : std_logic := '0';
signal q_int : UNSIGNED (C_SIZE-1 downto 0) := (others => '1');
begin
process(Clk)
begin
if (Clk'event) and Clk = '1' then
-- Clear output register
if (Clr = CLEAR) then
q_int <= (others => '0');
-- Load in start value
elsif (Load = '1') then
q_int <= UNSIGNED(Data);
-- If count enable is high
elsif Cnt_en = '1' then
q_int <= q_int + 1;
end if;
end if;
end process;
Qout <= STD_LOGIC_VECTOR(q_int);
end imp;
| apache-2.0 | 2e7c50de5f0c7cceadf967c41714842d | 0.410794 | 5.27672 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_tbl_testbench_192bits.vhd | 1 | 10,562 | -- Twofish_ecb_tbl_testbench_192bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the TABLES KAT of the twofish cipher with 192 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity tbl_testbench192 is
end tbl_testbench192;
architecture tbl_encryption192_testbench_arch of tbl_testbench192 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched192
port (
odd_in_tk192,
even_in_tk192 : in std_logic_vector(7 downto 0);
in_key_tk192 : in std_logic_vector(191 downto 0);
out_key_up_tk192,
out_key_down_tk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched192
port (
in_key_twk192 : in std_logic_vector(191 downto 0);
out_K0_twk192,
out_K1_twk192,
out_K2_twk192,
out_K3_twk192,
out_K4_twk192,
out_K5_twk192,
out_K6_twk192,
out_K7_twk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_encryption_round192
port (
in1_ter192,
in2_ter192,
in3_ter192,
in4_ter192,
in_Sfirst_ter192,
in_Ssecond_ter192,
in_Sthird_ter192,
in_key_up_ter192,
in_key_down_ter192 : in std_logic_vector(31 downto 0);
out1_ter192,
out2_ter192,
out3_ter192,
out4_ter192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S192
port (
in_key_ts192 : in std_logic_vector(191 downto 0);
out_Sfirst_ts192,
out_Ssecond_ts192,
out_Sthird_ts192 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_tbl_testvalues_192bits.txt";
FILE output_file : text is out "twofish_ecb_tbl_192bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 1 to 50) return string is
variable our_text : string (1 to 3) := (others => ' ');
variable hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := (int_number - (int_number mod 100)) / 100;
our_text(1) := digit_to_char(hundreds);
our_text(2) := digit_to_char(tens);
our_text(3) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(191 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched192
port map (
in_key_twk192 => twofish_key,
out_K0_twk192 => K0,
out_K1_twk192 => K1,
out_K2_twk192 => K2,
out_K3_twk192 => K3,
out_K4_twk192 => K4,
out_K5_twk192 => K5,
out_K6_twk192 => K6,
out_K7_twk192 => K7
);
-- performing the input whitening XORs
from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched192
port map (
odd_in_tk192 => odd_number,
even_in_tk192 => even_number,
in_key_tk192 => twofish_key,
out_key_up_tk192 => key_up,
out_key_down_tk192 => key_down
);
producing_the_Skeys: twofish_S192
port map (
in_key_ts192 => twofish_key,
out_Sfirst_ts192 => Sfirst,
out_Ssecond_ts192 => Ssecond,
out_Sthird_ts192 => Sthird
);
the_encryption_circuit: twofish_encryption_round192
port map (
in1_ter192 => to_round(127 downto 96),
in2_ter192 => to_round(95 downto 64),
in3_ter192 => to_round(63 downto 32),
in4_ter192 => to_round(31 downto 0),
in_Sfirst_ter192 => Sfirst,
in_Ssecond_ter192 => Ssecond,
in_Sthird_ter192 => Sthird,
in_key_up_ter192 => key_up,
in_key_down_ter192 => key_down,
out1_ter192 => to_encr_reg128(127 downto 96),
out2_ter192 => to_encr_reg128(95 downto 64),
out3_ter192 => to_encr_reg128(63 downto 32),
out4_ter192 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
tbl_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f : line; -- ciphertext from file
variable pt_v , -- plaintext vector
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable key_v : std_logic_vector(191 downto 0); -- key vector input
variable counter : integer range 1 to 50 := 1;
variable round : integer range 0 to 16 := 0;
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
input_data <= pt_v;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00001000"; -- 8
odd_number <= "00001001"; -- 9
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector(((round*2)+8), 8);
odd_number <= conv_std_logic_vector(((round*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note;
counter := counter+1;
hwrite(pt_f,input_data);
hwrite(ct_f,output_data);
hwrite(key_f,key_v);
writeline(output_file,key_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
end loop;
assert false report "***** Tables Known Answer Test with 192 bits key size ended succesfully! :) *****" severity failure;
end process tbl_proc;
end tbl_encryption192_testbench_arch;
| gpl-2.0 | 8c4b955e8950c9d3f8fed4d3d7419b4f | 0.648646 | 2.682753 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/14-MESA-IA/asap-alap-random/mesaia_asap.vhd | 1 | 9,734 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.13:53:38)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesaia_asap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31, input32, input33, input34, input35, input36, input37, input38, input39, input40, input41, input42, input43, input44, input45, input46, input47, input48: IN unsigned(0 TO 30);
output1, output2, output3, output4: OUT unsigned(0 TO 31));
END mesaia_asap_entity;
ARCHITECTURE mesaia_asap_description OF mesaia_asap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register7: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register8: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register9: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register10: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register11: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register12: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register13: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register14: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register15: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register16: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register17: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register18: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register19: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register20: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register21: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register22: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register23: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register24: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register25: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register26: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register27: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register28: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register29: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register30: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register31: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register32: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register33: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register34: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register35: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register36: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register37: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register38: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register39: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register40: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register41: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register42: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register43: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register44: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register45: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register46: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register47: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register48: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
register3 := input3 * 3;
register4 := input4 * 4;
register5 := input5 + 5;
register6 := input6 + 6;
register7 := input7 + 7;
register8 := input8 * 8;
register9 := input9 * 9;
register10 := input10 * 10;
register11 := input11 + 11;
register12 := input12 * 12;
register13 := input13 * 13;
register14 := input14 * 14;
register15 := input15 + 15;
register16 := input16 * 16;
register17 := input17 * 17;
register18 := input18 + 18;
register19 := input19 * 19;
register20 := input20 * 20;
register21 := input21 * 21;
register22 := input22 * 22;
register23 := input23 + 23;
register24 := input24 * 24;
register25 := input25 * 25;
register26 := input26 * 26;
register27 := input27 * 27;
register28 := input28 * 28;
register29 := input29 + 29;
register30 := input30 * 30;
register31 := input31 * 31;
register32 := input32 + 32;
register33 := input33 * 33;
register34 := input34 * 34;
register35 := input35 * 35;
register36 := input36 + 36;
register37 := input37 * 37;
register38 := input38 * 38;
register39 := input39 + 39;
register40 := input40 * 40;
register41 := input41 * 41;
register42 := input42 * 42;
register43 := input43 + 43;
register44 := input44 * 44;
register45 := input45 + 45;
register46 := input46 + 46;
register47 := input47 * 47;
register48 := input48 + 48;
WHEN "00000010" =>
register1 := register24 + register1;
register2 := register2 + register32;
register3 := register3 + register39;
register5 := register38 + register5;
register6 := register10 + register6;
register7 := register40 + register7;
register9 := register9 + register48;
register10 := register22 + register11;
register11 := register12 + register45;
register12 := register14 + register36;
register14 := register27 + register15;
register15 := register17 + register43;
register17 := register28 + register18;
register18 := register19 + register23;
register19 := register34 + register29;
register22 := register44 + register46;
WHEN "00000011" =>
register1 := register25 + register1;
register2 := register33 + register2;
register3 := register4 + register3;
register4 := register37 + register5;
register5 := register30 + register6;
register6 := register41 + register7;
register7 := register8 + register9;
register8 := register21 + register10;
register9 := register31 + register11;
register10 := register13 + register12;
register11 := register26 + register14;
register12 := register16 + register15;
register13 := register42 + register17;
register14 := register20 + register18;
register15 := register35 + register19;
register16 := register47 + register22;
WHEN "00000100" =>
register1 := ((NOT register1) + 1) XOR register1;
register3 := ((NOT register3) + 1) XOR register3;
register4 := ((NOT register4) + 1) XOR register4;
register6 := ((NOT register6) + 1) XOR register6;
register7 := ((NOT register7) + 1) XOR register7;
register8 := ((NOT register8) + 1) XOR register8;
register11 := ((NOT register11) + 1) XOR register11;
register12 := ((NOT register12) + 1) XOR register12;
register13 := ((NOT register13) + 1) XOR register13;
register14 := ((NOT register14) + 1) XOR register14;
register15 := ((NOT register15) + 1) XOR register15;
register16 := ((NOT register16) + 1) XOR register16;
WHEN "00000101" =>
register1 := register6 - register1;
register4 := register16 - register4;
register6 := register7 - register12;
register7 := register13 - register14;
WHEN "00000110" =>
register1 := register1 * 74;
register4 := register4 * 76;
register6 := register6 * 78;
register7 := register7 * 80;
WHEN "00000111" =>
register1 := register15 + register1;
register3 := register3 + register4;
register4 := register11 + register6;
register6 := register8 + register7;
WHEN "00001000" =>
output1 <= register1(0 TO 14) & register9(0 TO 15);
output2 <= register3(0 TO 14) & register5(0 TO 15);
output3 <= register4(0 TO 14) & register2(0 TO 15);
output4 <= register6(0 TO 14) & register10(0 TO 15);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesaia_asap_description; | gpl-3.0 | 69a9f71415adda7993344116d8612cc3 | 0.715944 | 3.796412 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_top_bi_sim.vhd | 5 | 28,804 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
T547rc5zDOerCe1OavV9oe127A64Qyjl9cRjKnbG0Fw1JTeAGcnU5J6hzlKQqmpecO8++i4VsnfS
gVbA/wQbbw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
JU5iuc/kQwse9wugEIXtUYpy46gpWfwrW6Xc/SIzL+T4zp/mm3kFQzWAA8NgXVOIuH74dz38rRxH
rk0+sLcL3R2mN14y0TgKRJVcKLglkvO3ThkTEnkNb1+lJlvBv8dsQNa0SoPxswbR/Mc6tfTVgiCd
xmvW8RxkilgDEPPOaOA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
UIGc/ouGHCFtVN6eZwi48jJ2YkKhZTWOAP3Df618jKPRyZo2MPV/+QSFUIMXIR0iQFoLIK/XtSOj
UN69rhY9879vhc8I9YSJlB7T+HQR/YpZf0fNBHVzQsuGEIWhkZjd63WVcZ+lNYFNrICoryYazb52
SsGFHuHQs2SoDWOcDfx1trW2YuOIySx2GfKv/UwKLExkxQqaqdXKhgM9N/2/EZKpIw0DhXa/EQox
i5e/kU7CJjguPTyRG/+JSqfmsGGLhUiHBfCNDVX3fkdEEgl+ZWeLps6M8Y56f+EJVPSmk4ZrkbTs
yhSMiA2m9C4/EDr1CXt9wIph7ay21ULCy3Qw8w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CERDAQKkX/PSjjx/erdQvrtLdQF1eIUaq0lCSbAV+ptOcv61bykhlz0NfCudbjFkmgBtk5XHyGai
hWxAMNLePyN73NyZSlfnYwY6S4q6d0uuZAf82NdpLJOSH4+IX67nwCnv7CbINNpeN6O+yNtKJBaQ
nsTaa5FlupaEiYpmisU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MekCaZ0UiQ+IrfzAZLwnEO2MPam01qIdbcdKmh7CBvtG9P8qT4KPEKiSZUNtXTnvP+q8o5f90fOi
eyYiZn1ha/vbUMHQdi8xbnnAdGsahW5iRKceBlK8r+1pnwkZsllKoBOd0ixcXCOzwltVM2KC73DO
jC4iIiCbUECE1IW0xa6CTyS9YHNE/LavsSDdKZ/vvROB5iH2CjsqRIwQgSMNmduNX+ldUmtvb8Q5
CJIbhWOzMLa/lIrz4p2B3h0h5MytfqGyya/q/PxUU/WuJbM155ACQlzvqkzkf7JjEK6/1GFE1Sq7
X0X4DGjfDznb515Pv9rLpDjky2mbrGonETlQeg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 19584)
`protect data_block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`protect end_protected
| apache-2.0 | 78b9c4d88422b0c1fcfee5184496b2b9 | 0.943168 | 1.847002 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/Instr_decoder.vhd | 1 | 4,995 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity Instr_decoder is
Port ( instruction : in STD_LOGIC_VECTOR (31 downto 0);
index : out STD_LOGIC_VECTOR (5 downto 0)
);
end Instr_decoder;
architecture Behavioral of Instr_decoder is
--OP_SPECIAL, "100000", ADD
--OP_NORMAL , "001000", ADDI
--OP_NORMAL , "001001", ADDIU
--OP_SPECIAL, "100001", ADDU
--OP_SPECIAL, "100100", AND
--OP_NORMAL , "001100", ANDI
--OP_NORMAL , "000100", BEQ
--OP_REGIMM , "000001", BGEZ
--OP_REGIMM , "010001", BGEZAL
--OP_NORMAL , "000111", BGTZ
--OP_NORMAL , "000110", BLEZ
--OP_REGIMM , "000000", BLTZ
--OP_REGIMM , "010000", BLTZAL
--OP_NORMAL , "000101", BNE
--OP_SPECIAL, "001101", BREAK
--OP_COP0 , "000001", COP0
--OP_NORMAL , "000010", J
--OP_NORMAL , "000011", JAL
--OP_SPECIAL, "001001", JALR
--OP_SPECIAL, "001000", JR
--OP_NORMAL , "001111", LUI
--OP_NORMAL , "100011", LW
--OP_NORMAL , "110000", LWC0
--OP_COP0 , "000000", MFC0
--OP_SPECIAL, "010000", MFHI
--OP_SPECIAL, "010010", MFLO
--OP_COP0 , "000100", MTC0
--OP_SPECIAL, "010001", MTHI
--OP_SPECIAL, "010011", MTLO
--OP_SPECIAL, "011000", MULT
--OP_SPECIAL, "011001", MULT
--OP_SPECIAL, "100111", NOR
--OP_SPECIAL, "100101", OR
--OP_NORMAL , "001101", ORI
--OP_SPECIAL, "000000", SLL
--OP_SPECIAL, "000100", SLLV
--OP_SPECIAL, "101010", SLT
--OP_NORMAL , "001010", SLTI
--OP_NORMAL , "001011", SLTIU
--OP_SPECIAL, "101011", SLTU
--OP_SPECIAL, "000011", SRA
--OP_SPECIAL, "000111", SRAV
--OP_SPECIAL, "000010", SRL
--OP_SPECIAL, "000110", SRLV
--OP_SPECIAL, "100010", SUB
--OP_SPECIAL, "100011", SUBU
--OP_NORMAL , "101011", SW
--OP_NORMAL , "111000", SWC0
--OP_SPECIAL, "001100", SYSC
--OP_SPECIAL, "100110", XOR
--OP_NORMAL , "001110", XORI
begin
process(instruction)
begin
case instruction(31 downto 26) is
when "000000" => --SPECIAL MODE
case instruction(5 downto 0) is
when "000000" => index <= "100010";
when "000010" => index <= "101010";
when "000011" => index <= "101000";
when "000100" => index <= "100011";
when "000110" => index <= "101011";
when "000111" => index <= "101001";
when "001000" => index <= "010011";
when "001001" => index <= "010010";
when "001100" => index <= "110000";
when "001101" => index <= "001110";
when "010000" => index <= "011000";
when "010001" => index <= "011011";
when "010010" => index <= "011001";
when "010011" => index <= "011100";
when "011000" => index <= "011101";
when "011001" => index <= "011110";
when "100000" => index <= "000000";
when "100001" => index <= "000011";
when "100010" => index <= "101100";
when "100011" => index <= "101101";
when "100100" => index <= "000100";
when "100101" => index <= "100000";
when "100110" => index <= "110001";
when "100111" => index <= "011111";
when "101010" => index <= "100100";
when "101011" => index <= "100111";
when others => index <= "111111";
end case;
-- op_code <= instruction(5 downto 0);
when "000001" => --REGIMM MODE
case '0' & instruction(20 downto 16) is
when "000000" => index <= "100010";
when "000001" => index <= "101010";
when "010000" => index <= "101000";
when "010001" => index <= "100011";
when others => index <= "111111";
end case;
-- op_code <= '0' & instruction(20 downto 16);
when "010000" => --COP0 MODE
case '0' & instruction(25 downto 21) is
when "000000" => index <= "010111";
when "000001" => index <= "001111";
when "000100" => index <= "011010";
when others => index <= "111111";
end case;
-- op_code <= '0' & instruction(25 downto 21);
when others => --NORMAL MODE
case instruction(31 downto 26)is
when "000010" => index <= "010000";
when "000011" => index <= "010001";
when "000100" => index <= "000110";
when "000101" => index <= "001101";
when "000110" => index <= "001010";
when "000111" => index <= "001001";
when "001000" => index <= "000001";
when "001001" => index <= "000010";
when "001010" => index <= "100101";
when "001011" => index <= "100110";
when "001100" => index <= "000101";
when "001101" => index <= "100001";
when "001110" => index <= "110010";
when "001111" => index <= "010100";
when "100011" => index <= "010101";
when "101011" => index <= "101110";
when "110000" => index <= "010110";
when "111000" => index <= "101111";
when others => index <= "111111";
end case;
-- op_code <= instruction(31 downto 26);
end case;
end process;
end Behavioral;
| lgpl-3.0 | 74610db8a41874e1c8d5daa0cae402bc | 0.532332 | 2.566804 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/test_c_signal.vhd | 1 | 2,486 | use Std.Textio.all;
LIBRARY ieee;
use ieee.std_logic_1164.ALL;
LIBRARY WORK;
use WORK.ALL;
entity test_Signal is end;
architecture test_Signal of test_Signal is
component C_Signal
generic (width : INTEGER := 4);
port (Input : in std_logic_Vector((width - 1) downto 0);
Store, Update, Clear, clock : in std_logic;
Output : out std_logic_Vector((width + 1) downto 0));
end component;
for all : C_Signal use entity Work.C_Signal(Behavior);
signal INPUT : std_logic_vector(3 downto 0) ;
signal OUTPUT : std_logic_vector(5 downto 0) ;
signal CLEAR : std_logic ;
signal clock : std_logic ;
signal STORE : std_logic ;
signal UPDATE : std_logic ;
begin
Signal1 : C_Signal generic map (4)
port map( Clear => CLEAR , clock => clock, input(3) => INPUT(3),input(2) => INPUT(2),input(1) => INPUT(1),input(0) => INPUT(0), output(5)=>output(5),output(4)=>output(4),output(3) => OUTPUT(3),output(2) => OUTPUT(2),output(1) => OUTPUT(1),output(0) => OUTPUT(0), Store => STORE, Update => UPDATE);
test_process : process
begin
clear <= '0';
clock <= '1';
store <= '1';
update <= '0';
input <= "1001";
WAIT FOR 50 ns;
clock <= '0';
WAIT FOR 50 ns;
clear <= '0';
clock <= '1';
store <= '0';
update <= '1';
WAIT FOR 50 ns;
clock <= '0';
WAIT FOR 50 ns;
clear <= '0';
clock <= '1';
store <= '0';
update <= '1';
WAIT FOR 50 ns;
clock <= '0';
WAIT FOR 50 ns;
clear <= '0';
clock <= '1';
store <= '1';
update <= '1';
input <= "1111";
WAIT FOR 50 ns;
clock <= '0';
WAIT FOR 50 ns;
clear <= '1';
clock <= '1';
store <= '1';
update <= '1';
input <= "1111";
WAIT FOR 50 ns;
clock <= '0';
WAIT FOR 50 ns;
wait;
------------ end checking --------------
end process test_process ;
end test_Signal;
---------------------------------------------------------------------------
---------------------------------------------------------------------------
| mit | f8640eba75e6b78eb2dbc4fb36ef4e80 | 0.41794 | 4.095552 | false | true | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_ibea.vhd | 1 | 2,968 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:04)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mpegmv_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END mpegmv_ibea_entity;
ARCHITECTURE mpegmv_ibea_description OF mpegmv_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register3 := input3 * 3;
register1 := register1 + 5;
register4 := input4 * 6;
register2 := register2 + 8;
WHEN "00000011" =>
register1 := register4 + register1;
register4 := input5 * 9;
WHEN "00000100" =>
register1 := register4 + register1;
register4 := input6 * 10;
register5 := input7 * 11;
register2 := register3 + register2;
WHEN "00000101" =>
register3 := input8 * 12;
register5 := register5 + 14;
register6 := input9 * 15;
register1 := ((NOT register1) + 1) XOR register1;
register2 := register4 + register2;
WHEN "00000110" =>
register3 := register3 + 19;
register4 := input10 * 20;
register7 := input11 * 21;
WHEN "00000111" =>
register4 := register4 + register5;
register5 := input12 * 22;
output1 <= register7 + register3;
WHEN "00001000" =>
register3 := register5 + 25;
register4 := register6 + register4;
register5 := input13 * 26;
register2 := ((NOT register2) + 1) XOR register2;
register6 := input14 * 29;
WHEN "00001001" =>
register3 := register6 + register3;
output2 <= register1(0 TO 15) & register4(0 TO 15);
WHEN "00001010" =>
register1 := register5 + register3;
WHEN "00001011" =>
output3 <= register2(0 TO 15) & register1(0 TO 15);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mpegmv_ibea_description; | gpl-3.0 | 98a40ec94bec1ef29d2891cfb9455fe0 | 0.678571 | 3.290466 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | docs/sample2/input_des.vhd | 1 | 2,075 | ---------------------------------------------------------------------
--
-- Inputs: a, b, c, d, e, f, g, h
-- Output(s): i
-- Expressions:
-- i = f(a, b, c, d, e, f, g, h) = (a * b * c * d) - h - (g * e * f)
--
---------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity input is
port
(
a, b, c, d, e, f, g, h : IN std_logic_vector(3 downto 0);
i : OUT std_logic_vector(3 downto 0);
clear, clock, s_tart : IN std_logic;
finish : OUT std_logic
);
end input;
architecture rtl2 of input is
component input_controller
port
(
clock, reset, s_tart : IN std_logic;
finish : OUT std_logic;
control_out : OUT std_logic_vector(0 to 18)
);
end component;
for all : input_controller use entity work.input_controller(moore);
component input_dp
port
(
a, b, c, d, e, f, g, h : IN std_logic_vector(3 downto 0);
i : OUT std_logic_vector(3 downto 0);
ctrl : IN std_logic_vector(0 to 18);
clear, clock : IN std_logic
);
end component;
for all : input_dp use entity work.input_dp(rtl1);
signal sig_con_out : std_logic_vector(0 to 18);
begin
inputcon : input_controller
port map
(
clock => clock,
s_tart => s_tart,
reset => clear,
finish => finish,
control_out => sig_con_out
);
inputdp : input_dp
port map
(
a => a,
b => b,
c => c,
d => d,
e => e,
f => f,
g => g,
h => h,
i => i,
ctrl(0) => sig_con_out(0),
ctrl(1) => sig_con_out(1),
ctrl(2) => sig_con_out(2),
ctrl(3) => sig_con_out(3),
ctrl(4) => sig_con_out(4),
ctrl(5) => sig_con_out(5),
ctrl(6) => sig_con_out(6),
ctrl(7) => sig_con_out(7),
ctrl(8) => sig_con_out(8),
ctrl(9) => sig_con_out(9),
ctrl(10) => sig_con_out(10),
ctrl(11) => sig_con_out(11),
ctrl(12) => sig_con_out(12),
ctrl(13) => sig_con_out(13),
ctrl(14) => sig_con_out(14),
ctrl(15) => sig_con_out(15),
ctrl(16) => sig_con_out(16),
ctrl(17) => sig_con_out(17),
ctrl(18) => sig_con_out(18),
clock => clock,
clear => clear
);
end rtl2;
| mit | 59c1e0a20147892a909163e5a5b728d1 | 0.517108 | 2.48503 | false | false | false | false |
rcls/sdr | vhdl/go.vhd | 1 | 17,393 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library unisim;
use unisim.vcomponents.all;
library work;
use work.all;
use work.defs.all;
entity go is
port(adc_p : in unsigned7;
adc_n : in unsigned7;
adc_clk_p : out std_logic;
adc_clk_n : out std_logic;
adc_reclk_p : in std_logic;
adc_reclk_n : in std_logic;
adc_sen : out std_logic := '0';
adc_sdata : out std_logic := '0';
adc_sclk : out std_logic := '0';
adc_reset : out std_logic := '1';
audio_scki, audio_lrck, audio_data, audio_bck : out std_logic;
audio_pd_inv, audio_demp : out std_logic;
usb_d : inout unsigned8;
usb_c : inout unsigned8;
flash_cs_inv, flash_sclk, flash_si : out std_logic;
flash_so : in std_logic;
cpu_ssirx : out std_logic;
cpu_ssitx : in std_logic;
cpu_ssiclk : in std_logic;
cpu_ssifss : in std_logic;
header_16 : out std_logic;
spartan_m0 : in std_logic;
spartan_m1 : in std_logic;
led : out unsigned8;
clkin125 : in std_logic;
clkin125_en : out std_logic);
end go;
architecture go of go is
signal xx, yy : four_mf_signed;
signal xx_mf, yy_mf : mf_signed;
signal xx_buf, yy_buf : signed36;
signal xx_buf_last, yy_buf_last, xx_mf_last, yy_mf_last : std_logic;
signal packet : unsigned(31 downto 0);
-- Generated clock for delivery to ADC.
signal adc_clk : std_logic;
signal adc_clk_neg : std_logic;
signal adc_clk_250 : std_logic;
signal adc_clk_neg_250 : std_logic;
signal adc_clk_200 : std_logic;
signal adc_clk_neg_200 : std_logic;
signal adc_clk_fb : std_logic;
-- Received clk from ADC.
signal adc_reclk_b : std_logic;
signal adc_reclk : std_logic;
signal adc_reclk_diff : std_logic;
-- Regenerated reclk.
signal clk_main : std_logic;
signal clk_main_neg : std_logic;
signal clku_main : std_logic;
signal clku_main_neg : std_logic;
signal clk_main_fb : std_logic;
signal clkin125_b : std_logic;
signal clk_50m : std_logic;
signal clku_50m : std_logic;
signal adc_ddr : unsigned7;
signal adc_data, adc_data_c, adc_data_b : signed14;
attribute keep of adc_data_c : signal is "true";
signal phase : unsigned18;
signal phase_strobe, phase_last : std_logic;
signal ir_data : signed18;
signal ir_strobe : std_logic;
signal ir_last : std_logic;
signal usb_xmit, usb_last : std_logic;
signal usb_xmit_length : integer range 0 to 5;
signal usb_xmit_overrun : std_logic;
signal usb_nRXFb, usb_nTXEb : std_logic := '1';
signal usb_nRXF, usb_nTXE : std_logic := '1';
signal xmit_SIWU : std_logic;
attribute keep of usb_nRXFb, usb_nTXEb : signal is "true";
signal low_data : signed32;
signal low_strobe : std_logic;
signal low_last : std_logic;
signal out_data : signed32;
signal out_last : std_logic;
-- The configuration loaded via the CPU.
constant config_bytes : integer := 28;
signal config : unsigned(config_bytes * 8 - 1 downto 0);
signal conf_strobe, conf_strobe2, conf_strobe3, conf_strobe_fast :
unsigned(config_bytes - 1 downto 0);
alias to_usb_data : unsigned8 is config(7 downto 0);
alias adc_control : unsigned8 is config(15 downto 8);
-- Control for data in to USB host.
alias xmit_control : unsigned8 is config(23 downto 16);
-- Channel to select from time-multiplexed data.
alias xmit_channel : unsigned2 is xmit_control(1 downto 0);
-- Data source.
alias xmit_source : unsigned3 is xmit_control(4 downto 2);
-- Strobe SIWU to push data through to host.
alias xmit_low_latency : std_logic is xmit_control(7);
-- Ignore the TX handshake and shovel data at 12.5 MB/s.
alias xmit_turbo : std_logic is xmit_control(6);
alias flash_control : unsigned8 is config(31 downto 24);
alias clock_select : std_logic is flash_control(7);
alias burst_start : std_logic is flash_control(6);
alias bandpass_freq : unsigned8 is config(39 downto 32);
alias bandpass_gain : unsigned8 is config(47 downto 40);
signal bandpass_strobe : std_logic := '0';
signal bandpass_r, bandpass_i : signed15;
alias sampler_rate : unsigned8 is config(55 downto 48);
alias sampler_decay : unsigned16 is config(71 downto 56);
signal sampler_data : signed15;
signal sampler_strobe : std_logic;
alias pll_decay : unsigned8 is config(79 downto 72);
alias audio_channel : unsigned8 is config(87 downto 80);
signal usb_byte_in : unsigned8;
signal usb_byte_in_strobe, usb_byte_in_strobe2 : std_logic;
signal burst_data : signed15;
signal burst_strobe : std_logic;
signal led_off : unsigned8 := x"fe";
signal usbd_out : unsigned8;
signal usb_oe_n : std_logic;
attribute S : string;
attribute S of usb_c : signal is "yes";
attribute S of led : signal is "yes";
attribute pullup : string;
attribute pullup of spartan_m0, spartan_m1 : signal is "TRUE";
alias clk_main_locked : std_logic is led_off(1);
alias adc_clk_locked : std_logic is led_off(2);
-- spi conf stuff.
constant spi_data_bytes : integer := 44;
signal spi_data : unsigned(spi_data_bytes * 8 - 1 downto 0) :=
(others => '0');
signal spi_data_ack : unsigned(spi_data_bytes - 1 downto 0) :=
(others => '0');
signal usb_read_ok : std_logic := '1';
alias spied_flash : unsigned8 is spi_data(31 downto 24);
alias spied_pll_freq : unsigned(31 downto 0) is spi_data(287 downto 256);
alias spied_pll_error : unsigned(31 downto 0) is spi_data(319 downto 288);
alias spied_pll_level : unsigned(31 downto 0) is spi_data(351 downto 320);
alias spied_pll_strobe : std_logic is spi_data_ack(43);
signal pll_phasor : unsigned18;
signal cpu_ssifss2, cpu_ssitx2, cpu_ssiclk2 : std_logic := '1';
signal cpu_ssifss3, cpu_ssitx3, cpu_ssiclk3 : std_logic := '1';
attribute keep of cpu_ssifss2, cpu_ssitx2, cpu_ssiclk2 : signal is "true";
signal xy_strobe, xy_last : std_logic;
signal xy_data : unsigned(30 downto 0);
constant X40 : unsigned(39 downto 0) := (others => 'X');
begin
usb_d <= usbd_out when usb_oe_n = '0' else "ZZZZZZZZ";
usb_c(7 downto 5) <= "ZZZ";
usb_c(0) <= 'Z'; -- nRXF.
usb_c(1) <= 'Z'; -- nTXE.
-- SIWU can be strobed either by the USBIO unit, or manually.
usb_c(4) <= xmit_SIWU and not (xmit_low_latency and xmit_turbo);
clkin125_en <= '1';
audio_pd_inv <= '1';
audio_demp <= '0';
adc_sen <= adc_control(0);
adc_sdata <= adc_control(1);
adc_sclk <= adc_control(2);
adc_reset <= adc_control(3);
flash_si <= flash_control(0);
flash_cs_inv <= flash_control(1);
flash_sclk <= flash_control(2);
led_control: for i in 0 to 7 generate
led(i) <= '0' when led_off(i) = '0' else 'Z';
end generate;
led_off(5) <= not usb_xmit_overrun or xmit_turbo;
led_off(6) <= spartan_m0;
led_off(7) <= not spartan_m1;
spi : entity spiconf
generic map(
config_bytes, spi_data_bytes,
x"55642576" & x"0067d567" & x"005ed289" &
X40 & x"00" & x"0b" & x"0000" & x"ff" & x"0000"
& x"0f" & x"98" & x"09" & x"00")
port map(cpu_ssifss3, cpu_ssitx3, cpu_ssirx, cpu_ssiclk3,
cpu_ssifss, cpu_ssitx,
spi_data, spi_data_ack, config, conf_strobe, clk_50m);
-- Byte zero is usb data to spi. Byte 3 is flash data to spi.
spi_data(23 downto 8) <= config(23 downto 8);
spi_data(87 downto 32) <= config(87 downto 32);
spi_data(223 downto 128) <= config(223 downto 128);
process
begin
wait until rising_edge(clk_50m);
spied_flash(0) <= flash_so;
if usb_byte_in_strobe = '1' then
spi_data(7 downto 0) <= usb_byte_in;
elsif spi_data_ack(0) = '1' and usb_byte_in_strobe2 = '0' then
spi_data(7 downto 0) <= x"00";
end if;
if usb_byte_in_strobe = '0' and spi_data(7 downto 0) = x"00" then
usb_read_ok <= '1';
else
usb_read_ok <= '0';
end if;
usb_byte_in_strobe2 <= usb_byte_in_strobe;
conf_strobe2 <= conf_strobe;
end process;
process
begin
wait until rising_edge(clk_main);
conf_strobe3 <= conf_strobe2;
conf_strobe_fast <= conf_strobe2 and not conf_strobe3;
end process;
blinky : entity blinkoflow port map(adc_data_b, led_off(4), open, clk_main);
dc0: entity downconvert port map (
adc_data_b, config(159 downto 152), xx(0), yy(0),
config(151 downto 128), clk_main);
dc1: entity downconvert port map (
adc_data_b, config(191 downto 184), xx(1), yy(1),
config(183 downto 160), clk_main);
dcpll : entity downconvertpll
port map(adc_data_b, config(215 downto 192), config(223 downto 216),
pll_decay(3 downto 0),
conf_strobe_fast(26), xx(2), yy(2), pll_phasor,
spied_pll_freq, spied_pll_error, spied_pll_level, spied_pll_strobe,
clk_main);
xfilter: entity multifilter port map(xx, xx_mf, xx_mf_last, clk_main);
yfilter: entity multifilter port map(yy, yy_mf, yy_mf_last, clk_main);
xcheby: entity quadcheby port map(
xx_mf, xx_buf, xx_mf_last, xx_buf_last, clk_main);
ycheby: entity quadcheby port map(
yy_mf, yy_buf, yy_mf_last, yy_buf_last, clk_main);
ph: entity phasedetect
port map(xx_buf, yy_buf, xx_buf_last,
phase, phase_strobe, phase_last, pll_phasor, clk_main);
irf: entity irfir
generic map (acc_width => 36, out_width => 18)
port map(phase, phase_last, ir_data, ir_strobe, ir_last, clk_main);
lf: entity lowfir
generic map (acc_width => 37, out_width => 32)
port map(ir_data, ir_last, low_data, low_strobe, low_last, clk_main);
demph: entity quaddemph generic map (32, 40, 32, 1)
port map (low_data, low_strobe, low_last,
out_data, out_last, clk_main);
au: entity audio generic map (bits_per_sample => 32)
port map (out_data, out_data, audio_channel(1 downto 0), out_last,
audio_scki, audio_lrck, audio_data, audio_bck, clk_main);
bp : entity bandpass port map (
adc_data_b, bandpass_freq, bandpass_gain,
bandpass_r, bandpass_i, bandpass_strobe, clk_main);
brst : entity burst port map (
adc_data_b, burst_start, burst_data, burst_strobe, clk_main);
smplr : entity sampler port map (
adc_data_b, sampler_decay, sampler_rate, sampler_data, sampler_strobe,
clk_main);
cpuclock : entity clockgen port map (
header_16, spi_data(121 downto 112), clk_main, clk_main_neg, clk_50m);
process
begin
wait until rising_edge(clk_main);
adc_data_c <= adc_data xor "10" & x"000";
adc_data_b <= adc_data_c;
packet <= (others => 'X');
case xmit_source is
when "000" =>
packet(17 downto 0) <= unsigned(ir_data);
packet(22 downto 18) <= "00000";
packet(23) <= usb_xmit_overrun;
usb_xmit <= usb_xmit xor ir_strobe;
usb_last <= ir_last;
usb_xmit_length <= 3;
when "001" =>
packet(14 downto 0) <= unsigned(sampler_data);
packet(15) <= usb_xmit_overrun;
usb_xmit <= usb_xmit xor sampler_strobe;
usb_last <= '1';
usb_xmit_length <= 2;
when "010" =>
packet(30 downto 0) <= xy_data;
packet(31) <= usb_xmit_overrun;
usb_xmit <= usb_xmit xor xy_strobe;
usb_last <= xy_last;
usb_xmit_length <= 4;
when "011" =>
packet(17 downto 0) <= phase;
packet(22 downto 18) <= "00000";
packet(23) <= usb_xmit_overrun;
usb_xmit <= usb_xmit xor phase_strobe;
usb_last <= phase_last;
usb_xmit_length <= 3;
when "100" =>
packet(14 downto 0) <= unsigned(bandpass_r);
packet(15) <= '0';
packet(30 downto 16) <= unsigned(bandpass_i);
packet(31) <= usb_xmit_overrun;
usb_xmit_length <= 4;
usb_xmit <= usb_xmit xor bandpass_strobe;
usb_last <= '1';
when "101" =>
packet(14 downto 0) <= unsigned(burst_data);
packet(15) <= usb_xmit_overrun;
usb_xmit_length <= 2;
usb_xmit <= burst_strobe;
usb_last <= '1';
when "110" =>
packet(7 downto 0) <= to_usb_data;
usb_xmit <= usb_xmit xor conf_strobe_fast(0);
usb_last <= '1';
usb_xmit_length <= 1;
when others =>
usb_xmit_length <= 0;
usb_last <= '1';
usb_xmit <= usb_xmit xor ir_strobe;
end case;
end process;
usb: entity usbio
generic map(4)
port map(usbd_in => usb_d, usbd_out => usbd_out, usb_oe_n => usb_oe_n,
usb_nRXF => usb_nRXF, usb_nTXE => usb_nTXE,
usb_nRD => usb_c(2), usb_nWR => usb_c(3),
usb_SIWU => xmit_SIWU, read_ok => usb_read_ok,
byte_in => usb_byte_in, byte_in_strobe => usb_byte_in_strobe,
tx_overrun => usb_xmit_overrun,
packet => packet,
xmit => usb_xmit, last => usb_last,
xmit_channel => xmit_channel, xmit_length => usb_xmit_length,
low_latency => xmit_low_latency, turbo => xmit_turbo,
clk => clk_50m);
process
begin
wait until rising_edge(clk_main_neg);
usb_nRXFb <= usb_c(0);
usb_nTXEb <= usb_c(1);
end process;
process
begin
wait until falling_edge(clk_50m);
usb_nRXF <= usb_nRXFb;
usb_nTXE <= usb_nTXEb;
end process;
process
begin
wait until rising_edge(clk_main);
cpu_ssifss2 <= cpu_ssifss;
cpu_ssitx2 <= cpu_ssitx;
cpu_ssiclk2 <= cpu_ssiclk;
cpu_ssifss3 <= cpu_ssifss2;
cpu_ssitx3 <= cpu_ssitx2;
cpu_ssiclk3 <= cpu_ssiclk2;
if xx_buf_last /= yy_buf_last then
led_off(3) <= '0';
end if;
end process;
-- Every 20 cycles pick up a multifilter output. phase_strobe is a
-- convenient strobe for that.
process
begin
wait until rising_edge(clk_main);
if phase_strobe = '1' then
case pll_decay(5 downto 4) is
when "00" =>
xy_data(30 downto 0) <= unsigned(xx_buf(35 downto 5));
when "01" =>
xy_data(30 downto 0) <= unsigned(yy_buf(35 downto 5));
when others =>
xy_data(14 downto 0) <= unsigned(xx_buf(35 downto 21));
xy_data(15) <= '0';
xy_data(30 downto 16) <= unsigned(yy_buf(35 downto 21));
end case;
xy_last <= xx_buf_last;
end if;
xy_strobe <= phase_strobe;
end process;
-- DDR input from ADC.
adc_input: for i in 0 to 6 generate
adc_in: ibufds generic map (diff_term => true)
port map (I => adc_n(i), IB => adc_p(i), O => adc_ddr(i));
adc_ddr_expand: IDDR2
generic map (ddr_alignment => "C0")
port map (C0 => clk_main, C1 => clk_main_neg,
CE => '1',
D => adc_ddr(i),
Q0 => adc_data(i*2+1), Q1 => adc_data(i*2));
end generate;
-- Clk input from ADC. The ADC drives the data as even on P-falling followed
-- by odd on P-rising.
adc_reclk_in: IBUFGDS
generic map (diff_term => true)
port map(I => adc_reclk_n, IB => adc_reclk_p, O => adc_reclk_b);
-- Are these needed? Do we need to tie them together?
adc_reclk_buf: BUFIO2
port map(I => adc_reclk_b, DIVCLK => adc_reclk,
IOCLK => open, SERDESSTROBE => open);
adc_reclkfb: BUFIO2FB port map(I => clk_main_neg, O => clk_main_fb);
-- Pseudo differential drive of clock to ADC.
adc_clk_ddr_p : oddr2
port map (D0 => '1', D1 => '0', C0 => adc_clk, C1 => adc_clk_neg,
Q => adc_clk_p);
adc_clk_ddr_n : oddr2
port map (D0 => '0', D1 => '1', C0 => adc_clk, C1 => adc_clk_neg,
Q => adc_clk_n);
-- Regenerate the clock from the ADC.
-- We run the PLL oscillator at 1000MHz, i.e., 4 times the input clock.
clk_main_pll : PLL_BASE
generic map(
CLK_FEEDBACK => "CLKOUT0",
DIVCLK_DIVIDE => 1, CLKFBOUT_MULT => 1,
CLKOUT0_DIVIDE => 4,
CLKOUT1_DIVIDE => 4, CLKOUT1_PHASE => 180.0,
CLKOUT2_DIVIDE => 20, CLKOUT2_PHASE => 36.0,
CLKIN_PERIOD => 4.0)
port map(
-- Output clocks
CLKFBIN => clk_main_fb,
CLKOUT0 => clku_main_neg, CLKOUT1 => clku_main, CLKOUT2 => clku_50m,
RST => '0', LOCKED => clk_main_locked,
CLKIN => adc_reclk);
clk_main_bufg : BUFG port map(I => clku_main, O => clk_main);
clk_main_neg_bufg : BUFG port map(I => clku_main_neg, O => clk_main_neg);
clk_50m_bufg : BUFG port map(I => clku_50m, O => clk_50m);
clkin125_bufg : bufg port map(I => clkin125, O => clkin125_b);
-- Generate the clock to the ADC. We run the PLL oscillator at 1000MHz, (8
-- times the input clock), and then generate a 250MHz output.
adc_clk_pll : PLL_BASE
generic map(
BANDWIDTH => "LOW",
CLK_FEEDBACK => "CLKFBOUT",
DIVCLK_DIVIDE => 1, CLKFBOUT_MULT => 8,
CLKOUT0_DIVIDE => 4,
CLKOUT1_DIVIDE => 4, CLKOUT1_PHASE => 180.000,
CLKOUT2_DIVIDE => 5,
CLKOUT3_DIVIDE => 5, CLKOUT3_PHASE => 180.000,
CLKIN_PERIOD => 8.0)
port map(
-- Output clocks
CLKFBIN => adc_clk_fb, CLKFBOUT => adc_clk_fb,
CLKOUT0 => adc_clk_250,CLKOUT1 => adc_clk_neg_250,
CLKOUT2 => adc_clk_200,CLKOUT3 => adc_clk_neg_200,
RST => '0', LOCKED => adc_clk_locked,
CLKIN => clkin125_b);
adc_clk_bufg : BUFGMUX port map (
I0 => adc_clk_250, I1 => adc_clk_200, S => clock_select, O => adc_clk);
adc_clk_neg_bufg : BUFGMUX port map (
I0 => adc_clk_neg_250, I1 => adc_clk_neg_200,
S => clock_select, O => adc_clk_neg);
end go;
| gpl-3.0 | 20e9266f92b19d072e1f29b5341d82c0 | 0.607313 | 3.073511 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_counter.vhd | 15 | 9,203 | -------------------------------------------------------------------------------
-- $Id: pf_counter.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- pf_counter - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_counter.vhd
--
-- Description: Implements 32-bit timer/counter
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_counter.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:46 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input to the pf_counter_bit component
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.pf_counter_bit;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_counter is
generic (
C_COUNT_WIDTH : integer := 9
);
port (
Clk : in std_logic;
Rst : in std_logic;
Carry_Out : out std_logic;
Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Count_Enable : in std_logic;
Count_Load : in std_logic;
Count_Down : in std_logic;
Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_counter;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_counter is
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH);
signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal count_clock_en : std_logic;
signal carry_active_high : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
alu_cy(C_COUNT_WIDTH) <= (Count_Down and Count_Load) or
(not Count_Down and not Count_load);
count_clock_en <= Count_Enable or Count_Load;
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate
begin
Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit
port map (
Clk => Clk, -- [in]
Rst => Rst, -- [in]
Count_In => iCount_Out(i), -- [in]
Load_In => Load_In(i), -- [in]
Count_Load => Count_Load, -- [in]
Count_Down => Count_Down, -- [in]
Carry_In => alu_cy(i+CY_Start), -- [in]
Clock_Enable => count_clock_en, -- [in]
Result => iCount_Out(i), -- [out]
Carry_Out => alu_cy(i+(1-CY_Start))); -- [out]
end generate I_ADDSUB_GEN;
carry_active_high <= alu_cy(0) xor Count_Down;
I_CARRY_OUT: FDRE
port map (
Q => Carry_Out, -- [out]
C => Clk, -- [in]
CE => count_clock_en, -- [in]
D => carry_active_high, -- [in]
R => Rst -- [in]
);
Count_Out <= iCount_Out;
end architecture implementation;
| apache-2.0 | 383a03abdd2765b46443fe8790467dec | 0.403673 | 5.129877 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_nsga2.vhd | 1 | 2,973 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:30:59)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY ewf_nsga2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2: IN unsigned(0 TO 3);
output1, output2, output3, output4, output5: OUT unsigned(0 TO 4));
END ewf_nsga2_entity;
ARCHITECTURE ewf_nsga2_description OF ewf_nsga2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 + 2;
WHEN "00000010" =>
register3 := register2 + 4;
WHEN "00000011" =>
register4 := register3 + 6;
WHEN "00000100" =>
register4 := register1 + register4;
WHEN "00000101" =>
register5 := register4 * 8;
WHEN "00000110" =>
register5 := register3 + register5;
register6 := register4 * 10;
WHEN "00000111" =>
register3 := register3 + register5;
WHEN "00001000" =>
register3 := register3 * 12;
register4 := register4 + register5;
register6 := register1 + register6;
WHEN "00001001" =>
register1 := register1 + register6;
output1 <= register6 + register4;
WHEN "00001010" =>
register1 := register1 * 15;
register3 := register2 + register3;
WHEN "00001011" =>
register2 := register2 + register3;
WHEN "00001100" =>
register2 := register2 * 17;
WHEN "00001101" =>
register2 := register2 + 19;
WHEN "00001110" =>
output2 <= register3 + register2;
register2 := register5 + register3;
WHEN "00001111" =>
register2 := register2 + 22;
WHEN "00010000" =>
register3 := register2 * 24;
WHEN "00010001" =>
register3 := register3 + 26;
WHEN "00010010" =>
output3 <= register2 + register3;
register1 := register1 + 29;
WHEN "00010011" =>
register2 := register1 + 31;
WHEN "00010100" =>
register2 := register2 * 33;
WHEN "00010101" =>
output4 <= register1 + register2;
register1 := register6 + register1;
WHEN "00010110" =>
register1 := register1 + 36;
WHEN "00010111" =>
register2 := register1 * 38;
WHEN "00011000" =>
register2 := register2 + 40;
WHEN "00011001" =>
output5 <= register1 + register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END ewf_nsga2_description; | gpl-3.0 | 867b9974979d867563631b32d4ea492b | 0.645812 | 3.146032 | false | false | false | false |
sils1297/HWPrak14 | task_3/task_3.srcs/sources_1/new/i2c.vhd | 1 | 11,526 | ---------------------------------------------------------------------
---- ----
---- WISHBONE revB2 compl. I2C Master Core; byte-controller ----
---- ----
---- ----
---- Author: Richard Herveille ----
---- [email protected] ----
---- www.asics.ws ----
---- ----
---- Downloaded from: http://www.opencores.org/projects/i2c/ ----
---- ----
---------------------------------------------------------------------
---- ----
---- Copyright (C) 2000 Richard Herveille ----
---- [email protected] ----
---- ----
---- This source file may be used and distributed without ----
---- restriction provided that this copyright statement is not ----
---- removed from the file and that any derivative work contains ----
---- the original copyright notice and the associated disclaimer.----
---- ----
---- THIS SOFTWARE IS PROVIDED ``AS IS'' AND WITHOUT ANY ----
---- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED ----
---- TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS ----
---- FOR A PARTICULAR PURPOSE. IN NO EVENT SHALL THE AUTHOR ----
---- OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, ----
---- INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES ----
---- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE ----
---- GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR ----
---- BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF ----
---- LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT ----
---- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT ----
---- OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE ----
---- POSSIBILITY OF SUCH DAMAGE. ----
---- ----
---------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
--use ieee.std_logic_arith.all;
use ieee.numeric_std.all;
entity i2c_master_byte_ctrl is
port (
clk : in std_logic;
rst : in std_logic; -- synchronous active high reset (WISHBONE compatible)
nReset : in std_logic; -- asynchronous active low reset (FPGA compatible)
ena : in std_logic; -- core enable signal
clk_cnt : in unsigned(15 downto 0); -- 4x SCL
-- input signals
start,
stop,
read,
write,
ack_in : std_logic;
din : in std_logic_vector(7 downto 0);
-- output signals
cmd_ack : out std_logic; -- command done
ack_out : out std_logic;
i2c_busy : out std_logic; -- arbitration lost
i2c_al : out std_logic; -- i2c bus busy
dout : out std_logic_vector(7 downto 0);
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end entity i2c_master_byte_ctrl;
architecture structural of i2c_master_byte_ctrl is
component i2c_master_bit_ctrl is
port (
clk : in std_logic;
rst : in std_logic;
nReset : in std_logic;
ena : in std_logic; -- core enable signal
clk_cnt : in unsigned(15 downto 0); -- clock prescale value
cmd : in std_logic_vector(3 downto 0);
cmd_ack : out std_logic; -- command done
busy : out std_logic; -- i2c bus busy
al : out std_logic; -- arbitration lost
din : in std_logic;
dout : out std_logic;
-- i2c lines
scl_i : in std_logic; -- i2c clock line input
scl_o : out std_logic; -- i2c clock line output
scl_oen : out std_logic; -- i2c clock line output enable, active low
sda_i : in std_logic; -- i2c data line input
sda_o : out std_logic; -- i2c data line output
sda_oen : out std_logic -- i2c data line output enable, active low
);
end component i2c_master_bit_ctrl;
-- commands for bit_controller block
constant I2C_CMD_NOP : std_logic_vector(3 downto 0) := "0000";
constant I2C_CMD_START : std_logic_vector(3 downto 0) := "0001";
constant I2C_CMD_STOP : std_logic_vector(3 downto 0) := "0010";
constant I2C_CMD_READ : std_logic_vector(3 downto 0) := "0100";
constant I2C_CMD_WRITE : std_logic_vector(3 downto 0) := "1000";
-- signals for bit_controller
signal core_cmd : std_logic_vector(3 downto 0);
signal core_ack, core_txd, core_rxd : std_logic;
signal al : std_logic;
-- signals for shift register
signal sr : std_logic_vector(7 downto 0); -- 8bit shift register
signal shift, ld : std_logic;
-- signals for state machine
signal go, host_ack : std_logic;
signal dcnt : unsigned(2 downto 0); -- data counter
signal cnt_done : std_logic;
begin
-- hookup bit_controller
bit_ctrl: i2c_master_bit_ctrl port map(
clk => clk,
rst => rst,
nReset => nReset,
ena => ena,
clk_cnt => clk_cnt,
cmd => core_cmd,
cmd_ack => core_ack,
busy => i2c_busy,
al => al,
din => core_txd,
dout => core_rxd,
scl_i => scl_i,
scl_o => scl_o,
scl_oen => scl_oen,
sda_i => sda_i,
sda_o => sda_o,
sda_oen => sda_oen
);
i2c_al <= al;
-- generate host-command-acknowledge
cmd_ack <= host_ack;
-- generate go-signal
go <= (read or write or stop) and not host_ack;
-- assign Dout output to shift-register
dout <= sr;
-- generate shift register
shift_register: process(clk, nReset)
begin
if (nReset = '0') then
sr <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
sr <= (others => '0');
elsif (ld = '1') then
sr <= din;
elsif (shift = '1') then
sr <= (sr(6 downto 0) & core_rxd);
end if;
end if;
end process shift_register;
-- generate data-counter
data_cnt: process(clk, nReset)
begin
if (nReset = '0') then
dcnt <= (others => '0');
elsif (clk'event and clk = '1') then
if (rst = '1') then
dcnt <= (others => '0');
elsif (ld = '1') then
dcnt <= (others => '1'); -- load counter with 7
elsif (shift = '1') then
dcnt <= dcnt -1;
end if;
end if;
end process data_cnt;
cnt_done <= '1' when (dcnt = 0) else '0';
--
-- state machine
--
statemachine : block
type states is (st_idle, st_start, st_read, st_write, st_ack, st_stop);
signal c_state : states;
begin
--
-- command interpreter, translate complex commands into simpler I2C commands
--
nxt_state_decoder: process(clk, nReset)
begin
if (nReset = '0') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
elsif (clk'event and clk = '1') then
if (rst = '1' or al = '1') then
core_cmd <= I2C_CMD_NOP;
core_txd <= '0';
shift <= '0';
ld <= '0';
host_ack <= '0';
c_state <= st_idle;
ack_out <= '0';
else
-- initialy reset all signal
core_txd <= sr(7);
shift <= '0';
ld <= '0';
host_ack <= '0';
case c_state is
when st_idle =>
if (go = '1') then
if (start = '1') then
c_state <= st_start;
core_cmd <= I2C_CMD_START;
elsif (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
elsif (write = '1') then
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
else -- stop
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
end if;
ld <= '1';
end if;
when st_start =>
if (core_ack = '1') then
if (read = '1') then
c_state <= st_read;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write;
core_cmd <= I2C_CMD_WRITE;
end if;
ld <= '1';
end if;
when st_write =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_READ;
else
c_state <= st_write; -- stay in same state
core_cmd <= I2C_CMD_WRITE; -- write next bit
shift <= '1';
end if;
end if;
when st_read =>
if (core_ack = '1') then
if (cnt_done = '1') then
c_state <= st_ack;
core_cmd <= I2C_CMD_WRITE;
else
c_state <= st_read; -- stay in same state
core_cmd <= I2C_CMD_READ; -- read next bit
end if;
shift <= '1';
core_txd <= ack_in;
end if;
when st_ack =>
if (core_ack = '1') then
-- check for stop; Should a STOP command be generated ?
if (stop = '1') then
c_state <= st_stop;
core_cmd <= I2C_CMD_STOP;
else
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
-- assign ack_out output to core_rxd (contains last received bit)
ack_out <= core_rxd;
core_txd <= '1';
else
core_txd <= ack_in;
end if;
when st_stop =>
if (core_ack = '1') then
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
-- generate command acknowledge signal
host_ack <= '1';
end if;
when others => -- illegal states
c_state <= st_idle;
core_cmd <= I2C_CMD_NOP;
--report ("Byte controller entered illegal state.");
end case;
end if;
end if;
end process nxt_state_decoder;
end block statemachine;
end architecture structural; | agpl-3.0 | 2d1f5197abb54d544cc0947a901be1bb | 0.452629 | 3.899188 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_status_flags_sshft.vhd | 5 | 23,122 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
USWneqzJjEvvLVqdGUAaUajBJ2ImPLxg2/KLoEbPrk9eOwxHC2j9fTm9MA1RoJeG55pMYJ8+/D0O
7mLSBorfcg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
F93gq8kzXTqGNouO5MnGLf8fO9j4iAZhWqIIgA0lnNb1UV/ene1hl7LfC+Ok65b5rNiCmCcrdko6
LASetg8CXTmlAEuthHv6DHwaI5CB2iGh4hgCW2dOtBuBKxaPnQuvKQMVJkpC+0yai1hPLkOwenfi
wQUQJkXdP8iH9tFN6Lg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
o08Zhzbs8Y5TSF1h3BiCDLmb0/WsGqS6qd8k96zr2RmmF1SkQe98zXvR/e6uUmifbJahpoo8BMNr
EsITo4M3/Xj3QpMHst+toF5NkVX2m61XEiPCQ0ZsWBDH7AsC+rBahkHGy16Iy3oVhBzAzo08//1j
zvld+n8KbbbCuHaThGVUo04ep4xfrvBIMoxDx9zWsug5OBEYoIUkcT8KSfRLVYMRtOVhWmKmjBWa
Re/zK6PhdRq/n1F9Tb6sB3Van0Ch1LqzntGVDPd6550ueapI5jaVjphuIjOySrkR/HdPzj2x4AGC
okBn1wQecGn3GWUKfQita5IikS4ZGtzBbuApBQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bhHPz918RFkXPT8bC5qOlslNfijRn3VAbXxJSpXPyYTz1oad6pxgJaO0OXuHU4tXB/PjGRzPWXOQ
ve4b8KJ6wnVE5rPfWn4z4EUL7alsh5HA9xBrL8lt+mljxTJ57UNo8Z6ajutyDQ7Tnu40BZPYcSCM
FQUj+3RlPVDkTLCH9+4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S6Tu1gelABFw6/flIL+WgFwGGJTENOQWgFks6OSNuZLemu4LJisJ4EgYWDLKHi4egK5J/RnYZmie
ZAXXCKgBWvsCA3v+ZeUWNuk75ITRReW/+NmslbIe861nkzL6CQhclPZbOuJDlp0USUBEiGGxVvmb
BmFjlUQG76mCVd4GiUxmE9ilmyp8zPr0TPo63Dqt/YIaEvCTfqvhryX14ycHobcS+qXweQ52Idzo
7wqpL3Gw2q9IeqGOFn+8TPYMSfWat67ia2zZYUorkmRKkNqwCdaPcMzqJpC93wxOXhGdaSP/su82
R7qA18LwZYZGBjzY5p1neLlT0AuD+6zW4DDRzA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15376)
`protect data_block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`protect end_protected
| apache-2.0 | 957c81ecbe949776d5550f2effb19961 | 0.943128 | 1.847838 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/compare_vectors_f.vhd | 15 | 10,255 | -------------------------------------------------------------------------------
-- $Id: compare_vectors_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- compare_vectors_f.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2008-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: compare_vectors_f.vhd
--
-- Description: Compare vectors Vec1 and Vec2 for equality: Eq <= Vec1 = Vec2
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- -- compare_vectors_f.vhd
-- -- family_support.vhd
--
-------------------------------------------------------------------------------
-- History:
-- FLO 04/26/06 First Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.vcomponents.all;
library proc_common_v4_0;
use proc_common_v4_0.family_support.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-- Definition of Generics:
-- C_WIDTH -- number of bits to compare
-- C_FAMILY -- target FPGA family
--
-- Definition of Ports:
-- Vec1 -- first standard_logic_vector input
-- Vec2 -- second standard_logic_vector input
-- Eq -- Vec1 = Vec2-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
entity compare_vectors_f is
generic (
C_WIDTH : natural;
C_FAMILY : string := "nofamily"
);
port (
Vec1 : in std_logic_vector(0 to C_WIDTH-1);
Vec2 : in std_logic_vector(0 to C_WIDTH-1);
Eq : out std_logic
);
end entity compare_vectors_f;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of compare_vectors_f is
type bo2sl_type is array (boolean) of std_logic;
constant bo2sl : bo2sl_type := (false => '0', true => '1');
constant NLS : natural := native_lut_size(C_FAMILY);
constant USE_INFERRED : boolean := not supported(C_FAMILY, u_MUXCY)
or NLS<2 -- Native LUT not big enough.
or 2*C_WIDTH <= NLS; -- Just one LUT
-- needed.
function lut_val(V1, V2 : std_logic_vector) return std_logic is
variable r : std_logic := '1';
begin
for i in V1'range loop
r := r and bo2sl(V1(i) = V2(i));
end loop;
return r; -- Return V1=V2
end;
function min(i, j : integer) return integer is
begin
if i < j then return i; else return j; end if;
end;
-------------------------------------------------------------------------------
-- Component Declarations
-------------------------------------------------------------------------------
component MUXCY
port
(
O : out std_ulogic;
CI : in std_ulogic;
DI : in std_ulogic;
S : in std_ulogic
);
end component;
begin --architecture
STRUCTURAL_A_GEN: if USE_INFERRED = false generate
constant BPL : positive := NLS / 2; -- Bits per LUT is the native lut
-- size divided by two.
constant NUMLUTS : positive := (C_WIDTH+(BPL-1))/BPL; -- NUMLUTS will be
-- greater than or equal to 2 because of how USE_INFERRED
-- is declared.
signal cyout : std_logic_vector(0 to NUMLUTS);
signal lutout: std_logic_vector(0 to NUMLUTS-1);
begin
cyout(0) <= '1';
PER_LUT_GEN: for i in NUMLUTS - 1 downto 0 generate
constant NI : natural := NUMLUTS-1-i; -- Used to place high-order,
-- low-index bits at the top of carry chain.
constant BTL : positive := min(BPL, C_WIDTH-NI*BPL);
-- Number of comparison bit positions at this LUT. (For the LUT at
-- the bottom of the carry chain this may be less than BPL.)
begin
lutout(i) <= lut_val(V1 => Vec1(NI*BPL to NI*BPL+BTL-1),
V2 => Vec2(NI*BPL to NI*BPL+BTL-1)
); -- Corres. sections of Vec1 and Vec2 are equal
--
MUXCY_I : component MUXCY
port map (CI=>cyout(i),
DI=> '0',
S=>lutout(i),
O=>cyout(i+1));
end generate;
Eq <= cyout(NUMLUTS);
end generate;
INFERRED_GEN: if USE_INFERRED = true generate
Eq <= '1' when Vec1 = Vec2 else '0';
end generate;
end imp;
| apache-2.0 | 546881657a7271e9636bfa966e416427 | 0.41921 | 5.197669 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_pe_sshft.vhd | 5 | 17,676 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
U42dHjFaD3dgsYxtrKXCtDiLA8PYmxvrJNQ/lY8+XXSOByob0WDF3LjJED2UIkR3dXbq9wvyoGnk
QjjerbVjcg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
T69pOnRUPhZMkvPwjzm1V3By8fCqYu/CBGsu7xDgNNb6gwVNzatlzudR4AI9xh6MT5k8D1F2V7Gm
lNCD3ySW+KkNwevpiuFaxnYBFxeMgsbDFklFonkR0Q8hUkLuUcyY2dsS9x08K838QgKe8nt8for7
SAy1DpnyzOmIbIraJ90=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
U6u6c3MOL6GUwfoUzd0DdNPVgIKv1s1dJy4XBZl45ffgwQKwrrlHNc1A5lwO+66TD2Ds/0p49pOO
WguBc8l3vpOkC4etIcq9rJVMZROWQpsN+rD1sct7eikpG4ciXs1EDqIJv1/5q2yMQen8G8Y24NuW
WeJjlJyfRouBNvViTy0EI3+Jld5Vw14oM+tcImmRXC+x69A3qpdb1pLlbcHOnJwpRgNqKSarJOnH
d29LitfyukGDD1ma0nXVkAnsXDQq0T5OYjOIlFrutkflafcgxMg+tTjiV4OZ2kpbQV0a3lqIDwCf
Q8L9i6BZbPCEMyQHD8aKffc+Tr1SimWcGgzo2w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2giBjjcy46Ty02thMXqYAQ1eVwrOypm5VY3Hc6IUa+Cxrp9DpPFPLWM1VJ07gzZ/vC7ftALFQZzQ
Dy0SyPi9aRpOxbW1xUeUR5OR94Lyic0+eA8HtOvKUg9iuihCCJx8oyj+tIzMfLgJ9g7oL+YqDQ3G
U4B6fPOS3KOkQF1rXnk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PdzNyZ6ywVmAbf9hxxaw/A4r9J6Sg4s7/z9PGORJhr33fapOisLKU8gV62XyUraqXRRZdXCf5G+G
GDy+7QsuIHbi5hlyiFO0xhyyx0NXN8PqBNX61peUb6+U9xReOSn3RHi3vk6zaOEeseucAZhdtDbX
YzhiJJPl1IFHxSYqqp/mJKKn5cuRQksMb4THrNRG1HPKG6zaUKtz/qfp231a5erkuMNxIDGFXrVk
bBVVH58Vr01EHVWdBxVrABfbxUrQ55nEfIcAePgpMzrEGCo1Bza5q0ZPCMWdHA2N7vbXXMMsPNCc
YEVgUrF4bPkjwGA8KEfP269v+7MyEL5Ctnznaw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11344)
`protect data_block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`protect end_protected
| apache-2.0 | 3d45969fcecfcbc9ee780e658123fb61 | 0.938617 | 1.851666 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/asap-alap-random/fir2_random.vhd | 1 | 3,650 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:43:58)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_random_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_random_entity;
ARCHITECTURE fir2_random_description OF fir2_random_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register12: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register13: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register14: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
register3 := not input3 or input3;
register4 := not input4 or input4;
register5 := not input5 or input5;
register6 := not input6 or input6;
register7 := not input7 or input7;
register8 := not input8 or input8;
register9 := not input9 or input9;
register10 := not input10 or input10;
WHEN "00000010" =>
register11 := not input11 or input11;
register12 := not input12 or input12;
register13 := not input13 or input13;
register14 := not input14 or input14;
register2 := register5 + register2;
WHEN "00000011" =>
register2 := register2 * 16;
register5 := register9 + register7;
register7 := not input15 or input15;
register1 := register13 + register1;
register9 := not input16 or input16;
register6 := register14 + register6;
WHEN "00000100" =>
register6 := register6 * 20;
register5 := register5 * 22;
register3 := register3 + register8;
register4 := register4 + register12;
register7 := register10 + register7;
WHEN "00000101" =>
register3 := register3 * 24;
register4 := register4 * 26;
register8 := register11 + register9;
WHEN "00000110" =>
register7 := register7 * 28;
register8 := register8 * 30;
WHEN "00000111" =>
register1 := register1 * 32;
register5 := register8 + register5;
WHEN "00001000" =>
register5 := register7 + register5;
WHEN "00001001" =>
register4 := register4 + register5;
WHEN "00001010" =>
register4 := register6 + register4;
WHEN "00001011" =>
register3 := register3 + register4;
WHEN "00001100" =>
register1 := register1 + register3;
WHEN "00001101" =>
register1 := register2 + register1;
WHEN "00001110" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_random_description; | gpl-3.0 | 9556bf7ae0b1413fb0a90f2a0c8a5a53 | 0.675068 | 3.241563 | false | false | false | false |
sils1297/HWPrak14 | task_3/task_3.srcs/sources_1/new/FSM.vhd | 1 | 2,103 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity FSM is
Port (
clk : in std_logic;
out_val: out unsigned(7 downto 0);
user_reset : in std_logic;
-- everything below is the interface to the i2c driver
start,
stop,
read,
write,
ack_in : out std_logic;
din : out std_logic_vector(7 downto 0);
dout : in std_logic_vector(7 downto 0);
cmd_ack : in std_logic -- command done
);
end FSM;
architecture moore of FSM is
type StateType is (S1, S2, S3, S4);
signal currentState, nextState: StateType;
signal dout_save: unsigned(7 downto 0) := "00000000";
begin
transition : process(currentState, cmd_ack, dout)
begin
nextState <= currentState;
case currentState is
when S1 =>
if cmd_ack = '1' then
nextState <= S2;
end if;
when S2 =>
if cmd_ack = '1' then
nextState <= S3;
end if;
when S3 =>
if cmd_ack = '1' then
nextState <= S4;
end if;
when S4 =>
if cmd_ack = '1' then
nextState <= S1;
end if;
end case;
end process;
stateMemory : process(clk)
begin
if rising_edge(clk) then
if currentState = S4 and cmd_ack = '1' then
dout_save <= unsigned(dout);
end if;
if user_reset = '1' then
currentState <= S1;
else
currentState <= nextState;
end if;
end if;
end process;
outputs : process(currentState, dout_save)
begin
case currentState is
when S1 =>
start <= '1';
stop <= '0';
ack_in <= '0';
din <= "10010000";
write <= '1';
read <= '0';
when S2 =>
stop <= '0';
start <= '0';
ack_in <= '0';
din <= "10001100";
write <= '1';
read <= '0';
when S3 =>
start <= '1';
stop <= '0';
ack_in <= '0';
din <= "10010001";
write <= '1';
read <= '0';
when S4 =>
stop <= '1';
start <= '0';
din <= "00000000";
ack_in <= '1';
write <= '0';
read <= '1';
end case;
out_val <= dout_save;
end process;
end moore;
| agpl-3.0 | 535bf55d9e135c1710d233094e306f83 | 0.526866 | 3.021552 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/sdram.vhd | 2 | 18,231 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- SDRAM controller for Macnica's development board Mercurio IV
-- IS42S16320B, 512Mbit SDRAM, 146MHz, 32Mx16bit
--
-- design premise: banks are not interleaved; BA0,BA1 are MS address bits
--
-- TODO:
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity SDRAM_controller is
port (rst : in std_logic; -- FPGA reset (=0)
clk : in std_logic; -- CPU clock
clk2x : in std_logic; -- 100MHz clock
sel : in std_logic; -- host side chip select (=0)
rdy : out std_logic; -- tell CPU to wait (=0)
wr : in std_logic; -- host side write enable (=0)
bsel : in reg4; -- byte select
haddr : in reg26; -- host side address
hDinp : in reg32; -- host side data input
hDout : out reg32; -- host side data output
cke : out std_logic; -- ram side clock enable
scs : out std_logic; -- ram side chip select
ras : out std_logic; -- ram side RAS
cas : out std_logic; -- ram side CAS
we : out std_logic; -- ram side write enable
dqm0 : out std_logic; -- ram side byte0 output enable
dqm1 : out std_logic; -- ram side byte0 output enable
ba0 : out std_logic; -- ram side bank select 0
ba1 : out std_logic; -- ram side bank select 1
saddr : out reg12; -- ram side address
sdata : inout reg16); -- ram side data
-- constant RESET_INTERVAL : integer := 5000; -- reset after 100us = 5.000*20n
-- constant REFRESH_INTERVAL : integer := 704;-- do a refresh every 704 cycles
constant RESET_INTERVAL : integer := 5; -- reset after 100us = 5.000*20n
constant REFRESH_INTERVAL : integer := 7;-- do a refresh every 704 cycles
subtype cmd_index is integer range 0 to 13;
constant cDSL : cmd_index := 0;
constant cNOP : cmd_index := 1;
constant cBST : cmd_index := 2;
constant cRD : cmd_index := 3;
constant cRDA : cmd_index := 4;
constant cWR : cmd_index := 5;
constant cWRA : cmd_index := 6;
constant cACT : cmd_index := 7;
constant cPRE : cmd_index := 8;
constant cPALL : cmd_index := 9;
constant cREF : cmd_index := 10;
constant cSELF : cmd_index := 11;
constant cMRS : cmd_index := 12;
constant cinv : cmd_index := 13;
type t_cmd_type is record
cmd: cmd_index;
cs: std_logic;
ras: std_logic;
cas: std_logic;
we: std_logic;
a10: std_logic;
end record;
type t_cmd_mem is array (0 to 12) of t_cmd_type;
end entity SDRAM_controller;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- real SDRAM controller for Macnica's development board Mercurio IV
-- IS42S16320B, 512Mbit SDRAM, 146MHz, 32Mx16bit
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture simple of SDRAM_controller is
constant cmd_table : t_cmd_mem := ( -- page 9
-- cmd cs ras cas we a10
(cDSL, '1','1','1','1','1'), -- DESL device deselect
(cNOP, '0','1','1','1','1'), -- NOP no operation
(cBST, '0','1','1','0','1'), -- BST burst stop
(cRD, '0','1','0','1','0'), -- RD read
(cRDA, '0','1','0','1','1'), -- RDA read with auto precharge
(cWR, '0','1','0','0','0'), -- WR write
(cWRA, '0','1','0','0','1'), -- WR write with auto precharge
(cACT, '0','0','1','1','1'), -- ACT bank activate
(cPRE, '0','0','1','0','0'), -- PRE precharge selected bank
(cPALL,'0','0','1','0','1'), -- PALL precharge all banks
(cREF, '0','0','0','1','1'), -- REF CBR auto-refresh
(cSELF,'0','0','0','1','1'), -- SELF self-refresh
(cMRS, '0','0','0','0','0') -- MRS mode register set
);
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component registerN;
component wait_states is
generic (NUM_WAIT_STATES :integer);
port(rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
waiting : out std_logic); -- active in '1'
end component wait_states;
component FFDsimple is
port(clk, rst, D : in std_logic; Q : out std_logic);
end component FFDsimple;
-- state machine
type ctrl_state is
(st_noreset, -- 0
st_in0, st_in1, st_ipre, st_in2, -- 4
st_aref1, st_1n0, st_1n1, st_1n2, st_1n3, st_1n4, st_1n5, -- 11
st_aref2, st_2n0, st_2n1, st_2n2, st_2n3, st_2n4, st_2n5, -- 18
st_aref3, st_3n0, st_3n1, st_3n2, st_3n3, st_3n4, st_3n5, -- 25
st_aref4, st_4n0, st_4n1, st_4n2, st_4n3, st_4n4, st_4n5, -- 32
st_lmr, st_ln0, st_ln1, -- 35
st_pall, st_pn0, st_pn1, -- 38
st_refr, st_rn0, st_rn1, st_rn2, st_rn3, st_rn4, st_rn5, -- 45
st_idle2, -- 46
st_act, st_an0, st_an1, -- 49
st_rdcol, st_rdn0, st_rd_done, -- 52
st_wrcol, -- 53
st_idle); -- 54
signal curr_st, next_st : ctrl_state;
signal ctrl_dbg_st, cmd_dbg : integer; -- for debugging only
signal reset_done, same_row, do_refresh, refresh_done : boolean := FALSE;
signal is_accs, is_rd, is_wr : boolean := FALSE;
signal addr : reg26;
signal row_bits, last_row : reg13;
signal col_bits : reg10;
signal rwo_bits : reg13;
signal ld_old : std_logic;
signal command : t_cmd_type;
signal doit : cmd_index;
signal wait1, wait2, waiting : std_logic;
begin -- simple
U_WAIT_ON_READS: wait_states generic map (1)
port map (rst, clk, sel, wait1);
U_WAIT2: FFDsimple port map (clk, rst, wait1, wait2);
rdy <= not BOOL2SL((sel = '0') and
((wait1 = '1') or (wait2 = '1') or (waiting = '1')));
is_accs <= (sel = '0');
is_rd <= (sel = '0') and (wr = '1');
is_wr <= (sel = '0') and (wr = '0');
command <= cmd_table(doit);
scs <= command.cs;
ras <= command.ras;
cas <= command.cas;
we <= command.we;
cmd_dbg <= command.cmd; -- DEBUG only
saddr(10) <= addr(10) when command.cmd = cACT else
command.a10;
saddr(9 downto 0) <= b"1000100000" when command.cmd = cMRS else
addr(9 downto 0);
U_address: registerN generic map (26, b"00"&x"000000")
port map (clk2x, rst, sel, haddr, addr);
row_bits <= addr(23 downto 11);
col_bits <= addr(10 downto 1);
ba0 <= addr(24);
ba1 <= addr(25);
ld_old <= sel and not(BOOL2SL(same_row));
U_last_row: registerN generic map (13, '1'&x"fff")
port map (clk2x, rst, ld_old, haddr(23 downto 11), last_row);
-- same_row <= (last_row = row_bits) and (command.cmd /= cPALL);
same_row <= FALSE;
-- this state machine contols the SDRAM interface -----------------------
U_CTRL_st_reg: process(rst,clk2x)
begin
if rst = '0' then
curr_st <= st_noreset;
elsif rising_edge(clk2x) then
curr_st <= next_st;
end if;
end process U_CTRL_st_reg; ----------------------------------------------
ctrl_dbg_st <= integer(ctrl_state'pos(curr_st)); -- for debugging
U_CTRL_st_transitions: process(curr_st, reset_done, do_refresh, -------
same_row, is_accs, is_rd, is_wr)
begin
case curr_st is
-- WAIT FOR POWER-ON RESET TO COMPLETE
when st_noreset => -- 0
if reset_done then
next_st <= st_in0;
else
next_st <= st_noreset;
end if;
-- INITIALIZATION SEQUENCE
when st_in0 => -- 1 nop
next_st <= st_in1;
when st_in1 => -- 2 nop
next_st <= st_ipre;
when st_ipre => -- 3 precharge all banks
next_st <= st_in2;
when st_in2 => -- 4 nop
next_st <= st_aref1;
when st_aref1 => -- 5 auto refresh 1 + 60ns delay
next_st <= st_1n0;
when st_1n0 => -- 6 nop
next_st <= st_1n1;
when st_1n1 => -- 7 nop
next_st <= st_1n2;
when st_1n2 => -- 8 nop
next_st <= st_1n3;
when st_1n3 => -- 9 nop
next_st <= st_1n4;
when st_1n4 => -- 10 nop
next_st <= st_1n5;
when st_1n5 => -- 11 nop
next_st <= st_aref2;
when st_aref2 => -- 12 auto refresh 2 + 60ns delay
next_st <= st_2n0;
when st_2n0 => -- 13 nop
next_st <= st_2n1;
when st_2n1 => -- 14 nop
next_st <= st_2n2;
when st_2n2 => -- 15 nop
next_st <= st_2n3;
when st_2n3 => -- 16 nop
next_st <= st_2n4;
when st_2n4 => -- 17 nop
next_st <= st_2n5;
when st_2n5 => -- 18 nop
next_st <= st_aref3;
when st_aref3 => -- 19 auto refresh 3 + 60ns delay
next_st <= st_3n0;
when st_3n0 => -- 20 nop
next_st <= st_3n1;
when st_3n1 => -- 21 nop
next_st <= st_3n2;
when st_3n2 => -- 22 nop
next_st <= st_3n3;
when st_3n3 => -- 23 nop
next_st <= st_3n4;
when st_3n4 => -- 24 nop
next_st <= st_3n5;
when st_3n5 => -- 25 nop
next_st <= st_aref4;
when st_aref4 => -- 26 auto refresh 4 + 60ns delay
next_st <= st_4n0;
when st_4n0 => -- 27 nop
next_st <= st_4n1;
when st_4n1 => -- 28 nop
next_st <= st_4n2;
when st_4n2 => -- 29 nop
next_st <= st_4n3;
when st_4n3 => -- 30 nop
next_st <= st_4n4;
when st_4n4 => -- 31 nop
next_st <= st_4n5;
when st_4n5 => -- 32 nop
next_st <= st_lmr;
when st_lmr => -- 33 load mode register + 2 nops
next_st <= st_ln0;
when st_ln0 => -- 34 nop
next_st <= st_ln1;
when st_ln1 => -- 35 nop
next_st <= st_idle;
-- AUTO-REFRESH SEQUENCE
when st_pall => -- 36 precharge all banks + 2 nops
next_st <= st_pn0;
when st_pn0 => -- 37 nop
next_st <= st_pn1;
when st_pn1 => -- 38 nop
next_st <= st_refr;
when st_refr => -- 39 auto refresh + 60ns delay
next_st <= st_rn0;
when st_rn0 => -- 40 nop
next_st <= st_rn1;
when st_rn1 => -- 41 nop
next_st <= st_rn2;
when st_rn2 => -- 42 nop
next_st <= st_rn3;
when st_rn3 => -- 43 nop
next_st <= st_rn4;
when st_rn4 => -- 44 nop
next_st <= st_rn5;
when st_rn5 => -- 45 nop; sameRow was cleared
next_st <= st_idle2;
when st_idle2 => -- 46
if is_accs then -- is post-refresh access, activate row
next_st <= st_act;
else
next_st <= st_idle2;
end if;
-- ACTIVATE NEW ROW
when st_act => -- 47 activate row + 2 nops
next_st <= st_an0;
when st_an0 => -- 48 nop
next_st <= st_an1;
when st_an1 => -- 49 nop
if is_rd then
next_st <= st_rdcol; -- access is a read, set column
else
next_st <= st_wrcol; -- access is a write, set column
end if;
-- READ FROM COLUMN
when st_rdcol => -- 50 set column for RD + 2 nops
next_st <= st_rdn0;
when st_rdn0 => -- 51 nop
next_st <= st_rd_done;
when st_rd_done => -- 52 nop
if do_refresh then
next_st <= st_pall; -- go to refresh sequence
else
next_st <= st_idle; -- wait for next access to same row
end if;
-- WRITE TO COLUMN
when st_wrcol => -- 53 set column for WR
if do_refresh then
next_st <= st_pall; -- go to refresh sequence
else
next_st <= st_idle; -- wait for next access to same row
end if;
when st_idle => -- 54
if is_accs and not(same_row) then
next_st <= st_act;
elsif is_rd then
next_st <= st_rdcol;
elsif is_wr then
next_st <= st_wrcol;
else
next_st <= st_idle;
end if;
when others =>
assert false report "CTRL stateMachine broken"
& integer'image(ctrl_state'pos(curr_st)) severity failure;
end case;
end process U_CTRL_st_transitions; ---------------------------
U_CTRL_outputs: process(curr_st) ------------------------------
begin
case curr_st is
when st_rdcol =>
doit <= cRD; -- read from column
when st_wrcol =>
doit <= cWR; -- write to column
when st_ipre | st_pall =>
doit <= cPALL; -- precharge all banks
when st_aref1 | st_aref2 | st_aref3 | st_aref4 =>
doit <= cREF; -- auto-refresh
when st_lmr =>
doit <= cMRS; -- load mode register
when st_act =>
doit <= cACT; -- activate row
when others =>
doit <= cNOP;
end case;
end process U_CTRL_outputs; ----------------------------------
U_CTRL_waiting: process(curr_st) ------------------------------
begin
case curr_st is
when st_rdcol | st_rdn0 =>
waiting <= '0'; -- read from column
when st_wrcol =>
waiting <= '0'; -- write to column
when st_ipre | st_pall =>
waiting <= '0'; -- precharge all banks
when st_aref1 | st_aref2 | st_aref3 | st_aref4 =>
waiting <= '0'; -- auto-refresh
when st_lmr =>
waiting <= '0'; -- load mode register
when st_act | st_an0 | st_an1 =>
waiting <= '0'; -- activate row
when others =>
waiting <= '1';
end case;
end process U_CTRL_waiting; -----------------------------------
-- do a refresh in less than 7,8us (8192 in 64ms @ 100MHz)
U_do_refresh: process (rst, clk2x, refresh_done)
variable cnt : integer range 0 to 1023:= 0;
begin
if rst = '0' then
do_refresh <= FALSE;
cnt := 0;
elsif rising_edge(clk2x) then
if cnt > REFRESH_INTERVAL then
if refresh_done then
do_refresh <= FALSE;
cnt := 0;
else
do_refresh <= TRUE; -- add some hysteresis
if cnt = 1023 then
cnt := 0;
else
cnt := cnt + 1; -- to accomodate slow commands
end if;
end if;
else
do_refresh <= FALSE;
cnt := cnt + 1;
end if;
end if;
end process U_do_refresh;
-- do wait for 100us after reset
U_rst_100us: process(rst, clk2x)
variable cnt : integer range 0 to (8*1024 - 1):= 0;
begin
if rst = '0' then
reset_done <= FALSE;
cnt := 0;
elsif rising_edge(clk2x) then
if cnt >= RESET_INTERVAL then -- 100us elapsed
reset_done <= TRUE;
cnt := 0;
else
cnt := cnt + 1;
end if;
end if;
end process U_rst_100us;
end simple;
-- ---------------------------------------------------------------------
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- fake SDRAM controller for Macnica's development board Mercurio IV
-- IS42S16320B, 512Mbit SDRAM, 146MHz, 32Mx16bit
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of SDRAM_controller is
begin
rdy <= '1';
hDout <= (others => 'X');
cke <= '1';
scs <= '1';
ras <= '1';
cas <= '1';
we <= '1';
dqm0 <= '1';
dqm1 <= '1';
ba0 <= '1';
ba1 <= '1';
saddr <= (others => 'X');
sdata <= (others => 'X');
end architecture fake;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | 9d89fcb579b5d7f55bfc52ef7d95eaae | 0.457298 | 3.595858 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/barrel_shifter.vhd | 1 | 19,621 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
X9NXL4UAIgBq3dJbhn2ThBNQRZULtErC+ilm8mJI4sixUJJTqoR30NYrXSWXR/j6CRiN1puOS47a
s9+XopKatg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YnZjIUzOxXiCYJ8KW7hZepeIvujs2rLD1nnn12tKvX6b+aiSXgAl992ZD8IR0BH5uMj2LXFkW/kY
CYN9dg9Q5ABnbRs5n7OyxMgo2J4nfbv5F+Ropqt5vqixaiH9MeKH6alx+ZyNa+Ai6b3EUQvpE+dq
GJHRJaXB9749n2wm5+Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ad1vUG/7dzwgn5n1pmSWUq9hSCE7kDQZi9kuGB9Fhmiax4RNR2bFXKeK/JT5xL0piEk++gWNS+JN
QGwQ7sLwyre467ez433RD2SbAnwHyBvdyRo51iNZ1IG6TpLA/I7RzoammEo6OGoYtzvzGx+End8U
+P+LqVKHjbi9HekEGfXeJmpxC9rXsS6UtaGeYKi2s2NnRkMLjStFj2PEhV3x8ruUMCy17lNN11Ft
3aKnkhTZ+qVaCBPLs0+AjypaXK/rH9aQ0jBpdOx52ybs4zfHXfmWQVABCUGPD8kGuLbN0X7zfRfm
/F00/hacysLWZP8fq9/U1cetZ7aoepUm1KiHrA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Vpg4VG1q4Q1JkHLpFp6aAGrrmFTOqtQDudnXkcgBeS0mltQmZnv1jFKIprpqvYnOZTTVULzABFEJ
drnoEvEOX/m52lAhQDju41zXLQPeinQije/Cpz1IYzJiO4OXl9m0YBt8J86nhSzweELiacWBrsbJ
j5spbzpdpVUbAUoIJps=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
joz8eI+lBUAdgKFvFKcdntnD7JkCzcGgMPy0p6REzCzo5KVhDELhwkw04UVVxfIk7a9i6pWMR/Lq
GLgYkFqZy33cfga4JrH02y9NMNGcqvC5FcGSxcZtvHiGKb7h+YjP0lZjGqmy94cdKibM5nxilTr0
jOiD0ypain8fsc7yGUGymr8ULQAWV+1b+z5AO2TuzAeYhxZ302GNaK1aRCJq1FXvXVxFze97nxeX
Q9dfOuYkiVunOQJOSP7jf2Lg+i09CLTeXwEWotb2qjlGGkU8OvojRw78w9WNIw2pjHjInI6l9x6q
5e1cxa2AH6/ZtFr7LE7SnCk7LvZEIgHD8MM/3A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12784)
`protect data_block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`protect end_protected
| apache-2.0 | 6f065890308d34e2b784cb42cc1c06b6 | 0.939453 | 1.843906 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_spea2.vhd | 1 | 1,628 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:51)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_spea2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_spea2_entity;
ARCHITECTURE hal_spea2_description OF hal_spea2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
WHEN "00000010" =>
output1 <= register2 + 3;
register2 := input3 * 4;
IF (register1 < 5) THEN
output2 <= register1;
ELSE
output2 <= "0000000000000000000000000000101";
END IF;
register1 := input4 * 6;
WHEN "00000011" =>
register1 := register2 * register1;
WHEN "00000100" =>
register1 := register1 - 8;
register2 := input5 * 9;
WHEN "00000101" =>
register2 := register2 * 11;
WHEN "00000110" =>
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_spea2_description; | gpl-3.0 | df10e868133e9c3b19b68beb33385d61 | 0.672604 | 3.10687 | false | false | false | false |
jdryg/tis100cpu | instruction_decoder.vhd | 1 | 2,794 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity instruction_decoder is
Port ( I_instr : in STD_LOGIC_VECTOR (31 downto 0);
O_dst : out STD_LOGIC_VECTOR (2 downto 0);
O_srcA : out STD_LOGIC_VECTOR (2 downto 0);
O_srcB : out STD_LOGIC_VECTOR (1 downto 0);
O_imm : out STD_LOGIC_VECTOR (15 downto 0);
O_aluOp: out STD_LOGIC_VECTOR (2 downto 0);
O_srcA_isPort : out STD_LOGIC;
O_dst_isPort : out STD_LOGIC;
O_enableWrite : out STD_LOGIC;
O_containsIMM : out STD_LOGIC;
O_isJmp : out STD_LOGIC;
O_jmpCondition : out STD_LOGIC_VECTOR (2 downto 0);
O_isSWP : out STD_LOGIC;
O_isLastInstr : out STD_LOGIC);
end instruction_decoder;
architecture Behavioral of instruction_decoder is
begin
decode_proc: process (I_instr) begin
O_containsIMM <= I_instr(31);
O_dst <= I_instr(25 downto 23);
O_srcA <= I_instr(22 downto 20);
O_srcB <= I_instr(19 downto 18);
O_imm <= I_instr(15 downto 0);
O_isLastInstr <= I_instr(16);
-- Default values for the rest of the signals
O_aluOp <= "000";
O_srcA_isPort <= '0';
O_dst_isPort <= '0';
O_enableWrite <= '0';
O_isJmp <= '0';
O_jmpCondition <= "111";
O_isSWP <= '0';
-- Depending on the instruction type, set the correct outputs.
if (I_instr(30 downto 29) = "00") then
-- Arithmetic instructions
O_enableWrite <= '1';
case I_instr(28 downto 26) is
when "000" => O_aluOp <= "000";
when "001" => O_aluOp <= "001";
when "010" => O_aluOp <= "010";
when others => O_aluOp <= "000";
end case;
-- Special case of SWP instruction
if(I_instr(28 downto 26) = "100") then
O_isSWP <= '1';
end if;
-- The rest of the outputs get their default value.
elsif (I_instr (30 downto 29) = "01") then
-- Port instruction
O_enableWrite <= '1';
if (I_instr(28 downto 26) = "000") then
-- ADD reg, port, reg/imm
O_srcA_isPort <= '1';
elsif (I_instr(28 downto 26) = "001") then
-- ADD port, reg, reg/imm
O_dst_isPort <= '1';
elsif (I_instr(28 downto 26) = "010") then
-- ADD port, port, reg/imm
O_srcA_isPort <= '1';
O_dst_isPort <= '1';
elsif (I_instr(28 downto 26) = "011") then
-- ISUB reg, port, reg/imm
O_srcA_isPort <= '1';
O_aluOp <= "100";
end if;
elsif (I_instr (30 downto 29) = "10") then
-- Jxx instruction
O_isJmp <= '1';
O_jmpCondition <= I_instr(28 downto 26);
O_aluOp <= "011"; -- Set Less Than
end if;
end process;
end Behavioral;
| mit | e8cb0c96a52d4db94c5076819cdd4eb5 | 0.533644 | 3.264019 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/c_signal.vhd | 1 | 1,482 | library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_signal is
generic
(
width : integer := 4
);
port
(
input : in std_logic_vector((width - 1) downto 0);
store, update, clear, clock : in std_logic;
output : out std_logic_vector((width + 1) downto 0)
);
end c_signal;
architecture behavior of c_signal is
begin
P0 : process (clock, store, update, input, clear)
variable In_latch : std_logic_Vector((width - 1) downto 0);
variable Out_latch : std_logic_Vector((width - 1) downto 0);
variable out_var : std_logic_Vector((width - 1) downto 0);
variable sig_stable : std_logic;
variable sig_quiet : std_logic;
begin
if (clock = '1' and not clock'STABLE and store = '1') then
In_latch := Input;
sig_quiet := '0';
end if;
if (clock = '1' and not clock'STABLE and update = '1') then
sig_stable := '1';
L1 : for I in width - 1 downto 0 loop
if not (In_latch(I) = Out_latch(I)) then
sig_stable := '0';
exit L1;
end if;
end loop L1;
Out_latch := In_latch;
output((width - 1) downto 0) <= Out_latch;
output(width) <= sig_stable;
output(width + 1) <= sig_quiet;
sig_quiet := '1';
end if;
if (clear = '1') then
L2 : for I in (width - 1) downto 0 loop
In_latch(I) := '0';
Out_latch(I) := '0';
end loop L2;
output((width - 1) downto 0) <= Out_latch;
output(width) <= '1';
output(width + 1) <= '1';
sig_quiet := '1';
end if;
end process P0;
end behavior; | mit | 37a39c17cc33d5865316efb81b143c09 | 0.606613 | 2.724265 | false | false | false | false |
marceloboeira/vhdl-examples | 008-state-machine-calculator/_example/modulo_display.vhd | 1 | 1,796 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 20:03:07 11/04/2014
-- Design Name:
-- Module Name: modulo_display - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functions with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx primitives in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity modulo_display is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
entrada_s : in STD_LOGIC_VECTOR (15 downto 0);
saida_8segmentos : out STD_LOGIC_VECTOR (7 downto 0);
disp_sel_o : out STD_LOGIC_VECTOR (3 downto 0));
end modulo_display;
architecture Behavioral of modulo_display is
signal display_s : STD_LOGIC_VECTOR (15 downto 0);
begin
bcd2hex_p : entity work.hex2bcd
port map ( clk => clock,
sw0 => entrada_s(3 downto 0),
sw1 => entrada_s(7 downto 4),
sw2 => entrada_s(11 downto 8),
sw3 => entrada_s(15 downto 12),
bcd0 => display_s(3 downto 0),
bcd1 => display_s(7 downto 4),
bcd2 => display_s(11 downto 8),
bcd3 => display_s(15 downto 12));
display1 : entity work.disp7segx4
port map ( entrada => display_s,
clock => clock,
reset => reset,
saida_8segmentos => saida_8segmentos,
disp_sel_o => disp_sel_o );
end Behavioral;
| mit | 5f1eaca373bced84aa31361285a2260f | 0.579621 | 3.344507 | false | false | false | false |
mbgh/aes128-hdl | src/vhdl/cipherRound.vhd | 1 | 3,670 | -------------------------------------------------------------------------------
--! @file cipherRound.vhd
--! @brief AES-128 single cipher round
--! @project VLSI Book - AES-128 Example
--! @author Michael Muehlberghuber ([email protected])
--! @company Integrated Systems Laboratory, ETH Zurich
--! @copyright Copyright (C) 2014 Integrated Systems Laboratory, ETH Zurich
--! @date 2014-06-05
--! @updated 2014-06-05
--! @platform Simulation: ModelSim; Synthesis: Synopsys, Xilinx XST/Vivado
--! @standard VHDL'93/02
-------------------------------------------------------------------------------
-- Revision Control System Information:
-- File ID : $Id: cipherRound.vhd 6 2014-06-12 12:49:55Z u59323933 $
-- Revision : $Revision: 6 $
-- Local Date : $Date: 2014-06-12 14:49:55 +0200 (Thu, 12 Jun 2014) $
-- Modified By : $Author: u59323933 $
-------------------------------------------------------------------------------
-- Major Revisions:
-- Date Version Author Description
-- 2014-06-05 1.0 michmueh Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.aes128Pkg.all;
-------------------------------------------------------------------------------
--! @brief AES-128 single cipher round
--!
--! Implements a single cipher round of the AES-128 encryption algorithm, which
--! can then be instantiated multiple times in order to create a high-throughput
--! architecture.
-------------------------------------------------------------------------------
entity cipherRound is
port (
--! @brief The internal state of AES being applied to this round.
StateIn_DI : in Matrix;
--! @brief The roundkey to be used for the current AES round.
Roundkey_DI : in std_logic_vector(127 downto 0);
--! @brief The resulting state of AES after applying this round.
StateOut_DO : out Matrix);
end entity cipherRound;
-------------------------------------------------------------------------------
--! @brief Behavioral architecture description of a single AES round.
-------------------------------------------------------------------------------
architecture Behavioral of cipherRound is
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
component subMatrix is
port (
In_DI : in Matrix;
Out_DO : out Matrix);
end component subMatrix;
component mixMatrix is
port (
In_DI : in Matrix;
Out_DO : out Matrix);
end component mixMatrix;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
signal SubMatrixOut_D : Matrix; -- State after "SubMatrix".
signal ShiftRowsOut_D : Matrix; -- State after "ShiftRows".
signal MixMatrixOut_D : Matrix; -- State after "MixColumns".
begin -- architecture Behavioral
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
subMatrix_1 : subMatrix
port map (
In_DI => StateIn_DI,
Out_DO => SubMatrixOut_D);
mixMatrix_1 : entity work.mixMatrix
port map (
In_DI => ShiftRowsOut_D,
Out_DO => MixMatrixOut_D);
ShiftRowsOut_D <= shift_rows(SubMatrixOut_D);
StateOut_DO <= MixMatrixOut_D xor Roundkey_DI;
end architecture Behavioral;
| gpl-2.0 | 2d15258c47d1d3f7b1e50fea461a3b08 | 0.465123 | 5 | false | false | false | false |
jdryg/tis100cpu | next_pc.vhd | 1 | 2,789 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity next_pc is
Port ( I_srcA_isPort : in STD_LOGIC;
I_dst_isPort : in STD_LOGIC;
I_pr_isDataOutValid : in STD_LOGIC;
I_pw_isDataOutValid : in STD_LOGIC;
I_regB_data : in STD_LOGIC_VECTOR (15 downto 0);
I_imm : in STD_LOGIC_VECTOR (15 downto 0);
I_containsIMM : in STD_LOGIC;
I_isJump : in STD_LOGIC;
I_jmpCondition : in STD_LOGIC_VECTOR (2 downto 0);
I_isZero : in STD_LOGIC;
I_isLessThan : in STD_LOGIC;
I_PC : in STD_LOGIC_VECTOR (5 downto 0);
O_NewPC : out STD_LOGIC_VECTOR (5 downto 0));
end next_pc;
architecture Behavioral of next_pc is
signal isReadPortReady, isWritePortReady: STD_LOGIC;
signal jmpDelta: STD_LOGIC_VECTOR (5 downto 0);
signal takeJump: STD_LOGIC;
begin
srcPortProc: process (I_srcA_isPort, I_pr_isDataOutValid) begin
isReadPortReady <= (NOT I_srcA_isPort) OR (I_srcA_isPort AND I_pr_isDataOutValid);
end process;
dstPortProc: process (I_dst_isPort, I_pw_isDataOutValid) begin
isWritePortReady <= (NOT I_dst_isPort) OR (I_dst_isPort AND I_pw_isDataOutValid);
end process;
jmpDeltaProc: process (I_regB_data, I_imm, I_containsIMM) begin
if(I_containsIMM = '1') then
jmpDelta <= I_imm(5 downto 0);
else
jmpDelta <= I_regB_data(5 downto 0);
end if;
end process;
takeJumpProc: process (I_jmpCondition, I_isZero, I_isLessThan) begin
case I_jmpCondition is
when "000" => takeJump <= '1'; -- Unconditional jump
when "001" => takeJump <= NOT ((I_isZero AND '1') OR (I_isLessThan AND '1')); -- JGZ
when "010" => takeJump <= (I_isZero AND '0') OR (I_isLessThan AND '1'); -- JLZ
when "011" => takeJump <= (I_isZero AND '1') OR (I_isLessThan AND '0'); -- JEZ
when "100" => takeJump <= NOT (I_isZero AND '1') OR (I_isLessThan AND '0'); -- JNZ
when others => takeJump <= '0'; -- Unknown jump condition
end case;
end process;
newPCProc: process (I_PC, takeJump, jmpDelta, isWritePortReady, isReadPortReady) begin
-- If this is a port instruction, wait until the port is ready.
if(isReadPortReady = '0' OR isWritePortReady = '0') then
O_NewPC <= I_PC;
else
-- Otherwise, if it's not a jump or the jump condition is false, move on to the next instruction.
if(I_isJump = '0' OR takeJump = '0') then
O_NewPC <= I_PC + 1;
else
-- Finally, this is a jump instruction and the condition is true. Jump!
O_NewPC <= I_PC + jmpDelta; -- This is a signed add.
end if;
end if;
end process;
end Behavioral;
| mit | 5bb92a0c68c4d60287e9a7f1202f04ac | 0.61384 | 3.194731 | false | false | false | false |
witoldo7/puc-2 | PUC/PUC_567/PUC/lpm_counter0.vhd | 1 | 5,137 | -- megafunction wizard: %LPM_COUNTER%
-- GENERATION: STANDARD
-- VERSION: WM1.0
-- MODULE: lpm_counter
-- ============================================================
-- File Name: lpm_counter0.vhd
-- Megafunction Name(s):
-- lpm_counter
--
-- Simulation Library Files(s):
-- lpm
-- ============================================================
-- ************************************************************
-- THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
--
-- 9.0 Build 132 02/25/2009 SJ Web Edition
-- ************************************************************
--Copyright (C) 1991-2009 Altera Corporation
--Your use of Altera Corporation's design tools, logic functions
--and other software and tools, and its AMPP partner logic
--functions, and any output files from any of the foregoing
--(including device programming or simulation files), and any
--associated documentation or information are expressly subject
--to the terms and conditions of the Altera Program License
--Subscription Agreement, Altera MegaCore Function License
--Agreement, or other applicable license agreement, including,
--without limitation, that your use is for the sole purpose of
--programming logic devices manufactured by Altera and sold by
--Altera or its authorized distributors. Please refer to the
--applicable agreement for further details.
LIBRARY ieee;
USE ieee.std_logic_1164.all;
LIBRARY lpm;
USE lpm.all;
ENTITY lpm_counter0 IS
PORT
(
clk_en : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
updown : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0)
);
END lpm_counter0;
ARCHITECTURE SYN OF lpm_counter0 IS
SIGNAL sub_wire0 : STD_LOGIC ;
SIGNAL sub_wire1 : STD_LOGIC_VECTOR (3 DOWNTO 0);
COMPONENT lpm_counter
GENERIC (
lpm_direction : STRING;
lpm_modulus : NATURAL;
lpm_port_updown : STRING;
lpm_type : STRING;
lpm_width : NATURAL
);
PORT (
clk_en : IN STD_LOGIC ;
clock : IN STD_LOGIC ;
cout : OUT STD_LOGIC ;
q : OUT STD_LOGIC_VECTOR (3 DOWNTO 0);
updown : IN STD_LOGIC
);
END COMPONENT;
BEGIN
cout <= sub_wire0;
q <= sub_wire1(3 DOWNTO 0);
lpm_counter_component : lpm_counter
GENERIC MAP (
lpm_direction => "UNUSED",
lpm_modulus => 10,
lpm_port_updown => "PORT_USED",
lpm_type => "LPM_COUNTER",
lpm_width => 4
)
PORT MAP (
clk_en => clk_en,
clock => clock,
updown => updown,
cout => sub_wire0,
q => sub_wire1
);
END SYN;
-- ============================================================
-- CNX file retrieval info
-- ============================================================
-- Retrieval info: PRIVATE: ACLR NUMERIC "0"
-- Retrieval info: PRIVATE: ALOAD NUMERIC "0"
-- Retrieval info: PRIVATE: ASET NUMERIC "0"
-- Retrieval info: PRIVATE: ASET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: CLK_EN NUMERIC "1"
-- Retrieval info: PRIVATE: CNT_EN NUMERIC "0"
-- Retrieval info: PRIVATE: CarryIn NUMERIC "0"
-- Retrieval info: PRIVATE: CarryOut NUMERIC "1"
-- Retrieval info: PRIVATE: Direction NUMERIC "2"
-- Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone III"
-- Retrieval info: PRIVATE: ModulusCounter NUMERIC "1"
-- Retrieval info: PRIVATE: ModulusValue NUMERIC "10"
-- Retrieval info: PRIVATE: SCLR NUMERIC "0"
-- Retrieval info: PRIVATE: SLOAD NUMERIC "0"
-- Retrieval info: PRIVATE: SSET NUMERIC "0"
-- Retrieval info: PRIVATE: SSET_ALL1 NUMERIC "1"
-- Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
-- Retrieval info: PRIVATE: nBit NUMERIC "4"
-- Retrieval info: CONSTANT: LPM_DIRECTION STRING "UNUSED"
-- Retrieval info: CONSTANT: LPM_MODULUS NUMERIC "10"
-- Retrieval info: CONSTANT: LPM_PORT_UPDOWN STRING "PORT_USED"
-- Retrieval info: CONSTANT: LPM_TYPE STRING "LPM_COUNTER"
-- Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "4"
-- Retrieval info: USED_PORT: clk_en 0 0 0 0 INPUT NODEFVAL clk_en
-- Retrieval info: USED_PORT: clock 0 0 0 0 INPUT NODEFVAL clock
-- Retrieval info: USED_PORT: cout 0 0 0 0 OUTPUT NODEFVAL cout
-- Retrieval info: USED_PORT: q 0 0 4 0 OUTPUT NODEFVAL q[3..0]
-- Retrieval info: USED_PORT: updown 0 0 0 0 INPUT NODEFVAL updown
-- Retrieval info: CONNECT: @clock 0 0 0 0 clock 0 0 0 0
-- Retrieval info: CONNECT: q 0 0 4 0 @q 0 0 4 0
-- Retrieval info: CONNECT: @updown 0 0 0 0 updown 0 0 0 0
-- Retrieval info: CONNECT: @clk_en 0 0 0 0 clk_en 0 0 0 0
-- Retrieval info: CONNECT: cout 0 0 0 0 @cout 0 0 0 0
-- Retrieval info: LIBRARY: lpm lpm.lpm_components.all
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.vhd TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.inc FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.cmp TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0.bsf TRUE FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0_inst.vhd FALSE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0_waveforms.html TRUE
-- Retrieval info: GEN_FILE: TYPE_NORMAL lpm_counter0_wave*.jpg FALSE
-- Retrieval info: LIB_FILE: lpm
| gpl-3.0 | 71caf6587cbaba2407b03caf8343de26 | 0.636558 | 3.530584 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_adder_bit.vhd | 15 | 11,636 | -------------------------------------------------------------------------------
-- $Id: pf_adder_bit.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- pf_adder_bit.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_adder_bit.vhd
--
-- Description: Implements 1 bit of the pf_adder
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_adder_bit.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:46 $
--
-- History:
-- D. Thorpe 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze counters
--
-- DET 2001-09-11
-- - Added the Rst input signal and connected it to the FDRE
-- reset input.
--
-- DET 2001-09-25
-- - Added generic to allow selection of a registered output
--
-- DET 2002-02-24
-- - Changed to call out proc_common_v1_00_b library.
-- - CHanged the use of MUXCY_L to MUXCY.
--
--
-- DET 3/25/2004 ipif to v1_00_f
-- ~~~~~~
-- - Changed call out proc_common to v2_00_a library.
-- ^^^^^^
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
library proc_common_v4_0;
Use proc_common_v4_0.inferred_lut4;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_adder_bit is
generic (
C_REGISTERED_RESULT : Boolean := true
);
port (
Clk : in std_logic;
Rst : In std_logic;
Ain : in std_logic; -- A operand
Bin : in std_logic; -- B operand
Add_sub_n : in std_logic; -- Function ('1' = add, '0' = A - B)
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic
);
end pf_adder_bit;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_adder_bit is
--- xst wrk around component LUT4 is
--- xst wrk around generic(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon : boolean;
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT : bit_vector := X"0000"
--- xst wrk around );
--- xst wrk around port (
--- xst wrk around O : out std_logic;
--- xst wrk around I0 : in std_logic;
--- xst wrk around I1 : in std_logic;
--- xst wrk around I2 : in std_logic;
--- xst wrk around I3 : in std_logic);
--- xst wrk around end component LUT4;
component inferred_lut4 is
generic (INIT : bit_vector(15 downto 0));
port (
O : out std_logic;
I0 : in std_logic;
I1 : in std_logic;
I2 : in std_logic;
I3 : in std_logic
);
end component inferred_lut4;
component MUXCY is
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
O : out std_logic);
end component MUXCY;
component XORCY is
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component XORCY;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic
);
end component FDRE;
signal lutout_AddSub : std_logic;
signal addsub_result : std_logic;
signal addsub_result_Reg : std_logic;
attribute INIT : string;
begin -- VHDL_RTL
--- xst wrk around I_ALU_LUT : LUT4
--- xst wrk around generic map(
--- xst wrk around -- synthesis translate_off
--- xst wrk around Xon => false,
--- xst wrk around -- synthesis translate_on
--- xst wrk around INIT => X"0069"
--- xst wrk around )
--- xst wrk around port map (
--- xst wrk around O => lutout_AddSub,
--- xst wrk around I0 => Bin,
--- xst wrk around I1 => Ain,
--- xst wrk around I2 => Add_sub_n,
--- xst wrk around I3 => '0');
I_ALU_LUT : inferred_lut4
generic map(
INIT => X"0069"
)
port map (
O => lutout_AddSub,
I0 => Bin,
I1 => Ain,
I2 => Add_sub_n,
I3 => '0');
MUXCY_I : MUXCY
port map (
DI => Ain,
CI => Carry_In,
S => lutout_AddSub,
O => Carry_Out);
XOR_I : XORCY
port map (
LI => lutout_AddSub,
CI => Carry_In,
O => addsub_result);
FDRE_I: FDRE
port map (
Q => addsub_result_Reg,
C => Clk,
CE => Clock_Enable,
D => addsub_result,
R => Rst
);
USE_REGISTERED_RESULT : if (C_REGISTERED_RESULT = true) generate
Result <= addsub_result_Reg; -- registered version
end generate USE_REGISTERED_RESULT;
USE_COMBINATIONAL_RESULT : if (C_REGISTERED_RESULT = false) generate
Result <= addsub_result; -- combinational version
end generate USE_COMBINATIONAL_RESULT;
end implementation;
| apache-2.0 | fd907216b0a3951f0aff628c24d1eeaa | 0.412427 | 4.953597 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/asap-alap-random/hal_asap.vhd | 1 | 1,831 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:57:10)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_asap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_asap_entity;
ARCHITECTURE hal_asap_description OF hal_asap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
register3 := input3 * 3;
register4 := input4 * 4;
register5 := input5 * 5;
WHEN "00000010" =>
IF (register1 < 6) THEN
output1 <= register1;
ELSE
output1 <= "0000000000000000000000000000110";
END IF;
register1 := register2 * register3;
register2 := register4 * 8;
output2 <= register5 + 9;
WHEN "00000011" =>
register1 := register1 - 11;
WHEN "00000100" =>
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_asap_description; | gpl-3.0 | 981a25e8814180c05aabec4776b61854 | 0.691972 | 3.293165 | false | false | false | false |
marceloboeira/vhdl-examples | 008-state-machine-calculator/seven_segment_display_driver.vhd | 1 | 2,366 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity SevenSegmentDisplayDriver is
Port (entrada: in STD_LOGIC_VECTOR (3 downto 0);
clock: in STD_LOGIC;
reset: in STD_LOGIC;
output8: out STD_LOGIC_VECTOR (7 downto 0));
end SevenSegmentDisplayDriver;
architecture Behavioral of SevenSegmentDisplayDriver is
type STD_HEX_VECTOR_BASE is array (0 to 15) of STD_LOGIC_VECTOR (0 to 7);
constant base : STD_HEX_VECTOR_BASE := (("00000011"),
("10011111"),
("00100101"),
("00001101"),
("10011001"),
("01001001"),
("01000001"),
("00011111"),
("00000001"),
("00001001"),
("11111101"),
("11000001"),
("01100011"),
("10000101"),
("01100001"),
("01110001"));
begin
process (clock, reset)
begin
if reset = '1' then
output8 <= "11111111";
elsif clock'event and clock = '1' then
case entrada is
when "0000" => output8 <= base(0);
when "0001" => output8 <= base(1);
when "0010" => output8 <= base(2);
when "0011" => output8 <= base(3);
when "0100" => output8 <= base(4);
when "0101" => output8 <= base(5);
when "0110" => output8 <= base(6);
when "0111" => output8 <= base(7);
when "1000" => output8 <= base(8);
when "1001" => output8 <= base(9);
when "1010" => output8 <= base(10);
when "1011" => output8 <= base(11);
when "1100" => output8 <= base(12);
when "1101" => output8 <= base(13);
when "1110" => output8 <= base(14);
when "1111" => output8 <= base(15);
when others => output8 <= "11111110"; -- dot only
end case;
end if;
end process;
end Behavioral;
| mit | 5a9a5f6c663cca4a869fcb05c1fcbe62 | 0.404903 | 4.918919 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/muxf_struct_f.vhd | 15 | 15,871 | -------------------------------------------------------------------------------
-- $Id: muxf_struct_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo_rbu_f - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: muxf_struct_f.vhd
--
-- Description: Given a vector of input bits, Iv (not necessarily a
-- power of two). and a select value, Sel, this block
-- will build the multiplexing function
--
-- O <= Iv(Sel)
--
-- using the MUXF (MUXF5, MUXF6, etc.) primitives of
-- the target FPGA family, C_FAMILY, if possible and,
-- otherwise, using inferred multiplexers.
--
-- Since MUXF primitives are targeted, it is proper
-- that the Iv signals are driven by LUTs.
--
-- A help entity, muxf_struct, which is instantiated
-- recursively, is used to facilitate the implementation.
-- (So, compiling this file will add two entities,
-- muxf_struct and muxf_struct_f, to the target library.)
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- muxf_struct_f.vhd
-- muxf_struct (entity and architecture in this file)
-- proc_common_pkg.vhd
--
-------------------------------------------------------------------------------
-- Author: Farrell Ostler
--
-- History:
-- FLO 12/05/05 First Version. Derived from srl_fifo_rbu.
--
-- ~~~~~~
-- FLO 2007-12-12
-- ^^^^^^
-- Using function clog2 now instead of log2 to eliminate superfluous warnings.
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
-- predecessor value by # clks: "*_p#"
---(
--------------------------------------------------------------------------------
-- This is a helper entity. The entity declaration for muxf_struct_f is
-- further, below.
--------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
use proc_common_v4_0.family_support.all; -- supported, primitives_type
library unisim;
entity muxf_struct is
generic (
C_START_LEVEL : natural;
C_NUM_INPUTS : positive;
C_NI_PO2E : positive; -- Num Inputs, Power-of-2 Envelope
C_FAMILY : string
);
port (
LO : out std_logic; -- Normally only one of
O : out std_logic; -- LO or O would be used.
Iv : in std_logic_vector(0 to C_NUM_INPUTS-1);
Sel: in std_logic_vector(0 to clog2(C_NI_PO2E)-1)
);
end entity muxf_struct;
library proc_common_v4_0;
library unisim;
use unisim.all; -- Makes unisim entities available for default binding.
--------------------------------------------------------------------------------
-- Line-length guideline purposely not followed in some places to expose parallel code structures.
--------------------------------------------------------------------------------
architecture imp of muxf_struct is
--
type bo2na_type is array(boolean) of natural;
constant bo2na : bo2na_type := (false => 0, true => 1);
--
constant SIZE : natural := Iv'length;
constant PO2E : natural := C_NI_PO2E;
constant THIS_LEVEL : natural := C_START_LEVEL + clog2(PO2E);
constant K_FAMILY : families_type := str2fam(C_FAMILY);
constant S5 : boolean := supported(K_FAMILY, u_MUXF5_D) and THIS_LEVEL = 5;
constant S6 : boolean := supported(K_FAMILY, u_MUXF6_D) and THIS_LEVEL = 6;
constant S7 : boolean := supported(K_FAMILY, u_MUXF7_D) and THIS_LEVEL = 7;
constant S8 : boolean := supported(K_FAMILY, u_MUXF8_D) and THIS_LEVEL = 8;
constant INFERRED : boolean := not(S5 or S6 or S7 or S8);
--
signal s, i0, i1 : std_logic; -- If there is no i1 at a particular mux level,
-- it is left undriven and s is tied to '0'.
component MUXF5_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXF6_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXF7_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
component MUXF8_D
port
(
LO : out std_ulogic;
O : out std_ulogic;
I0 : in std_ulogic;
I1 : in std_ulogic;
S : in std_ulogic
);
end component;
begin
-- Below, some generates and component instantiations are one per line
-- to show similarities and differences.
----------------------------------------------------------------------------
-- Base instance, just one or two inputs, no recursion.
----------------------------------------------------------------------------
E2_GEN : if PO2E=2 and SIZE=2 generate s <= Sel(0); i0 <= Iv(0); i1 <= Iv(1); end generate;
E1_GEN : if PO2E=2 and SIZE=1 generate s <= '0'; i0 <= Iv(0); end generate;-- No driver for i1
----------------------------------------------------------------------------
-- Use recursion to get lower-level mux structures to feed the mux at
-- this level.
----------------------------------------------------------------------------
GT2_GEN : if PO2E > 2 generate
constant NE : natural := PO2E/2; -- Next envelope.
constant BOTH : boolean := (SIZE > NE); -- Needs recursive call for
-- both the left and right sides; otherwise just a left-side
-- recursive call is needed (with C_NI_PO2E reduced by half) and Iv
-- passed down unchanged.
constant LSIZE : natural := bo2na(BOTH) * (2**(clog2(SIZE))/2)
+ bo2na(not BOTH) * SIZE;
-- 1st option above: LSIZE is next smaller power of 2
-- 2nd option above: SIZE is passed down unchanged
begin
LEFT_GEN : IF true generate
I_I0 : entity work.muxf_struct
generic map (C_START_LEVEL => C_START_LEVEL,
C_NUM_INPUTS => LSIZE,
C_NI_PO2E => NE,
C_FAMILY => C_FAMILY
)
port map (LO => i0,
O => open,
Iv => Iv(0 to LSIZE-1),
Sel => Sel(1 to Sel'right)
)
;
end generate;
RIGHT_GEN : IF BOTH generate
I_I1 : entity work.muxf_struct
generic map (C_START_LEVEL => C_START_LEVEL,
C_NUM_INPUTS => SIZE-LSIZE,
C_NI_PO2E => NE,
C_FAMILY => C_FAMILY
)
port map (LO => i1,
O => open,
Iv => Iv(LSIZE to SIZE-1),
Sel => Sel(1 to Sel'right)
)
;
s <= Sel(0);
end generate;
LEFT_ONLY_GEN : IF not BOTH generate
s <= '0';
end generate;
end generate;
-- Instantiate the mux at this level.
--
-- Structurals
S5_GEN : if S5 generate I_F5 : component MUXF5_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate;
S6_GEN : if S6 generate I_F6 : component MUXF6_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate;
S7_GEN : if S7 generate I_F7 : component MUXF7_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate;
S8_GEN : if S8 generate I_F8 : component MUXF8_D port map ( LO => LO, O => O, I0 => i0, I1 => i1, S => s); end generate;
-- Inferred
INFERRED_GEN : if INFERRED generate
signal h : std_logic;
begin
h <= i0 when s = '0' else i1 ;
LO <= h;
O <= h;
END generate;
end architecture imp;
---)
---(
--------------------------------------------------------------------------------
-- Generic descriptions
--------------------------------------------------------------------------------
-- C_START_LEVEL : natural - The size of the LUTs feeding into MUXFN network.
-- For example, for six-input LUTs,
-- C__START_LEVEL = 6 and the first level of muxes
-- are MUXF7.
-- C_NUM_INPUTS : positive - The number of inputs to be muxed.
-- C_FAMILY : string - The target FPGA family.
--------------------------------------------------------------------------------
-- Port descriptions
--------------------------------------------------------------------------------
-- O : out std_logic - Mux ouput
-- Iv : in std_logic_vector(0 to C_NUM_INPUTS-1) - Mux inputs
-- Sel: in std_logic_vector(0 to log2(C_NUM_INPUTS) - 1) - Select lines.
-- - The Iv values must be ordered such that the correct
-- - one is selected according to O <= Iv(Sel).
--------------------------------------------------------------------------------
--
library ieee;
use ieee.std_logic_1164.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.clog2;
--
entity muxf_struct_f is
generic (
C_START_LEVEL : natural;
C_NUM_INPUTS : positive;
C_FAMILY : string
);
port (
O : out std_logic;
Iv : in std_logic_vector(0 to C_NUM_INPUTS-1);
Sel: in std_logic_vector(0 to clog2(C_NUM_INPUTS) - 1)
);
end muxf_struct_f;
architecture imp of muxf_struct_f is
begin
MUXF_STRUCT_I : entity proc_common_v4_0.muxf_struct
generic map (
C_START_LEVEL => C_START_LEVEL,
C_NUM_INPUTS => C_NUM_INPUTS,
C_NI_PO2E => 2**clog2(C_NUM_INPUTS),
C_FAMILY => C_FAMILY
)
port map (
LO => open,
O => O,
Iv => Iv,
Sel => Sel
);
end imp;
---)
| apache-2.0 | 95c00bcbe32af65b1dca94fa4094a066 | 0.441182 | 4.590975 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/alu_bit.vhd | 1 | 31,681 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pTcamKhkuzjBX1/6nt7FNpS33fsZvB7M67pwRu5oMjAuqksUqyOY/nhDGvgOOCGe3irhmikrM/KI
7+PE5/rKcQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OhbFXCoQ3HkQRsfIzsloj+/9/PpoVrEmDN/V4RpFLnysOBJG46OJkBNH5/KVNl/cpguGDJy4wtjF
U/tO4rmxsqKGFjXx4Eu5QjJtY9Gx1iKJj9ie2HvvzmXp+76V20X7l4cD2ESp4/XKtQfe9xyYIyzD
AI7XgofOvOQuNUnJKrQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
RVSz7cWKj2ANweUgty2gWv7uQ2f4SdLrcpzjTdpVnAphx9OGDEWNfBgm4UmeQHeEbtPkG/jw8H4W
NyCURv+vwT0/eIZs4qml9Mj+Ut/3g4DfWn+3NWBos5uL0JOBN6lxqqefYY4TPgy3Hxpe3vu45fLK
lPasH0Sd4a0mYPUQBpzhFBczERmyFwczXEGRXyCag8pl/JQo9wV+YCTY7uR79HG6MRSJjEASq0ZF
azBgoEx4tjfTM1ntr8HVPkYeKipXOpg0mVVRvPyn3nf6ee8mP4f4HOP8Gyr0Wtae6dOZNu0oQzs4
QWysmm+s8e0H8c6fuYfIUchG8Hp21G8Dr/g0Sw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qYz25qIEtsmBhaLPT4kWqdFIyQWpIokOPKUEmkmxoVQaTCzgHDrmMA9JpanJ0cMvI+9YwenWZ7SO
/oLWpWxA3T3UGiPUr2dIuU5w15Hd+jmCC4nfnWXJm4o9idBNHB3dLNA8mhmin+w+0fmNTWypiL5J
2+Rsr4Hrq2n6OwIV7iU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DHAdT115gTvAU0Jm5oufKvmwtSUERMz7D8AKctvFh3yBiTbUKJfBbmnm3Necralk8OH5IoHFAZRU
Ej4+D1o3Eia/uYX0PLp1sxm5Tql+x6/h0I00vpwq4c8R/exZ+3blkGHyTHtpoMg+yCBVS2hTfqBV
rUar/KxNJOZ9A9BANcCOeJcUpuzaadmdBPHq4rUPcy1XDJE5Dc3YXcMdX8nB/KvEKV/4+rK7r0Ch
CISMUYVYs/643GUHhUlr9EYLRgHwMwGfZqDiql9DJMaxT+MWPAmi/WU1/NcjlvEChtgDDQJtZz8H
AdFqGW68m5ovEvFCM13Nnl91ECfRlO6dp61knQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21712)
`protect data_block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==
`protect end_protected
| apache-2.0 | 237c0f6692dd1a864a939ef78ee603c1 | 0.947129 | 1.844492 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_adder.vhd | 15 | 10,246 | -------------------------------------------------------------------------------
-- $Id: pf_adder.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- pf_adder - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pf_adder.vhd
--
-- Description: Parameterized adder/subtractor for Mauna Loa Packet FIFO
-- vacancy calculation. This design has a combinational
-- output. The carry out is not used by the PFIFO so it has
-- been removed.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pf_adder.vhd
--
-------------------------------------------------------------------------------
-- Author: D. Thorpe
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:46 $
--
-- History:
-- DET 2001-08-30 First Version
-- - adapted from B Tise MicroBlaze timer counters
--
-- DET 2001-09-11
-- - Added the Rst input to the pf_adder_bit component
--
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
library unisim;
use unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
entity pf_adder is
generic (
C_REGISTERED_RESULT : Boolean := false;
C_COUNT_WIDTH : integer := 10
);
port (
Clk : in std_logic;
Rst : in std_logic;
--Carry_Out : out std_logic;
Ain : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Bin : in std_logic_vector(0 to C_COUNT_WIDTH-1);
Add_sub_n : in std_logic;
result_out : out std_logic_vector(0 to C_COUNT_WIDTH-1)
);
end entity pf_adder;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture implementation of pf_adder is
component pf_adder_bit is
generic (
C_REGISTERED_RESULT : Boolean
);
port (
Clk : in std_logic;
Rst : In std_logic;
Ain : in std_logic;
Bin : in std_logic;
Add_sub_n : in std_logic;
Carry_In : in std_logic;
Clock_Enable : in std_logic;
Result : out std_logic;
Carry_Out : out std_logic);
end component pf_adder_bit;
-- component FDRE is
-- port (
-- Q : out std_logic;
-- C : in std_logic;
-- CE : in std_logic;
-- D : in std_logic;
-- R : in std_logic
-- );
-- end component FDRE;
--
constant CY_START : integer := 1;
signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH);
signal iresult_out : std_logic_vector(0 to C_COUNT_WIDTH-1);
signal count_clock_en : std_logic;
--signal carry_active_high : std_logic;
begin -- VHDL_RTL
-----------------------------------------------------------------------------
-- Generate the Counter bits
-----------------------------------------------------------------------------
alu_cy(C_COUNT_WIDTH) <= not(Add_sub_n); -- initial carry-in to adder LSB
count_clock_en <= '1';
I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-1 generate
begin
Counter_Bit_I : pf_adder_bit
Generic map(
C_REGISTERED_RESULT => C_REGISTERED_RESULT
)
port map (
Clk => Clk, -- [in]
Rst => Rst, -- [in]
Ain => Ain(i), -- [in]
Bin => Bin(i), -- [in]
Add_sub_n => Add_sub_n, -- [in]
Carry_In => alu_cy(i+CY_Start), -- [in]
Clock_Enable => count_clock_en, -- [in]
Result => iresult_out(i), -- [out]
Carry_Out => alu_cy(i+(1-CY_Start))); -- [out]
end generate I_ADDSUB_GEN;
-- carry_active_high <= alu_cy(0) xor not(Add_sub_n);
--
--
--
-- I_CARRY_OUT: FDRE
-- port map (
-- Q => Carry_Out, -- [out]
-- C => Clk, -- [in]
-- CE => count_clock_en, -- [in]
-- D => carry_active_high, -- [in]
-- R => Rst -- [in]
-- );
result_out <= iresult_out;
end architecture implementation;
| apache-2.0 | f49be86568514dfb556aa660043cf5e0 | 0.39147 | 5.047291 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/asap-alap-random/fir2_alap.vhd | 1 | 3,045 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:43:48)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_alap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_alap_entity;
ARCHITECTURE fir2_alap_description OF fir2_alap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
register3 := not input3 or input3;
register4 := not input4 or input4;
WHEN "00000010" =>
register1 := register2 + register1;
register2 := register4 + register3;
register3 := not input5 or input5;
register4 := not input6 or input6;
WHEN "00000011" =>
register1 := register1 * 8;
register2 := register2 * 10;
register3 := register4 + register3;
register4 := not input7 or input7;
register5 := not input8 or input8;
WHEN "00000100" =>
register1 := register2 + register1;
register2 := register3 * 14;
register3 := register5 + register4;
register4 := not input9 or input9;
register5 := not input10 or input10;
WHEN "00000101" =>
register1 := register2 + register1;
register2 := register3 * 18;
register3 := register5 + register4;
register4 := not input11 or input11;
register5 := not input12 or input12;
WHEN "00000110" =>
register1 := register2 + register1;
register2 := register3 * 22;
register3 := register5 + register4;
register4 := not input13 or input13;
register5 := not input14 or input14;
WHEN "00000111" =>
register1 := register2 + register1;
register2 := register3 * 26;
register3 := register5 + register4;
register4 := not input15 or input15;
register5 := not input16 or input16;
WHEN "00001000" =>
register1 := register2 + register1;
register2 := register3 * 30;
register3 := register5 + register4;
WHEN "00001001" =>
register1 := register2 + register1;
register2 := register3 * 32;
WHEN "00001010" =>
register1 := register2 + register1;
WHEN "00001011" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_alap_description; | gpl-3.0 | 5f15035014a6d585fd4e4122b33297b1 | 0.670608 | 3.181818 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_decryption_monte_carlo_testbench_128bits.vhd | 1 | 11,319 | -- Twofish_ecb_decryption_monte_carlo_testbench_128bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the Decryption Monte Carlo KAT of the twofish cipher with 128 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity ecb_decryption_monte_carlo_testbench128 is
end ecb_decryption_monte_carlo_testbench128;
architecture ecb_decryption128_monte_carlo_testbench_arch of ecb_decryption_monte_carlo_testbench128 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched128
port (
odd_in_tk128,
even_in_tk128 : in std_logic_vector(7 downto 0);
in_key_tk128 : in std_logic_vector(127 downto 0);
out_key_up_tk128,
out_key_down_tk128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched128
port (
in_key_twk128 : in std_logic_vector(127 downto 0);
out_K0_twk128,
out_K1_twk128,
out_K2_twk128,
out_K3_twk128,
out_K4_twk128,
out_K5_twk128,
out_K6_twk128,
out_K7_twk128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_decryption_round128
port (
in1_tdr128,
in2_tdr128,
in3_tdr128,
in4_tdr128,
in_Sfirst_tdr128,
in_Ssecond_tdr128,
in_key_up_tdr128,
in_key_down_tdr128 : in std_logic_vector(31 downto 0);
out1_tdr128,
out2_tdr128,
out3_tdr128,
out4_tdr128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S128
port (
in_key_ts128 : in std_logic_vector(127 downto 0);
out_Sfirst_ts128,
out_Ssecond_ts128 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_decryption_monte_carlo_testvalues_128bits.txt";
FILE output_file : text is out "twofish_ecb_decryption_monte_carlo_128bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
twofish_key,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal key_up,
key_down,
Sfirst,
Ssecond,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched128
port map (
in_key_twk128 => twofish_key,
out_K0_twk128 => K0,
out_K1_twk128 => K1,
out_K2_twk128 => K2,
out_K3_twk128 => K3,
out_K4_twk128 => K4,
out_K5_twk128 => K5,
out_K6_twk128 => K6,
out_K7_twk128 => K7
);
-- performing the input whitening XORs
from_xor0 <= K4 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K5 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K6 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K7 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched128
port map (
odd_in_tk128 => odd_number,
even_in_tk128 => even_number,
in_key_tk128 => twofish_key,
out_key_up_tk128 => key_up,
out_key_down_tk128 => key_down
);
producing_the_Skeys: twofish_S128
port map (
in_key_ts128 => twofish_key,
out_Sfirst_ts128 => Sfirst,
out_Ssecond_ts128 => Ssecond
);
the_decryption_circuit: twofish_decryption_round128
port map (
in1_tdr128 => to_round(127 downto 96),
in2_tdr128 => to_round(95 downto 64),
in3_tdr128 => to_round(63 downto 32),
in4_tdr128 => to_round(31 downto 0),
in_Sfirst_tdr128 => Sfirst,
in_Ssecond_tdr128 => Ssecond,
in_key_up_tdr128 => key_up,
in_key_down_tdr128 => key_down,
out1_tdr128 => to_encr_reg128(127 downto 96),
out2_tdr128 => to_encr_reg128(95 downto 64),
out3_tdr128 => to_encr_reg128(63 downto 32),
out4_tdr128 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K0 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K1 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K2 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K3 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
ecb_dmc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f : line; -- ciphertext from file
variable key_v, -- key vector input
pt_v , -- plaintext vector
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable intermediate_decryption_result : std_logic_vector(127 downto 0); -- holds the intermediate decryption result
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
intermediate_decryption_result := pt_v;
for counter_10000 in 0 to 9999 loop
input_data <= intermediate_decryption_result;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00100110"; -- 38
odd_number <= "00100111"; -- 39
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector((((15-round)*2)+8), 8);
odd_number <= conv_std_logic_vector((((15-round)*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
intermediate_decryption_result := output_data;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(pt_f, pt_v);
hwrite(ct_f,output_data);
writeline(output_file,key_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
assert (ct_v = output_data) report "file entry and decryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Decryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** ECB Decryption Monte Carlo Test with 128 bits key size ended succesfully! :) *****" severity failure;
end process ecb_dmc_proc;
end ecb_decryption128_monte_carlo_testbench_arch;
| gpl-2.0 | ab73b3d901a5f6779803db421d4e19db | 0.652796 | 2.739351 | false | false | false | false |
jairov4/accel-oil | impl/impl_test_single/simulation/behavioral/elaborate/lmb_bram_elaborate_v1_00_a/hdl/vhdl/lmb_bram_elaborate.vhd | 1 | 12,949 | -------------------------------------------------------------------------------
-- lmb_bram_elaborate.vhd
-------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
library UNISIM;
use UNISIM.VCOMPONENTS.ALL;
entity lmb_bram_elaborate is
generic (
C_MEMSIZE : integer;
C_PORT_DWIDTH : integer;
C_PORT_AWIDTH : integer;
C_NUM_WE : integer;
C_FAMILY : string
);
port (
BRAM_Rst_A : in std_logic;
BRAM_Clk_A : in std_logic;
BRAM_EN_A : in std_logic;
BRAM_WEN_A : in std_logic_vector(0 to C_NUM_WE-1);
BRAM_Addr_A : in std_logic_vector(0 to C_PORT_AWIDTH-1);
BRAM_Din_A : out std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Dout_A : in std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Rst_B : in std_logic;
BRAM_Clk_B : in std_logic;
BRAM_EN_B : in std_logic;
BRAM_WEN_B : in std_logic_vector(0 to C_NUM_WE-1);
BRAM_Addr_B : in std_logic_vector(0 to C_PORT_AWIDTH-1);
BRAM_Din_B : out std_logic_vector(0 to C_PORT_DWIDTH-1);
BRAM_Dout_B : in std_logic_vector(0 to C_PORT_DWIDTH-1)
);
end lmb_bram_elaborate;
architecture STRUCTURE of lmb_bram_elaborate is
component RAMB36 is
generic (
WRITE_MODE_A : string;
WRITE_MODE_B : string;
INIT_FILE : string;
READ_WIDTH_A : integer;
READ_WIDTH_B : integer;
WRITE_WIDTH_A : integer;
WRITE_WIDTH_B : integer;
RAM_EXTENSION_A : string;
RAM_EXTENSION_B : string
);
port (
ADDRA : in std_logic_vector(15 downto 0);
CASCADEINLATA : in std_logic;
CASCADEINREGA : in std_logic;
CASCADEOUTLATA : out std_logic;
CASCADEOUTREGA : out std_logic;
CLKA : in std_logic;
DIA : in std_logic_vector(31 downto 0);
DIPA : in std_logic_vector(3 downto 0);
DOA : out std_logic_vector(31 downto 0);
DOPA : out std_logic_vector(3 downto 0);
ENA : in std_logic;
REGCEA : in std_logic;
SSRA : in std_logic;
WEA : in std_logic_vector(3 downto 0);
ADDRB : in std_logic_vector(15 downto 0);
CASCADEINLATB : in std_logic;
CASCADEINREGB : in std_logic;
CASCADEOUTLATB : out std_logic;
CASCADEOUTREGB : out std_logic;
CLKB : in std_logic;
DIB : in std_logic_vector(31 downto 0);
DIPB : in std_logic_vector(3 downto 0);
DOB : out std_logic_vector(31 downto 0);
DOPB : out std_logic_vector(3 downto 0);
ENB : in std_logic;
REGCEB : in std_logic;
SSRB : in std_logic;
WEB : in std_logic_vector(3 downto 0)
);
end component;
-- Internal signals
signal net_gnd0 : std_logic;
signal net_gnd4 : std_logic_vector(3 downto 0);
signal pgassign1 : std_logic_vector(0 to 0);
signal pgassign2 : std_logic_vector(0 to 2);
signal pgassign3 : std_logic_vector(0 to 23);
signal pgassign4 : std_logic_vector(15 downto 0);
signal pgassign5 : std_logic_vector(31 downto 0);
signal pgassign6 : std_logic_vector(31 downto 0);
signal pgassign7 : std_logic_vector(3 downto 0);
signal pgassign8 : std_logic_vector(15 downto 0);
signal pgassign9 : std_logic_vector(31 downto 0);
signal pgassign10 : std_logic_vector(31 downto 0);
signal pgassign11 : std_logic_vector(3 downto 0);
signal pgassign12 : std_logic_vector(15 downto 0);
signal pgassign13 : std_logic_vector(31 downto 0);
signal pgassign14 : std_logic_vector(31 downto 0);
signal pgassign15 : std_logic_vector(3 downto 0);
signal pgassign16 : std_logic_vector(15 downto 0);
signal pgassign17 : std_logic_vector(31 downto 0);
signal pgassign18 : std_logic_vector(31 downto 0);
signal pgassign19 : std_logic_vector(3 downto 0);
signal pgassign20 : std_logic_vector(15 downto 0);
signal pgassign21 : std_logic_vector(31 downto 0);
signal pgassign22 : std_logic_vector(31 downto 0);
signal pgassign23 : std_logic_vector(3 downto 0);
signal pgassign24 : std_logic_vector(15 downto 0);
signal pgassign25 : std_logic_vector(31 downto 0);
signal pgassign26 : std_logic_vector(31 downto 0);
signal pgassign27 : std_logic_vector(3 downto 0);
signal pgassign28 : std_logic_vector(15 downto 0);
signal pgassign29 : std_logic_vector(31 downto 0);
signal pgassign30 : std_logic_vector(31 downto 0);
signal pgassign31 : std_logic_vector(3 downto 0);
signal pgassign32 : std_logic_vector(15 downto 0);
signal pgassign33 : std_logic_vector(31 downto 0);
signal pgassign34 : std_logic_vector(31 downto 0);
signal pgassign35 : std_logic_vector(3 downto 0);
begin
-- Internal assignments
pgassign1(0 to 0) <= B"1";
pgassign2(0 to 2) <= B"000";
pgassign3(0 to 23) <= B"000000000000000000000000";
pgassign4(15 downto 15) <= B"1";
pgassign4(14 downto 3) <= BRAM_Addr_A(18 to 29);
pgassign4(2 downto 0) <= B"000";
pgassign5(31 downto 8) <= B"000000000000000000000000";
pgassign5(7 downto 0) <= BRAM_Dout_A(0 to 7);
BRAM_Din_A(0 to 7) <= pgassign6(7 downto 0);
pgassign7(3 downto 3) <= BRAM_WEN_A(0 to 0);
pgassign7(2 downto 2) <= BRAM_WEN_A(0 to 0);
pgassign7(1 downto 1) <= BRAM_WEN_A(0 to 0);
pgassign7(0 downto 0) <= BRAM_WEN_A(0 to 0);
pgassign8(15 downto 15) <= B"1";
pgassign8(14 downto 3) <= BRAM_Addr_B(18 to 29);
pgassign8(2 downto 0) <= B"000";
pgassign9(31 downto 8) <= B"000000000000000000000000";
pgassign9(7 downto 0) <= BRAM_Dout_B(0 to 7);
BRAM_Din_B(0 to 7) <= pgassign10(7 downto 0);
pgassign11(3 downto 3) <= BRAM_WEN_B(0 to 0);
pgassign11(2 downto 2) <= BRAM_WEN_B(0 to 0);
pgassign11(1 downto 1) <= BRAM_WEN_B(0 to 0);
pgassign11(0 downto 0) <= BRAM_WEN_B(0 to 0);
pgassign12(15 downto 15) <= B"1";
pgassign12(14 downto 3) <= BRAM_Addr_A(18 to 29);
pgassign12(2 downto 0) <= B"000";
pgassign13(31 downto 8) <= B"000000000000000000000000";
pgassign13(7 downto 0) <= BRAM_Dout_A(8 to 15);
BRAM_Din_A(8 to 15) <= pgassign14(7 downto 0);
pgassign15(3 downto 3) <= BRAM_WEN_A(1 to 1);
pgassign15(2 downto 2) <= BRAM_WEN_A(1 to 1);
pgassign15(1 downto 1) <= BRAM_WEN_A(1 to 1);
pgassign15(0 downto 0) <= BRAM_WEN_A(1 to 1);
pgassign16(15 downto 15) <= B"1";
pgassign16(14 downto 3) <= BRAM_Addr_B(18 to 29);
pgassign16(2 downto 0) <= B"000";
pgassign17(31 downto 8) <= B"000000000000000000000000";
pgassign17(7 downto 0) <= BRAM_Dout_B(8 to 15);
BRAM_Din_B(8 to 15) <= pgassign18(7 downto 0);
pgassign19(3 downto 3) <= BRAM_WEN_B(1 to 1);
pgassign19(2 downto 2) <= BRAM_WEN_B(1 to 1);
pgassign19(1 downto 1) <= BRAM_WEN_B(1 to 1);
pgassign19(0 downto 0) <= BRAM_WEN_B(1 to 1);
pgassign20(15 downto 15) <= B"1";
pgassign20(14 downto 3) <= BRAM_Addr_A(18 to 29);
pgassign20(2 downto 0) <= B"000";
pgassign21(31 downto 8) <= B"000000000000000000000000";
pgassign21(7 downto 0) <= BRAM_Dout_A(16 to 23);
BRAM_Din_A(16 to 23) <= pgassign22(7 downto 0);
pgassign23(3 downto 3) <= BRAM_WEN_A(2 to 2);
pgassign23(2 downto 2) <= BRAM_WEN_A(2 to 2);
pgassign23(1 downto 1) <= BRAM_WEN_A(2 to 2);
pgassign23(0 downto 0) <= BRAM_WEN_A(2 to 2);
pgassign24(15 downto 15) <= B"1";
pgassign24(14 downto 3) <= BRAM_Addr_B(18 to 29);
pgassign24(2 downto 0) <= B"000";
pgassign25(31 downto 8) <= B"000000000000000000000000";
pgassign25(7 downto 0) <= BRAM_Dout_B(16 to 23);
BRAM_Din_B(16 to 23) <= pgassign26(7 downto 0);
pgassign27(3 downto 3) <= BRAM_WEN_B(2 to 2);
pgassign27(2 downto 2) <= BRAM_WEN_B(2 to 2);
pgassign27(1 downto 1) <= BRAM_WEN_B(2 to 2);
pgassign27(0 downto 0) <= BRAM_WEN_B(2 to 2);
pgassign28(15 downto 15) <= B"1";
pgassign28(14 downto 3) <= BRAM_Addr_A(18 to 29);
pgassign28(2 downto 0) <= B"000";
pgassign29(31 downto 8) <= B"000000000000000000000000";
pgassign29(7 downto 0) <= BRAM_Dout_A(24 to 31);
BRAM_Din_A(24 to 31) <= pgassign30(7 downto 0);
pgassign31(3 downto 3) <= BRAM_WEN_A(3 to 3);
pgassign31(2 downto 2) <= BRAM_WEN_A(3 to 3);
pgassign31(1 downto 1) <= BRAM_WEN_A(3 to 3);
pgassign31(0 downto 0) <= BRAM_WEN_A(3 to 3);
pgassign32(15 downto 15) <= B"1";
pgassign32(14 downto 3) <= BRAM_Addr_B(18 to 29);
pgassign32(2 downto 0) <= B"000";
pgassign33(31 downto 8) <= B"000000000000000000000000";
pgassign33(7 downto 0) <= BRAM_Dout_B(24 to 31);
BRAM_Din_B(24 to 31) <= pgassign34(7 downto 0);
pgassign35(3 downto 3) <= BRAM_WEN_B(3 to 3);
pgassign35(2 downto 2) <= BRAM_WEN_B(3 to 3);
pgassign35(1 downto 1) <= BRAM_WEN_B(3 to 3);
pgassign35(0 downto 0) <= BRAM_WEN_B(3 to 3);
net_gnd0 <= '0';
net_gnd4(3 downto 0) <= B"0000";
ramb36_0 : RAMB36
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
INIT_FILE => "lmb_bram_combined_0.mem",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE"
)
port map (
ADDRA => pgassign4,
CASCADEINLATA => net_gnd0,
CASCADEINREGA => net_gnd0,
CASCADEOUTLATA => open,
CASCADEOUTREGA => open,
CLKA => BRAM_Clk_A,
DIA => pgassign5,
DIPA => net_gnd4,
DOA => pgassign6,
DOPA => open,
ENA => BRAM_EN_A,
REGCEA => net_gnd0,
SSRA => BRAM_Rst_A,
WEA => pgassign7,
ADDRB => pgassign8,
CASCADEINLATB => net_gnd0,
CASCADEINREGB => net_gnd0,
CASCADEOUTLATB => open,
CASCADEOUTREGB => open,
CLKB => BRAM_Clk_B,
DIB => pgassign9,
DIPB => net_gnd4,
DOB => pgassign10,
DOPB => open,
ENB => BRAM_EN_B,
REGCEB => net_gnd0,
SSRB => BRAM_Rst_B,
WEB => pgassign11
);
ramb36_1 : RAMB36
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
INIT_FILE => "lmb_bram_combined_1.mem",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE"
)
port map (
ADDRA => pgassign12,
CASCADEINLATA => net_gnd0,
CASCADEINREGA => net_gnd0,
CASCADEOUTLATA => open,
CASCADEOUTREGA => open,
CLKA => BRAM_Clk_A,
DIA => pgassign13,
DIPA => net_gnd4,
DOA => pgassign14,
DOPA => open,
ENA => BRAM_EN_A,
REGCEA => net_gnd0,
SSRA => BRAM_Rst_A,
WEA => pgassign15,
ADDRB => pgassign16,
CASCADEINLATB => net_gnd0,
CASCADEINREGB => net_gnd0,
CASCADEOUTLATB => open,
CASCADEOUTREGB => open,
CLKB => BRAM_Clk_B,
DIB => pgassign17,
DIPB => net_gnd4,
DOB => pgassign18,
DOPB => open,
ENB => BRAM_EN_B,
REGCEB => net_gnd0,
SSRB => BRAM_Rst_B,
WEB => pgassign19
);
ramb36_2 : RAMB36
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
INIT_FILE => "lmb_bram_combined_2.mem",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE"
)
port map (
ADDRA => pgassign20,
CASCADEINLATA => net_gnd0,
CASCADEINREGA => net_gnd0,
CASCADEOUTLATA => open,
CASCADEOUTREGA => open,
CLKA => BRAM_Clk_A,
DIA => pgassign21,
DIPA => net_gnd4,
DOA => pgassign22,
DOPA => open,
ENA => BRAM_EN_A,
REGCEA => net_gnd0,
SSRA => BRAM_Rst_A,
WEA => pgassign23,
ADDRB => pgassign24,
CASCADEINLATB => net_gnd0,
CASCADEINREGB => net_gnd0,
CASCADEOUTLATB => open,
CASCADEOUTREGB => open,
CLKB => BRAM_Clk_B,
DIB => pgassign25,
DIPB => net_gnd4,
DOB => pgassign26,
DOPB => open,
ENB => BRAM_EN_B,
REGCEB => net_gnd0,
SSRB => BRAM_Rst_B,
WEB => pgassign27
);
ramb36_3 : RAMB36
generic map (
WRITE_MODE_A => "WRITE_FIRST",
WRITE_MODE_B => "WRITE_FIRST",
INIT_FILE => "lmb_bram_combined_3.mem",
READ_WIDTH_A => 9,
READ_WIDTH_B => 9,
WRITE_WIDTH_A => 9,
WRITE_WIDTH_B => 9,
RAM_EXTENSION_A => "NONE",
RAM_EXTENSION_B => "NONE"
)
port map (
ADDRA => pgassign28,
CASCADEINLATA => net_gnd0,
CASCADEINREGA => net_gnd0,
CASCADEOUTLATA => open,
CASCADEOUTREGA => open,
CLKA => BRAM_Clk_A,
DIA => pgassign29,
DIPA => net_gnd4,
DOA => pgassign30,
DOPA => open,
ENA => BRAM_EN_A,
REGCEA => net_gnd0,
SSRA => BRAM_Rst_A,
WEA => pgassign31,
ADDRB => pgassign32,
CASCADEINLATB => net_gnd0,
CASCADEINREGB => net_gnd0,
CASCADEOUTLATB => open,
CASCADEOUTREGB => open,
CLKB => BRAM_Clk_B,
DIB => pgassign33,
DIPB => net_gnd4,
DOB => pgassign34,
DOPB => open,
ENB => BRAM_EN_B,
REGCEB => net_gnd0,
SSRB => BRAM_Rst_B,
WEB => pgassign35
);
end architecture STRUCTURE;
| lgpl-3.0 | fbedc0ebe15646a02bbb26b70f3e3d46 | 0.599815 | 3.213151 | false | false | false | false |
grwlf/vsim | vhdl_ct/ct00592.vhd | 1 | 42,845 | -------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00592
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 4.3.4 (15)
--
-- DESIGN UNIT ORDERING:
--
-- E00000(ARCH00592)
-- ENT00592_Test_Bench(ARCH00592_Test_Bench)
--
-- REVISION HISTORY:
--
-- 19-AUG-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
architecture ARCH00592 of E00000 is
begin
process
procedure p1 is
variable correct : boolean := true ;
type a_boolean is access boolean ;
variable va_boolean_1, va_boolean_2 : a_boolean
:= new boolean ;
type a_bit is access bit ;
variable va_bit_1, va_bit_2 : a_bit
:= new bit ;
type a_severity_level is access severity_level ;
variable va_severity_level_1, va_severity_level_2 : a_severity_level
:= new severity_level ;
type a_character is access character ;
variable va_character_1, va_character_2 : a_character
:= new character ;
type a_t_enum1 is access t_enum1 ;
variable va_t_enum1_1, va_t_enum1_2 : a_t_enum1
:= new t_enum1 ;
type a_st_enum1 is access st_enum1 ;
variable va_st_enum1_1, va_st_enum1_2 : a_st_enum1
:= new st_enum1 ;
type a_integer is access integer ;
variable va_integer_1, va_integer_2 : a_integer
:= new integer ;
type a_t_int1 is access t_int1 ;
variable va_t_int1_1, va_t_int1_2 : a_t_int1
:= new t_int1 ;
type a_st_int1 is access st_int1 ;
variable va_st_int1_1, va_st_int1_2 : a_st_int1
:= new st_int1 ;
type a_time is access time ;
variable va_time_1, va_time_2 : a_time
:= new time ;
type a_t_phys1 is access t_phys1 ;
variable va_t_phys1_1, va_t_phys1_2 : a_t_phys1
:= new t_phys1 ;
type a_st_phys1 is access st_phys1 ;
variable va_st_phys1_1, va_st_phys1_2 : a_st_phys1
:= new st_phys1 ;
type a_real is access real ;
variable va_real_1, va_real_2 : a_real
:= new real ;
type a_t_real1 is access t_real1 ;
variable va_t_real1_1, va_t_real1_2 : a_t_real1
:= new t_real1 ;
type a_st_real1 is access st_real1 ;
variable va_st_real1_1, va_st_real1_2 : a_st_real1
:= new st_real1 ;
alias av_boolean_1 : a_boolean
is va_boolean_1 ;
alias av_bit_1 : a_bit
is va_bit_1 ;
alias av_severity_level_1 : a_severity_level
is va_severity_level_1 ;
alias av_character_1 : a_character
is va_character_1 ;
alias av_t_enum1_1 : a_t_enum1
is va_t_enum1_1 ;
alias av_st_enum1_1 : a_st_enum1
is va_st_enum1_1 ;
alias av_integer_1 : a_integer
is va_integer_1 ;
alias av_t_int1_1 : a_t_int1
is va_t_int1_1 ;
alias av_st_int1_1 : a_st_int1
is va_st_int1_1 ;
alias av_time_1 : a_time
is va_time_1 ;
alias av_t_phys1_1 : a_t_phys1
is va_t_phys1_1 ;
alias av_st_phys1_1 : a_st_phys1
is va_st_phys1_1 ;
alias av_real_1 : a_real
is va_real_1 ;
alias av_t_real1_1 : a_t_real1
is va_t_real1_1 ;
alias av_st_real1_1 : a_st_real1
is va_st_real1_1 ;
type a_bit_vector is access bit_vector ;
variable va_bit_vector_1, va_bit_vector_2 : a_bit_vector
:= new st_bit_vector ;
type a_string is access string ;
variable va_string_1, va_string_2 : a_string
:= new st_string ;
type a_t_rec1 is access t_rec1 ;
variable va_t_rec1_1, va_t_rec1_2 : a_t_rec1
:= new st_rec1 ;
type a_st_rec1 is access st_rec1 ;
variable va_st_rec1_1, va_st_rec1_2 : a_st_rec1
:= new st_rec1 ;
type a_t_rec2 is access t_rec2 ;
variable va_t_rec2_1, va_t_rec2_2 : a_t_rec2
:= new st_rec2 ;
type a_st_rec2 is access st_rec2 ;
variable va_st_rec2_1, va_st_rec2_2 : a_st_rec2
:= new st_rec2 ;
type a_t_rec3 is access t_rec3 ;
variable va_t_rec3_1, va_t_rec3_2 : a_t_rec3
:= new st_rec3 ;
type a_st_rec3 is access st_rec3 ;
variable va_st_rec3_1, va_st_rec3_2 : a_st_rec3
:= new st_rec3 ;
type a_t_arr1 is access t_arr1 ;
variable va_t_arr1_1, va_t_arr1_2 : a_t_arr1
:= new st_arr1 ;
type a_st_arr1 is access st_arr1 ;
variable va_st_arr1_1, va_st_arr1_2 : a_st_arr1
:= new st_arr1 ;
type a_t_arr2 is access t_arr2 ;
variable va_t_arr2_1, va_t_arr2_2 : a_t_arr2
:= new st_arr2 ;
type a_st_arr2 is access st_arr2 ;
variable va_st_arr2_1, va_st_arr2_2 : a_st_arr2
:= new st_arr2 ;
type a_t_arr3 is access t_arr3 ;
variable va_t_arr3_1, va_t_arr3_2 : a_t_arr3
:= new st_arr3 ;
type a_st_arr3 is access st_arr3 ;
variable va_st_arr3_1, va_st_arr3_2 : a_st_arr3
:= new st_arr3 ;
alias av_bit_vector_1 : a_bit_vector
is va_bit_vector_1 ;
alias av_string_1 : a_string
is va_string_1 ;
alias av_t_rec1_1 : a_t_rec1
is va_t_rec1_1 ;
alias av_st_rec1_1 : a_st_rec1
is va_st_rec1_1 ;
alias av_t_rec2_1 : a_t_rec2
is va_t_rec2_1 ;
alias av_st_rec2_1 : a_st_rec2
is va_st_rec2_1 ;
alias av_t_rec3_1 : a_t_rec3
is va_t_rec3_1 ;
alias av_st_rec3_1 : a_st_rec3
is va_st_rec3_1 ;
alias av_t_arr1_1 : a_t_arr1
is va_t_arr1_1 ;
alias av_st_arr1_1 : a_st_arr1
is va_st_arr1_1 ;
alias av_t_arr2_1 : a_t_arr2
is va_t_arr2_1 ;
alias av_st_arr2_1 : a_st_arr2
is va_st_arr2_1 ;
alias av_t_arr3_1 : a_t_arr3
is va_t_arr3_1 ;
alias av_st_arr3_1 : a_st_arr3
is va_st_arr3_1 ;
begin
-- test that variables denote same object
av_boolean_1 := new boolean'(c_boolean_1) ;
av_bit_1 := new bit'(c_bit_1) ;
av_severity_level_1 := new severity_level'(c_severity_level_1) ;
av_character_1 := new character'(c_character_1) ;
av_t_enum1_1 := new t_enum1'(c_t_enum1_1) ;
av_st_enum1_1 := new st_enum1'(c_st_enum1_1) ;
av_integer_1 := new integer'(c_integer_1) ;
av_t_int1_1 := new t_int1'(c_t_int1_1) ;
av_st_int1_1 := new st_int1'(c_st_int1_1) ;
av_time_1 := new time'(c_time_1) ;
av_t_phys1_1 := new t_phys1'(c_t_phys1_1) ;
av_st_phys1_1 := new st_phys1'(c_st_phys1_1) ;
av_real_1 := new real'(c_real_1) ;
av_t_real1_1 := new t_real1'(c_t_real1_1) ;
av_st_real1_1 := new st_real1'(c_st_real1_1) ;
correct := correct and av_boolean_1.all
= c_boolean_1 ;
correct := correct and av_bit_1.all
= c_bit_1 ;
correct := correct and av_severity_level_1.all
= c_severity_level_1 ;
correct := correct and av_character_1.all
= c_character_1 ;
correct := correct and av_t_enum1_1.all
= c_t_enum1_1 ;
correct := correct and av_st_enum1_1.all
= c_st_enum1_1 ;
correct := correct and av_integer_1.all
= c_integer_1 ;
correct := correct and av_t_int1_1.all
= c_t_int1_1 ;
correct := correct and av_st_int1_1.all
= c_st_int1_1 ;
correct := correct and av_time_1.all
= c_time_1 ;
correct := correct and av_t_phys1_1.all
= c_t_phys1_1 ;
correct := correct and av_st_phys1_1.all
= c_st_phys1_1 ;
correct := correct and av_real_1.all
= c_real_1 ;
correct := correct and av_t_real1_1.all
= c_t_real1_1 ;
correct := correct and av_st_real1_1.all
= c_st_real1_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
av_bit_vector_1 := new st_bit_vector'(c_st_bit_vector_1) ;
av_string_1 := new st_string'(c_st_string_1) ;
av_t_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_st_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_t_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_st_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_t_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_st_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_t_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_st_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_t_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_st_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_t_arr3_1 := new st_arr3'(c_st_arr3_1) ;
av_st_arr3_1 := new st_arr3'(c_st_arr3_1) ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_1 ;
correct := correct and av_string_1.all
= c_st_string_1 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_1 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
test_report ( "ARCH00592" ,
"Variable declarations - all access subtypes" ,
correct) ;
end p1 ;
--
procedure p2 (
constant lowb : integer := 1 ;
constant highb : integer := 10 ;
constant lowb_i2 : integer := 0 ;
constant highb_i2 : integer := 1000 ;
constant lowb_p : integer := -100 ;
constant highb_p : integer := 1000 ;
constant lowb_r : real := 0.0 ;
constant highb_r : real := 1000.0 ;
constant lowb_r2 : real := 8.0 ;
constant highb_r2 : real := 80.0
--
) is
variable correct : boolean := true ;
--
-- assertion: c_xxxxx_2 >= c_xxxxx_1
-- enumeration types
-- predefined
-- boolean
constant c_boolean_1 : boolean := false ;
constant c_boolean_2 : boolean := true ;
--
type boolean_vector is array (integer range <>) of boolean ;
subtype boolean_vector_range1 is integer range lowb to highb ;
subtype st_boolean_vector is boolean_vector (boolean_vector_range1) ;
constant c_st_boolean_vector_1 : st_boolean_vector :=
(others => c_boolean_1) ;
constant c_st_boolean_vector_2 : st_boolean_vector :=
(others => c_boolean_2) ;
--
-- bit
constant c_bit_1 : bit := '0' ;
constant c_bit_2 : bit := '1' ;
--
constant c_bit_vector_1 : bit_vector := B"0000" ;
constant c_bit_vector_2 : bit_vector := B"1111" ;
subtype bit_vector_range1 is integer range lowb to highb ;
subtype st_bit_vector is bit_vector (bit_vector_range1) ;
constant c_st_bit_vector_1 : st_bit_vector :=
(others => c_bit_1) ;
constant c_st_bit_vector_2 : st_bit_vector :=
(others => c_bit_2) ;
-- severity_level
constant c_severity_level_1 : severity_level := NOTE ;
constant c_severity_level_2 : severity_level := WARNING ;
--
type severity_level_vector is array (integer range <>) of severity_level ;
subtype severity_level_vector_range1 is integer range lowb to highb ;
subtype st_severity_level_vector is
severity_level_vector (severity_level_vector_range1) ;
constant c_st_severity_level_vector_1 : st_severity_level_vector :=
(others => c_severity_level_1) ;
constant c_st_severity_level_vector_2 : st_severity_level_vector :=
(others => c_severity_level_2) ;
--
-- character
constant c_character_1 : character := 'A' ;
constant c_character_2 : character := 'a' ;
--
constant c_string_1 : string := "ABC0000" ;
constant c_string_2 : string := "ABC1111" ;
subtype string_range1 is integer range lowb to highb ;
subtype st_string is string (string_range1) ;
constant c_st_string_1 : st_string :=
(others => c_character_1) ;
constant c_st_string_2 : st_string :=
(others => c_character_2) ;
-- user defined enumeration
type t_enum1 is (en1, en2, en3, en4) ;
constant c_t_enum1_1 : t_enum1 := en1 ;
constant c_t_enum1_2 : t_enum1 := en2 ;
subtype st_enum1 is t_enum1 range en4 downto en1 ;
constant c_st_enum1_1 : st_enum1 := en1 ;
constant c_st_enum1_2 : st_enum1 := en2 ;
--
type enum1_vector is array (integer range <>) of st_enum1 ;
subtype enum1_vector_range1 is integer range lowb to highb ;
subtype st_enum1_vector is enum1_vector (enum1_vector_range1) ;
constant c_st_enum1_vector_1 : st_enum1_vector :=
(others => c_st_enum1_1) ;
constant c_st_enum1_vector_2 : st_enum1_vector :=
(others => c_st_enum1_2) ;
-- integer types
-- predefined
constant c_integer_1 : integer := lowb ;
constant c_integer_2 : integer := highb ;
--
type integer_vector is array (integer range <>) of integer ;
subtype integer_vector_range1 is integer range lowb to highb ;
subtype st_integer_vector is integer_vector (integer_vector_range1) ;
constant c_st_integer_vector_1 : st_integer_vector :=
(others => c_integer_1) ;
constant c_st_integer_vector_2 : st_integer_vector :=
(others => c_integer_2) ;
--
-- user defined integer type
type t_int1 is range 0 to 100 ;
constant c_t_int1_1 : t_int1 := 0 ;
constant c_t_int1_2 : t_int1 := 10 ;
subtype st_int1 is t_int1 range 8 to 60 ;
constant c_st_int1_1 : st_int1 := 8 ;
constant c_st_int1_2 : st_int1 := 9 ;
--
type int1_vector is array (integer range <>) of st_int1 ;
subtype int1_vector_range1 is integer range lowb to highb ;
subtype st_int1_vector is int1_vector (int1_vector_range1) ;
constant c_st_int1_vector_1 : st_int1_vector :=
(others => c_st_int1_1) ;
constant c_st_int1_vector_2 : st_int1_vector :=
(others => c_st_int1_2) ;
--
-- physical types
-- predefined
constant c_time_1 : time := 1 ns ;
constant c_time_2 : time := 2 ns ;
--
type time_vector is array (integer range <>) of time ;
subtype time_vector_range1 is integer range lowb to highb ;
subtype st_time_vector is time_vector (time_vector_range1) ;
constant c_st_time_vector_1 : st_time_vector :=
(others => c_time_1) ;
constant c_st_time_vector_2 : st_time_vector :=
(others => c_time_2) ;
--
-- user defined physical type
type t_phys1 is range -100 to 1000
units
phys1_1 ;
phys1_2 = 10 phys1_1 ;
phys1_3 = 10 phys1_2 ;
phys1_4 = 10 phys1_3 ;
phys1_5 = 10 phys1_4 ;
end units ;
--
constant c_t_phys1_1 : t_phys1 := phys1_1 ;
constant c_t_phys1_2 : t_phys1 := phys1_2 ;
subtype st_phys1 is t_phys1 range phys1_2 to phys1_4 ;
constant c_st_phys1_1 : st_phys1 := phys1_2 ;
constant c_st_phys1_2 : st_phys1 := phys1_3 ;
--
type phys1_vector is array (integer range <>) of st_phys1 ;
subtype phys1_vector_range1 is integer range lowb to highb ;
subtype st_phys1_vector is phys1_vector (phys1_vector_range1) ;
constant c_st_phys1_vector_1 : st_phys1_vector :=
(others => c_st_phys1_1) ;
constant c_st_phys1_vector_2 : st_phys1_vector :=
(others => c_st_phys1_2) ;
--
--
-- floating point types
-- predefined
constant c_real_1 : real := 0.0 ;
constant c_real_2 : real := 1.0 ;
--
type real_vector is array (integer range <>) of real ;
subtype real_vector_range1 is integer range lowb to highb ;
subtype st_real_vector is real_vector (real_vector_range1) ;
constant c_st_real_vector_1 : st_real_vector :=
(others => c_real_1) ;
constant c_st_real_vector_2 : st_real_vector :=
(others => c_real_2) ;
--
-- user defined floating type
type t_real1 is range 0.0 to 1000.0 ;
constant c_t_real1_1 : t_real1 := 0.0 ;
constant c_t_real1_2 : t_real1 := 1.0 ;
subtype st_real1 is t_real1 range 8.0 to 80.0 ;
constant c_st_real1_1 : st_real1 := 8.0 ;
constant c_st_real1_2 : st_real1 := 9.0 ;
--
type real1_vector is array (integer range <>) of st_real1 ;
subtype real1_vector_range1 is integer range lowb to highb ;
subtype st_real1_vector is real1_vector (real1_vector_range1) ;
constant c_st_real1_vector_1 : st_real1_vector :=
(others => c_st_real1_1) ;
constant c_st_real1_vector_2 : st_real1_vector :=
(others => c_st_real1_2) ;
-- composite types
--
-- simple record
type t_rec1 is record
f1 : integer range lowb_i2 to highb_i2 ;
f2 : time ;
f3 : boolean ;
f4 : real ;
end record ;
constant c_t_rec1_1 : t_rec1 :=
(c_integer_1, c_time_1, c_boolean_1, c_real_1) ;
constant c_t_rec1_2 : t_rec1 :=
(c_integer_2, c_time_2, c_boolean_2, c_real_2) ;
subtype st_rec1 is t_rec1 ;
constant c_st_rec1_1 : st_rec1 := c_t_rec1_1 ;
constant c_st_rec1_2 : st_rec1 := c_t_rec1_2 ;
--
type rec1_vector is array (integer range <>) of st_rec1 ;
subtype rec1_vector_range1 is integer range lowb to highb ;
subtype st_rec1_vector is rec1_vector (rec1_vector_range1) ;
constant c_st_rec1_vector_1 : st_rec1_vector :=
(others => c_st_rec1_1) ;
constant c_st_rec1_vector_2 : st_rec1_vector :=
(others => c_st_rec1_2) ;
--
--
-- more complex record
type t_rec2 is record
f1 : boolean ;
f2 : st_rec1 ;
f3 : time ;
end record ;
constant c_t_rec2_1 : t_rec2 :=
(c_boolean_1, c_st_rec1_1, c_time_1) ;
constant c_t_rec2_2 : t_rec2 :=
(c_boolean_2, c_st_rec1_2, c_time_2) ;
subtype st_rec2 is t_rec2 ;
constant c_st_rec2_1 : st_rec2 := c_t_rec2_1 ;
constant c_st_rec2_2 : st_rec2 := c_t_rec2_2 ;
--
type rec2_vector is array (integer range <>) of st_rec2 ;
subtype rec2_vector_range1 is integer range lowb to highb ;
subtype st_rec2_vector is rec2_vector (rec2_vector_range1) ;
constant c_st_rec2_vector_1 : st_rec2_vector :=
(others => c_st_rec2_1) ;
constant c_st_rec2_vector_2 : st_rec2_vector :=
(others => c_st_rec2_2) ;
--
-- simple array
type t_arr1 is array (integer range <>) of st_int1 ;
subtype t_arr1_range1 is integer range lowb to highb ;
subtype st_arr1 is t_arr1 (t_arr1_range1) ;
constant c_st_arr1_1 : st_arr1 := (others => c_st_int1_1) ;
constant c_st_arr1_2 : st_arr1 := (others => c_st_int1_2) ;
constant c_t_arr1_1 : st_arr1 := c_st_arr1_1 ;
constant c_t_arr1_2 : st_arr1 := c_st_arr1_2 ;
--
type arr1_vector is array (integer range <>) of st_arr1 ;
subtype arr1_vector_range1 is integer range lowb to highb ;
subtype st_arr1_vector is arr1_vector (arr1_vector_range1) ;
constant c_st_arr1_vector_1 : st_arr1_vector :=
(others => c_st_arr1_1) ;
constant c_st_arr1_vector_2 : st_arr1_vector :=
(others => c_st_arr1_2) ;
-- more complex array
type t_arr2 is array (integer range <>, boolean range <>) of st_arr1 ;
subtype t_arr2_range1 is integer range lowb to highb ;
subtype t_arr2_range2 is boolean range false to true ;
subtype st_arr2 is t_arr2 (t_arr2_range1, t_arr2_range2);
constant c_st_arr2_1 : st_arr2 := (others => (others => c_st_arr1_1)) ;
constant c_st_arr2_2 : st_arr2 := (others => (others => c_st_arr1_2)) ;
constant c_t_arr2_1 : st_arr2 := c_st_arr2_1 ;
constant c_t_arr2_2 : st_arr2 := c_st_arr2_2 ;
--
type arr2_vector is array (integer range <>) of st_arr2 ;
subtype arr2_vector_range1 is integer range lowb to highb ;
subtype st_arr2_vector is arr2_vector (arr2_vector_range1) ;
constant c_st_arr2_vector_1 : st_arr2_vector :=
(others => c_st_arr2_1) ;
constant c_st_arr2_vector_2 : st_arr2_vector :=
(others => c_st_arr2_2) ;
--
--
-- most complex record
type t_rec3 is record
f1 : boolean ;
f2 : st_rec2 ;
f3 : st_arr2 ;
end record ;
constant c_t_rec3_1 : t_rec3 :=
(c_boolean_1, c_st_rec2_1, c_st_arr2_1) ;
constant c_t_rec3_2 : t_rec3 :=
(c_boolean_2, c_st_rec2_2, c_st_arr2_2) ;
subtype st_rec3 is t_rec3 ;
constant c_st_rec3_1 : st_rec3 := c_t_rec3_1 ;
constant c_st_rec3_2 : st_rec3 := c_t_rec3_2 ;
--
type rec3_vector is array (integer range <>) of st_rec3 ;
subtype rec3_vector_range1 is integer range lowb to highb ;
subtype st_rec3_vector is rec3_vector (rec3_vector_range1) ;
constant c_st_rec3_vector_1 : st_rec3_vector :=
(others => c_st_rec3_1) ;
constant c_st_rec3_vector_2 : st_rec3_vector :=
(others => c_st_rec3_2) ;
--
-- most complex array
type t_arr3 is array (integer range <>, boolean range <>) of st_rec3 ;
subtype t_arr3_range1 is integer range lowb to highb ;
subtype t_arr3_range2 is boolean range true downto false ;
subtype st_arr3 is t_arr3 (t_arr3_range1, t_arr3_range2) ;
constant c_st_arr3_1 : st_arr3 := (others => (others => c_st_rec3_1)) ;
constant c_st_arr3_2 : st_arr3 := (others => (others => c_st_rec3_2)) ;
constant c_t_arr3_1 : st_arr3 := c_st_arr3_1 ;
constant c_t_arr3_2 : st_arr3 := c_st_arr3_2 ;
--
type arr3_vector is array (integer range <>) of st_arr3 ;
subtype arr3_vector_range1 is integer range lowb to highb ;
subtype st_arr3_vector is arr3_vector (arr3_vector_range1) ;
constant c_st_arr3_vector_1 : st_arr3_vector :=
(others => c_st_arr3_1) ;
constant c_st_arr3_vector_2 : st_arr3_vector :=
(others => c_st_arr3_2) ;
--
-- enumeration types
-- predefined
-- boolean
function bf_boolean(to_resolve : boolean_vector) return boolean is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return boolean'left ;
else
for i in to_resolve'range loop
sum := sum + boolean'pos(to_resolve(i)) ;
end loop ;
return boolean'val(integer'pos(sum) mod
(boolean'pos(boolean'high) + 1)) ;
end if ;
end bf_boolean ;
--
--
-- bit
function bf_bit(to_resolve : bit_vector) return bit is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return bit'left ;
else
for i in to_resolve'range loop
sum := sum + bit'pos(to_resolve(i)) ;
end loop ;
return bit'val(integer'pos(sum) mod
(bit'pos(bit'high) + 1)) ;
end if ;
end bf_bit ;
--
-- severity_level
function bf_severity_level(to_resolve : severity_level_vector)
return severity_level is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return severity_level'left ;
else
for i in to_resolve'range loop
sum := sum + severity_level'pos(to_resolve(i)) ;
end loop ;
return severity_level'val(integer'pos(sum) mod
(severity_level'pos(severity_level'high) + 1)) ;
end if ;
end bf_severity_level ;
--
-- character
function bf_character(to_resolve : string) return character is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return character'left ;
else
for i in to_resolve'range loop
sum := sum + character'pos(to_resolve(i)) ;
end loop ;
return character'val(integer'pos(sum) mod
(character'pos(character'high) + 1)) ;
end if ;
end bf_character ;
--
--
-- user defined enumeration
function bf_enum1(to_resolve : enum1_vector) return st_enum1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return st_enum1'left ;
else
for i in to_resolve'range loop
sum := sum + t_enum1'pos(to_resolve(i)) ;
end loop ;
return t_enum1'val(integer'pos(sum) mod
(t_enum1'pos(t_enum1'high) + 1)) ;
end if ;
end bf_enum1 ;
--
--
-- integer types
-- predefined
function bf_integer(to_resolve : integer_vector) return integer is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return integer'left ;
else
for i in to_resolve'range loop
sum := sum + integer'pos(to_resolve(i)) ;
end loop ;
return sum ;
end if ;
end bf_integer ;
--
--
-- user defined integer type
function bf_int1(to_resolve : int1_vector) return st_int1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return st_int1'left ;
else
for i in to_resolve'range loop
sum := sum + t_int1'pos(to_resolve(i)) ;
end loop ;
return t_int1'val(integer'pos(sum) mod
(t_int1'pos(t_int1'high) + 1)) ;
end if ;
end bf_int1 ;
--
--
-- physical types
-- predefined
function bf_time(to_resolve : time_vector) return time is
variable sum : time := 0 fs;
begin
if to_resolve'length = 0 then
return time'left ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_time ;
--
--
-- user defined physical type
function bf_phys1(to_resolve : phys1_vector) return st_phys1 is
variable sum : integer := 0 ;
begin
if to_resolve'length = 0 then
return c_st_phys1_1 ;
else
for i in to_resolve'range loop
sum := sum + t_phys1'pos(to_resolve(i)) ;
end loop ;
return t_phys1'val(integer'pos(sum) mod
(t_phys1'pos(t_phys1'high) + 1)) ;
end if ;
end bf_phys1 ;
--
--
-- floating point types
-- predefined
function bf_real(to_resolve : real_vector) return real is
variable sum : real := 0.0 ;
begin
if to_resolve'length = 0 then
return real'left ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_real ;
--
--
-- user defined floating type
function bf_real1(to_resolve : real1_vector) return st_real1 is
variable sum : t_real1 := 0.0 ;
begin
if to_resolve'length = 0 then
return c_st_real1_1 ;
else
for i in to_resolve'range loop
sum := sum + to_resolve(i) ;
end loop ;
return sum ;
end if ;
end bf_real1 ;
--
--
-- composite types
--
-- simple record
function bf_rec1(to_resolve : rec1_vector) return st_rec1 is
variable f1array : integer_vector (to_resolve'range) ;
variable f2array : time_vector (to_resolve'range) ;
variable f3array : boolean_vector (to_resolve'range) ;
variable f4array : real_vector (to_resolve'range) ;
variable result : st_rec1 ;
begin
if to_resolve'length = 0 then
return c_st_rec1_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
f4array(i) := to_resolve(i).f4 ;
end loop ;
result.f1 := bf_integer(f1array) ;
result.f2 := bf_time(f2array) ;
result.f3 := bf_boolean(f3array) ;
result.f4 := bf_real(f4array) ;
return result ;
end if ;
end bf_rec1 ;
--
--
-- more complex record
function bf_rec2(to_resolve : rec2_vector) return st_rec2 is
variable f1array : boolean_vector (to_resolve'range) ;
variable f2array : rec1_vector (to_resolve'range) ;
variable f3array : time_vector (to_resolve'range) ;
variable result : st_rec2 ;
begin
if to_resolve'length = 0 then
return c_st_rec2_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
end loop ;
result.f1 := bf_boolean(f1array) ;
result.f2 := bf_rec1(f2array) ;
result.f3 := bf_time(f3array) ;
return result ;
end if ;
end bf_rec2 ;
--
--
-- simple array
function bf_arr1(to_resolve : arr1_vector) return st_arr1 is
variable temp : int1_vector (to_resolve'range) ;
variable result : st_arr1 ;
begin
if to_resolve'length = 0 then
return c_st_arr1_1 ;
else
for i in st_arr1'range loop
for j in to_resolve'range(1) loop
temp(j) := to_resolve(j)(i) ;
end loop;
result(i) := bf_int1(temp) ;
end loop ;
return result ;
end if ;
end bf_arr1 ;
--
--
-- more complex array
function bf_arr2(to_resolve : arr2_vector) return st_arr2 is
variable temp : arr1_vector (to_resolve'range) ;
variable result : st_arr2 ;
begin
if to_resolve'length = 0 then
return c_st_arr2_1 ;
else
for i in st_arr2'range(1) loop
for j in st_arr2'range(2) loop
for k in to_resolve'range loop
temp(k) := to_resolve(k)(i,j) ;
end loop ;
result(i, j) := bf_arr1(temp) ;
end loop ;
end loop ;
return result ;
end if ;
end bf_arr2 ;
--
--
-- most complex record
function bf_rec3(to_resolve : rec3_vector) return st_rec3 is
variable f1array : boolean_vector (to_resolve'range) ;
variable f2array : rec2_vector (to_resolve'range) ;
variable f3array : arr2_vector (to_resolve'range) ;
variable result : st_rec3 ;
begin
if to_resolve'length = 0 then
return c_st_rec3_1 ;
else
for i in to_resolve'range loop
f1array(i) := to_resolve(i).f1 ;
f2array(i) := to_resolve(i).f2 ;
f3array(i) := to_resolve(i).f3 ;
end loop ;
result.f1 := bf_boolean(f1array) ;
result.f2 := bf_rec2(f2array) ;
result.f3 := bf_arr2(f3array) ;
return result ;
end if ;
end bf_rec3 ;
--
--
-- most complex array
function bf_arr3(to_resolve : arr3_vector) return st_arr3 is
variable temp : rec3_vector (to_resolve'range) ;
variable result : st_arr3 ;
begin
if to_resolve'length = 0 then
return c_st_arr3_1 ;
else
for i in st_arr3'range(1) loop
for j in st_arr3'range(2) loop
for k in to_resolve'range loop
temp(k) := to_resolve(k)(i,j) ;
end loop ;
result(i, j) := bf_rec3(temp) ;
end loop ;
end loop ;
return result ;
end if ;
end bf_arr3 ;
--
type a_bit_vector is access bit_vector ;
variable va_bit_vector_1, va_bit_vector_2 : a_bit_vector
:= new st_bit_vector ;
type a_string is access string ;
variable va_string_1, va_string_2 : a_string
:= new st_string ;
type a_t_rec1 is access t_rec1 ;
variable va_t_rec1_1, va_t_rec1_2 : a_t_rec1
:= new st_rec1 ;
type a_st_rec1 is access st_rec1 ;
variable va_st_rec1_1, va_st_rec1_2 : a_st_rec1
:= new st_rec1 ;
type a_t_rec2 is access t_rec2 ;
variable va_t_rec2_1, va_t_rec2_2 : a_t_rec2
:= new st_rec2 ;
type a_st_rec2 is access st_rec2 ;
variable va_st_rec2_1, va_st_rec2_2 : a_st_rec2
:= new st_rec2 ;
type a_t_rec3 is access t_rec3 ;
variable va_t_rec3_1, va_t_rec3_2 : a_t_rec3
:= new st_rec3 ;
type a_st_rec3 is access st_rec3 ;
variable va_st_rec3_1, va_st_rec3_2 : a_st_rec3
:= new st_rec3 ;
type a_t_arr1 is access t_arr1 ;
variable va_t_arr1_1, va_t_arr1_2 : a_t_arr1
:= new st_arr1 ;
type a_st_arr1 is access st_arr1 ;
variable va_st_arr1_1, va_st_arr1_2 : a_st_arr1
:= new st_arr1 ;
type a_t_arr2 is access t_arr2 ;
variable va_t_arr2_1, va_t_arr2_2 : a_t_arr2
:= new st_arr2 ;
type a_st_arr2 is access st_arr2 ;
variable va_st_arr2_1, va_st_arr2_2 : a_st_arr2
:= new st_arr2 ;
type a_t_arr3 is access t_arr3 ;
variable va_t_arr3_1, va_t_arr3_2 : a_t_arr3
:= new st_arr3 ;
type a_st_arr3 is access st_arr3 ;
variable va_st_arr3_1, va_st_arr3_2 : a_st_arr3
:= new st_arr3 ;
alias av_bit_vector_1 : a_bit_vector
is va_bit_vector_1 ;
alias av_string_1 : a_string
is va_string_1 ;
alias av_t_rec1_1 : a_t_rec1
is va_t_rec1_1 ;
alias av_st_rec1_1 : a_st_rec1
is va_st_rec1_1 ;
alias av_t_rec2_1 : a_t_rec2
is va_t_rec2_1 ;
alias av_st_rec2_1 : a_st_rec2
is va_st_rec2_1 ;
alias av_t_rec3_1 : a_t_rec3
is va_t_rec3_1 ;
alias av_st_rec3_1 : a_st_rec3
is va_st_rec3_1 ;
alias av_t_arr1_1 : a_t_arr1
is va_t_arr1_1 ;
alias av_st_arr1_1 : a_st_arr1
is va_st_arr1_1 ;
alias av_t_arr2_1 : a_t_arr2
is va_t_arr2_1 ;
alias av_st_arr2_1 : a_st_arr2
is va_st_arr2_1 ;
alias av_t_arr3_1 : a_t_arr3
is va_t_arr3_1 ;
alias av_st_arr3_1 : a_st_arr3
is va_st_arr3_1 ;
begin
av_bit_vector_1 := new st_bit_vector'(c_st_bit_vector_1) ;
av_string_1 := new st_string'(c_st_string_1) ;
av_t_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_st_rec1_1 := new st_rec1'(c_st_rec1_1) ;
av_t_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_st_rec2_1 := new st_rec2'(c_st_rec2_1) ;
av_t_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_st_rec3_1 := new st_rec3'(c_st_rec3_1) ;
av_t_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_st_arr1_1 := new st_arr1'(c_st_arr1_1) ;
av_t_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_st_arr2_1 := new st_arr2'(c_st_arr2_1) ;
av_t_arr3_1 := new st_arr3'(c_st_arr3_1) ;
av_st_arr3_1 := new st_arr3'(c_st_arr3_1) ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_1 ;
correct := correct and av_string_1.all
= c_st_string_1 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_1 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_1 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_1 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_1 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_1 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_1 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_1 ;
av_bit_vector_1.all := c_st_bit_vector_2 ;
av_string_1.all := c_st_string_2 ;
av_t_rec1_1.all := c_st_rec1_2 ;
av_st_rec1_1.all := c_st_rec1_2 ;
av_t_rec2_1.all := c_st_rec2_2 ;
av_st_rec2_1.all := c_st_rec2_2 ;
av_t_rec3_1.all := c_st_rec3_2 ;
av_st_rec3_1.all := c_st_rec3_2 ;
av_t_arr1_1.all := c_st_arr1_2 ;
av_st_arr1_1.all := c_st_arr1_2 ;
av_t_arr2_1.all := c_st_arr2_2 ;
av_st_arr2_1.all := c_st_arr2_2 ;
av_t_arr3_1.all := c_st_arr3_2 ;
av_st_arr3_1.all := c_st_arr3_2 ;
correct := correct and av_bit_vector_1.all
= c_st_bit_vector_2 ;
correct := correct and av_string_1.all
= c_st_string_2 ;
correct := correct and av_t_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_st_rec1_1.all
= c_st_rec1_2 ;
correct := correct and av_t_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_st_rec2_1.all
= c_st_rec2_2 ;
correct := correct and av_t_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_st_rec3_1.all
= c_st_rec3_2 ;
correct := correct and av_t_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_st_arr1_1.all
= c_st_arr1_2 ;
correct := correct and av_t_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_st_arr2_1.all
= c_st_arr2_2 ;
correct := correct and av_t_arr3_1.all
= c_st_arr3_2 ;
correct := correct and av_st_arr3_1.all
= c_st_arr3_2 ;
test_report ( "ARCH00592" ,
"Alias declarations - composite dynamic access subtypes" ,
correct) ;
end p2 ;
begin
p1 ;
p2 ;
wait ;
end process ;
end ARCH00592 ;
--
entity ENT00592_Test_Bench is
end ENT00592_Test_Bench ;
--
architecture ARCH00592_Test_Bench of ENT00592_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00592 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00592_Test_Bench ;
| gpl-3.0 | c83523fe368bfbf019ea294fc63b76f3 | 0.52879 | 2.961568 | false | false | false | false |
jairov4/accel-oil | solution_virtex5_plb/impl/pcores/nfa_accept_samples_generic_hw_top_v1_01_a/synhdl/vhdl/indices_if_ap_fifo.vhd | 3 | 2,805 | -- ==============================================================
-- File generated by Vivado(TM) HLS - High-Level Synthesis from C, C++ and SystemC
-- Version: 2014.1
-- Copyright (C) 2014 Xilinx Inc. All rights reserved.
--
-- ==============================================================
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity indices_if_ap_fifo is
generic (
DATA_WIDTH : integer := 32;
ADDR_WIDTH : integer := 16;
DEPTH : integer := 1);
port (
clk : IN STD_LOGIC;
reset : IN STD_LOGIC;
if_empty_n : OUT STD_LOGIC;
if_read_ce : IN STD_LOGIC;
if_read : IN STD_LOGIC;
if_dout : OUT STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
if_full_n : OUT STD_LOGIC;
if_write_ce : IN STD_LOGIC;
if_write : IN STD_LOGIC;
if_din : IN STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0));
end entity;
architecture rtl of indices_if_ap_fifo is
type memtype is array (0 to DEPTH - 1) of STD_LOGIC_VECTOR(DATA_WIDTH - 1 downto 0);
signal mStorage : memtype := (others => (others => '0'));
signal mInPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal mOutPtr : UNSIGNED(ADDR_WIDTH - 1 downto 0) := (others => '0');
signal internal_empty_n, internal_full_n : STD_LOGIC;
signal mFlag_nEF_hint : STD_LOGIC := '0'; -- 0: empty hint, 1: full hint
begin
if_dout <= mStorage(CONV_INTEGER(mOutPtr));
if_empty_n <= internal_empty_n;
if_full_n <= internal_full_n;
internal_empty_n <= '0' when mInPtr = mOutPtr and mFlag_nEF_hint = '0' else '1';
internal_full_n <= '0' when mInptr = mOutPtr and mFlag_nEF_hint = '1' else '1';
process (clk, reset)
begin
if reset = '1' then
mInPtr <= (others => '0');
mOutPtr <= (others => '0');
mFlag_nEF_hint <= '0'; -- empty hint
elsif clk'event and clk = '1' then
if if_read_ce = '1' and if_read = '1' and internal_empty_n = '1' then
if (mOutPtr = DEPTH -1) then
mOutPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mOutPtr <= mOutPtr + 1;
end if;
end if;
if if_write_ce = '1' and if_write = '1' and internal_full_n = '1' then
mStorage(CONV_INTEGER(mInPtr)) <= if_din;
if (mInPtr = DEPTH -1) then
mInPtr <= (others => '0');
mFlag_nEF_hint <= not mFlag_nEF_hint;
else
mInPtr <= mInPtr + 1;
end if;
end if;
end if;
end process;
end architecture;
| lgpl-3.0 | 434fa1fde473aed1074f936a7be455d7 | 0.494474 | 3.68594 | false | false | false | false |
takeshineshiro/fpga_fibre_scan | HUCB2P0_150701/frontend/r2p_pre.vhd | 1 | 1,620 | --
-- r2p_pre.vhd
--
-- Cordic pre-processing block
--
--
-- step 1: determine quadrant and generate absolute value of X and Y
-- Q1: Xnegative
-- Q2: Ynegative
--
-- step 2: swap X and Y values if Y>X
-- Q3: swapped (Y > X)
--
-- Rev. 1.1 June 4th, 2001. Richard Herveille. Revised entire core.
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all;
entity r2p_pre is
port(
clk : in std_logic;
ena : in std_logic;
Xi : in signed(15 downto 0);
Yi : in signed(15 downto 0);
Xo : out unsigned(14 downto 0);
Yo : out unsigned(14 downto 0);
Q : out std_logic_vector(2 downto 0));
end entity r2p_pre;
architecture dataflow of r2p_pre is
signal Xint1, Yint1 : unsigned(14 downto 0);
signal Xneg, Yneg, swap : std_logic;
begin
--
-- step 1: Determine absolute value of X and Y, set Xneg and Yneg
-- Loose the sign-bit.
Step1: process(clk, Xi, Yi)
begin
if (clk'event and clk = '1') then
if (ena = '1') then
Xint1 <= conv_unsigned(abs(Xi), 15);
Xneg <= Xi(Xi'left);
Yint1 <= conv_unsigned(abs(Yi), 15);
Yneg <= Yi(Yi'left);
end if;
end if;
end process Step1;
--
-- step 2: Swap X and Y if Y>X
--
Step2: process(clk, Xint1, Yint1)
variable Xint2, Yint2 : unsigned(14 downto 0);
begin
if (Yint1 > Xint1) then
swap <= '1';
Xint2 := Yint1;
Yint2 := Xint1;
else
swap <= '0';
Xint2 := Xint1;
Yint2 := Yint1;
end if;
if(clk'event and clk = '1') then
if (ena = '1') then
Xo <= Xint2;
Yo <= Yint2;
Q <= (Yneg, Xneg, swap);
end if;
end if;
end process Step2;
end architecture dataflow;
| apache-2.0 | 2827c04a8b30957bc4507629b29f46d6 | 0.609877 | 2.473282 | false | false | false | false |
grwlf/vsim | vhdl_ct/ct00257.vhd | 1 | 3,029 | -- NEED RESULT: Passive concurrent procedure call in interface shared among architectures
-- NEED RESULT: ENT00257: Passive process statement in interface shared amongarchitectures
-- NEED RESULT: Passive concurrent procedure call in interface shared among architectures
-- NEED RESULT: ENT00257: Passive process statement in interface shared amongarchitectures
-- NEED RESULT: *** Examine log file to verify that the followingtwo messages appear twice:
-- NEED RESULT: Passive concurrent procedure call in interface sharedamong architectures
-- NEED RESULT: Passive process statement in interface shared amongarchitectures
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00257
--
-- AUTHOR:
--
-- A. Wilmot
--
-- TEST OBJECTIVES:
--
-- 1.1.3 (1)
-- 1.1.3 (3)
--
-- DESIGN UNIT ORDERING:
--
-- ENT00257(ARCH00257)
-- ENT00257(ARCH00257_1)
-- ENT00257_Test_Bench(ARCH00257_Test_Bench)
--
-- REVISION HISTORY:
--
-- 16-JUL-1987 - initial revision
--
-- NOTES:
--
-- simulation log file needs to be checked for result of assertion
--
use WORK.STANDARD_TYPES.all ;
entity ENT00257 is
port ( s : out boolean ) ;
procedure proc is
begin
assert false
report "Passive concurrent procedure call in interface shared" &
" among architectures"
severity note ;
end proc ;
begin
P1 : proc ;
P2 :
process
begin
assert false
report "ENT00257: Passive process statement in interface shared among" &
"architectures"
severity note ;
wait ;
end process P2 ;
end ENT00257 ;
architecture ARCH00257 of ENT00257 is
begin
A1 : s <= true ;
end ARCH00257 ;
architecture ARCH00257_1 of ENT00257 is
begin
A1 : s <= false ;
end ARCH00257_1 ;
use WORK.STANDARD_TYPES.all ;
entity ENT00257_Test_Bench is
end ENT00257_Test_Bench ;
architecture ARCH00257_Test_Bench of ENT00257_Test_Bench is
begin
L1:
block
signal s1, s2 : boolean := true ;
component UUT
end component ;
for CIS1 : UUT use entity WORK.ENT00257 ( ARCH00257 )
port map ( s1 ) ;
for CIS2 : UUT use entity WORK.ENT00257 ( ARCH00257_1 )
port map ( s2 ) ;
begin
CIS1 : UUT ;
CIS2 : UUT ;
process ( s1, s2 )
begin
if s1 = true and s2 = false then
print ( "*** Examine log file to verify that the following" &
"two messages appear twice:" ) ;
print ( "Passive concurrent procedure call in interface shared" &
"among architectures" ) ;
print ( "Passive process statement in interface shared among" &
"architectures" ) ;
end if ;
end process ;
end block L1 ;
end ARCH00257_Test_Bench ;
| gpl-3.0 | 2e3bdeeb3e8fef16fae2a72de671c40c | 0.598547 | 3.758065 | false | true | false | false |
TWW12/lzw | ip_repo/axi_compression_1.0/src/output_fifo_1/synth/output_fifo.vhd | 3 | 38,832 | -- (c) Copyright 1995-2017 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- DO NOT MODIFY THIS FILE.
-- IP VLNV: xilinx.com:ip:fifo_generator:13.1
-- IP Revision: 3
LIBRARY ieee;
USE ieee.std_logic_1164.ALL;
USE ieee.numeric_std.ALL;
LIBRARY fifo_generator_v13_1_3;
USE fifo_generator_v13_1_3.fifo_generator_v13_1_3;
ENTITY output_fifo IS
PORT (
clk : IN STD_LOGIC;
srst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
full : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC
);
END output_fifo;
ARCHITECTURE output_fifo_arch OF output_fifo IS
ATTRIBUTE DowngradeIPIdentifiedWarnings : STRING;
ATTRIBUTE DowngradeIPIdentifiedWarnings OF output_fifo_arch: ARCHITECTURE IS "yes";
COMPONENT fifo_generator_v13_1_3 IS
GENERIC (
C_COMMON_CLOCK : INTEGER;
C_SELECT_XPM : INTEGER;
C_COUNT_TYPE : INTEGER;
C_DATA_COUNT_WIDTH : INTEGER;
C_DEFAULT_VALUE : STRING;
C_DIN_WIDTH : INTEGER;
C_DOUT_RST_VAL : STRING;
C_DOUT_WIDTH : INTEGER;
C_ENABLE_RLOCS : INTEGER;
C_FAMILY : STRING;
C_FULL_FLAGS_RST_VAL : INTEGER;
C_HAS_ALMOST_EMPTY : INTEGER;
C_HAS_ALMOST_FULL : INTEGER;
C_HAS_BACKUP : INTEGER;
C_HAS_DATA_COUNT : INTEGER;
C_HAS_INT_CLK : INTEGER;
C_HAS_MEMINIT_FILE : INTEGER;
C_HAS_OVERFLOW : INTEGER;
C_HAS_RD_DATA_COUNT : INTEGER;
C_HAS_RD_RST : INTEGER;
C_HAS_RST : INTEGER;
C_HAS_SRST : INTEGER;
C_HAS_UNDERFLOW : INTEGER;
C_HAS_VALID : INTEGER;
C_HAS_WR_ACK : INTEGER;
C_HAS_WR_DATA_COUNT : INTEGER;
C_HAS_WR_RST : INTEGER;
C_IMPLEMENTATION_TYPE : INTEGER;
C_INIT_WR_PNTR_VAL : INTEGER;
C_MEMORY_TYPE : INTEGER;
C_MIF_FILE_NAME : STRING;
C_OPTIMIZATION_MODE : INTEGER;
C_OVERFLOW_LOW : INTEGER;
C_PRELOAD_LATENCY : INTEGER;
C_PRELOAD_REGS : INTEGER;
C_PRIM_FIFO_TYPE : STRING;
C_PROG_EMPTY_THRESH_ASSERT_VAL : INTEGER;
C_PROG_EMPTY_THRESH_NEGATE_VAL : INTEGER;
C_PROG_EMPTY_TYPE : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL : INTEGER;
C_PROG_FULL_THRESH_NEGATE_VAL : INTEGER;
C_PROG_FULL_TYPE : INTEGER;
C_RD_DATA_COUNT_WIDTH : INTEGER;
C_RD_DEPTH : INTEGER;
C_RD_FREQ : INTEGER;
C_RD_PNTR_WIDTH : INTEGER;
C_UNDERFLOW_LOW : INTEGER;
C_USE_DOUT_RST : INTEGER;
C_USE_ECC : INTEGER;
C_USE_EMBEDDED_REG : INTEGER;
C_USE_PIPELINE_REG : INTEGER;
C_POWER_SAVING_MODE : INTEGER;
C_USE_FIFO16_FLAGS : INTEGER;
C_USE_FWFT_DATA_COUNT : INTEGER;
C_VALID_LOW : INTEGER;
C_WR_ACK_LOW : INTEGER;
C_WR_DATA_COUNT_WIDTH : INTEGER;
C_WR_DEPTH : INTEGER;
C_WR_FREQ : INTEGER;
C_WR_PNTR_WIDTH : INTEGER;
C_WR_RESPONSE_LATENCY : INTEGER;
C_MSGON_VAL : INTEGER;
C_ENABLE_RST_SYNC : INTEGER;
C_EN_SAFETY_CKT : INTEGER;
C_ERROR_INJECTION_TYPE : INTEGER;
C_SYNCHRONIZER_STAGE : INTEGER;
C_INTERFACE_TYPE : INTEGER;
C_AXI_TYPE : INTEGER;
C_HAS_AXI_WR_CHANNEL : INTEGER;
C_HAS_AXI_RD_CHANNEL : INTEGER;
C_HAS_SLAVE_CE : INTEGER;
C_HAS_MASTER_CE : INTEGER;
C_ADD_NGC_CONSTRAINT : INTEGER;
C_USE_COMMON_OVERFLOW : INTEGER;
C_USE_COMMON_UNDERFLOW : INTEGER;
C_USE_DEFAULT_SETTINGS : INTEGER;
C_AXI_ID_WIDTH : INTEGER;
C_AXI_ADDR_WIDTH : INTEGER;
C_AXI_DATA_WIDTH : INTEGER;
C_AXI_LEN_WIDTH : INTEGER;
C_AXI_LOCK_WIDTH : INTEGER;
C_HAS_AXI_ID : INTEGER;
C_HAS_AXI_AWUSER : INTEGER;
C_HAS_AXI_WUSER : INTEGER;
C_HAS_AXI_BUSER : INTEGER;
C_HAS_AXI_ARUSER : INTEGER;
C_HAS_AXI_RUSER : INTEGER;
C_AXI_ARUSER_WIDTH : INTEGER;
C_AXI_AWUSER_WIDTH : INTEGER;
C_AXI_WUSER_WIDTH : INTEGER;
C_AXI_BUSER_WIDTH : INTEGER;
C_AXI_RUSER_WIDTH : INTEGER;
C_HAS_AXIS_TDATA : INTEGER;
C_HAS_AXIS_TID : INTEGER;
C_HAS_AXIS_TDEST : INTEGER;
C_HAS_AXIS_TUSER : INTEGER;
C_HAS_AXIS_TREADY : INTEGER;
C_HAS_AXIS_TLAST : INTEGER;
C_HAS_AXIS_TSTRB : INTEGER;
C_HAS_AXIS_TKEEP : INTEGER;
C_AXIS_TDATA_WIDTH : INTEGER;
C_AXIS_TID_WIDTH : INTEGER;
C_AXIS_TDEST_WIDTH : INTEGER;
C_AXIS_TUSER_WIDTH : INTEGER;
C_AXIS_TSTRB_WIDTH : INTEGER;
C_AXIS_TKEEP_WIDTH : INTEGER;
C_WACH_TYPE : INTEGER;
C_WDCH_TYPE : INTEGER;
C_WRCH_TYPE : INTEGER;
C_RACH_TYPE : INTEGER;
C_RDCH_TYPE : INTEGER;
C_AXIS_TYPE : INTEGER;
C_IMPLEMENTATION_TYPE_WACH : INTEGER;
C_IMPLEMENTATION_TYPE_WDCH : INTEGER;
C_IMPLEMENTATION_TYPE_WRCH : INTEGER;
C_IMPLEMENTATION_TYPE_RACH : INTEGER;
C_IMPLEMENTATION_TYPE_RDCH : INTEGER;
C_IMPLEMENTATION_TYPE_AXIS : INTEGER;
C_APPLICATION_TYPE_WACH : INTEGER;
C_APPLICATION_TYPE_WDCH : INTEGER;
C_APPLICATION_TYPE_WRCH : INTEGER;
C_APPLICATION_TYPE_RACH : INTEGER;
C_APPLICATION_TYPE_RDCH : INTEGER;
C_APPLICATION_TYPE_AXIS : INTEGER;
C_PRIM_FIFO_TYPE_WACH : STRING;
C_PRIM_FIFO_TYPE_WDCH : STRING;
C_PRIM_FIFO_TYPE_WRCH : STRING;
C_PRIM_FIFO_TYPE_RACH : STRING;
C_PRIM_FIFO_TYPE_RDCH : STRING;
C_PRIM_FIFO_TYPE_AXIS : STRING;
C_USE_ECC_WACH : INTEGER;
C_USE_ECC_WDCH : INTEGER;
C_USE_ECC_WRCH : INTEGER;
C_USE_ECC_RACH : INTEGER;
C_USE_ECC_RDCH : INTEGER;
C_USE_ECC_AXIS : INTEGER;
C_ERROR_INJECTION_TYPE_WACH : INTEGER;
C_ERROR_INJECTION_TYPE_WDCH : INTEGER;
C_ERROR_INJECTION_TYPE_WRCH : INTEGER;
C_ERROR_INJECTION_TYPE_RACH : INTEGER;
C_ERROR_INJECTION_TYPE_RDCH : INTEGER;
C_ERROR_INJECTION_TYPE_AXIS : INTEGER;
C_DIN_WIDTH_WACH : INTEGER;
C_DIN_WIDTH_WDCH : INTEGER;
C_DIN_WIDTH_WRCH : INTEGER;
C_DIN_WIDTH_RACH : INTEGER;
C_DIN_WIDTH_RDCH : INTEGER;
C_DIN_WIDTH_AXIS : INTEGER;
C_WR_DEPTH_WACH : INTEGER;
C_WR_DEPTH_WDCH : INTEGER;
C_WR_DEPTH_WRCH : INTEGER;
C_WR_DEPTH_RACH : INTEGER;
C_WR_DEPTH_RDCH : INTEGER;
C_WR_DEPTH_AXIS : INTEGER;
C_WR_PNTR_WIDTH_WACH : INTEGER;
C_WR_PNTR_WIDTH_WDCH : INTEGER;
C_WR_PNTR_WIDTH_WRCH : INTEGER;
C_WR_PNTR_WIDTH_RACH : INTEGER;
C_WR_PNTR_WIDTH_RDCH : INTEGER;
C_WR_PNTR_WIDTH_AXIS : INTEGER;
C_HAS_DATA_COUNTS_WACH : INTEGER;
C_HAS_DATA_COUNTS_WDCH : INTEGER;
C_HAS_DATA_COUNTS_WRCH : INTEGER;
C_HAS_DATA_COUNTS_RACH : INTEGER;
C_HAS_DATA_COUNTS_RDCH : INTEGER;
C_HAS_DATA_COUNTS_AXIS : INTEGER;
C_HAS_PROG_FLAGS_WACH : INTEGER;
C_HAS_PROG_FLAGS_WDCH : INTEGER;
C_HAS_PROG_FLAGS_WRCH : INTEGER;
C_HAS_PROG_FLAGS_RACH : INTEGER;
C_HAS_PROG_FLAGS_RDCH : INTEGER;
C_HAS_PROG_FLAGS_AXIS : INTEGER;
C_PROG_FULL_TYPE_WACH : INTEGER;
C_PROG_FULL_TYPE_WDCH : INTEGER;
C_PROG_FULL_TYPE_WRCH : INTEGER;
C_PROG_FULL_TYPE_RACH : INTEGER;
C_PROG_FULL_TYPE_RDCH : INTEGER;
C_PROG_FULL_TYPE_AXIS : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_PROG_EMPTY_TYPE_WACH : INTEGER;
C_PROG_EMPTY_TYPE_WDCH : INTEGER;
C_PROG_EMPTY_TYPE_WRCH : INTEGER;
C_PROG_EMPTY_TYPE_RACH : INTEGER;
C_PROG_EMPTY_TYPE_RDCH : INTEGER;
C_PROG_EMPTY_TYPE_AXIS : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH : INTEGER;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS : INTEGER;
C_REG_SLICE_MODE_WACH : INTEGER;
C_REG_SLICE_MODE_WDCH : INTEGER;
C_REG_SLICE_MODE_WRCH : INTEGER;
C_REG_SLICE_MODE_RACH : INTEGER;
C_REG_SLICE_MODE_RDCH : INTEGER;
C_REG_SLICE_MODE_AXIS : INTEGER
);
PORT (
backup : IN STD_LOGIC;
backup_marker : IN STD_LOGIC;
clk : IN STD_LOGIC;
rst : IN STD_LOGIC;
srst : IN STD_LOGIC;
wr_clk : IN STD_LOGIC;
wr_rst : IN STD_LOGIC;
rd_clk : IN STD_LOGIC;
rd_rst : IN STD_LOGIC;
din : IN STD_LOGIC_VECTOR(11 DOWNTO 0);
wr_en : IN STD_LOGIC;
rd_en : IN STD_LOGIC;
prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_empty_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_assert : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
prog_full_thresh_negate : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
int_clk : IN STD_LOGIC;
injectdbiterr : IN STD_LOGIC;
injectsbiterr : IN STD_LOGIC;
sleep : IN STD_LOGIC;
dout : OUT STD_LOGIC_VECTOR(11 DOWNTO 0);
full : OUT STD_LOGIC;
almost_full : OUT STD_LOGIC;
wr_ack : OUT STD_LOGIC;
overflow : OUT STD_LOGIC;
empty : OUT STD_LOGIC;
almost_empty : OUT STD_LOGIC;
valid : OUT STD_LOGIC;
underflow : OUT STD_LOGIC;
data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
prog_full : OUT STD_LOGIC;
prog_empty : OUT STD_LOGIC;
sbiterr : OUT STD_LOGIC;
dbiterr : OUT STD_LOGIC;
wr_rst_busy : OUT STD_LOGIC;
rd_rst_busy : OUT STD_LOGIC;
m_aclk : IN STD_LOGIC;
s_aclk : IN STD_LOGIC;
s_aresetn : IN STD_LOGIC;
m_aclk_en : IN STD_LOGIC;
s_aclk_en : IN STD_LOGIC;
s_axi_awid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awaddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_awlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_awsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_awlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_awqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_awuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_awvalid : IN STD_LOGIC;
s_axi_awready : OUT STD_LOGIC;
s_axi_wid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_wstrb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_wlast : IN STD_LOGIC;
s_axi_wuser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_wvalid : IN STD_LOGIC;
s_axi_wready : OUT STD_LOGIC;
s_axi_bid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_buser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_bvalid : OUT STD_LOGIC;
s_axi_bready : IN STD_LOGIC;
m_axi_awid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awaddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_awlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_awsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_awlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_awqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_awuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_awvalid : OUT STD_LOGIC;
m_axi_awready : IN STD_LOGIC;
m_axi_wid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_wstrb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_wlast : OUT STD_LOGIC;
m_axi_wuser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_wvalid : OUT STD_LOGIC;
m_axi_wready : IN STD_LOGIC;
m_axi_bid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_buser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_bvalid : IN STD_LOGIC;
m_axi_bready : OUT STD_LOGIC;
s_axi_arid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_araddr : IN STD_LOGIC_VECTOR(31 DOWNTO 0);
s_axi_arlen : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axi_arsize : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arburst : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_arlock : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arcache : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arprot : IN STD_LOGIC_VECTOR(2 DOWNTO 0);
s_axi_arqos : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_arregion : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
s_axi_aruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_arvalid : IN STD_LOGIC;
s_axi_arready : OUT STD_LOGIC;
s_axi_rid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rdata : OUT STD_LOGIC_VECTOR(63 DOWNTO 0);
s_axi_rresp : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
s_axi_rlast : OUT STD_LOGIC;
s_axi_ruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axi_rvalid : OUT STD_LOGIC;
s_axi_rready : IN STD_LOGIC;
m_axi_arid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_araddr : OUT STD_LOGIC_VECTOR(31 DOWNTO 0);
m_axi_arlen : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axi_arsize : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arburst : OUT STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_arlock : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arcache : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arprot : OUT STD_LOGIC_VECTOR(2 DOWNTO 0);
m_axi_arqos : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_arregion : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axi_aruser : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_arvalid : OUT STD_LOGIC;
m_axi_arready : IN STD_LOGIC;
m_axi_rid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rdata : IN STD_LOGIC_VECTOR(63 DOWNTO 0);
m_axi_rresp : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
m_axi_rlast : IN STD_LOGIC;
m_axi_ruser : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axi_rvalid : IN STD_LOGIC;
m_axi_rready : OUT STD_LOGIC;
s_axis_tvalid : IN STD_LOGIC;
s_axis_tready : OUT STD_LOGIC;
s_axis_tdata : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
s_axis_tstrb : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tkeep : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tlast : IN STD_LOGIC;
s_axis_tid : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tdest : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
s_axis_tuser : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
m_axis_tvalid : OUT STD_LOGIC;
m_axis_tready : IN STD_LOGIC;
m_axis_tdata : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
m_axis_tstrb : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tkeep : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tlast : OUT STD_LOGIC;
m_axis_tid : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tdest : OUT STD_LOGIC_VECTOR(0 DOWNTO 0);
m_axis_tuser : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_injectsbiterr : IN STD_LOGIC;
axi_aw_injectdbiterr : IN STD_LOGIC;
axi_aw_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_aw_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_aw_sbiterr : OUT STD_LOGIC;
axi_aw_dbiterr : OUT STD_LOGIC;
axi_aw_overflow : OUT STD_LOGIC;
axi_aw_underflow : OUT STD_LOGIC;
axi_aw_prog_full : OUT STD_LOGIC;
axi_aw_prog_empty : OUT STD_LOGIC;
axi_w_injectsbiterr : IN STD_LOGIC;
axi_w_injectdbiterr : IN STD_LOGIC;
axi_w_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_w_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_w_sbiterr : OUT STD_LOGIC;
axi_w_dbiterr : OUT STD_LOGIC;
axi_w_overflow : OUT STD_LOGIC;
axi_w_underflow : OUT STD_LOGIC;
axi_w_prog_full : OUT STD_LOGIC;
axi_w_prog_empty : OUT STD_LOGIC;
axi_b_injectsbiterr : IN STD_LOGIC;
axi_b_injectdbiterr : IN STD_LOGIC;
axi_b_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_b_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_b_sbiterr : OUT STD_LOGIC;
axi_b_dbiterr : OUT STD_LOGIC;
axi_b_overflow : OUT STD_LOGIC;
axi_b_underflow : OUT STD_LOGIC;
axi_b_prog_full : OUT STD_LOGIC;
axi_b_prog_empty : OUT STD_LOGIC;
axi_ar_injectsbiterr : IN STD_LOGIC;
axi_ar_injectdbiterr : IN STD_LOGIC;
axi_ar_prog_full_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_prog_empty_thresh : IN STD_LOGIC_VECTOR(3 DOWNTO 0);
axi_ar_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_wr_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_rd_data_count : OUT STD_LOGIC_VECTOR(4 DOWNTO 0);
axi_ar_sbiterr : OUT STD_LOGIC;
axi_ar_dbiterr : OUT STD_LOGIC;
axi_ar_overflow : OUT STD_LOGIC;
axi_ar_underflow : OUT STD_LOGIC;
axi_ar_prog_full : OUT STD_LOGIC;
axi_ar_prog_empty : OUT STD_LOGIC;
axi_r_injectsbiterr : IN STD_LOGIC;
axi_r_injectdbiterr : IN STD_LOGIC;
axi_r_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axi_r_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axi_r_sbiterr : OUT STD_LOGIC;
axi_r_dbiterr : OUT STD_LOGIC;
axi_r_overflow : OUT STD_LOGIC;
axi_r_underflow : OUT STD_LOGIC;
axi_r_prog_full : OUT STD_LOGIC;
axi_r_prog_empty : OUT STD_LOGIC;
axis_injectsbiterr : IN STD_LOGIC;
axis_injectdbiterr : IN STD_LOGIC;
axis_prog_full_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_prog_empty_thresh : IN STD_LOGIC_VECTOR(9 DOWNTO 0);
axis_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_wr_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_rd_data_count : OUT STD_LOGIC_VECTOR(10 DOWNTO 0);
axis_sbiterr : OUT STD_LOGIC;
axis_dbiterr : OUT STD_LOGIC;
axis_overflow : OUT STD_LOGIC;
axis_underflow : OUT STD_LOGIC;
axis_prog_full : OUT STD_LOGIC;
axis_prog_empty : OUT STD_LOGIC
);
END COMPONENT fifo_generator_v13_1_3;
ATTRIBUTE X_CORE_INFO : STRING;
ATTRIBUTE X_CORE_INFO OF output_fifo_arch: ARCHITECTURE IS "fifo_generator_v13_1_3,Vivado 2016.4";
ATTRIBUTE CHECK_LICENSE_TYPE : STRING;
ATTRIBUTE CHECK_LICENSE_TYPE OF output_fifo_arch : ARCHITECTURE IS "output_fifo,fifo_generator_v13_1_3,{}";
ATTRIBUTE CORE_GENERATION_INFO : STRING;
ATTRIBUTE CORE_GENERATION_INFO OF output_fifo_arch: ARCHITECTURE IS "output_fifo,fifo_generator_v13_1_3,{x_ipProduct=Vivado 2016.4,x_ipVendor=xilinx.com,x_ipLibrary=ip,x_ipName=fifo_generator,x_ipVersion=13.1,x_ipCoreRevision=3,x_ipLanguage=VHDL,x_ipSimLanguage=MIXED,C_COMMON_CLOCK=1,C_SELECT_XPM=0,C_COUNT_TYPE=0,C_DATA_COUNT_WIDTH=11,C_DEFAULT_VALUE=BlankString,C_DIN_WIDTH=12,C_DOUT_RST_VAL=0,C_DOUT_WIDTH=12,C_ENABLE_RLOCS=0,C_FAMILY=zynq,C_FULL_FLAGS_RST_VAL=0,C_HAS_ALMOST_EMPTY=0,C_HAS_ALMOST_FULL=0,C_HAS_BACKUP=0,C_HAS_DATA_COUNT=0,C_HAS_INT_CLK=0,C_HAS_MEMIN" &
"IT_FILE=0,C_HAS_OVERFLOW=0,C_HAS_RD_DATA_COUNT=0,C_HAS_RD_RST=0,C_HAS_RST=0,C_HAS_SRST=1,C_HAS_UNDERFLOW=0,C_HAS_VALID=1,C_HAS_WR_ACK=0,C_HAS_WR_DATA_COUNT=0,C_HAS_WR_RST=0,C_IMPLEMENTATION_TYPE=0,C_INIT_WR_PNTR_VAL=0,C_MEMORY_TYPE=1,C_MIF_FILE_NAME=BlankString,C_OPTIMIZATION_MODE=0,C_OVERFLOW_LOW=0,C_PRELOAD_LATENCY=0,C_PRELOAD_REGS=1,C_PRIM_FIFO_TYPE=1kx18,C_PROG_EMPTY_THRESH_ASSERT_VAL=4,C_PROG_EMPTY_THRESH_NEGATE_VAL=5,C_PROG_EMPTY_TYPE=0,C_PROG_FULL_THRESH_ASSERT_VAL=1023,C_PROG_FULL_THRESH" &
"_NEGATE_VAL=1022,C_PROG_FULL_TYPE=0,C_RD_DATA_COUNT_WIDTH=11,C_RD_DEPTH=1024,C_RD_FREQ=1,C_RD_PNTR_WIDTH=10,C_UNDERFLOW_LOW=0,C_USE_DOUT_RST=1,C_USE_ECC=0,C_USE_EMBEDDED_REG=0,C_USE_PIPELINE_REG=0,C_POWER_SAVING_MODE=0,C_USE_FIFO16_FLAGS=0,C_USE_FWFT_DATA_COUNT=1,C_VALID_LOW=0,C_WR_ACK_LOW=0,C_WR_DATA_COUNT_WIDTH=11,C_WR_DEPTH=1024,C_WR_FREQ=1,C_WR_PNTR_WIDTH=10,C_WR_RESPONSE_LATENCY=1,C_MSGON_VAL=1,C_ENABLE_RST_SYNC=1,C_EN_SAFETY_CKT=0,C_ERROR_INJECTION_TYPE=0,C_SYNCHRONIZER_STAGE=2,C_INTERFACE" &
"_TYPE=0,C_AXI_TYPE=1,C_HAS_AXI_WR_CHANNEL=1,C_HAS_AXI_RD_CHANNEL=1,C_HAS_SLAVE_CE=0,C_HAS_MASTER_CE=0,C_ADD_NGC_CONSTRAINT=0,C_USE_COMMON_OVERFLOW=0,C_USE_COMMON_UNDERFLOW=0,C_USE_DEFAULT_SETTINGS=0,C_AXI_ID_WIDTH=1,C_AXI_ADDR_WIDTH=32,C_AXI_DATA_WIDTH=64,C_AXI_LEN_WIDTH=8,C_AXI_LOCK_WIDTH=1,C_HAS_AXI_ID=0,C_HAS_AXI_AWUSER=0,C_HAS_AXI_WUSER=0,C_HAS_AXI_BUSER=0,C_HAS_AXI_ARUSER=0,C_HAS_AXI_RUSER=0,C_AXI_ARUSER_WIDTH=1,C_AXI_AWUSER_WIDTH=1,C_AXI_WUSER_WIDTH=1,C_AXI_BUSER_WIDTH=1,C_AXI_RUSER_WIDTH=" &
"1,C_HAS_AXIS_TDATA=1,C_HAS_AXIS_TID=0,C_HAS_AXIS_TDEST=0,C_HAS_AXIS_TUSER=1,C_HAS_AXIS_TREADY=1,C_HAS_AXIS_TLAST=0,C_HAS_AXIS_TSTRB=0,C_HAS_AXIS_TKEEP=0,C_AXIS_TDATA_WIDTH=8,C_AXIS_TID_WIDTH=1,C_AXIS_TDEST_WIDTH=1,C_AXIS_TUSER_WIDTH=4,C_AXIS_TSTRB_WIDTH=1,C_AXIS_TKEEP_WIDTH=1,C_WACH_TYPE=0,C_WDCH_TYPE=0,C_WRCH_TYPE=0,C_RACH_TYPE=0,C_RDCH_TYPE=0,C_AXIS_TYPE=0,C_IMPLEMENTATION_TYPE_WACH=1,C_IMPLEMENTATION_TYPE_WDCH=1,C_IMPLEMENTATION_TYPE_WRCH=1,C_IMPLEMENTATION_TYPE_RACH=1,C_IMPLEMENTATION_TYPE_R" &
"DCH=1,C_IMPLEMENTATION_TYPE_AXIS=1,C_APPLICATION_TYPE_WACH=0,C_APPLICATION_TYPE_WDCH=0,C_APPLICATION_TYPE_WRCH=0,C_APPLICATION_TYPE_RACH=0,C_APPLICATION_TYPE_RDCH=0,C_APPLICATION_TYPE_AXIS=0,C_PRIM_FIFO_TYPE_WACH=512x36,C_PRIM_FIFO_TYPE_WDCH=1kx36,C_PRIM_FIFO_TYPE_WRCH=512x36,C_PRIM_FIFO_TYPE_RACH=512x36,C_PRIM_FIFO_TYPE_RDCH=1kx36,C_PRIM_FIFO_TYPE_AXIS=1kx18,C_USE_ECC_WACH=0,C_USE_ECC_WDCH=0,C_USE_ECC_WRCH=0,C_USE_ECC_RACH=0,C_USE_ECC_RDCH=0,C_USE_ECC_AXIS=0,C_ERROR_INJECTION_TYPE_WACH=0,C_ERRO" &
"R_INJECTION_TYPE_WDCH=0,C_ERROR_INJECTION_TYPE_WRCH=0,C_ERROR_INJECTION_TYPE_RACH=0,C_ERROR_INJECTION_TYPE_RDCH=0,C_ERROR_INJECTION_TYPE_AXIS=0,C_DIN_WIDTH_WACH=1,C_DIN_WIDTH_WDCH=64,C_DIN_WIDTH_WRCH=2,C_DIN_WIDTH_RACH=32,C_DIN_WIDTH_RDCH=64,C_DIN_WIDTH_AXIS=1,C_WR_DEPTH_WACH=16,C_WR_DEPTH_WDCH=1024,C_WR_DEPTH_WRCH=16,C_WR_DEPTH_RACH=16,C_WR_DEPTH_RDCH=1024,C_WR_DEPTH_AXIS=1024,C_WR_PNTR_WIDTH_WACH=4,C_WR_PNTR_WIDTH_WDCH=10,C_WR_PNTR_WIDTH_WRCH=4,C_WR_PNTR_WIDTH_RACH=4,C_WR_PNTR_WIDTH_RDCH=10,C_" &
"WR_PNTR_WIDTH_AXIS=10,C_HAS_DATA_COUNTS_WACH=0,C_HAS_DATA_COUNTS_WDCH=0,C_HAS_DATA_COUNTS_WRCH=0,C_HAS_DATA_COUNTS_RACH=0,C_HAS_DATA_COUNTS_RDCH=0,C_HAS_DATA_COUNTS_AXIS=0,C_HAS_PROG_FLAGS_WACH=0,C_HAS_PROG_FLAGS_WDCH=0,C_HAS_PROG_FLAGS_WRCH=0,C_HAS_PROG_FLAGS_RACH=0,C_HAS_PROG_FLAGS_RDCH=0,C_HAS_PROG_FLAGS_AXIS=0,C_PROG_FULL_TYPE_WACH=0,C_PROG_FULL_TYPE_WDCH=0,C_PROG_FULL_TYPE_WRCH=0,C_PROG_FULL_TYPE_RACH=0,C_PROG_FULL_TYPE_RDCH=0,C_PROG_FULL_TYPE_AXIS=0,C_PROG_FULL_THRESH_ASSERT_VAL_WACH=1023," &
"C_PROG_FULL_THRESH_ASSERT_VAL_WDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_WRCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RACH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_RDCH=1023,C_PROG_FULL_THRESH_ASSERT_VAL_AXIS=1023,C_PROG_EMPTY_TYPE_WACH=0,C_PROG_EMPTY_TYPE_WDCH=0,C_PROG_EMPTY_TYPE_WRCH=0,C_PROG_EMPTY_TYPE_RACH=0,C_PROG_EMPTY_TYPE_RDCH=0,C_PROG_EMPTY_TYPE_AXIS=0,C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH=1022,C_PROG_EMPTY_THRESH_ASSERT_" &
"VAL_RACH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH=1022,C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS=1022,C_REG_SLICE_MODE_WACH=0,C_REG_SLICE_MODE_WDCH=0,C_REG_SLICE_MODE_WRCH=0,C_REG_SLICE_MODE_RACH=0,C_REG_SLICE_MODE_RDCH=0,C_REG_SLICE_MODE_AXIS=0}";
ATTRIBUTE X_INTERFACE_INFO : STRING;
ATTRIBUTE X_INTERFACE_INFO OF clk: SIGNAL IS "xilinx.com:signal:clock:1.0 core_clk CLK";
ATTRIBUTE X_INTERFACE_INFO OF din: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_DATA";
ATTRIBUTE X_INTERFACE_INFO OF wr_en: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE WR_EN";
ATTRIBUTE X_INTERFACE_INFO OF rd_en: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_EN";
ATTRIBUTE X_INTERFACE_INFO OF dout: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ RD_DATA";
ATTRIBUTE X_INTERFACE_INFO OF full: SIGNAL IS "xilinx.com:interface:fifo_write:1.0 FIFO_WRITE FULL";
ATTRIBUTE X_INTERFACE_INFO OF empty: SIGNAL IS "xilinx.com:interface:fifo_read:1.0 FIFO_READ EMPTY";
BEGIN
U0 : fifo_generator_v13_1_3
GENERIC MAP (
C_COMMON_CLOCK => 1,
C_SELECT_XPM => 0,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => 11,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => 12,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => 12,
C_ENABLE_RLOCS => 0,
C_FAMILY => "zynq",
C_FULL_FLAGS_RST_VAL => 0,
C_HAS_ALMOST_EMPTY => 0,
C_HAS_ALMOST_FULL => 0,
C_HAS_BACKUP => 0,
C_HAS_DATA_COUNT => 0,
C_HAS_INT_CLK => 0,
C_HAS_MEMINIT_FILE => 0,
C_HAS_OVERFLOW => 0,
C_HAS_RD_DATA_COUNT => 0,
C_HAS_RD_RST => 0,
C_HAS_RST => 0,
C_HAS_SRST => 1,
C_HAS_UNDERFLOW => 0,
C_HAS_VALID => 1,
C_HAS_WR_ACK => 0,
C_HAS_WR_DATA_COUNT => 0,
C_HAS_WR_RST => 0,
C_IMPLEMENTATION_TYPE => 0,
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => 1,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => 0,
C_PRELOAD_REGS => 1,
C_PRIM_FIFO_TYPE => "1kx18",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 4,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 5,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 1023,
C_PROG_FULL_THRESH_NEGATE_VAL => 1022,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => 11,
C_RD_DEPTH => 1024,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => 10,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_ECC => 0,
C_USE_EMBEDDED_REG => 0,
C_USE_PIPELINE_REG => 0,
C_POWER_SAVING_MODE => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => 1,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => 11,
C_WR_DEPTH => 1024,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => 10,
C_WR_RESPONSE_LATENCY => 1,
C_MSGON_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_EN_SAFETY_CKT => 0,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => 2,
C_INTERFACE_TYPE => 0,
C_AXI_TYPE => 1,
C_HAS_AXI_WR_CHANNEL => 1,
C_HAS_AXI_RD_CHANNEL => 1,
C_HAS_SLAVE_CE => 0,
C_HAS_MASTER_CE => 0,
C_ADD_NGC_CONSTRAINT => 0,
C_USE_COMMON_OVERFLOW => 0,
C_USE_COMMON_UNDERFLOW => 0,
C_USE_DEFAULT_SETTINGS => 0,
C_AXI_ID_WIDTH => 1,
C_AXI_ADDR_WIDTH => 32,
C_AXI_DATA_WIDTH => 64,
C_AXI_LEN_WIDTH => 8,
C_AXI_LOCK_WIDTH => 1,
C_HAS_AXI_ID => 0,
C_HAS_AXI_AWUSER => 0,
C_HAS_AXI_WUSER => 0,
C_HAS_AXI_BUSER => 0,
C_HAS_AXI_ARUSER => 0,
C_HAS_AXI_RUSER => 0,
C_AXI_ARUSER_WIDTH => 1,
C_AXI_AWUSER_WIDTH => 1,
C_AXI_WUSER_WIDTH => 1,
C_AXI_BUSER_WIDTH => 1,
C_AXI_RUSER_WIDTH => 1,
C_HAS_AXIS_TDATA => 1,
C_HAS_AXIS_TID => 0,
C_HAS_AXIS_TDEST => 0,
C_HAS_AXIS_TUSER => 1,
C_HAS_AXIS_TREADY => 1,
C_HAS_AXIS_TLAST => 0,
C_HAS_AXIS_TSTRB => 0,
C_HAS_AXIS_TKEEP => 0,
C_AXIS_TDATA_WIDTH => 8,
C_AXIS_TID_WIDTH => 1,
C_AXIS_TDEST_WIDTH => 1,
C_AXIS_TUSER_WIDTH => 4,
C_AXIS_TSTRB_WIDTH => 1,
C_AXIS_TKEEP_WIDTH => 1,
C_WACH_TYPE => 0,
C_WDCH_TYPE => 0,
C_WRCH_TYPE => 0,
C_RACH_TYPE => 0,
C_RDCH_TYPE => 0,
C_AXIS_TYPE => 0,
C_IMPLEMENTATION_TYPE_WACH => 1,
C_IMPLEMENTATION_TYPE_WDCH => 1,
C_IMPLEMENTATION_TYPE_WRCH => 1,
C_IMPLEMENTATION_TYPE_RACH => 1,
C_IMPLEMENTATION_TYPE_RDCH => 1,
C_IMPLEMENTATION_TYPE_AXIS => 1,
C_APPLICATION_TYPE_WACH => 0,
C_APPLICATION_TYPE_WDCH => 0,
C_APPLICATION_TYPE_WRCH => 0,
C_APPLICATION_TYPE_RACH => 0,
C_APPLICATION_TYPE_RDCH => 0,
C_APPLICATION_TYPE_AXIS => 0,
C_PRIM_FIFO_TYPE_WACH => "512x36",
C_PRIM_FIFO_TYPE_WDCH => "1kx36",
C_PRIM_FIFO_TYPE_WRCH => "512x36",
C_PRIM_FIFO_TYPE_RACH => "512x36",
C_PRIM_FIFO_TYPE_RDCH => "1kx36",
C_PRIM_FIFO_TYPE_AXIS => "1kx18",
C_USE_ECC_WACH => 0,
C_USE_ECC_WDCH => 0,
C_USE_ECC_WRCH => 0,
C_USE_ECC_RACH => 0,
C_USE_ECC_RDCH => 0,
C_USE_ECC_AXIS => 0,
C_ERROR_INJECTION_TYPE_WACH => 0,
C_ERROR_INJECTION_TYPE_WDCH => 0,
C_ERROR_INJECTION_TYPE_WRCH => 0,
C_ERROR_INJECTION_TYPE_RACH => 0,
C_ERROR_INJECTION_TYPE_RDCH => 0,
C_ERROR_INJECTION_TYPE_AXIS => 0,
C_DIN_WIDTH_WACH => 1,
C_DIN_WIDTH_WDCH => 64,
C_DIN_WIDTH_WRCH => 2,
C_DIN_WIDTH_RACH => 32,
C_DIN_WIDTH_RDCH => 64,
C_DIN_WIDTH_AXIS => 1,
C_WR_DEPTH_WACH => 16,
C_WR_DEPTH_WDCH => 1024,
C_WR_DEPTH_WRCH => 16,
C_WR_DEPTH_RACH => 16,
C_WR_DEPTH_RDCH => 1024,
C_WR_DEPTH_AXIS => 1024,
C_WR_PNTR_WIDTH_WACH => 4,
C_WR_PNTR_WIDTH_WDCH => 10,
C_WR_PNTR_WIDTH_WRCH => 4,
C_WR_PNTR_WIDTH_RACH => 4,
C_WR_PNTR_WIDTH_RDCH => 10,
C_WR_PNTR_WIDTH_AXIS => 10,
C_HAS_DATA_COUNTS_WACH => 0,
C_HAS_DATA_COUNTS_WDCH => 0,
C_HAS_DATA_COUNTS_WRCH => 0,
C_HAS_DATA_COUNTS_RACH => 0,
C_HAS_DATA_COUNTS_RDCH => 0,
C_HAS_DATA_COUNTS_AXIS => 0,
C_HAS_PROG_FLAGS_WACH => 0,
C_HAS_PROG_FLAGS_WDCH => 0,
C_HAS_PROG_FLAGS_WRCH => 0,
C_HAS_PROG_FLAGS_RACH => 0,
C_HAS_PROG_FLAGS_RDCH => 0,
C_HAS_PROG_FLAGS_AXIS => 0,
C_PROG_FULL_TYPE_WACH => 0,
C_PROG_FULL_TYPE_WDCH => 0,
C_PROG_FULL_TYPE_WRCH => 0,
C_PROG_FULL_TYPE_RACH => 0,
C_PROG_FULL_TYPE_RDCH => 0,
C_PROG_FULL_TYPE_AXIS => 0,
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023,
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023,
C_PROG_EMPTY_TYPE_WACH => 0,
C_PROG_EMPTY_TYPE_WDCH => 0,
C_PROG_EMPTY_TYPE_WRCH => 0,
C_PROG_EMPTY_TYPE_RACH => 0,
C_PROG_EMPTY_TYPE_RDCH => 0,
C_PROG_EMPTY_TYPE_AXIS => 0,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022,
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022,
C_REG_SLICE_MODE_WACH => 0,
C_REG_SLICE_MODE_WDCH => 0,
C_REG_SLICE_MODE_WRCH => 0,
C_REG_SLICE_MODE_RACH => 0,
C_REG_SLICE_MODE_RDCH => 0,
C_REG_SLICE_MODE_AXIS => 0
)
PORT MAP (
backup => '0',
backup_marker => '0',
clk => clk,
rst => '0',
srst => srst,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => din,
wr_en => wr_en,
rd_en => rd_en,
prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_empty_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_assert => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
prog_full_thresh_negate => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => dout,
full => full,
empty => empty,
valid => valid,
m_aclk => '0',
s_aclk => '0',
s_aresetn => '0',
m_aclk_en => '0',
s_aclk_en => '0',
s_axi_awid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awaddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_awlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_awsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_awlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_awqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_awuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_awvalid => '0',
s_axi_wid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
s_axi_wstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_wlast => '0',
s_axi_wuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_wvalid => '0',
s_axi_bready => '0',
m_axi_awready => '0',
m_axi_wready => '0',
m_axi_bid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_buser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_bvalid => '0',
s_axi_arid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_araddr => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 32)),
s_axi_arlen => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axi_arsize => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arburst => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
s_axi_arlock => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arcache => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arprot => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 3)),
s_axi_arqos => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_arregion => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
s_axi_aruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axi_arvalid => '0',
s_axi_rready => '0',
m_axi_arready => '0',
m_axi_rid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 64)),
m_axi_rresp => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 2)),
m_axi_rlast => '0',
m_axi_ruser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
m_axi_rvalid => '0',
s_axis_tvalid => '0',
s_axis_tdata => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 8)),
s_axis_tstrb => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tkeep => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tlast => '0',
s_axis_tid => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tdest => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 1)),
s_axis_tuser => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
m_axis_tready => '0',
axi_aw_injectsbiterr => '0',
axi_aw_injectdbiterr => '0',
axi_aw_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_aw_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_w_injectsbiterr => '0',
axi_w_injectdbiterr => '0',
axi_w_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_w_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_b_injectsbiterr => '0',
axi_b_injectdbiterr => '0',
axi_b_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_b_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_injectsbiterr => '0',
axi_ar_injectdbiterr => '0',
axi_ar_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_ar_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 4)),
axi_r_injectsbiterr => '0',
axi_r_injectdbiterr => '0',
axi_r_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axi_r_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_injectsbiterr => '0',
axis_injectdbiterr => '0',
axis_prog_full_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10)),
axis_prog_empty_thresh => STD_LOGIC_VECTOR(TO_UNSIGNED(0, 10))
);
END output_fifo_arch;
| unlicense | ee22c4adafdc078da3fdaffa5eac52ac | 0.627137 | 2.91291 | false | false | false | false |
dcliche/mdsynth | rtl/src/sound/channel.vhd | 1 | 8,515 | -- MDSynth Sound Chip
--
-- Copyright (c) 2012-2022, Daniel Cliche
-- All rights reserved.
--
-- Redistribution and use in source and binary forms, with or without modification, are permitted provided that the
-- following conditions are met:
--
-- * Redistributions of source code must retain the above copyright notice, this list of conditions and the
-- following disclaimer.
-- * Redistributions in binary form must reproduce the above copyright notice, this list of conditions and the
-- following disclaimer in the documentation and/or other materials provided with the distribution.
--
-- THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS" AND ANY EXPRESS OR IMPLIED WARRANTIES,
-- INCLUDING, BUT NOT LIMITED TO, THE IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
-- DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL,
-- SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR
-- SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY,
-- WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE
-- USE OF THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity channel is
port ( clk: in std_logic;
reset: in std_logic;
waveform: in std_logic_vector(2 downto 0); -- 0: DAC direct, 1: Square (message only), 2: Sawtooth (message only), 3: Sine (message only), 4: FM (implemented as phase modulation), 5: Noise
note_on: in std_logic;
gain_message: in unsigned(5 downto 0);
gain_modulated: in unsigned(5 downto 0);
phase_delta: in unsigned(11 downto 0);
octave_message: in unsigned(3 downto 0);
octave_carrier: in unsigned(3 downto 0);
waveform_message: in std_logic_vector(1 downto 0); -- 0: Full sine (++--), 1: Half sine (++00), 3: Full sine positive (++++), 4: Quarter sine positive (+0+0)
waveform_modulated: in std_logic_vector(1 downto 0); -- 0: Full sine (++--), 1: Half sine (++00), 3: Full sine positive (++++), 4: Quarter sine positive (+0+0)
attack_rate: in unsigned(3 downto 0);
release_rate: in unsigned(3 downto 0);
reset_phase: in std_logic;
dac_direct_value: in std_logic_vector(7 downto 0);
output: out std_logic_vector(7 downto 0);
envelope_phase: out std_logic_vector(1 downto 0));
end channel;
architecture channel_arch of channel is
component sinewave is
port ( clk: in std_logic;
gain: in unsigned(5 downto 0);
phase: in unsigned(7 downto 0);
waveform: in std_logic_vector(1 downto 0); -- 0: Full sine (++--), 1: Half sine (++00), 3: Full sine positive (++++), 4: Quarter sine positive (+0+0)
data_out: out integer range -128 to 127);
end component;
component nco is
port ( clk: in std_logic;
reset_phase: in std_logic;
ena: in std_logic;
phase_delta: in unsigned(11 downto 0);
octave: in unsigned(3 downto 0);
phase: out unsigned(7 downto 0));
end component;
component envelope is
port ( clk: in std_logic;
reset: in std_logic;
note_on: in std_logic;
attack_rate: in unsigned(3 downto 0);
release_rate: in unsigned(3 downto 0);
gain: out unsigned(5 downto 0);
phase: out std_logic_vector(1 downto 0));
end component;
signal dac_in : std_logic_vector(7 downto 0);
signal phase_carrier : unsigned(7 downto 0);
signal phase_message : unsigned(7 downto 0);
signal phase_modulated : unsigned(8 downto 0);
signal sine_message : integer range -128 to 127 := 0;
signal sine_modulated : integer range -128 to 127 := 0;
type state_type is (
sinewave_message_state,
sinewave_modulated_state);
signal state : state_type := sinewave_message_state;
signal sine_gain : unsigned(5 downto 0);
signal sine_phase : unsigned(7 downto 0);
signal sine_waveform : std_logic_vector(1 downto 0);
signal sine_data : integer range -128 to 127;
signal ena_nco_carrier : std_logic;
signal ena_nco_message : std_logic;
signal envelope_reset : std_logic := '1';
signal envelope_gain : unsigned(5 downto 0);
signal lfsr_counter : unsigned(11 downto 0);
signal lfsr_data : std_logic_vector(31 downto 0);
begin
nco_carrier0 : nco port map (clk => clk, reset_phase => reset_phase, ena => ena_nco_carrier, phase_delta => phase_delta, octave => octave_carrier, phase => phase_carrier);
nco_message0 : nco port map (clk => clk, reset_phase => reset_phase, ena => ena_nco_message, phase_delta => phase_delta, octave => octave_message, phase => phase_message);
sinewave0 : sinewave port map (clk => clk, gain => sine_gain, phase => sine_phase, waveform => sine_waveform, data_out => sine_data);
envelope0 : envelope port map (clk => clk, reset => envelope_reset, note_on => note_on, attack_rate => attack_rate, release_rate => release_rate, gain => envelope_gain, phase => envelope_phase);
lfsr0 : entity work.lfsr
generic map (
g_Num_Bits => 32
)
port map (
i_Clk => std_logic(lfsr_counter(11)),
i_Enable => '1',
i_Seed_DV => '0',
i_Seed_Data => (31 downto 0 => '0'),
o_LFSR_Data => lfsr_data
);
process (clk, reset)
begin
if (reset = '1') then
dac_in <= "00000000";
sine_gain <= to_unsigned(0, 6);
sine_phase <= to_unsigned(0, 8);
sine_waveform <= "00";
envelope_reset <= '1';
elsif (rising_edge(clk)) then
lfsr_counter <= lfsr_counter + 1;
envelope_reset <= '0';
phase_modulated <= to_unsigned(to_integer(phase_carrier) + sine_message, 9);
output <= dac_in;
case state is
when sinewave_message_state =>
-- We calculate the message waveform
ena_nco_message <= '1';
ena_nco_carrier <= '0';
sine_phase <= phase_message;
sine_gain <= gain_message;
sine_waveform <= waveform_message;
sine_message <= sine_data;
state <= sinewave_modulated_state;
when sinewave_modulated_state =>
-- We calculate the modulated waveform
ena_nco_message <= '0';
ena_nco_carrier <= '1';
if waveform = "101" then
-- noise
sine_phase <= unsigned(lfsr_data(7 downto 0));
else
sine_phase <= phase_modulated(7 downto 0);
end if;
--sine_gain <= gain_modulated;
sine_gain <= envelope_gain;
sine_waveform <= waveform_modulated;
sine_modulated <= sine_data;
state <= sinewave_message_state;
end case;
-- We update the DAC
case waveform is
when "001" =>
-- Square wave
if (phase_message(7) = '1') then
dac_in(7 downto 0) <= (others => '1');
else
dac_in(7 downto 0) <= (others => '0');
end if;
when "010" =>
-- Sawtooth wave
dac_in <= std_logic_vector(phase_message);
when "011" =>
-- Sine wave
dac_in <= std_logic_vector(to_unsigned(128 + sine_message, 8));
when "100" =>
-- PM
dac_in <= std_logic_vector(to_unsigned(128 + sine_modulated, 8));
when "101" =>
-- Noise
dac_in <= std_logic_vector(to_unsigned(128 + sine_modulated, 8));
when others =>
-- DAC direct
dac_in <= dac_direct_value;
end case;
end if;
end process;
end channel_arch;
| gpl-3.0 | bc05dcd6b28ace3ea6efc0953122f008 | 0.568526 | 4.048978 | false | false | false | false |
grwlf/vsim | vhdl_ct/ct00179.vhd | 1 | 41,142 | -- NEED RESULT: ARCH00179.P1: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179.P2: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179.P3: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179.P4: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179.P5: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179.P6: Multi inertial transactions occurred on signal asg with slice name prefixed by a selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: ARCH00179: One inertial transaction occurred on signal asg with slice name prefixed by an selected name on LHS passed
-- NEED RESULT: P6: Inertial transactions entirely completed failed
-- NEED RESULT: P5: Inertial transactions entirely completed failed
-- NEED RESULT: P4: Inertial transactions entirely completed failed
-- NEED RESULT: P3: Inertial transactions entirely completed failed
-- NEED RESULT: P2: Inertial transactions entirely completed failed
-- NEED RESULT: P1: Inertial transactions entirely completed failed
-------------------------------------------------------------------------------
--
-- Copyright (c) 1989 by Intermetrics, Inc.
-- All rights reserved.
--
-------------------------------------------------------------------------------
--
-- TEST NAME:
--
-- CT00179
--
-- AUTHOR:
--
-- G. Tominovich
--
-- TEST OBJECTIVES:
--
-- 8.3 (1)
-- 8.3 (2)
-- 8.3 (4)
-- 8.3 (5)
-- 8.3.1 (4)
--
-- DESIGN UNIT ORDERING:
--
-- PKG00179
-- PKG00179/BODY
-- E00000(ARCH00179)
-- ENT00179_Test_Bench(ARCH00179_Test_Bench)
--
-- REVISION HISTORY:
--
-- 08-JUL-1987 - initial revision
--
-- NOTES:
--
-- self-checking
-- automatically generated
--
use WORK.STANDARD_TYPES.all ;
package PKG00179 is
type r_st_arr1_vector is record
f1 : integer ;
f2 : st_arr1_vector ;
end record ;
function c_r_st_arr1_vector_1 return r_st_arr1_vector ;
-- (c_integer_1, c_st_arr1_vector_1) ;
function c_r_st_arr1_vector_2 return r_st_arr1_vector ;
-- (c_integer_2, c_st_arr1_vector_2) ;
--
type r_st_arr2_vector is record
f1 : integer ;
f2 : st_arr2_vector ;
end record ;
function c_r_st_arr2_vector_1 return r_st_arr2_vector ;
-- (c_integer_1, c_st_arr2_vector_1) ;
function c_r_st_arr2_vector_2 return r_st_arr2_vector ;
-- (c_integer_2, c_st_arr2_vector_2) ;
--
type r_st_arr3_vector is record
f1 : integer ;
f2 : st_arr3_vector ;
end record ;
function c_r_st_arr3_vector_1 return r_st_arr3_vector ;
-- (c_integer_1, c_st_arr3_vector_1) ;
function c_r_st_arr3_vector_2 return r_st_arr3_vector ;
-- (c_integer_2, c_st_arr3_vector_2) ;
--
type r_st_rec1_vector is record
f1 : integer ;
f2 : st_rec1_vector ;
end record ;
function c_r_st_rec1_vector_1 return r_st_rec1_vector ;
-- (c_integer_1, c_st_rec1_vector_1) ;
function c_r_st_rec1_vector_2 return r_st_rec1_vector ;
-- (c_integer_2, c_st_rec1_vector_2) ;
--
type r_st_rec2_vector is record
f1 : integer ;
f2 : st_rec2_vector ;
end record ;
function c_r_st_rec2_vector_1 return r_st_rec2_vector ;
-- (c_integer_1, c_st_rec2_vector_1) ;
function c_r_st_rec2_vector_2 return r_st_rec2_vector ;
-- (c_integer_2, c_st_rec2_vector_2) ;
--
type r_st_rec3_vector is record
f1 : integer ;
f2 : st_rec3_vector ;
end record ;
function c_r_st_rec3_vector_1 return r_st_rec3_vector ;
-- (c_integer_1, c_st_rec3_vector_1) ;
function c_r_st_rec3_vector_2 return r_st_rec3_vector ;
-- (c_integer_2, c_st_rec3_vector_2) ;
--
--
end PKG00179 ;
--
package body PKG00179 is
function c_r_st_arr1_vector_1 return r_st_arr1_vector
is begin
return (c_integer_1, c_st_arr1_vector_1) ;
end c_r_st_arr1_vector_1 ;
--
function c_r_st_arr1_vector_2 return r_st_arr1_vector
is begin
return (c_integer_2, c_st_arr1_vector_2) ;
end c_r_st_arr1_vector_2 ;
--
--
function c_r_st_arr2_vector_1 return r_st_arr2_vector
is begin
return (c_integer_1, c_st_arr2_vector_1) ;
end c_r_st_arr2_vector_1 ;
--
function c_r_st_arr2_vector_2 return r_st_arr2_vector
is begin
return (c_integer_2, c_st_arr2_vector_2) ;
end c_r_st_arr2_vector_2 ;
--
--
function c_r_st_arr3_vector_1 return r_st_arr3_vector
is begin
return (c_integer_1, c_st_arr3_vector_1) ;
end c_r_st_arr3_vector_1 ;
--
function c_r_st_arr3_vector_2 return r_st_arr3_vector
is begin
return (c_integer_2, c_st_arr3_vector_2) ;
end c_r_st_arr3_vector_2 ;
--
--
function c_r_st_rec1_vector_1 return r_st_rec1_vector
is begin
return (c_integer_1, c_st_rec1_vector_1) ;
end c_r_st_rec1_vector_1 ;
--
function c_r_st_rec1_vector_2 return r_st_rec1_vector
is begin
return (c_integer_2, c_st_rec1_vector_2) ;
end c_r_st_rec1_vector_2 ;
--
--
function c_r_st_rec2_vector_1 return r_st_rec2_vector
is begin
return (c_integer_1, c_st_rec2_vector_1) ;
end c_r_st_rec2_vector_1 ;
--
function c_r_st_rec2_vector_2 return r_st_rec2_vector
is begin
return (c_integer_2, c_st_rec2_vector_2) ;
end c_r_st_rec2_vector_2 ;
--
--
function c_r_st_rec3_vector_1 return r_st_rec3_vector
is begin
return (c_integer_1, c_st_rec3_vector_1) ;
end c_r_st_rec3_vector_1 ;
--
function c_r_st_rec3_vector_2 return r_st_rec3_vector
is begin
return (c_integer_2, c_st_rec3_vector_2) ;
end c_r_st_rec3_vector_2 ;
--
--
--
end PKG00179 ;
--
use WORK.STANDARD_TYPES.all ;
use WORK.PKG00179.all ;
architecture ARCH00179 of E00000 is
subtype chk_sig_type is integer range -1 to 100 ;
signal chk_r_st_arr1_vector : chk_sig_type := -1 ;
signal chk_r_st_arr2_vector : chk_sig_type := -1 ;
signal chk_r_st_arr3_vector : chk_sig_type := -1 ;
signal chk_r_st_rec1_vector : chk_sig_type := -1 ;
signal chk_r_st_rec2_vector : chk_sig_type := -1 ;
signal chk_r_st_rec3_vector : chk_sig_type := -1 ;
--
signal s_r_st_arr1_vector : r_st_arr1_vector
:= c_r_st_arr1_vector_1 ;
signal s_r_st_arr2_vector : r_st_arr2_vector
:= c_r_st_arr2_vector_1 ;
signal s_r_st_arr3_vector : r_st_arr3_vector
:= c_r_st_arr3_vector_1 ;
signal s_r_st_rec1_vector : r_st_rec1_vector
:= c_r_st_rec1_vector_1 ;
signal s_r_st_rec2_vector : r_st_rec2_vector
:= c_r_st_rec2_vector_1 ;
signal s_r_st_rec3_vector : r_st_rec3_vector
:= c_r_st_rec3_vector_1 ;
--
begin
P1 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P1" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_arr1_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_arr1_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P1 ;
--
PGEN_CHKP_1 :
process ( chk_r_st_arr1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P1" ,
"Inertial transactions entirely completed",
chk_r_st_arr1_vector = 8 ) ;
end if ;
end process PGEN_CHKP_1 ;
--
--
P2 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P2" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_arr2_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_arr2_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P2 ;
--
PGEN_CHKP_2 :
process ( chk_r_st_arr2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P2" ,
"Inertial transactions entirely completed",
chk_r_st_arr2_vector = 8 ) ;
end if ;
end process PGEN_CHKP_2 ;
--
--
P3 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P3" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_arr3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_arr3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_arr3_vector.f2 (lowb+1 to highb-1) =
c_r_st_arr3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_arr3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_arr3_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P3 ;
--
PGEN_CHKP_3 :
process ( chk_r_st_arr3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P3" ,
"Inertial transactions entirely completed",
chk_r_st_arr3_vector = 8 ) ;
end if ;
end process PGEN_CHKP_3 ;
--
--
P4 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P4" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec1_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec1_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec1_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec1_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec1_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_rec1_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P4 ;
--
PGEN_CHKP_4 :
process ( chk_r_st_rec1_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P4" ,
"Inertial transactions entirely completed",
chk_r_st_rec1_vector = 8 ) ;
end if ;
end process PGEN_CHKP_4 ;
--
--
P5 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P5" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec2_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec2_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec2_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec2_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec2_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_rec2_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P5 ;
--
PGEN_CHKP_5 :
process ( chk_r_st_rec2_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P5" ,
"Inertial transactions entirely completed",
chk_r_st_rec2_vector = 8 ) ;
end if ;
end process PGEN_CHKP_5 ;
--
--
P6 :
process
variable correct : boolean ;
variable counter : integer := 0 ;
variable savtime : time ;
begin
case counter is
when 0
=> s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 10 ns,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ;
--
when 1
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
--
when 2
=> correct :=
correct and
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179.P6" ,
"Multi inertial transactions occurred on signal " &
"asg with slice name prefixed by a selected name on LHS",
correct ) ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 3
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 5 ns ;
--
when 4
=> correct :=
correct and
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 5 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <= transport
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 100 ns ;
--
when 5
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 100 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Old transactions were removed on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 10 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 20 ns ,
c_r_st_rec3_vector_2.f2
(lowb+1 to highb-1) after 30 ns ,
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 6
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_2.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"One inertial transaction occurred on signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
-- Last transaction above is marked
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) <=
c_r_st_rec3_vector_1.f2
(lowb+1 to highb-1) after 40 ns ;
--
when 7
=> correct :=
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 30 ns) = Std.Standard.Now ;
--
when 8
=> correct := correct and
s_r_st_rec3_vector.f2 (lowb+1 to highb-1) =
c_r_st_rec3_vector_1.f2 (lowb+1 to highb-1) and
(savtime + 10 ns) = Std.Standard.Now ;
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
correct ) ;
--
when others
=>
test_report ( "ARCH00179" ,
"Inertial semantics check on a signal " &
"asg with slice name prefixed by an selected name on LHS",
false ) ;
--
end case ;
--
savtime := Std.Standard.Now ;
chk_r_st_rec3_vector <= transport counter after (1 us - savtime) ;
counter := counter + 1;
--
wait until (not s_r_st_rec3_vector'Quiet) and
(savtime /= Std.Standard.Now) ;
--
end process P6 ;
--
PGEN_CHKP_6 :
process ( chk_r_st_rec3_vector )
begin
if Std.Standard.Now > 0 ns then
test_report ( "P6" ,
"Inertial transactions entirely completed",
chk_r_st_rec3_vector = 8 ) ;
end if ;
end process PGEN_CHKP_6 ;
--
--
--
end ARCH00179 ;
--
entity ENT00179_Test_Bench is
end ENT00179_Test_Bench ;
--
architecture ARCH00179_Test_Bench of ENT00179_Test_Bench is
begin
L1:
block
component UUT
end component ;
for CIS1 : UUT use entity WORK.E00000 ( ARCH00179 ) ;
begin
CIS1 : UUT ;
end block L1 ;
end ARCH00179_Test_Bench ;
| gpl-3.0 | 6f8dbac92e1db966d9ed1f154bf123c2 | 0.502017 | 3.224042 | false | false | false | false |
takeshineshiro/fpga_fibre_scan | HUCB2P0_150701/frontend/frontend.vhd | 1 | 11,270 | --*****************************************************************************
-- @Copyright All rights reserved.
-- Module name : frontend
-- Call by :
-- Description :
-- IC :
-- Version : 1.0
-- Note: :
-- Author : QIU Weibao
-- Date : 2013.08.06
-- Update :
--
--
--*****************************************************************************
library IEEE;
use IEEE.std_logic_1164.ALL;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
entity frontend is
port
(
I_reset_n : in std_logic;
I_sys_clk : in std_logic;
I_scan_trig : in std_logic; --scan triger
I_reg_csr : in std_logic_vector(31 downto 0);
--ADC
I_adc_d : in std_logic_vector(11 downto 0);
I_adc_or : in std_logic;
I_f2pc_full : in std_logic;
O_pixel_symbol : out std_logic;
O_pixel_en : out std_logic;
O_pixel_data : out std_logic_vector(15 downto 0);
O_pulse_trig : out std_logic;
O_shake_start : out std_logic
);
end frontend;
architecture rtl of frontend is
--component fir_band_pass is
--PORT
--(
-- clk : IN STD_LOGIC;
-- reset_n : IN STD_LOGIC;
-- ast_sink_data : IN STD_LOGIC_VECTOR (11 DOWNTO 0);
-- ast_sink_valid : IN STD_LOGIC;
-- ast_source_ready: IN STD_LOGIC;
-- ast_sink_error : IN STD_LOGIC_VECTOR (1 DOWNTO 0);
-- ast_source_data : OUT STD_LOGIC_VECTOR (15 DOWNTO 0);
-- ast_sink_ready : OUT STD_LOGIC;
-- ast_source_valid: OUT STD_LOGIC;
-- ast_source_error: OUT STD_LOGIC_VECTOR (1 DOWNTO 0)
--);
--end component;
component analytic_filter_h_a1 is -- component hilbert tansform
generic(
input_data_width : integer := 16;
output_data_width : integer := 16;
filter_delay_in_clks : integer := 7 --delay of hilbert filter (including pipeline delay)
);
port(
clk_i : in std_logic;
rst_i : in std_logic; --high level reset
data_str_i : in std_logic; --hilbert tranform enable
data_i : in std_logic_vector(input_data_width-1 downto 0);
data_i_o : out std_logic_vector(output_data_width-1 downto 0);
data_q_o : out std_logic_vector(output_data_width-1 downto 0);
data_str_o : out std_logic
);
end component;
component r2p_corproc
port(
clk : in std_logic;
ena : in std_logic;
Xin : in STD_LOGIC_VECTOR(15 downto 0);
Yin : in STD_LOGIC_VECTOR(15 downto 0);
Rout : out STD_LOGIC_VECTOR(19 downto 0);
Aout : out STD_LOGIC_VECTOR(19 downto 0)
);
end component;
--constant C_FILTER_DELAY : integer := 17; --filter delay 15, adc buffer 2
constant C_CORDIC_DELAY : integer := 1000;--20;
signal s_scan_trig : std_logic:='0';
signal s_work_start : std_logic;
signal S_fir_sink_valid : std_logic; --filter input data valid
signal S_fir_sink_ready : std_logic; --filter is able to accept data
signal S_fir_source_valid : std_logic; --assert by fir filter when there is valid data to output
signal S_fir_source_error : std_logic_vector(1 downto 0); --"00" no error
signal S_fir_source_data : std_logic_vector(15 downto 0); --filter output data
signal S_hilbert_reset : std_logic; --high level reset
signal S_hilbert_data_str_i : std_logic;
signal S_hilbert_data_io : std_logic_vector(15 downto 0);
signal S_hilbert_data_qo : std_logic_vector(15 downto 0);
signal S_hilbert_data_str_o : std_logic;
signal S_cordic_rout : std_logic_vector(19 downto 0):=x"00000";
signal S_cordic_aout : std_logic_vector(19 downto 0);
signal S_ad_buf : std_logic_vector(11 downto 0);
signal S_ocnt : std_logic_vector(19 downto 0):="00000000000000000000";
signal S_state : std_logic_vector(2 downto 0);
signal s_oen : std_logic;
signal s_den : std_logic;
signal s_scan_start : std_logic;
signal S_scan_state : std_logic_vector(1 downto 0);
signal s_work_start_buf : std_logic;
signal S_line_num : std_logic_vector(9 downto 0);
constant C_line_delay : integer := 17000;--4700;--181250;--40000;
signal S_line_delay : std_logic_vector(19 downto 0):="00000000000000000000";
signal S_hilbert_data_i_0 : std_logic_vector(15 downto 0);
signal S_hilbert_data_i_1 : std_logic_vector(15 downto 0);
signal S_hilbert_data_i : std_logic_vector(15 downto 0);
signal S_hilbert_data_i_temp : std_logic_vector(15 downto 0);
signal S_pulse_trig : std_logic;
signal S_data_type : std_logic_vector(1 downto 0);
signal S_delay_cnt : std_logic_vector(20 downto 0);
begin
s_work_start <= '1';--I_reg_csr(0);
S_hilbert_data_i_temp <= I_adc_d(11) & I_adc_d(11) & I_adc_d(11) & I_adc_d(11) & I_adc_d;
O_pixel_symbol <= s_den;
O_pixel_data <= S_hilbert_data_i; -- 将AD9230采集到的数据未经任何处理,直接上传上位机。
O_pixel_en <= s_oen;
O_pulse_trig <= S_pulse_trig;
--------------------RF DATA--------------------
S_data_type <= "01";--I_reg_csr(5 downto 4);
process(I_sys_clk, I_reset_n) -- 打拍,把AD9230的数据读进来。
begin
if (I_reset_n='0') then
S_hilbert_data_i_0 <= (others=>'0');
S_hilbert_data_i_1 <= (others=>'0');
S_hilbert_data_i <= (others=>'0');
elsif(I_sys_clk'event and I_sys_clk='1') then
S_hilbert_data_i_0 <= S_hilbert_data_i_temp;
S_hilbert_data_i_1 <= S_hilbert_data_i_0;
S_hilbert_data_i <= S_hilbert_data_i_1;
end if;
end process;
process(I_sys_clk,I_reset_n)
begin
if (I_reset_n = '0') then
S_state <= (others=>'0');
S_ocnt <= (others=>'0');
s_oen <= '0';
s_den <= '0';
S_pulse_trig <= '0';
S_hilbert_reset <= '1';
S_hilbert_data_str_i <= '0';
S_delay_cnt <= (others=>'0');
S_line_num <= (others => '0');
S_line_delay <= (others => '0');
elsif rising_edge(I_sys_clk) then
s_work_start_buf <= s_work_start;
s_scan_trig <= I_scan_trig;
S_hilbert_data_str_i <= '1';
S_hilbert_reset <= '0';
case S_state is
when "000" => -- 等待开始。
if (s_scan_trig = '0' and I_scan_trig = '1' and s_work_start_buf = '1')then
S_state <= "001";
s_oen <= '1';
S_line_num <= (others => '0');
S_line_delay <= (others => '0');
else
S_ocnt <= (others=>'0');
s_oen <= '0';
s_den <= '0';
S_line_num <= (others => '0');
S_line_delay <= (others => '0');
S_pulse_trig <= '0';
end if;
when "001" =>
if S_delay_cnt >= 1000 then -- 丢掉测试前的1000个点,此处没特殊意义,调试遗留,不影响使用,可删除。
S_state <= "010";
S_delay_cnt <= (others=>'0');
else
S_delay_cnt <= S_delay_cnt +1;
end if;
when "010" =>
S_pulse_trig <= '1'; -- 超声发射使能有效,开始超声激励。 --start trans--
S_delay_cnt <= (others=>'0');
if (S_ocnt >= C_CORDIC_DELAY) then -- 每条线丢掉前1000个点,因为离表面太近,数据不参与计算。 --recive delay time--
S_state <= "011";
s_den <= '1'; -- start receive --
S_ocnt <= (others=>'0');
else
S_ocnt <= S_ocnt + 1;
end if;
when "011" =>
if (S_ocnt >= 4095) then -- 每条线采集4096点
S_state <= "100";
S_ocnt <= (others=>'0');
s_den <= '0'; -- stop receive--
S_line_num <= S_line_num + 1;
S_pulse_trig <= '0'; -- stop trans ---
else
S_ocnt <= S_ocnt + 1;
end if;
S_line_delay <= S_line_delay + 1;
when "100" =>
if S_line_num > 299 then -- 采集300条线
S_state <= "101";
else
if S_line_delay > C_line_delay then
S_state <= "010";
S_line_delay <= (others => '0');
else
S_line_delay <= S_line_delay + 1;
end if;
end if;
when "101" =>
S_state <= (others=>'0');
S_ocnt <= (others=>'0');
s_oen <= '0';
s_den <= '0';
S_pulse_trig <= '0';
S_line_num <= (others => '0');
when others=>
S_state <= (others=>'0');
S_ocnt <= (others=>'0');
s_oen <= '0';
s_den <= '0';
S_pulse_trig <= '0';
S_line_num <= (others => '0');
end case ;
end if;
if S_line_num > 33 then -- 在采集第33条线时,电磁铁开始振动,此数值需结合算法修改,不能随意变动。
O_shake_start <= '1';
else
O_shake_start <= '0';
end if;
end process;
U2_analytic_filter_h_a1_inst:analytic_filter_h_a1 -- 未使用
generic map(
input_data_width => 16,
output_data_width => 16,
filter_delay_in_clks => 7 --delay of hilbert filter (including pipeline delay)
)
port map(
clk_i => I_sys_clk,--I_sys_clk,
rst_i => S_hilbert_reset, --high reset
data_str_i => S_hilbert_data_str_i,
data_i => S_hilbert_data_i,--S_fir_source_data,
data_i_o => S_hilbert_data_io,
data_q_o => S_hilbert_data_qo,
data_str_o => S_hilbert_data_str_o -- delay 7 clock than data_str_i
);
U6_r2p_corproc_inst:r2p_corproc -- 未使用
port map
(
clk => I_sys_clk,--I_sys_clk,
ena => '1',
Xin => S_hilbert_data_io,
Yin => S_hilbert_data_qo,
Rout => S_cordic_rout,
Aout => S_cordic_aout
);
--
end rtl; | apache-2.0 | c4876d61a03a37224c3865ff41febef4 | 0.454604 | 3.031847 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.