repo_name
stringlengths
6
79
path
stringlengths
6
236
copies
int64
1
472
size
int64
137
1.04M
content
stringlengths
137
1.04M
license
stringclasses
15 values
hash
stringlengths
32
32
alpha_frac
float64
0.25
0.96
ratio
float64
1.51
17.5
autogenerated
bool
1 class
config_or_test
bool
2 classes
has_no_keywords
bool
1 class
has_few_assignments
bool
1 class
BBN-Q/APS2-Comms
test/IPv4_packet_pkg.vhd
1
8,164
-- Package for handling IPv4 headers, UDP and TCP packets -- -- Original author: Colm Ryan -- Copyright 2015,2016 Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.ethernet_frame_pkg.byte_array; package IPv4_packet_pkg is subtype IPv4_addr_t is byte_array(0 to 3); function header_checksum(header : byte_array) return std_logic_vector; function ipv4_header( protocol : std_logic_vector(7 downto 0); packet_length : natural; src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t ) return byte_array; function udp_checksum(packet : byte_array) return std_logic_vector; function udp_packet ( src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t; src_port : std_logic_vector(15 downto 0); dest_port : std_logic_vector(15 downto 0); payload : byte_array ) return byte_array; function tcp_checksum(packet : byte_array) return std_logic_vector; function tcp_packet ( src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t; src_port : std_logic_vector(15 downto 0); dest_port : std_logic_vector(15 downto 0); seq_num : natural; ack_num : natural; syn : std_logic; ack : std_logic; payload : byte_array ) return byte_array; end IPv4_packet_pkg; package body IPv4_packet_pkg is function header_checksum(header : byte_array) return std_logic_vector is variable sum : unsigned(31 downto 0) := (others => '0'); variable checksum : std_logic_vector(15 downto 0); variable tmp : std_logic_vector(15 downto 0); begin --Sum header for ct in 0 to 9 loop --For some reason Vivado can't infer this as one line -- sum := sum + unsigned(header(2*ct) & header(2*ct+1)) tmp := header(2*ct) & header(2*ct+1); sum := sum + unsigned(tmp); end loop; --Fold back in the carry checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16)); --Return one's complement return not checksum; end header_checksum; function ipv4_header( protocol : std_logic_vector(7 downto 0); packet_length : natural; src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t ) return byte_array is variable header : byte_array(0 to 19); variable len : unsigned(15 downto 0); variable checksum : std_logic_vector(15 downto 0); variable idx : natural := 0; begin header(0) := x"45"; --version and header length header(1) := x"00"; --type of service len := to_unsigned(packet_length, 16); header(2) := std_logic_vector(len(15 downto 8)); header(3) := std_logic_vector(len(7 downto 0)); header(4) := x"ba"; header(5) := x"ad"; -- identification header(6) := x"00"; header(7) := x"00"; --flags and fragment header(8) := x"80"; --time to live header(9) := protocol; --protocol header(10) := x"00"; header(11) := x"00"; --checksum idx := 12; --source IP for ct in 0 to 3 loop header(idx) := src_IP(ct); idx := idx + 1; end loop; --destination IP for ct in 0 to 3 loop header(idx) := dest_IP(ct); idx := idx + 1; end loop; --Calculate checksum and insert it checksum := header_checksum(header); header(10) := checksum(15 downto 8); header(11) := checksum(7 downto 0); return header; end ipv4_header; function udp_checksum(packet : byte_array) return std_logic_vector is variable sum : unsigned(31 downto 0) := (others => '0'); variable checksum : std_logic_vector(15 downto 0); variable tmp : std_logic_vector(15 downto 0); variable udp_length : natural; begin --Extract pseudo packet header --source and dest IP for ct in 0 to 3 loop tmp := packet(12 + 2*ct) & packet(12 + 2*ct + 1); sum := sum + unsigned(tmp); end loop; --Protocol 0x0011 sum := sum + to_unsigned(17, 32); --UDP length tmp := packet(24) & packet(25); sum := sum + unsigned(tmp); udp_length := to_integer(unsigned(tmp)); for ct in 0 to udp_length/2 - 1 loop tmp := packet(20 + 2*ct) & packet(20 + 2*ct + 1); sum := sum + unsigned(tmp); end loop; --Fold back in carry checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16)); --return one's complement return not checksum; end udp_checksum; function udp_packet ( src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t; src_port : std_logic_vector(15 downto 0); dest_port : std_logic_vector(15 downto 0); payload : byte_array ) return byte_array is variable packet_length : natural := 20 + 8 + payload'length; --IPv4 header + UDP header variable len : unsigned(15 downto 0); variable checksum : std_logic_vector(15 downto 0); variable packet : byte_array(0 to packet_length-1); begin --IPv4 header packet(0 to 19) := ipv4_header(x"11", packet_length, src_IP, dest_IP); --UDP source and destination port packet(20) := src_port(15 downto 8); packet(21) := src_port(7 downto 0); packet(22) := dest_port(15 downto 8); packet(23) := dest_port(7 downto 0); --UDP packet length len := to_unsigned(8 + payload'length, 16); packet(24) := std_logic_vector(len(15 downto 8)); packet(25) := std_logic_vector(len(7 downto 0)); --checksum packet(26) := x"00"; packet(27) := x"00"; --start after IPv4 + UDP header for ct in 0 to payload'high loop packet(28+ct) := payload(ct); end loop; --Go back and fill in checksum checksum := udp_checksum(packet); packet(26) := checksum(15 downto 8); packet(27) := checksum(7 downto 0); return packet; end udp_packet; function tcp_checksum(packet : byte_array) return std_logic_vector is variable sum : unsigned(31 downto 0) := (others => '0'); variable checksum : std_logic_vector(15 downto 0); variable tmp : std_logic_vector(15 downto 0); variable tcp_length : natural; begin --Extract pseudo packet header --source and dest IP for ct in 0 to 3 loop tmp := packet(12 + 2*ct) & packet(12 + 2*ct + 1); sum := sum + unsigned(tmp); end loop; --Protocol 0x0006 sum := sum + to_unsigned(6, 32); --tcp length - subtract off ipv4 header (20 bytes) tcp_length := packet'length - 20; sum := sum + to_unsigned(tcp_length, 32); for ct in 0 to tcp_length/2 - 1 loop tmp := packet(20 + 2*ct) & packet(20 + 2*ct + 1); sum := sum + unsigned(tmp); end loop; --Fold back in carry checksum := std_logic_vector(sum(15 downto 0) + sum(31 downto 16)); --return one's complement return not checksum; end tcp_checksum; function tcp_packet ( src_IP : IPv4_addr_t; dest_IP : IPv4_addr_t; src_port : std_logic_vector(15 downto 0); dest_port : std_logic_vector(15 downto 0); seq_num : natural; ack_num : natural; syn : std_logic; ack : std_logic; payload : byte_array ) return byte_array is variable packet_length : natural := 20 + 20 + payload'length; --IPv4 header + TCP header variable len : unsigned(15 downto 0); variable checksum : std_logic_vector(15 downto 0); variable packet : byte_array(0 to packet_length-1); variable num : std_logic_vector(31 downto 0); begin --IPv4 header packet(0 to 19) := ipv4_header(x"06", packet_length, src_IP, dest_IP); --TCP source and destination port packet(20) := src_port(15 downto 8); packet(21) := src_port(7 downto 0); packet(22) := dest_port(15 downto 8); packet(23) := dest_port(7 downto 0); --sequence number num := std_logic_vector(to_unsigned(seq_num, 32)); packet(24) := num(31 downto 24); packet(25) := num(23 downto 16); packet(26) := num(15 downto 8); packet(27) := num(7 downto 0); --ack number num := std_logic_vector(to_unsigned(ack_num, 32)); packet(28) := num(31 downto 24); packet(29) := num(23 downto 16); packet(30) := num(15 downto 8); packet(31) := num(7 downto 0); packet(32) := x"50"; --data offset --flags if payload'length > 0 then packet(33) := "000" & ack & "00" & syn & "0"; else packet(33) := "000" & ack & "10" & syn & "0"; end if; --window size packet(34) := x"08"; packet(35) := x"00"; --checksum packet(36) := x"00"; packet(37) := x"00"; --urgent pointer packet(38) := x"00"; packet(39) := x"00"; for ct in 0 to payload'high loop packet(40+ct) := payload(ct); end loop; --Go back and fill in checksum checksum := tcp_checksum(packet); packet(36) := checksum(15 downto 8); packet(37) := checksum(7 downto 0); return packet; end tcp_packet; end package body;
mpl-2.0
e7f57557fa803f7846be4e2ae24f305e
0.652744
2.877688
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/data_flow.vhd
1
95,810
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ql9xV/fT/eOUNkmsw/peL24Toax5pl004jR4FWV76cqGeyORPkTQXtTdTw7kyO16EdtWRH+DgAc5 AsYIilfABw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Rl/SIdR+sQIazzms3MSCkNXECMUsa2+Nej5CnWx5H2Cc4854bBg6u88qwlQvYTUD30mZ/MhKT4aL E8lVW6kVK1p6JmEvwGu6mkrkRHevpUuaKibV5gZfSsdnu5ILMPATw2jTG8eUKq5j08sy1LG96mrw xxCbdmy34cAliCXRjSE= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block k9m4OJ8VJSva68dn/pbEllBVei4xKfzRM62EzZ34QiCns5uGjellGRvT+fLS9vXpJr8Ue0lKBSVe mttbP4CCV9WrZcH68qjS8pOWG5b0TbIizfuPQoIX5UVyFa6POr0SCeHAS04r0pHFIEtbYbLmkGGC q21Vvg7Qsunu6TutawCGL32QO076aNRBZs2dE2BENEchLVXs0blGWQanr+cPxS9WRLVgLVi17cT6 p/oQ5cSQ1rNsEPGjbqdVBL5FhPSUtu0SPnDRH9Atm37gLkILHpiuJrPSgUjvkeEZIxMHDsi9tdR/ jIsi2gJa2V0+5Cwa6lwrsNDDGovlTczUpCJOhw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block POBx6TCOCmnHLPxrxMzfSvGZANlZOCf/P4lhkfWEvf6Yei7Og5Ik2uOittyn9RDyUIeMW074ULXC BbsWJFRNVUwyDNz3mxIBJ6Sf7kpwM/RPg2VMmMTjNceolggMsLJ4KsJUyDE0C1ojusPd+O/Rpcm7 pB9nTOrYZwztJfIMu0A= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block pPS6YNq8ktvPicgoiST4cQQLGhra+P7RVWSTiMNXRRsEbkODdXsj/Nsv5vImqd2trMJD+DlKAzu4 75BbQLuAOjMaIoA2egbq4prlPmNVL2BWLO1R0ktYqbF4TOxin4zDzsNgsMHvB/ALp424ZQX0wZ9I xes9PKznrd9jG/CuZ4jq/qHubQXudAK7SdFN/kdVN+5JC7yjLN6fRVvxzMd9Tg28T4dA1Bm8bZkX 5auFfu+Tffs0/oFsMKmXlpb6QZ5Ch2gd5tU3Z+BJFySoIppcRMIG/8vSFQzbAjeBSuPqb0aOuxaZ xyijK6MDxdEcN9Gw4m47SHtSaL+r8rPkFrpTLA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 69184) `protect data_block DNOSXoTFVhM7D8ApmKUCcqjLhSNnTlPt3zqj/CShJrMvlzGyyGg/N1BppnRdXocIDx2S1v1IMniS eyN8jZGEUdgWWNVdtRslRQmhdDQ9Bf2ivZT+kUs58XSLvVE29jcHHHpeaKqEfAUgc+1qNuNyearA X8QeuNg9yo1U9rZnfcELhFKSlHTxF8RAggy+BRBizHF00dIIzF2AbN3dNGTD5nk27Tv+ZA7ELiFK bVgZcDXDBERF3gEQnwztN4cI2Qkx+SZuHjoWB6IuxDd3bpzcjyFl9Cn/VY8wsvMlcIPh/4pq4SyT EV/ZrAhXEgad6fYLLzKhJYkXdshtFUZjbkJMdZKE6mpZWB6kjODLAv3chf8O+kUYIuEc+5QMvssL Ol1BLJexXPluNnUsB0LoHyj3x4jYUZy2SlgSOprDB3cH0GzlKDNSWQR/zKoiy9Z1l4qVUzCQrdUn KZEVRw04IWbfARFlosRUzrdF4kCNiRwfWBHCXxpLsg44qkLCuAZurQFLq4vTibdMLKkH0mBBQkb7 /SEPrm6ZzZvidAAGKw3725b4ojQP6VImsrEWghIOKWf26rWrtzDbSj8eUrcKsdmk3XKNBN/wPftV I9ycAOwWhS6etsfkaZvKutJ77jUhpsAtOrt8vAuikW3WMicNwFi1PVIULo8Ld1OGMOh89shlbHG4 7TK84EVJyTqNNROD0RAY0PISo0duUAQzejrIrZrPd4BIAG7cMbqEfyUeYkLbEBg1zCz6Fc+2Vb+A T5RVJsEM4LGxPJKZFe38COzbcGL2EL28i/N6+BU85/cPmFqPcfBjHRIXYSe/EkTwbDytgN6o8L5V sPIRrRhbxusMgXyBqRrgNZ+pAi2q+NMEDQEWSZgf8Od4Fu7/b8ft3gL30MZNfxmedBrhphPZc8J5 dC7I3xzjrSPgXkI4k66pa4i9jvGPfLRQBuH1RWykXJYfQbF0uPYZgZnqiElTq+wBrjg9z3fABwea QKN1p7HUGbD/UJNsfD5SbRxqbvDgEVGoXVHjxHVgAivBW635kZKXhUzZziTO0+AuR6Jk+sl8R8gZ mSeZ5aWhGwpRiqcH8r/TC/JaNZ+ZrQSBtAyUuKzjIpje22LJyHY+02U6j36c6ShsbR8gFeHCjlfR +lHMDPx4zMfFYVzVY3Tzoy4V2cF91/8EpoJou1fMs2/odbjuD36Akj+CT9j6o/WxVMlXyresCO3C SwxfwWzBnRen29Mjys9IfU9bbq0/aa8+l2xsmf1/AF0O5Hv3Qqf+x11Ku+09n5oFYWOyir3frgb9 4BEmhidHWNL2Sb0pCo4kKLhGC88CHpxJ7cjN7tjbZewFqAvxfZ5reqaaIyrkyLlcqFqWJk4QGvZZ CsUXmtWTPO6EnwJ7+LrK622idJoW93j1vomXGlo+NUwg+e2d1qSjYLbd1i+op818rF2n14VxViaH fWP1Pwj0EA6f11s6NdqlrK/nwqyMkilIkOSuKrMkyVRNBU3/O+h3jgPFO++eDqVP2BunoYieONF8 j0FUjMsk9UeOe+5iepshSjPEUHeqb9XeYQt7l2q/aaimY85kL2t1GYl2/G9IvMDL/vNjOPqg+x2J fOjrIiZlvW+bRS4+3bNv+dxQMW3KCukvECEjVhs+Ti2t+6C/q3UUeaMSzUtl5VAVlc8VuOQT9nbG 8K3K/puesDqZvgBYV5kXbzPYgcQv95GUbtpRzKmaSdpHNCAPObmlaFBNVtBrMGJUDvHXhanYibCS 53uRjbTOD/Hlzox/EJRTdG6fvsXJSfHs/IHTashIbVcvnSWEHU1Vhk1+esbpUmXqoHfgtzRJaGrl MPl5fRz582vYmEZL6LD3GdsaKKIJm7kuRxWhPZGp3IP2txDNISbHro2Pixx2ocBpPWX09s+yjSjG IZ/tWTRnzYlPDbSXQDvkatgtiX23aKzSDfGwnOj5Z4ySO1xDNsISndP1w4JQdSwE/fYQdigvmH/o Z56ehcNOawDAyTq3Fb/4Dug484ENjky4IocpNvFc8FcuNiVJkrhQ72LWCRNVh1rQ3OKUtBX+caDe O5tnT4vRiYj8I3V/RBm+Dhauog395+VRvjehnSoqMlJLPrFMub2XWKaY0xOfEoNE6lqnCMF2mtDN oCORy+5i7k+diK8KczmTA3fVJaS0NLPzfuNmXj8o1PXJStihJpQxt5X0T8elzgy3LPvb/LuWAIZ7 kR8p0U/nA+55HUA5qowK+/+2ORMg7T4Qv1k1EcuihHv+qD/dvx06CrhhGe7lOoBUhyksxfsPgBIy 2J4INvcN2YD+9/hoqzRGG8wsPN7T3mzV0PLsnSDkY6rKg5zWgbO+VaW51aNutzVQNYM4yrpvFJv3 wgbO21rn2lk3jCDDu616umxQq528Fv7/ctfd8IPIfa89NWJLrFgMJCcgrltix887vKinuBRbC7Ur hV1yMoOJowPCgZ8HqS+jzR0lrdO6V6DgcGcMpvr73GFcnIiFUGSOaa3PHHm5oWAa5igatTD/vVYU MuMueVGcIDJwgDuW7GZK3hF5HTo3dNrpLVRG+LPyVf4pRn1V1flRVFsIKyWLQwmE76hk8ket8Tl1 JL+gm14nk5cZClgAw39r5mvjJJAMhPjBwgzaxb87vjXChh4CQnNFTWJDR7RANXLeooxYBBYRvTwS ZXStFAh8QCN8VZI0futO9o3Aw8NJPCgTi9bzboCiAGUbotQ3Ev13qRFeblT2cI3a7IhCTzpHSTTR uWfICOzNQamRuDEznTTNgDDD3Hl27n2ihhN8XMz0zAYhNoCegPzB8rp1PSNNqKKHlNINa6kBWRBJ Mn5plKEmahwogoHiOi+QSk9sSzzkSyRYd5GA/Ia2b6HgP1JmSWvPZXfbCMTImSd7HxXoaupxLKMw vPzHxzlCRyU+chc6b3zq7WBp93GeidLBvZJRKOWdBye6aJsjC02VtA0SX0v41axpK7d7liDvwzyi zT8vgxzAzC+/qC34TapjzLylJr1KAe+XUgEQ0juZ0qHxAkIcoOB+0N3YFn2EDU4rWFG8rrXoprGe oIEHWdZ3hSoQiT3wa+R1GID4txQWQ3uS5ku5jDQJMZ+TVDXItN1T/EaGx35ZQTBIqdaX+jaXTMNj C2FSZrUqRwtd3qXQuHzgSgyGVkRCTwZ7VqldpkzW33m0DuvF/0lMeKXozWoOM0aQEvhl/P9+8VKg HkekEr/kmaAOUioutF5Z9cZYHJeVKuTptNy2WddyeKIsfNKG1qezeBkx1j4f/n3X6ssGR5ikYAmv V0uU4kiZt8gIVQkaJCxERV98TqnkRwNHVnueQzUxHUF/GL+ZDGO8cDwrP2heo5CNYFh28c8EH26i FSEHYI15/8KJtm6dRzljAYck9v+TzO+mBkjlTdrZ/E+5UXNnclnOV6XJ77zXh4hhj31vNAbx6740 7v8hfz+TJ1vQGOm5A162/KyGumQb9Su83r+IjyGXyUN1QZPpf85jhhqyfQg/VlKe2vBvGylU4Rca 2n0mlyJEreQ2m+r4lN83FLRLWOW8jI6pLWx8Z/e1u1eSolHlfWRSOQkrtDe1KSBKmonQW10U7nkB kP37fm7GS/Nxb0/56wUEQUuG4Ll4z9siSRoSSTVaxyKOwDwt8SmYI6BPUrnkZboDjRq5w3h/xmKs KPIT4fpGNhIaafaU0mNhWrAkM8RNnwYD4rdn+QWsiClsYeSd9gAtUNoLUjYuXFMMQlJFQtv85WmP iAavLI/UArWP5Nqozwxo128ou7ZA3Tn4BiAFLpNYyr18/0L7j4TqN4JWCD26wAyZptjffHJAE9Ww FwoZGyhpfxFUNW8i42t1yAPyEadRdLh4bBZZb8LBvNU5Lo4BwMpdC9MA7nsmmDcAuSeIN/TvXSZK P/BbJJHIkGPld4idUXK16hq6mKeVopBNknfCrxSEGYs1Nw9Ao9FgQTqrvsethbSednCqRBEEqPck XAMosuR2CuaTJPNMs9PK6OdHZSxT5Ke93Cqwd8pJ9cUSgPZVNPKz2vH10/r3Km5FCPR8DFhSAAzX 5AMRWzMhTrE1L2TvFGCzA+rwbonjffzvgWVUVCDS5cCCzsrYX13T6KdyGiwNBseq9yteTw1HRKfV BwYZRoAtH0g4lgI5j3PHDGsFrTwAxGaCqpwyNYbGvhhFkAHRyx/7eEXa9ilGq/nOBEzBK809Ydmw RCcdZTdSd1iLgy5PXLsDmJZfVDEOtNtqfn877LQTmF9xmVOTToCEFM08LTOEaUgr0TViTOWRD0Lm sgmgz6kHSaSwnS9aBLrRNxWUG34YTxa/MFZNKTGts2nnk9W3gCgaVTglIk+14PwqubzP03+P0g2I PrMf/hYRjVvJFXWGNUqGYMBXsiFupMovcsufjtNcCERpTR9Dd1/9pyx6J4wDD2p+P/ofgyJvvSdd 2s80B3ZgmYccaMItuUx8uyMTlxzapGfBZMOKGO8AJHAMZs5A8T0ruthadORXvKULKuQVq4yE2LMC o2MPQ06Gvfw5eoLOmFsGCwcmVTMRyK+7di+r7HEI4dZOCtgVxd3pYoO7RxgpdBa7QKebCE3aOIXh DTuQtPEiHaNxILCUG39RyGtHBLp9HmU/7SOGK2f9rq95NMGTVRhcRUWtGM2xL4Nh1m9yt7K5d4x7 ft6DZHQVwUOVpDH9tN9paxviAWpy3+dD0IUEHsLnL/4hxNTB5Yt7JlSNuhDOulfAbSckrHJ7rQC4 qMMLIJjZo451DzAn4OxMDhJ+VlUR0/GEMslKi0dIByRO0XEwKDzuYcgz2Ro+SwQoGzYLru/4kGpO IdUk6jUDd9sdV6SLcvxWe5sB0BDb3HKezqGOgq2JLTcel2HZbTLnwfvcP/kKeCv5ptzbk+JUO92S EzM32ORdBCS44jXtNOx0qZMfinJNOBCGrZyN4ntKgCAJnBXMrq/qitpjqy+LrxxS2B/ohho75NpO ZPAQlpyBwHE8WdH7uE0i9WFQnbhGz4Gqg/I0L1w6hPkvL9pUBWFdUQcXLTrYZu8jblrv9m1qj06/ 8Trl9fUmofpXxPWgsTIsfcn/C3ugMd3ub+G7Vb2B87Rnv8ikTVeozXSpNaX825dWEkKD6mkIqQZ9 4QlD+4zLyonRu5qOyplFxlHsjt59eYDTO205u+yD6pDRenlmnJGhBuL9PE93VOtNtxj1Qpfe6LDl /8K+mSGzyjTOGjvCZV72UftoXSo1fSMHm+o0EXPM4ZalDl42ckpz49yvi8PLcTP0FnihiztaTNyO EjkKxEh2L+gaWXLt+KFdbv5MHOMTtVgOwI1G6beA8aNIM7epqirIsWESxnhX9sq4n5HpJMZS/fGX cVyKhnSNvYUAVQe+83GBfowuspjStKjJgtRMkOvDvF0iK6gN1xbbcqHjASl5Sk7Q8YJ75W065Svr foLR1+XyiVoFzk6vPCaeGGTyVgOiwTMpz/wYouwyYPyVIXUUq5eR45QJJbR9NZSXLSPaPhCBcCDh UdJP9O84uCNUOmVGNM/YSuB+BC4mXr7fcTXsTtbKNkiruQwmEVV6Kfa3cu3dB8yYdkBIxrPBO7V4 s21cbS4xrffPI55ioePIkr90Vbm77vf0qbNqj7VVuYUoi10yGaLmkhxBSgZDleblkNiyL2wtSjpC 44ECo0RKoDJeCtLlKt3dM7D0RQQNzMaPQexX5VaQ/jA+sbLo+DGSdk1WpPnOEe87SoAPOfWLIfcV ZTZqjRcDvkhJB+iQqEDE0h82gwQUySIYkBk8liqjW6Cqic/C+rLlC1YhoKcC3paYMd/1is6rfuKp +3YkNvQOuIoyqKzZweRItGT+5BVyPLvLcB10uiLFoDf5A8is4xkvafBFt/hb6y/bkqZoK0iFcfJS VCpqOuiNHIJIFGU+svmTc40vO0u4IbqxWuRsQmQD1uy6oTT1YE5waSgx41Cd2rSQauz3w1lbg+UM E6xf++G9OlZFmDj+8mUXtVUkASXF9oa8hgPUPpAFoY8vXbswEEQ8vIjA8qDzKykC2TKrfXtvyQeW WxzPDmVWFHbOYavhmsFaiyQ+ySKww3pbVSz3zChKWexOfYwUB8Ek9KmrYhUi57K2e1HX8owtoNYz bBhu0mnBTD3PqDPm+rOfz+d9Tb4sLVz2OF6wuruyWX9KR0FviruvYhgy1cMonk6P8q3i72X641EY ExroLL2sHOmHbqN7cGup5Pt0na28Tvh/NaS04YYGXdIGa2H/axRPILkagcTMNmzJ1uADWItwW6ld 2JVYIM1IxCfRwqApSl++U5I1rNHnXDEfCiHrXWVpAGSxfTFcv/Yhp6+atdivPhnYTKwcim7vgiow nC+d9R72O53HrNHMVe/gZZ2wblBICTfkV3ckuNXqvB1ghazTrgJdhehtQfBtgnNd427MxF7MXPmO gIyyxxMBfwgc5nQgpX7zOWo/pB7ALUq9rkflt+vQisKjoi4xfPJlvJB0DWDN7U3uhs114IW0Psx9 696iDWKd2OF8fbPQm72qL0qgORzoyEfWNqqDrqxcfgGSVdw3HE5bnYQ4WUjSZRa+ZBY4OAcMvQp/ qeLK4Uel8SkyTjr1IorklgDD81+pvnHCTvNQPitoKOvmQAvXFipb1Rb4HAbQQlEXLfMEjOX209Kz EiraA47O3Qo7FFkT9ZQSUkNb8wVDoi0eQmPfGwVfSYlhEMCF2aliWcG/0FH2fV9+gfsijwJUpd14 8ULoDUSWWkF0OCj5ubEJR8PRGH392Y8b/vYkUphVUsw7ZH7aa12savUgut4h36/n8yqiV5YE5QHY qUoQEd1beeYb4lEu/gXZ4Q8yWUJcMMRA2oWxfHY6S4/IC+zFdCD6KO0/iyjvUJM596F/LcNcereN 1KQxle/kmnRW58MNCpQrzkjy8tcSh83oxTFUf9BETQb/87orT5IjqZk5a6AkZPWdpq+s/ENO3vVb nN+AAyJZ3lx0Iw+ZZsm6sVyziZ++5ZTiLDej80cCsIVL9KLqS/pL1od2Ut7O0Miy8TyBnrIFgRqa lIdqX/L2qKq3Nogm6gdr7n5zZLbUrrl8ItiC/Mk/KnGsqOXyii7ds+ZwGPfq89/2Owj36HEy78X0 8imCwPoJvEyd30D1G/OimsUkhT0fq9PfglzYiRJOmGfn1QTLuitkcWGaiO2FT0WfY4BjAkbVt4xC dzkI7UxpXA3IhRhOSd1dSktl5GAan4gcGAWGP8xtAGMFo9HU2JgfmKvVLUb2z1nYUhwZefsJE/vb wsDGqLHuGEddNT3NyYUuL9wiloJEPBdxHiFYqljGqtUXTNa8tnR3kEEjgIzs7uMt81mPo/gZPLGx L9FU3k3nsqfOUD7KhEkphmtt44yJwDROyklcVZxDGiPWIZwMbUioOJh8YgFIdcKrXcCdUUKsWtCA ghh5RlnlB0qkEuGwMKEPMsJVXH3/M2r9kywRe90u3tXEGMqdzC3AGPR79l/6E/7DXcfUZXJ6moXw DcfFdDqs4Y7b/ZeKIj/il0u10EKmRH2YvZ/F3lZWWdsUOgm1ukdZgYL3YLlARIFk6SihzrDTEhwv 0WgDGivoDy8jmaxOApa3scAxV5rvAgunV6u3Zmw2j7sjBzAwiPhaHM4ZA1L3zZH86GmLrcQ4we1e uilAnaeN1V6D/2TgrV87GJxDrcMxNFNpsnHa6qZ8LKPSol4r6fN3iejEG6K7xtYFzQCyUL5R6NL9 zB36fAFxcZ8UmKn+q8DpWgg7mH/KHZ9Iatq4iZWuEfhPIKP4Wff4Iq8sik/QAKh/ozBHLNxqtLCy Zq+LeAYYPZ5P92GxMFxVCXYXfY6093nZ/N/DNFDot9kFHgcZG0XOvnS0uVfSu1TSnO1e+WskHaRt Mcg3F10/1w6DYVKEZG99ZKM6l8miHbV9QSdf4pLniztG0L5/pkbpA+bL5yO15SPiq0b3v/EIJCyV DnoiP61hkSu5msfi9Vj57hGu1vwL27BdUn9DPMHRaR2qExcqid3ih+Vxb+Jpd0Kt0SxhazJAqX4I jokrjFAXCq6CqFqmFe0DKBvm0EkoZ8ajkNmnbgeNfMYqfLyzceUE31vZblsdCKBP2QbTFDjhGZ7A 968SbJkFMO/o65MMhVjNK3ionKOYoVmcqC5nISvu6oJurq53bkew5X/eTXVOU4Aee5wKV7tUaP3B I2N4RXIdOhvFI9GHznoqDrfxQR8ZrPIVDVhck1FpGJIW4d8A1RavPJO8r5mH+7fuAi5jpL8/4+7h VnFHWvjm3TDkppBG8xia9j0zF7lY8m3n/mvDU29n5WL4YnwO1uSxvXHXbTI8X7LoJvs/j/soZzUZ /rIxJAmycdElZhHCINM+LEfFamcq5AoSebD2yqWvDBs9YOEl+dD3Kv0TcE6W7peSvJTwVeXRWzBf Rh+3mEysmFcEL1rKTw45FB5iPPgaDpe467EDOOvmA0jmu9pqngd40BrgsJ9AdfVzk7r3MlgMiCaH xQbaZvio71ivtvxOklEHsmuVPjLcyOXOmRy/WX5F0Kgp+onRno0130iL9bgIKDeK2QB8CV7HxQkp 7XZM60N2S91kamQEnnH0eWI6hfNUfzQIAvVdgCtxn3lxFr/R2uGyHJaADkM6KEJLPmjevyFNNGo/ Ry+PmTiexCmwa/6gLlj1LrCMHCszOmtkgyVEnSl6IyHO4fjnDMTXgPZx7RV77/+N2qZ3EQUOHn4W jNQjxU1yBJQ8sXhj3fmETa88Y9StC0IJHF8sFijjaVnMAa6t06Cuz2YdlhMAFxR5PS1XehFMFtdo BppoGr/s+rXvOTHZHhitZ60qE0ULLw5+dcKJe34wTOIeM+ZlxjIQv5LiM5LMLN1Iyif69qvQytTv ErKv/FLGH0EZT34cSPp8MT+YcsdU6B0Lnt59k6BECluvX+UGzwDEjq67yWh30hf4cJWexXMXaIhH 23drznDmoQHZh9erV+yVumkg4o1msemM+EtFbrK/i0z53uT3NWHXSIFhHTQsAAbPOL2ZofgpqJv4 7D+LxWCew5aOrX2aQJjYG9Xl33nS3XuhEGAcz68BOUNv3+jumDz6ybHzX/tMi4YiIRIcs1NsBpIc pk9xQYJNbuIQqCWFiLYpbpNXan1Qn1ZB7GPC833APXXbRrZ/r+SMTzbYbZJdXHHJ1VHoVzKoO8Hb ZtvAPRl5oTQSksp8nTyKiTpmVsDShtcJfO28w7Ea6yb9nk7/n+SpNLg1JkfnqQV/DfPUrQ4ODCcN X56s8kZjkq8lT0Ib4pJxttlaJeXhs3FIfNaK6k8AgW3OpHbmXzPyViXsLackY1ehMlav2uKHTpPT cbPmiR1M6E+J24bwgYnu1rDIgVGYLEML/mwlWt+ABG7HxCgSrEzr0QE1qKttWnB3EAKVEtB06PHB ud/wiXibjsOJXnKmYJk2oH63mBWGYKATqh2oN/tg1TUYwxJlOVKQrdWTfEBp7QB/4ywJ1WLS+jk0 6GZ+zSpUpYBxFs33NrW2Z2pCcMVADbB48hzp/n7j/M2u6lfYn8OTrEeiakIrmobf9GXW6B13fdYP sBmNy4YW24l0c/wX/1xNAwvaU7TRSLIwkGnoeFBDpmLa5/HAdcutM2VxeSCPsaIaRUAPUXMMk5QM bUARBDQhrLc2t+ImDnWh5fSeRi3R0muCvoDG4UtJ2khjSbRh5ug0rv4MmFbPJReTQv8ql12Kq1Fm PiA51A0cBqI6FG7xTtzHaMpJJHY83krUlFfNDkrt+FbsfcSTL14aNZ/COeIeZOSABaqXOC+ELTye NUqEreKx7TwmTEr8WQMjE7L3b9kD0cQg6bYzf70KZtiHQQGVT76sFqbcXlhUV9nFfM52D1g2MCM6 Iumt4jwHhOj+bCFNOFmUzWPLrM5wDHtL50+SbGhWq3NQg3D6e+7uye+PxAW1qQYRau6TsFTCzQOk 4pQ7DPoVWy6ZFHlrbq7KnhMSK8sWqsM00S4EcT8CwhntZLtzUbWS+jmb1nWZCz28WxmIviELEJMx FBIfkNjPOijC8HtqUSWYKGH0gBEWHfwed9cz914EPYTHWmD9as0RZJc3WJWsC3Nvat8D0XnL2xkE EH2MSP+BFAXJ2ghRLidOshrznM/QkGgIsjlQ/bDWx74+qis2TU1fFR1sKpQs3gBIpbLhAwczDLW3 Pwwbx0yHqeMsMOshsqMYo3rzpgOXaiJVzyxpKqTRk/vVKXq8U7w3TI1Z9/ux1vREahSYH5Umf2gl JK+e+CLsyts0zdAXKbrSyCPhSCyGSHVQ7onsDg2SyeVatDCjkh9By/znIXgGOXixecdm8ncKjQTp Mt6h+52Tqm8sSFljJBOPqBx1ktBcgkH5rwEOB2/AJ1swJmrM1PpPtdmvPvIC2eQgRipRT7lf6BWN m2GKP75W5FOguG51hvbkxeyjEFCs+VHFKE79ElCyN1ZRtgva7SY1Aa1FiL8Pe9b6qiuyv2TGvqRH NpBkwhFCsDmuD6G+N2TU9u4BPcn8aL+yQHpaL6XldI8AtK5kCpWRMTMCy1VysRCpSdpXaHqAyEMa XZAb+K9TpkpbwwoGpSr9Pag5ZC4GnzOgs4NE0CBaCj8rcrqd4LXIPxGZOGdNsTqzylrqdnFV17C6 nEyHaPjnGdp9+B2/CXqUjjRrXr6U6TB9RuQll0p30ith0tUDswP4g67eYWvxj/fqK+VtwYNoyTCj 7u3ThO++hn8LaH2Yqwu+ws17V/JAPdzMkt4KyPQPlZEJA4gdycaYpPhBC+zOJZih9kgrQctnNRQl NLa5wiOkzv0d9Z1ILRdlIcNzbQaeBOZLlbHKMou+IK5ClBPmB87X+f0REXjmXQnRYjCGNEqWmpoY Y2yzIWIm1g/Dfdon62kFlFLdQHTyzZ6YEpz9X+xRTEoNP1qT2LvwFcVwSnZ/L9AQEeyBqgh1np2o +E1qFpW+1sjCLy8mOK2mxP5IYAV2pXEa8qDxvddnxslHprT12lC4304d+hpU0/bntx6euw7RqKqA N/Bxdw0i0wizGrz7jfXNVysLVSX9KPkhWMSk6DWicY62iqqcyklbYW/RsUZ7n5ZuyQoUowdpF/uQ zP/oR4nT6Qs0+itkPRsxyopKjI94PKXe3sAFbJZZwzIzskKZ80XcZkjGTk4t2BPEoKLKO332SxSA Bf2NhSL14fUHvBBPjrePwduEfdX61l/gl35mJKwsFPArW5JyE0NSM/1R6S82Kt9zf3Pn41P3A9IP Ol0I/hG3RYxuZqbRA3Lw56ebjSAraEZKHcUfhld9WjQKicTKCuUrkwHRvP26l3rXZJQYEB2HSTA8 fJjsWBbJIknrq773UnAlR5d6Cp6Ul7HtmLoNb6wyli8BpHsUet03YC9ZStmLOz7fR0NvFJWGGSzQ +hlVZaq3YUorW5Q8QMB2pg2KAVqW42jM0PP8VXnp4Qi7gPqkxXX1XDbRYKpPoMfKB3JrCiEsNaPl c8Byx2u0In6jkj+gHCh3uQtZ0b+PIjEoEXh4nGbFYWlYQJj1HVbgZ/sV++2T96CjyjIn53eLNpTL WjQkz2Ewp8Vxf5adamqMg54raIdx/LzOz+q2i6IifDiS5w2A9WQtHhsXvTkq38O07Gbw+LEUF8Gn KoKZfPmHPjRJczPA7zQMuJcl56zHhlvztaTeNEjo96X0W0siRYqT03fyOt7kFvQXAPGZAEIHnpNX k+RKU0iuvt9J9UgmkEIQYXgn9oVdttAy/PpH4L3MZCUZiRgz+qlaA1V1RnmKO1j3LyMxPzTQAfAH c9rBtUt4JnzqXEQIm5BJK9nHG/UTLgfFFSOWhCus8KweiEM/9Z8Aiz4S0NqmU+PRafItE65zBUh2 O0Ioq6ZYzFUiiG+7seS28FxKJ2BbOMOEtFLxk8cDsUKiltw96s8sGK7iEasQpxJBpqDOIyR97AOd Wqv7CUHpLA9U7TPzphdZGbfQzrfRvO/F5ppq0/jYAG1O0rQn5h9ttd5kl6UPsdzogGIg3o3EC80v w4SQUOVTVWy2bpak8lRaQEhtlCG3TByQJrKYyNvVOIIapzOQBiqg2SHCzhtu2JWu2h6Cgcm/lW6Z 4vl4MXUH7WoQINQSZh1HVMtVN+BexV49+uToytSConp11M5H3dN+nJrtiQnOggKAZUYT9sXUKySA vZB5I/spop6UIxrukfT+l+R5IRTLbb2fIkg6+cy6Gx9iGCQ9IQ6aRr7SnFSF+7bc6iGIT53+kASL xnOc681wjNGGG6+H5RZ6BEjIhuq5BjykZ1x87u9ubnvmmZXqeEkYBfSVFUekpgAC+Yd5tog2WULp SQ7p6ceEUn9bgS/hjtjMl2Yz/D5C9updURUMnt4o0nTTTmOTbyfZWGoL4ueQ3vUKiYz0ynSePpen UeBycK4kNU0UOwy7QNyi1GKu65R1sUEPUOnPNA6PxjBEkRGiu2a7j1So8SWTiyoX++g44W9ZYLIE zq487ao7+lBflwhyBY8r21G5fsALWkFq2r69P7e5xPljjFbUuWK4M+JyUkxmd7Us9mydmQGRl2XZ u0xdtFXLkWQbNbhiPFKH604fQ2pwooavnuS9Um6eYKCBUQy6HLomX7cn/BzF9BrvaYZZz9lsc/iL jrZAjYwH7cXa/xPwcZdn7qbLjOK1YwwNL18QxkCHVNWnSc90qPjmiuwhcKIRhzH8sW+csibAW6u/ ZEZ9YtbCiNzg+SZdxTnFxSu1q45gPK9Af1RRGT6Vz4ybdToNLJdE7jjq7TP6WEEWCweVFsiRh6UC zAiW4Ts+NoSKTSaf/KtxjMhCq3e/y5sXV0mrY6WKj6sIXz3oC6DoX26efWUrCe7qRLe8lzS8XbS+ mD+KAPNflEEWAkVM2HoIp1t+4kUwfhPFytoVl4nsUzOY0UtTJkbbwh8YoVESc5RwCMuj63cW7X+Z px9ALOFQxqU2Rf/4NCxAJ9R10A0QdnU40igiKWzCMVX2xR/SXnS+hTZbGVUN/vaYB54UT/GLwZxm WaznOyf+Drik7TOijtS1ASHUnHu/amLN7PWkIPnFsbQ6b5OGzFPPfRLlsoJ9NOSv4zHqG0oZP4NB rRT1KCMBnNgs3VHD2d4TgRaz75TC4dah49IJwB03IIoctYRDmJxN8h09GoIP2fqPyDD9YGXexEA0 2cIktK6kqlRD+N2RMS5gaVoqy6FHk3Vz24eoo2OTuLyUmsvAENIgkVuKPXRdYy+dbahmVXC0P5+f sw5kKj5eH3GSnywqBQkqV48StzgTPZblZOj7Dn4DVuw4xmJa8urpK6GyeQvWS42wFZ1hmqCOSnd3 N1npsQmtY+AgHfvf8c45EhG/WbKgxu1b3VCNZhPQLjYmAFDDV/Y23hopfudY9iHDx9wskp59/5bi d3rH2h3CveW+dViHBECmaLdQ3HA1qJyQTSUr1+nVMe38JQnHc7EGXwC0EOKS4MJ/Xx7NW+WUohW9 ChA8NPxzdbgJqSinooySlexjJ+tYgMtu+1Y/vWCSP8tylU1NCjFzPFWXhDcs2lQshDxhNyZzkfiu sG1sAZmfE9C7+YpeCeqg9gBN+cbyffzxKMT7bI469//oAmRHk1PFTkOPyx5Ejd5r77EyWLsy35JD xbpoo5Y7aPksNvixk5V1ZDKJcfcyooY9EOwfLzY5MDQCTJNqT7TaYs005cfjbjVL1IBpwM6NcM5u u5IEVkSRLFDgAAAe+ZPT5CCA3E2NUVGc51S3xl7UxuJT3XlbMyoOtvis52sfde9vfGjwM1ULw8Sw xbiDn3iH1gJJKQe+crLgg+W8Fwr26saVf4D5xKjSpoH8AIQApYUigdKSGBRwpu34BFbkUBh8RCJa fKBDc6EMxA//mbG7xduVfptBbXfh27jZ2PgE6qa/4/19828ZMsDWX8w3Ol0SYJJxYYYonAcj/rWS Rg77dNkuruXi+RgiV8EEgcQVkATxAixTVCPCzONNS8svpyGkeEMCvPS3COF+hoOVmT2oPxL1mFEY 6z0PG20UzEF3qzKjGZqgQXew5UTda+itgp6Y97Q4UwKxp1bWA93gdWJ5Ok4zRJZwe/u9VA0HYXBJ Wcvk8mEfYOA3b7gN9rRHwv6D/iBlLQccilFztPoHitFRpEajRuKgglEx9953H3ph1wQACIfVqRda ccxUdwJFtVQoCp6Y3ZcuuaMo1kU/uG4L7gCsi3z1rKnyoPfAiN0AVG9Pj1Db8U7tvrKIGHx/BqdG iNezUFnDYD7ZHz+x9q1vf7HVp3P6eIAhQscn24ieDhLmczJnOUqBIPsqzFcVLcv9PVBQlw/Gg6wt nJgZI2Dzifg3IL4jL+pxhNBFeIQQbusT0hY8CGsxAd73JB9W+jzUTSQc1epSDxPYCkwU6fZj5VoF IItHTQ7AbWb6xY93xIG3jQ63O7uvODksYk3ImztBfHmLo4SlKj8A3w47RnhjopA4xHxy5ierkIHN XKvR4tJ9iOrVGkIEaUUB9w55s7JPBcFMjcSoE6akl1QcmXZmxL7bhXM2BSLQJvCImjLRrs3PPWxw XTaHDBrQrXNa0WS3ql7C+vke0C4iLFnyKne1MgF9gwphnT+GRWBnkIisZIBFwsEw0R7rYN3El3kY USDMd6E132JoFZr8c8DWLWmKbenO1WprA1who0O1B7FxuPiW9bwGtPtbb5JnpFV8zCxqQuAKk+K7 rQS+ddnw4LXryRHY5keScjk9VCrHkBa6Cpqhdq4la6nUZ9llNhQeb+EHfHrQu+JRm1+IH4X7REHm g2xs+EDpymWLs+5q4/z2pmxRDWfVrVRZNsVQ76NZTePeJMtyI9JlUzg/qETwYA6noSazoEvBc7UF jZlGQOaZwWuHjTpNyt9RCI27eWzbhVicOu2d/BF4P/+7dNbhcuZNobsjyDN0L1QpXzG0Kcve1qjf 90sV9hKfAhpYMx9q+/MIVbIiJ0djsG1+M17DO/cWBEyVN5scS91r4tuFBPJLnPx5D2k6Z/qqBKgm MZ1B96YKO1AMlQEqXnrkA8EMiE14VrcaR9lVW3/C/Xqgc/8RSMDB/lnX+DlpYEY0p2gyy3Q1hIho E/+5mdHI0DcnLFxBYxHtr9pIBP+VpBwM0pBwulrZZL408PRjsvh80oYYC3iAOibLyYbkAMtC4aKf zSOPf6Kh0CxJ1sv33iAo9vM8hk1fdlEyfob2haLbWQRIjglEdxKSixzqcugYR4gtYGdEbd5Ua1sS EJDfvnP85uLbQGgQFvmKSsUWZ/+XP4WeSbaUsbbWq5JWCptpIEbCDuprSzFaBobSRNjIXBSdo4ND 5hi3qtgwA/2qCB4G7emmcUtkoMRsFmLHqjpYeURYYwn6tj94r/p+zenjbiKq2J6hKE3ZqajhRkGJ DoyiDslqbCDQwbi/43rMwMdLADD/c1AOhZkWuwlTlgqt3Lm+DzwQhf+MHiMIV745fGiRxyXhPsjN kg+z9upA/RUu7zYNJMjwf69WK8N0gpiIbOaS1Wwz7gB9ON29GnJJ7d3a4NKYFZ+ZvAAbiTgw6u1C oXoBf4p0S1rgLPPLcs5w9oLwZUGHG04Pi5yOrrA6/S2BhB957IH6zThFsHACwsDTv7euDZVicJFK 3Xrh8rnsDj5/1dgQJVYeiiWTg1T123h1dOgQOCZ+s3OmkD5Tn61+GT2LVVC9Cr4UUPpsSZgOLze6 WbIqphzxX2kX4l3OMJpzHNC5URkmqZ+hZpK+LMVNWQ27gsjfN+WgZBbEtF5GpeoxP+n/fptsJkrH NNI33CZ/qSTff3ZaJssXZ/dDjLwT088XgIxz3Q5yK1yLJp1d+gawblrPamgmPtsTCCIAtYZ4ptU8 sHz16r02AGmet9rDgo8W0jId02ueY9BCPBNg1jGO3mCZ6kEvfUyC02pxdfBrlIMYwg8xRG6MseUb Hv4GbZ0nkc5ttPqpvxmyeHuq8NDtMy7NYPLxpG2Uw6OjFvamIITXqC86rgBW7SM0VwUvY5RZ0UoS 4IAN1Ub/862UamNE/WpQo4b89UvzsKgDcI3tyyCc9imGwkgH92rqJzhprY7CBUke+g7mZRC5+meh 35PaPbjA/iogwktlhl03lCicAjXHG5NBRk28qogd6aduWiVAZ/QecZnNzbm0jIdiJ6eQoa1nioXO /NWoVkbQikbNLOaV1PMwMe3cATYJ9pOr1cXIIMBA8mprkqZKUizzyOs2rzVGMMRbMud+F+eKuruz MdVncuODHw1OJsSBuWsCI4xz3chThAi/biy33dJzog9jok/FjsF72X4G9goocG7B0iP+OI9GW/UW YRx2qbrfwYp4X18ocuzr9uq22ifFtFwZYpsCxgYcf7kM0qzFXuDTc8yvvxXvPzEyoJclVmRYc3z4 Otkdyq+gImHHXOAHk7uPcPUzvKjXr02rRQPexD8/IJp8GGkfRwohaZzDp3rQZPlmmX8LiJBn/BgS dqqN1jttIbllCHOjRgKvXpvsCNWv8jeanXbb88nUYQjsvJnyT/rAa5Qqpg2cfwSTJFLTJGdN1Uba HDjf9BRfG2xp27GGy1/hlVH/eYOGkq25r959Rf4JRIXve2s4xLegL+cgV20kjQaOdBFqBwji7X1+ DdanVfKrgtxUHM2p3PPoGEHK2Aub9XeJXSLg3wqvZydiON8jxyuGmBIVkQLUlHIBG2EPsgIFmA1I /jzLItfMOXtG3xRureAeK0Ha2jFQQKdNMPyET2lb4nJylsK2F/mMb8wcJPXOq+u2QpqMrDMcIhc9 gImiFsrtL6LaOET8gwAdhDeXNe0Pmg/EqCzbvDJgI0Lbfd9TQVnKwiB9/mKU97xwPsrNJMew/IrT l/K67hSQg5Pcp1f+6mmuz2do8IG6EB8m4x7KUG1jNFON7kLnjHUiUoz342l6/6o2q70pDQ1aWdnJ m7tOk1xyJSb7z52749RFKC0Q9mvGUF0F1iwwchFsCW6vpSJllzh2EkzFjT2moB4eX6JlQtJ1NVAr IfPqeOiIWa4v6bbB+yinFtJ+j5ONyMdZiEv7yPaPGpGTCz0BCEEohewjtXQLCrfLx/YohC8IL7ju e2vKqpx6Jn2cVRbD4z2/UOITRc9i+62obWjBX9iOl1RFveOKex/SC5Nn49v/YYPrXWf6smKXa2Z6 Dyj8uH66de7qG1HplqEVgjnfaj0ieOtoH2ltxbqkuIi0vR7gzeQNLm65GuwzZU9ywbe+Fjd8M7hp 4s1iSK7AMO+fCcUsIrMSR4K0FFDVDV/RprtzGDLu2YoFb6jELocMlnuOhKdn7OQ14EJGmhsPp8AF XiCXTUMUyRI+6o17mZeiJSwFBUedT/myP+T/WtsBPRbTkPs7y8hI3kuBcr/M2DJ3XNlBZR7lIyC+ 3qcirIpR23ncmdVrZXg0VJPiVcKjQGT7LYpjEcqYv4e//1hROwYU16L3kScONlwAz9H+oKaCPsbv du5y7QtpCJS9Q9oZlMBexeX036paj3yiv8PdssqR1lBkcVS1fGMofepOWDZk22BGcqbusfWgGIdI 20+tmkfRZ27pDSwoR1fO5EIh5jBbomlyzGdyzAM8MqG6Mnx85qoAcn6SjC+bLXsWF291c+oZLNPy p23/KMOgpDyNiF/ngiaTokNv7DWqvyyWw93gPfnp459cavQyvOO/koRDhy7Gzg9jhZu/zJxv/6g2 2+JFm0nYXgnMAe9l/5QApAv3hozst5zub3ROy4Ay1t/tr+2goCd0ByYCYbv5gwpCot5FyzUZ10E7 ml2Hi9Ui7V0FaZao9hGyaOKhj2GK2+BLNxerMfJMWqrvY+jorxa+N90ELIa65I6QO9JKLd0LsJ8W GXSzhbOH7BavgLxjjG4TLYI7+31HBckbHm4DdsI/WOp5zmIyGzKQAp0vE7qvsv2oI44zvCJJsv+X NPhlbduCAVz+6RN5xNrzyIjPhkVI/GQvu2WiIAFjz8FBaKKA2gGSpocFrXb5NPxSoO/dscohrFl8 Itss07+tL87vTlRL1KHfGwZ1mWxqkJbEbTewAs5Avjv5cJts4wIopQx+EEt3HuKNoJ/eYWB/DUv9 8gBsEfqChYjkXWfPRL9RstYFECBYbfLo7PMne9GwcXMrsZcR6pgJ4CFyQtkCgY1HJ4UuKWD4yaWa NwjzcaVORlBF9gRmG0te5S1FW1cwYhjsoj6SgHBZa2sWIxsXiUTErTnZUSgsUOte88T/1Snq7jx9 Gv7q0IUH0pWCfN7Jvq/26jiOLzF5tJuZAIWY20Aepbm6+L0MYLf1SC2CDXFPdsqTfsm/IDYHhN3/ 5cMBgBKmjlW/i7iChdm6H1ftdEyW4s3yzmyIuYG9gp357TGtly/xdF+RnEhNU8KH9L6qrMb3b/6R PTHoO7ZzmT1m8Vy7jU71nocipl3tYimxtOZznP333QLuB1gw72E0n7i7gF9GTMhqdezUDhasd0Wg JaCdzvCYC84vlkWC4Yw6fS3OiCnzNhUzchbcR2SgThhE3X/v3JT8osJKDETsW7Vh4IxOSvG8pvZZ AT4IRV0S7QwdlpjDEJnJhHHCR9xHfs5r45+cISJchLxPTCE1ZhcilJTCkC4POBhYovHA4K6gqMcV lHmGSYnaxpWHlLB4O28b6+AAJ/cP3a32RKxcBrXQ1ZktbBr0kSyo6SEGazLLnoo2tnS3PAtMoo9q Y1N6gnhSu4C05S4xgJyO9hBTm1Wt7Pa+09HDgeb9NEybFhi4MUVp6flzOk0wzbiDh1ejxis2wjjG VqpWhsTkxDOixeMc8GOnk3Pk5RZuX0f68QUzTlawGORg2s6TByedZyehUzvt5KZbVHpzVX8jX5jD dEtdtJ8sIm9rqqPFYdfUKz8gZNzQ8Dxbv2Ove8i2B4nG1//FDvwVw1Y4g1yJlDvk2YXQVfC8Hyyv YCmaWRgAOPgexLRBh4tfXF25YcfT/96pMT2ozn6VXRybDD1m8vhEzohLjInx2Jd5yrk652Z9gUPN gUH0yp5BrDlMUikBPNTS/iNSWYmTC/jtB6VZ6IA9lg5NGqN0uotcNXvybgJl9crz64fnod3s6MhX Jc10jsJx/3ijdZlOYxhvo/Pic7FlwU6K40DhcZrBSpgRCWWfs3Gro0hCyBOv7kZ86lXD4mNYMIRh qorsA9Atlekx2PNTrgfumviuXiQ/3EUQeDGhrIFJHcWi3oZC5ia3N+Ym3H09HZ/V34/1COn7q7d5 ubJ4kShocz6j5EcD2jQSV1n/kJ48eX3iliKKUlxiLDshZNVT5n8y+EN5VGcFCAtcGZLAaBpIaN2u EBHe9nspZf51SVNpWbDfMG7rCm7xtwlGAoVUHv2/1ousRdFGujM95FZAsZaNbyYdYEODvwq7YS5w yRtwN4nROFMaqmfcrkDeS3jvSDvSrc7xSUQPOmnHKCo73Hr3HVNIKC9rEMrdOtV2DDKM8SUc+DGO JePJB1h9hqIqOkn4eNw/Ytw7N5xQOEO4540Ah32cfBe4EFv8xMeyTn5i64c4MapwRpNLnAxqQoVs cy/SlURX6XbZqS5RSyRW+2cM27Pgr51n3SWMlgRGHCWUkmbah+HuzY6LhgDjqJjOS383zKcpSgsZ EPdDhVcBtWXCeLajApSfG+covHXTwLHVdezsVq+Mac5IMRyIPDzPzRbhtXiv8vUa302+7UCNW3/h D7D65G+Db+1k+uXUtea/u4RDFRucvQWOvGgLLrgMNjrXWA7r4qw3qmVnSp/5GOVn3e3H64aN+9uN kFzeqn+1/eyMvvTXo/xfpao6kLwt3YfVIR5dEc+AmJduu3XZ25rV8vXx7c4Uo+u968kH0mLe5jQi PpT74Cfx1N0UMAe71E69RsgoxCLKRwy4lZ6mFz6JL0uxvn7ZO4xbl7TcCcFtGuWeXCgeZcMxhV3a qzk3Bxv6TNlDnDN7NufrtYwdORWSwhGlcaulB7ZwssRE+bCrcctocns3JDfnuu1UTL5CWBOzWYBR cUxpMkpP4qEbd/bPaSaLU7Nen8t6RiYr4PRJE7VsuIqfJH/pXfd6BtmkQyAGXg0mXa9VvtY9jlx4 0ru4x8EnH+CrH5IOzNGb+vKRs8CxdLfR5MqY9uwlguWN8L0SJ6vP4T4jNzLIZIhr0XDWiDnl1gyw fK2vEs8JlWpvuBi2lRWmB9PV99EFcAIHKhXf38nebM1Bl7EftfZCTwlclDZPE9BtEs0dTTd7V+aD HI5snkV+T1TXqOnX6NA+J2KQjA6oNyNkWkRWodgJqbZi3TLlANBfGrG7BmJTok4Ei1s9t+I9HfuZ zXVDyK8OpRiOVtOeZ6x2UIHsP6SW+XyN5xVdieh1FGrWvyDzS4cjfWy1TnsV9PPI5XVSjLs4unCr /iuc5TL4r8J5Rumb8nhleiVjci+ee5jYGtoWP6JcdtoNiPW60Xr8wllzQV7/aTfbquHbiJsXNtIq wmmmLbz2mQNEDfI5EBwW/fwTsvl+Gpda34x+msFji8lJnFaLRYleqYClIwTL9Eg7w4D90d3ZziLS MQ5F53147K2srsTwy+HBlkr7M8Ldido0Pq9fxuHISf0kSQrUw9PBOnQnUwbPHHWHfuCRxbPYhJoX PX85w035FmaQC0SYKXH0mlIodgmu7SJrkmXnh353rrynJkm6Y+IEg0st3z0yoHe0N3QmtX9f2GYY TniC61GwFDl+7tP9Z+c77io3jFrKYavlqjd7Y0Ze0SwU8peNXtyR+8xbj4jyscPyncYj2FGrgWsv exkX7aBp03jpsUiWN9lDroujpdE0IR4+slhN4QbMQ4HNDzwL3hbw+GGckzjU5j1nattfR/ujkp7G 6gE2+titudc/p/HVdDCmmpuNybFLx0B+eeeC9nL/BUgo9P+XRtULSkBNDOS7/o5bsIhsBkcpHkAO 5KN7b7XiWwuuvg1KIlJ1RYFx28vmLFiwQd5yW071jkuqJHvGG88A9T7hi1Pw+xJ+eifpE8zavYuv vUFwJdkYpxyugeQd7v9oF2QRll/CJjrLSKXTtIaPEgxo3rXQQ2BV4M579xvSTMAUSCFV6UUZLtw4 fbtY0e5FgAS2sK9QXtFuDiob6qcyDqwBxHMu5DaQUL4QDSMnkcSAy9ra04kmGr685/WIWd58ZIEO 0iXBU+x5IrMteArNirGkykko+NadvIro4E+DqGE0q+uaXFdxrJ58QpDvg3/aiDZvqYW6I6vfORWg KmZq4J3V9QOuwe/IpfWQOF9KXV1WsDmAmS747GlbU7MTSiDS6605vn50nmm8xvdevd27s5g9/JuK 2ARcp5lv+CXPQmRlfkJU/1BKodbmSk0/uxUprtNHjKdEPwWke27T8lqrYtfJinFdGy5qWonw2g/q JB60ADoYAYPIgDYGyFSGCCzXF1ilc44iJJI+nI+9IikYRznLDlZ4dELsT1PO1gwsy9rTkCZ8m6p7 I1Vtc1SYsIOrp8H+capqwUlKl+kOIjxK3foe9HZGT/Gbwd306zWkycCeChqWBlwbHSnWF0T4cz86 qZaJ3FA3eqWMLZXImOwvUabk2jvluhR9LBJmK7mW/Cb9FW+tSR7JdC/IrXtWMy91UG2d6t2tmUci +GcJpPD+Sl0tSQym6z7Hh2sYIoVy1su50QTNSN8sxtXfCz0FEqN1w7iInGsciKptD6oUnVYPtSwi l84+iZCs88uUiY2o4//FoRAAotfrHV3TuNdsW2yUo1vgmU7NWkgY4uMTFPc2MPNTmDwkALRQovHl ZBGh+97zL6CLmWEg4G0WYB/AtTxFrcUwbmdXWFt7cUoKcYluiccxC3dfeCTOiO2KMnCcu20GuDlE 8fM1QmVW/MIhBDAfi034lnHFHoYYc/TmC7haNJenaxkocCndUEsZxolZQn4TFThvbGLRQkJPw8/F LSi+GCG9pf8+PDInXh8tMZEYrW+QXSCHbIwgFgQnb2HA57jmPPsC2gnCBBetnXEAB0+T6u2J7QLw w/9TF8gevoSPLKUUpd4FBqzteg0b5RKnPQvuP4OdUMG0mctVdu/fw0n6jIwesHxG08V6/dxkVohp 6ISNOumLsBksyOD9QYFx1vCyhoN2LKB98kWQb2fok8yDFYW6qpPSoDZ4SyMLOZg4HEyQzwmFl5+v OBpsd8DBgHpiLMUXD6VB/0yOptbOkw5bXWJE/UgTSlT74D28hTzBx5dSEog2ZN80cOh0Y+vSdCPp +sm/81KwEKCtENQ4sxDGlvckPQPbPUpbUA4hdGxf17RWNMZ9bWMyc6QqlqEHbcs1dGqZFvfbVKvf 18xFs+7wPQNtlapvb30bjBgZ2204wL8/Loi3Odob7waFS+bkuBC0PUFiFmgd1G9KoF9Fdrc/oSyK sNLXrmwhLmci7a9ZCQi4nvj6qiQRaSMXTAMdVPLFM5tp+/n1leqC8gdO8YxBYuHp4XZa2Bo/nhbi /PXklCVDWDcrRk1pDn+3aItwob5o9ECdl6MeaTj9Tvs3t3wIG6+ISBozXRRvRMNo12iDzE8DtUhO 5xN7HybftMNVFr5zVX09S0GlRQGD6U70iqURbLQ6/n59byv1wIZfkeTslS4UoiJpleyMCH1XKYL8 jn2aQAMgPPNnC0nuqw1DbwAKchAil758YNk3gjnWXvSHIRu8VP/7VKdOCA5nHXh612/sVvVICmZz DYX4DuEoetwYj1/9P64Ft9Hjp9tYrqM0oS49xvdDS6B9Z7UimYXUpkVoRIQGSeVJzWbMwyhD/Y7A 9L+iInpBE6RlZIsgdxumzNbr3iPaAzSBWZQuSp2v9hE3UjBIzkCs7bSy7w5rc9ypazl0kXjxOwk6 /30DLswls+BKXJJ2dvL10Lv7zWj6qrMu7oyJfHqQH/SwMDYT/ObTqhXP5Iz4THwQ5zxq7zR1svf/ Atk+StFJQkryR2CCjVsZ0CGRIP8arl1EwTl5Lfez+afNfNgT1Hdx8+gPqKVql+2Ge6d152IPM5pK OnFgozXTo2I2ohO7SlXvjrXLBl+vo1jebR55ouY+Ujni2fpDeKVehz8iANipTLWaJl8Dys/76ACT 1aP88Zq48vDzW8q1JKjxg0r0fap/IN9EwVax0kYPtktor+bWXP3p9qehU5gLoYxb7IBZmLIKmK1K STs0ZFIAkV76aQJ1tirzdcPiAN5QPMCcCaaClCSH86fscUHiVutZCzXwjm+H8VqVPkH3KayLE1V7 z1lmgdw/vJCo6k40WbhYNdyDYlUlxW0iSWuAQuzpjaVCqLHmV83NTl1mmWksEyjHISlXRbnMo7u6 AQEdTYB9m3+2NgoiGq1Q1V/XRehnYS1zTLL4j+rDnM9lAhrxkMjQkFc8W8NIgkMTRNZ/EF3LQoMG bqmCms/2OqaulcLSlTqhVW+0lAYPL/W+tD8ZLTCtaqbYDMNzO81+IqR7HR6NCwMGCknLLN9r7ivo ehvUXVL+W7VXQwjRuJTVHfIXvqAtNep6o6XeA2yRGXi9TmrXEqjPJYIxvmTcBYd2T3hZphTuxloa miD2b7IiPv4WXgM3wolf007rx385RVGgIpajMe0U4cG+KI5Fwx1e0tqtt+5uBF/XAPaGTKgSsbJF PGrPLy5IEg1jyoavoJia5jE1W4eNOAehsW01klF24ioCg+dwpftSu/aARlxiyXus8mvB/MMJnU/j dYLZoLt0ikyX/+HTzJdjiTDQDmfbIaUPnSr1i/JiEEn8RnGObn+Q9nE4ZYpF0L5h2SVcn0gh6o/J Ojckjgxwq+fjubtMXWwI3b+AZJRTqZqTYe/HmWlatt+SyBJujDSjyJ4jmjZpUK0YfCpSjLbURlII b/T7slmLjf+jbfAsWf/ydICuEOlsGOQOFfMY5/Ot/sXKJai4qqEnZVX4n1DqGehJF+Yha1o0bdGp HSsPLBUkr5VNRNpUOKy3TT41PSqiF7Pnsv8jBUEBpGYE5zGEpiFczEZQwz7rTQ+XgHYwHn8NtrkL NiJ0jE3rInA+jNRt7YzvijEhbAVY7jbInmYLIxPqvaOi9+Fltrol1N1nbWk5dWYmFDtVjwqFrNNP e669bAi9oES1QBtlpJAncH5eIyiCWmvC/zxsNXvatEtL8AiGbHi9ZimQQUskmpTTG6LHXuigNfUI AGOxf07Bdc4XwqEsKuE7+hVl6qW9bXdMowynnoXV/df/AyCDvphOdeXefUtFvN8CFZqY3IpdvfWr LbGoxVFDnPlubSax/fOLIuPOHs6thEYAbKD/e0LDSc7J5doQHApz2VBZwNbEH8QYflRNMq0JGIoM R1A5P7qUgkXTOmXcWuMGXgy88s+H6zSGUiT964XrcFiwyeGws1bG8eGoaRKt7APiQJpl+00v+y22 nPCii8258oWFnIrqrRzS5l7mtXP++zrNuEOW+b8Lj2uybi2WCrXwy05SG/5Hb9eFk/wx9J3gc1cQ AqLtFwGIgEXBN6xPKMYR1aXxxhiPUKM9JTMNJFyujBKwvN9Z2RMbIsYzq7hXM5T1DCP/QhVUdKhD N2ghyXHUMUspRuXykc0ILO+HVSVs3i+nOmkRV8BKRW+Iufl5O6PFfDQ87va9uiHEvqp+Vwg1oYYb Mbzvz9dnQb2QWt+nAiuT31JPE28MBatvYmJOrBJ+LF4pFLAgKFftaY5FYqZ6JXvuubDfBVbm95pE GLNWxvHNBKk2T64Mv5GdJXIXJiBwRIri5Jz5Rzmp8+TToKkr8bDcR/BenBvxRXhuFk+J2hB3Px2j zkYGuxlacRYdzo39Zzy0v5gkj0uUQrv3m63XniQG4ZfJo0Ul/pcO0ex4juodFnx3Uu95zM1MbRf7 ZhXv3jUSQ6C4ZrZ/ruRIBJtqP2MPzZ3sItl35VmMdaG182bXszK37pfKJlvm4c3iieVzustrLMbx sLKdtr+Ipu0r7GdCBQPC4QAKJYCsdjY/ycV1M32ngm55YFh2AKbU1qVDXUihmZdQ3+QnOmetFNjD S0aJATvQHEkJsLe9GG9psERFFkBVMaT64G5yEeNyQh6ykXX7gFiHtgK8P6YNhwn6csFzyc2no/pZ h1IMUFX/Y1rBtlQ4qgxIaFx9WDTxS2lFJBlZlxvHFtjyM6HTTjHwnbYZT6SFb5GUNvAg5B7yfWAA txQ88R6cHX9WNrcJfR9BdwhVDGd9fOFp/XLE7GlUM11dLOSJgAYH2yQc+TOSyqcy82vhB49U5no2 +QZonDIhTgsfygRe7cXSlhae31KhP55T/siwaF3g0IFK/Yb4j3zGwF+70RQ9m2+XInqcnAuziJfi 5uS1St+Rfi70BJs5Et5jCv+B1TNmb60YagJXGcdpMrTn5dIf8iNgNQ3nS6mlTknwON+G5u4kL5ZA pcaKXxN2nqPYDS9JukXRaGgXGt26NDd6AOkivrxO/gYZOyDiHFJgbya1/B3KhK12Bn4motukBlKJ 1TJ7XVFPDgndmvx8apArqRED5E6w7C2S5ENiwUvXMNtHb3OM33d9IJWDsoZaGkbB3dwrLcbRx3zq S1nEWGtRe1Ffk/DdElGSo68HzT7nnbe9kquGsx0x1WbvN/7hWRF6UAPISXvFruSOJjmO/7pevIpN lUvlr5p9si1q3dpYYf+7T/hgkZwaWk6oJE5c7rU6+NaTYrAbI2Na7p39QtNR2LMFeCvp8ZtkiV/A 5JPTJZcVt5sRrQXtMuFOVkjjMoYaGjhz5hym5qzick9jipkD/biKvbJUomAvZ7+zV4ebvSHpCbNJ F9x01tYZM0izTBwkhBqiSxgxU4+m2tJGIDTE8uMPU/NOqkT+mlOCBVcnl7gm83a3lrLlAsN7JLQ5 6jogoDncNKTPRSPSR6303poGa0y79XUTtSH12AHMVTez+gO9rmlpvmOKS4URqDE4KDK0rLor4sij BjVGnNyJs8jqHKoiXD/4lSTPoZc1BTYyvjqJt2TA/hjrEUUs6/qWQBCS9Ag6+usesbQMO8XjBQ0/ TK0x7Tvcs1UbtFK5T4+nDcA3WqXN3PKAIbqYnJKyLM7f6g8qD61b8H6Uelpjkp3KjVt45/kFU5Tn hQTv37bIuJwU/q5ac7QAopq1kj/hECOMOMHeAwEkB+TJl688YGr+VF+F2a89waXb2aMHPs2EfO2D 3I4mQszp5KOuQnaWWPahUMuine7ECPYU0KcGlidXUKNapNbUurzdWJiRV1wZ8KWuMCbrHLcQrrz7 mwpSOnw1dXS+W9HkB+ydQIZcpxxL0yhIi0K1Un35xjwVZsvtEX2nmnjYm1WaPlXH4gxbeWkz62uY prz1msMEO51FkKQr6kFHyuAQ0/IliAbzzOA2Hc3+dnDHL7xTXv42qUQ/uVPkSdP7zD2x6DTyS8aU nDXibgNHuyiEtubCP1vPj9R9rq1SbCVZH+Z9tpWZkf2wFlT8REA8Q/yblugSk4sUyo97fE4PNSkT q4ztGStFnze19xgYVCJEejyd1hMpvIbWOhE/mwU8tvce72xmxJ6ZxzESvIjuGDgwxaFPbghG3aS0 nhbB7dWh5nKWq7LKYxDSkF3Eg7dZGrXorrHvuB9t8LmnsFtWxIv4HYCrixRZDsFXGfG+vo6J1yvL LD7ClQrgzC5CJx3CHOkOAexDvHKH+7xkJ9YARfcZ3ZroUCOIwlO4nAfCWRQPpZti/MRWXqjr9jbr 52joeYX3HBFUGW+HsGrsFkINMHaB2LZVj/ZDCkgPV0I3/8LPm5LDPHuGcG3tJppcNLoMCmAWBp7X P4WKAjAWYzglZ5JQCkBj49Hc8EoghjyXy508xv1gN9qzCMHpoQuk0yPc1A0ojm5GXwi7kFwc6O8k 8gbIcH9QTa1m6Aefb+cPdMhGUdDBVU140qKq1EZORMsS+vkwunFfvxACIGNVPd8pQT3hcPmdbwR2 EvDicZUyM6ky5m3u7ILOasvrHehuczY+TYBBAEZpksbbdVOyHEPQsf9d1bnCjI9WPO79tFPqHOJN B6ZwjvUagkXZ9hSUpj0sVc5K3D+yRd+WLvdqg5br91UEwiYrVYvardtvB7pOgSQim7IxAGI+0j4G 79FTFHNSsLDPX+8ykge5/U0GMzOUugNyJsP1zdieiMIt3J+UKcmLVC5teQj6F37bsr0NkvlCAU9T v0KBTkKBzRZu7cqof3WZuqScnLJyTP+YcEE+/VmvVjsna7TEwQrpec7Ln8Oqwzz8pFx25Bpmh9il si4JmsuvN1VytELZaUcBlYJTxDX3kHcjkMDDcgFhTfqJDjpOcoCeC4QodWJl7MBAjrvJg4cGKKcs 4htF9caGC0VzU96xduy1qZzfUVV/HaYp6smuLzLxkIiYkHttFEAtUbFRbLynzyAsM4cL/oykNRgg IQo2xTaz2RDZZ1pkVf1vacDMhxYAoWgw/A/GOuxo8nYeb5C5CaCKShteQfrVoa+Tr3Dp3UvgyLxu YvZdFIS3Pkgrzxc6MyyPQJg/mOXBmmiGB5nwYMo+OoIoXhT6Y6QACHkIbQzbbjUCxcr46B3w6WpR gd8AmYkAo3Fega4K0w/IcM1HAa0+MTbextJVojUlqwGv9bH4+aefK5qQfRMfjb5BKe+pBPjfsZ+G ebU33SPY6inbeAwMGALr1tOlLQsoaArBRWmldQjK/4BWVbapLNXGWCt/ot8qHlB5HuBL6LGWp3Zg hyGkfI4BDdKX/okL3VKT9+SyDPyd4UIst9OzrGGmNJ/mPhJjfp/lVH/PcIdyoRfeTiuiOPxoCNlb Inasz1SEX+W57LvBsByfAKIq9ycehKS5KqKKDVZoqL9EXM/JSAaYTdJZaQ1wm7vfet+Ca7pQNNy6 Gjo0EGZfijsShSZa/RxRuPnT53r4C4I8PnralJhg04ur83q78jvfMnqBPCN1hJOzljbCwEMucoL9 n+POQV9te/EWBoa6u/vyKnGucNxoGCkb/dzEnerbqknKYXfvouZWN3Uzp9p5WDIQbY/pYJ4Irqxb d+M/VSOqJ1JpOawJ+givfhxsEmITsMxlLJR7D/GFwVPJnh0xklia+tyrhm3HgN4WrSFXWBXAFkOm Mvq8jOIE9Pkk5FJ/2Dbo19AVD/1gCDdaaysfQq4fs5Gb2d1CKXwnHgi/TGjQnUyys3697v3zdpF5 3wDEtPlmA9tJhbh/LOmsD4/RWQ/zwkpjkTZ6XUGIVXdeuCpfjYVDXsqT8hraXHd1QlyZfxaNPkOm zo3LYqcUFjBkPshXCbruo+5zATPe3K0IKVwPzqZurQwRiWzwwGMGf16qWUsossHgJK4I00O177/K y1BcZpxh9XpLWQ/HkZgRp1MkMkeBTFyzf7G/TWnwz0TPfXguhmjW/TJqhLnS1Ld++ljcIObswACC qITdTYulfUiEFcObxRTiaqYAH0ULmxKaVxsGqtWkVe1qZ5PXch3F3XWjL+T9eLns3QrBvEIlyfOj DvPe2pUuN8OBaZib5tc72syFOQRHAwYK6VUIWYNPE9sboeU0pbvpTWfGZ/XM3YPo4sksaU0hr6Za ghaCq3p1R4c+XpOExg8IB3sfwmrZzKlEvfTvtFsO3iNZfLGFSBEIp76mz4iwDR5SS8GDv2IGVroB SiIUbYI0zB81H0GhhnK/R7cs0iGMUIY9on7YLfJkYVV8AWgqauzCJCwHByjoVSGKB93wscWitWKR qwoOWQv1VLlE3ibnBz/0eBMzxK/WA0MpvD3gRPjEHDMvEoprqhUslXwnPAvz8WLtYE+xute1ORP3 n4WO9OQalsc7M+VQq8qnbSGpSbKJldWkWmDs8ja0cExsF2C50yewOcL89R7KhmJn94yPJ4erKkC0 2lv8cRcUWMF8vzhjjGCmf17w0vNOIU6LKNbaZP5rvHqbfiM1fjTBHSzsUaYXsK/2QN9HAbvK8frT OPL8rr9Icvwqi9kjR4oxKeI0Fezq08hXPkEpPx7oUTK9LNTKI4pQLs2XE8oPUXf1vQr77blYXU3J 6zeO55SBivx1eiV7gZ+1mNYWf5VchUt/PXXShTxu/DrKnH4CNkPZ0aEQ6clw5GPJxd9wVF/DPCG1 9ms7Qp0JBEXOhbihjw43MgtFUwhuH5ag77RHgsi4JSIdbo6kXKrexafmj5iifqhogJh67Qagb/vY OLDJ0nd9CBrj3v5/HHYA92G4ksFJhfAQhlnJbBHLd/us8on3lyxyEuAIurZkqvS/len3cI74diO5 F6ml4ai6o4WDN0lM7V6xDYDWWSd+5OtYXyUuL8q0If5uS169vEumQBXGSHDj/MYw3SfQ+Dm5mijT fel+AtXyx308Wh8/y+qnE8wR08jDtybrX8KYI3sQ5pHBl+obxnZQ5fGeUNRRtWB4K+WfpixtYMzR hthBOgFfynb9z9SNzMJYAkBctZ/GuFWq/YrUKY6NTfF3HtQWwpeEyFn3pJLHzIG5KLry1G0C+DZx qLdFD70mZKREbAvazMEuYPf7P045Kh1oks3xj660MPiKO/+6pwitToaumHPM0/0axk6h+4dd9Guc i/+05EPpk5xJNYJSCR+a//E5XQVLq7GVpFqt441KWhTfsPofqkccVzJhdl9tS2PdSzIOvSKERp/J JJ4QhdXOBEq/MIvniJlrmlGOU1tYzulM5aWeoZLunhFVqxDmBhUjpuJv7citWzbr/meOaqlUKDy2 gOre+/elmLdESnNUOVzabrze2xLcG0RK4K+uQTbSoH9q55jRPq0nlf+ksfpkB2+64SsQSJiYOqR/ cQzC6f+KiKZIGuvvC1zqGYwQ+aG/9h8NrY0Kr/dpfWI+NS0T531JToMDvYdgsViN258xKn0Qqqhv YbrzR99lej+TjSDVSHMxhDnuzogsKnOMRofARn0sCpG2q6rTorrV+CCHaTaUbrS4oBIbgq0x+ehw gDb+zlOk6Osp4gF3uqW9oN0RcWrnGOY+bIewlAjBYhbA4+NZAh7pz/R85LEpN3bTCyDXfp7EAz/M rZmSXPnnGzVvbU8ln7QM8Yh50SIW1+2iTVygNYVt9V8kGr6hOVlkXfvQd0WPkpCExbhDvHbZFpv9 ehuv+tClFaHufuNItq93Y5Fv4RUAhUZawYH2PgaJBF0KwVeUy6xEYQJr7he3OY4OjMAli2Jz9z7L nmbumK5uexleuDHrKEDSyDzywxVe7D2O1Tj14MelAgFmIEfheT3Wziz2I0evzo5FMOhX+qqXDoxJ TFWoJFOULesEe3wtDKDlSwuAxEeeP6zYuBO1Q7utDiiDPOExwB42znJ0BWJe/izAdGI9A/5StVx3 6DZ6BFl3qsvNx0lm6oxYbte2rqRAocBBfgI9n8Q8CMOPvixc3JrPzgEotYZ/wALu70ZJWMU/ddrV emnfiB99arHCAa5oamfoAkgNP2K0PSN7s8mkiTZ6r3p5yFX8Wcn/67XM6pJt3MnychYn95+715uL GlNx0rL/qtLIvaX3bsRfA9nuXLKlL76VIZghtCwmSaoq3B1/kDScMtDpFQ7l7Wsl6b/s8wMjO4YL 4A0KU/1j+ae2nhEy22ZjtvV6uUUDMDMbSY8sFKqXHgnd+ShCdtvXb6RcvGI2MbVDkTrXG9cRMwdk 0AA0BdW6h/eHxAAW2VCwkJUL7LdvlPdvVAqyHhijVhwdgs/ZA+evrHFAE+Inp1F7MR2i3JLJKm4D dKiycKaSf2HWGv25KVVrmM+KjcbslXnIDYA+k8LIqKWYGhUi9cGMf0yf6BRkTqNzu4eQZ6c69Bv+ 9pUbRj1ey094VH5DL+3L9oCTgkOGyIATu1GnM1v5LnaKOYYzu65aO0iPRAXHjBzu6ilozf74sf4d tHVGCcpQZl5KHYl11PN8EUxw+wvNi1U+hNIqjjAw6J5xpnwB9H5OBThVS1lSO0zyNnaggJ0JHLnp Z6hxDrUyCopndDsc73puj9v4lX3j/Ah+refSpGqs82N2qWCZYSbtvSbUALmz0qh3iA38lWRgovkP 2nVUWmj8jJHWdmGyurinlgRLB+IWUPrE//iVBieyq1n+e3c7INk3ia7BIlceMU/l5qWeX4nKxBrq TYcgBr0IMkrxI5SKVqnheii0RnhfoJA6X7EB2T6HV0AodufvtLq4IWDVATrNy405FbnLCwvMTitz cDhV/iAckz155O+b2F1nEhN99Vq2INhD8YXJNnUXjmoHMM1Nt1jNjiqtQn/txCNamxvfn/qY0Ezg RnMkThh+EBzjJ5OfMhVtiQwiIgDDOjkmRJk9KAL1B5ExuL57wyvXj0UG9229CzKWok6VuBHS5+59 jll7TS4pXNras643VwnRp9JS4+8L9gDujwJLMFWea85CNE+DZZr8NgUvuDZyarfSjlOHFU2EDuzx PYoFQbugqNcc2rExvcaC9hrhras8M9U+15JSFnyIp36ZaGH9ZaxDHItYjRjxHmbKTFZ1s0c5xKbd Gyhr+IHjupjcDtpw2GRomIHuBu0RargS+lsLWX+SPSkz3tozWdzq9IUSmmEy2NGoVty3FWZo1SU/ KqZHWY+25Hjc8VszGXf4LW5LUyxBZlvAeJWIt+J5hWL7OLVASZItl+ntddbrSORP+O9AOKfC7zfV 0Q6jGcvwHeCxwsjG4sHh22K1AwIu8f4vgzistKF6y4o+uF3n2eE16gcn6JOFzXTiPY1V/0Wg4mNl Xx7iCs4SeKm6FrJmG4pfZzcfq/Ig+FAabXUOf6SR+ThWQRX6IwwMkyQwgZr3OdeyfWMEmJQx0l+6 ZK2bBaQTmdpJKUA7h5fNhi3kfHsB1jE5SSP/JNJViE3F+O22ay+ud5oz2d3EUqNOFzdVeSuKbMeI Dwy2L2/gDD6KjPJLoXco6NkhK0rA+dzKqog+sW5CIgFWHcAPpVzlELsG9cQDjoDdpetGnd+GNRui zhsln59m7vSATy9ezRMcDCkjzP9yFU+QVsg76tjC7EN6d9KE+vj9WO+ULu9jyyO8nfLDg2MH7eEC df0PJZdFOBq/4LEGqtU+/uJKd+jOQxvRbltS87s8bhmBUiOD+3k0ajPPCBgB4zBKaZOXAQOl8rfN /bFsKtloOyKvoy/RLLwjrBiUNnfp+SlffKUTutfbvpIozezIsDcs/bh/UNUC0IEGK7zMzbtYV6eK 5D1Y/NcJXimPFdKHDMovDId4GdmnL54yQAQQgLTtGdipv1Z8GCGC8BP5gsg3crwYyAIBdlFGr/+I 41DP2ctUnOZuSAn1hF+XAKqcILV+8oJ4GGOp2ILlFY3BukGxZ+TUj/zju/BlIDoRJvQqBXSKrJdk rr29h8Bq1bFu46kc0v5OpbntqwKU/QO8vsQYIPjdcPDns37bujquXqJeadeWBbCl/bwkjChwKtz9 ejUkmtLSuboH2f6I47+c1ks+1mCWj5/oYuR5hNBBN5Y818EJQDM0dFV23kdYGHsvJHyUxAGhQAbo MQyvSKun9+kzjJO/0CEHlrEz0aP4SYgCru8BMQDKO1N5CohX0P7oQB7CfOdqM37H16qqQnjlbTU4 7Qmlbru6HPYStFM+vvvbgsPdKGADQSCtO/hZSWsb48U7Ft3o6nvmXoU0JZ/SMDFVPpYg+OeHZ4j/ 4YyYh/9OI1Sd1aadQuAyh2eXq7zsPYUm2thksiIc63tXbR/alrjRsCXWYjwq72ktq8rSgBys14lM zUl4TSQyupK3g4cTV4m9bQyU5n58jlyacN8CFrOFqT2ooMwgvkp+Xv75EDroCRoqlsYKuMUwV/zo pCcQce/IequbtLY5blzKFcyhtFC4YE30YZTGBE1QGTWQGyH9wmI1221UnKcxfwg8xi4WjlGD2EsK QN0yD449bj1QyNTeXhjEOaBSXRewTgheBleM0+/SKr7Inp6sCS7I0f8pKlH+6S5OpX9VjkKUvzu4 6ZFgrM00MRprkd6V+y/Mtt2+sDmwIWwivtgpLc38aQm0lzIrW0kMP4vZj1bI7JvTpXVjIKOi05GH LhlAeN4PDy5XE/bEpN0l7hnJGavmJ0h/tNMRX4ZFBHrCIWYSxuCN0JLEUtkYVmyINs66ueHWTjkr YRUpS4PFkvkcDW1gY5f0Ehcp9jbgTx6J3HrlpRN+jFqbl97L1smBkzo2T6o7kQoGFeaZbaLgArzl L8Vg6KpkVueqHZpefTVyDZ5efcd8LeMzsbsLZH60dSg7O/V62Ptq3n0DKk6uqEk/K3V++6+sdwiP UQsamjlqz/cjsuchnnHxUOXUF9Wi6pkwQ7oZveT14P4N9N+34Iugin5izrnaFTlCiihgVTuBt0Nh 78TbtU06jcT9m4/eWZbcKYGmISyI0bWcP79+4D7hrqJSwazZl1YLEP2G/DkUCyJbFBP5xjABZ4/5 /WLApc0QD71LJR3eDyuk/wnO7Co23tjSl3H9dTRx8FWNKCIRZ2p/zQM6vCqj9SAIjRK0aYvC5ZZz lhOqNtx070lltb/6w0V/Uz5Ohi/6fyyOGTSazdDtXJNAXk5foMXhChHQaZWpb2lBjYVI+Djr6v2B TLAWFfcqKa4IGBElxCmkqwO/mOvvXc2RfDGsobSh08Mr7Wal74ldmlOinsi3nKu+NgyDVypqldqX sO4ufq0DD9Ql0KKoJ7wc6w1kzEPFigqbS1kW6OjtSeIomgyTvFvZVEN2VwqjMfvZWUh9vEfT39sa MQh+LfkCJyi+0L0cKxPUMyQq4TnSHz61otFCYCatmp3csFWzAK5D1PJFigdyN6rDqUM9s7GdRpNh 516T9KcXEifQfoJt6DhrZpXc2vDNGqlpOnQhyM+4yfXPbxLH3PMvfNfFUHGI7m+gCpK1xm3d9Jj8 h1Ct7+bPZs+qB6XkAMSCWAcAFu9rwLJuHuf+oGPM/lWouUzDq7+hboCXd/Ho4ayJBwNU/nzxQfY8 i/Mjocx5OUTP5vg/xkUlaG+UyOdyGIR8IRFZG0g2K//W4m9d3o8juTpsfuTen5FklR0oSKatmCF8 7OeUYQQKJz72ch1fSD6J+2poyzgRb2Cp9hMsYrcXtIcE1vLBJQ2sVKxS6djlwMhXxhl4e4Fm59wB LxrgVzaAB74VGGn1BTpjdqGrMnDU73Zh45L4v9H9YkvpyUoTC3xhBqUYUDKPR+2aTdHLEEUO0Eri ATlWs33lv9UYkjCkSaERLCC8Zmb7SzS2Y2IbRj2Zu9ykzrw0j3n97W2Jnv/Qn2Bj6U6ZXzLlIShI NS/2uW0UUWcUIUEYo5kx5yQDnQJwS2I8Bmvv/PubnjoYIuYeUSrFzN2MMHLeDlaFrXfzYfCCJiQO 88HWMp6hwYbMVLtaQ4cEANxIM8XggeRanaeRd7BzTi4UL6atShTphsD0z4t9r9YfAHiKAYxzUphR mMhs7bFiPIwsw+LjtzFB0NJCXpH5N7DDgLF5FLfCl213eYtzSckMlE+491AzqWVR+w4OlD8UY/TI Efj/+1kheA6EZDB8bnwi39Vmj47AhXQS/CufpwlasRwl+nCOhGWCDLdY1zRKRG4vt0mSczYI5PPc C6IAPP5VdL7khSm9idU2WKqk2hLUumdd0rebfA3Jvnl1uJHYpy7HeoaNbyZghGEPac6BqRyAKOgn /wKrEkSXEapT7HWkrXKnzC06M2msz3UWym4LoH2S49euQnsSQZI7igm6kouYQTssIe1iQe9H80Hk kuPECqTidMgAVWH7pSyOtk+1nHeDrmFneQVRWTTSSTLeYBsZ1mApQZpPezhSBnNFvHa4KH4p3F9R kpWwehwGtmuwneQ+dgk5MaiEB1So9cC42ncF5MG59p3XOEhuPjAHMinGtruuUSM0Anp1FoC9VyjU BN07VV0/7oejMIQ9+D9jK0MvYwHNzZHAAHTl490LeQ5+2qaeKQgBXo5tve7X9rz1PMA0xq903bLt AIu4310ESR7HXkc5466kLwNCSv5tlzRvlTOAXnKiZjgtk0z8rSQNQx3C2pLrkUSR7N6oN8MRGpiz 932Tg7t2gEEFcRH1XY6PjSwTA44f9asKH9Zhh9B/iFdGlV4un0MuYYEHAc7JY5/1eqj7VGDBdV0L yg2TSYvkKpS7X47zebRssobofxvep36fjst5RF476okOscXjmR38Yyf2F0pX7Ka/tRENLViJX+Rd nfYipPlYe3ErAL5BvzgVNCBf0zIchv6Yvrtvc6zARweL+Ra8TYg44Pg70wkXaetkWOnfaqmIpsj5 hPBWAFRzzEL3jwrUB1WGNWvfRhLjloOujPsgjp3kIvLNg672fn+Gsh2kJaOURFOhPRLwUJEU5iHq IfmA4LBROB6Yes3qk2VgqjUAN7QInXrWrb8nclgyDW7Xdyehjx6KoSinOomTtYiHqvf39MUs4Wvk PQ5pBXCyZwdeTcrGXbgXcQj/H5bscYC2y8BnuwhG91hnanu2sQTdYLWAvO0ga3iaIV4KgxstUjIO fXOS2PjpovCIJJhU9e2UZZ8tSaf57CatS6KozL1C2t0cuWsyJ+u0Bokxn2QJlKWCkz4J74J2/aTM CmmSd2bqvqenCMhXnhvojZgRBoHy2/Xg8uQmXqiqwrfy3s8BaY+MWmK+FRh1ascXE1hIg73LhLPx ZkGNtnsFkShafu1yY9v/FcIVp40EODU4Kq3labxc3checBkvCygreEIzpPooRjYd7AkpptiWYwjy 3E+oB2nvm+yEiaZ3EkrkJwS2CIPUwR6c7r2TksuOtJbf2ZRTbrSw5Q6KiwcW3svAxVcq14d6HLFO uXPiCECclVzWgV/Mm7KKuklYN9Ynu3RZjZzUcdz7Mu8nIKzUlyrgnj2RKAiJQmKcAM2iss0p0sKo gKQQmuDlIsG8k+jvgX/+XylRUmaAcgZR1x6LUHSFj4PmTtj5u1/9v0YgOBMa0qJrxbJGRHt9XeQQ X3xrBhEjpAFYcmo6Yw/tGG36VbjC0xGBPZTnyr4Cy059UNbthTl5UcduNyPlbNAuhvObKhTjkqbL U+r3y6H5kkuJqM1epB6IM0HwKHkT+ATDTFyIeTf7Tk24AVTRfSoK0elht7uS8w0doeii2Fok1uzl yoIEf22Z11TO52kssi8qDPRCxgT22xrbxATBgjI1dDUrSGOTYt4wH56MKEPoTj4kQW2ZKDRn08Gj TTNj6mF9C1KO5aJuTu2EgH95Ju44Mxf5BytI3aEiOJ4rDXhbHPcEPcI5Wrb5QuXnLGc14eKcXfpT XfTRU2kj0EYCLfggLEDGcChQ6m7iHahmEmGr/SgBr7d4czSaHdZYlNF1UUS6XlejP+NtfbkUAAcK bnSXkDfTh67Br4IkoT45GeRLEDg9FafXVHW3vwbgkN84wx0yMrCfN7Q4jhYzMycapeNkiwerceru VxA8JxTPwcX5uZcLZB7DwRn5tHKptZBj/gD+Ij6/QTf2eWJ1MgveKxgB5T7APxll9Ce7PesS3B+6 xusPgp/aQVFIIJoT1f6iKZazei/oaZasoh9yL3dLyxwCJktzjBsjKJd588QZnR9n1m9RKg9f+zT+ DpaczDTBOa57A86Q32mLN8Z+scZDy6KLONYsx2JPLOfzcFoJzm/R7X61wj1gnRxuf9rMKGmWmIuQ QF8Olqf4eE2fJcKPjEP4+y2mynzxH/HcXWLYWpdstpn4+oKVl9XYfwo/HbLVvnkktFS/0yYwmbVC 9f3OYWkQEjb6DTVF5xy1F0a0LYkQ75X0t+OBoZwWfVkG3gyhGpPcpl3pVXzF31eQhrI/i9vLPru9 1nWncZrWbfkLxoXgB5JKt3RhPZZh8Jo1U5cDFbjd8iMas3mJ1+HKfqLA7zDvIgOxV2kQ6fj1zvE8 6lkhYjGjPrmU5vgGHWtQ0OrICON8aXJ4RFT6aMMMY0F1+Tdvg28pB4DGu6/38ubOlsOwh38skxZq Lci0Hn3pfl0K4O/SOsuCIZfFfPX4gx0rQasjvkuBybADUJMYFfUOrMtv1m+hEvi77nR0htM/E9Kd DAUHZWGwvhg6T/kHpIqW8vQQNt0S0fSH7uobUqm8R4GzNFhPTBh1kgNAMDqBMWvO/Qm/c/w5MYpP GPaw5J5jJL7CFCgRjCWxO/xiKMmhpoCrKZ0hiO4chDGLbWJAJTSHsO/feOFoK8LsZoOOqZe2hk1a PTLg7h5iAtccb+zPgNLq97TzjQbUNEuId2bTxyad2nNvHSMy/5wNH1Y17DmJX180WY4gj0elJUS9 3MIwvAhibvEfTJos8ZnWw3/9vA8dGZtIaOrJwWSSjq/9HkybEfmwT9kRB+pa/7E7hjVNKlhuyAaJ 0cg3ifjOfRXU4+zMzRrccEIzwIo5hirN+Vu4DVZHTqIPGcGF1ogx6ZQ9sxVSBhzOg8oFU0pwAutb zok2+PCmMFGYj7SMWobFELDILfeBqTAvr5EFmT6IQ9Y6D8S5eS4Y43w3AOF5tJsVo/4//y9TG0i+ 8nlnRJP+6RPt1iA2KeGtCQkyg54j3oM0bsQUhVk4EIOgh31joLuPrB6y79uKOWoy81LqPSDkt6y/ t/bkgf+WDLuElty1SkWKl+/C1J6Z6NQWAGTRpcItKRMEZUMeT2TDwfeQ9ELQkEYqKew1aFCVZfrZ mq8h/ZvyGFFKBHTOXugxqeaiX8UdxniYU4RbV1S3SXdihDCgbH5KPRCPv1Hq2KKBrCfgWd/VFxvD mHpr6JfOBOkC9VvFnsdT602jnE6MHli9vxfTuROlk87fON/tHEA2mWeu75/+tuElHiIz6Zrlmfh8 dkv1i70uNbQEwIC2X/ysTt0ff/eYCOoPkfnNc7pdWdizJMyXGSYDPL8TH0d8aFQZqAFEXtp8vCtF Jauzlfv1+Ht733x8y3fgDyRH/1Uz+VGqlaEXRrcsKuL933fRwFRm3ebDxxFyzKPQ1bPgQyIRNJmN NwagWgp/p+sxFIvNoY3qkvD3LiMzwDiqSgAnxsJKtG/qXj2VZ0+qx4zU9cvcyxeD0hWKVf8x5zdd bO5cskJYOftoFP/iGHx3D102yzUdvImUdFi03lWxyTH7k0BKeXivmiBGF0OI4NpO1qhI/0mpmhN7 P/auj5u9u0Z4233kP0u3oJLnMLOjP/NCO+AqlZMDJjjVRNyujFT1E4HG0DKtwxRnh9Ut3ag5njc7 1yk2JYNUZmGk9HAh85SpQIMVOuP1Xk51I1N9dZPo/MF8w2/aAmT4e8W0frl/FoX3Iowv55B+L56B gYqRYkOoahwseXr6Owa8A0GDfHS1vMb4lMIZd67ciOQQ5VO+jmpgkXH5En4SifwwGkMbmbzp7QEg ciefw5UGELIoN97JDpv03vmCRRHAwbo0UyvO4fxORe9zp00TtTikdOtTBKW2hChqSaxOI/yFhgMU MuFDdOmrJ7tSLPbM/y9EzV2v3r/wEJl1j0oNlyU1oBM5+xFctGwDzMHV6r5uphPJqqlKrkJiXpA/ qI+jRe24SGlqhKXLSY5aRCscvl6zTNeeL4fu9rU17SKZDZCVfokKQZkPtfGRd9UK5nyryCJTOE+k Kr/k+DTvGloQ/YU/6iGLD2Moe78IGt5D7U5uREN6YwoFYfyDgSUdbVZ0/eB4lgKYGKz4Q6V19Lm1 /wC5FL0NOXB0QsR95eiSffe5nKKt3SB5fhnBB9EEPa+MFlEdXSHbgYRU0hvzsfKLXNgLk9L5jXcX 3dmq8/o2ZQJDI/EjYBXxp3xv6+tuQupvR75Gno7hB+Jf9UTIMJCjiLP8vlIE9xq6gU0yNkRBToFp vlEURvSsVTIlIWgo9AQQvB/8Q0Y5cLL4V6ApbNeKUu1jyobeT+8n7dctamHASOLxBZKjU6stLYnI tAIrsgTCkMAFVttXjbzhn1U043Y10oXT/SgZlwjqlUq7DA7YLBhK9scVPzNoJOE18EA8RYG8Qc8H QhNoo7uJFUxQm6xClvpwlgCCCZUd7xjAg6KvdhLJ61awa47c1nsOkd4gNRg39LI+2VcRFVF6sewt TN4/nEjKLE3scKmqgAWGylVzzNp0yO0+FFL+Wsx9daF22vo2zgEHeKBNLd7Ol+rSUsWs863Md4EH fmGUiCYiQTx8sZdOWK/W32YLjbzPeeoBAGT8MpcqsXaKzkzuET1rSvOCV6+M+i04nH2vmMaEWS4w +6r48dNnKbRXcIz6M/z7DCd3yc+QuQl7ZWeHXVeG5q3xUJ7r4wOjMbUjTfV6OkwL1ur2y9igGfCq vgXCNij0v7y/ZP7EOiy7Cqb4GnuA5nPmwu88RbnZRKUOrH7i+K3aiLzp3APEwMBEmxwvHyDpSikq ma+bHFtUIkGuK7hLICOJ44y2Vp99nJyCqZ0BV7wSxGapf7hv0XPjgbr8yGp6AOfB5HGnuQwuyEsc lgMxKq7cFankUzwtIr30w6CFztkEpuQSrwUqO+smtGo5sMT3zgsGHVLTbNb/IIR6YT7X0tUSNSne Fva2fm/o/cIpi0XOtE9LXBZAMmEa59G8Yev7JukaZW8SsyEN6jJ32DAGiAfpXkleoNa6s9OIUMmz PCVh2+NX1ofhbD9ixFEtUmxNmf5y1GFufgxhJ/TV2Nn3uG76ISx3NOd3ZjgzU+5OcnJTvdnEKrQD Fu6TKKqXwKJAfOWVTzvjiGUCtYmtdokJ2BlNZt+FPCcPI9RM01ctLqPpMBnjcwMeYk5WplTrN20w hR0DxrbetLAGI7Mr4gEPFN/0vqN0kpSGXY4/wGOhtMDzRFWJbiPaHb8O3Ydcw7lWKtg0AY+D1QXo hexZVHBDHlZTI6TWjeVj81S1yDWDBT7RtThr/MGlUGUDQvfsQpHhIKEbHlciFfTbzHnBXpdYfWHA oULQej2MVCABEkOQDjDr8rWUCrX2zCldM7llhnCPsy96MECaZ9hIjaUxU2+BosRkmh/4aFfBjTFd z6OcR+TrqoIkE1zIHDbOb4NIQSs+heWbQ3c629YUDX1ASr2CyWaCAjKw+NMmeB4ctZ/AtDuqbvpG wRhUWQx+aDcALN9+D6m1z0B5pdhA+ihOTT8BB3a9x1oIbfNFvX9Ff+bURpfuZtcj537sE2K9V+ra yfyTE3muhuSgT6YPo2jt+z2ROCYJwb9rD0Si/bmG8blFO7WRtdRQNarlmq19UHg75/l9Zfe6fRQR M8FUnVMQpbTUEzkTWGQSogXCl3wQY7+FHWHS5CXFSTaI5/o6dzRrsAOAXBjlLwLOEOyhbXDQiX4b NNP8mNej9gj7uNzfTzOjUm9fUi8pkgOj3geKeQo7/7EqxGDkzjK1waxuMdX4MDTQDBFL0iLJq6q1 IIR+3tShziKoypT3V9PuIrOofmFwklhAPPgObQKFlD5h94+h1S87plqA5SXaMUiCROuNTkL05v1x v+DjwQAnW9MsJlxU2aoGJi3Anbo2g6Yf25DweAQIZ2Yllzpr1ry/kamZ+p2frXnHWh4V1CO6Qb4y ZWo4oGSs2uAnZoNa93WiJG9dsD+mzDX0fePdwbMq5aF0W08w+3mLmiKb0ovbow4tgGIUsWqELR+z WbJV107KWU3js/RJ3PAjR2a4Ry8EfpGuUNxaU6hL+3KiCANWnRv9rhM4Hqr+gI+9UD08elYACQoY f+ghsBxaR8Ly7nR3vvRUzBpTuHMziIvgQwAVKdlWpGb5+AGFutG8/X6B95VBg7ZqXBcuzFGewFmw TGB9k90h7JJVWXoMp4vsjTpSfwSMnNrTRjP5ka6iXIGCNBRhvMzJuZ9oiaOl6vLGmYTtDkr12/Dp +ABv1+LOZEl+HBZYHFFlEgB0dPEBIqCzagWxYFcYZQR4EHgc8idpcgk9QObJ+8LSKgsN38LUkgT4 Aw0xxvNW4DZADKPQxzi0Lo9L3CyW2cGvrXtKzXYoS8l3vSsHFNaxSSK4H4vXk6hmCrIXeuqya6Qy dK8xiVDQxnjhDV9Naq0spvETc3P2nydHoyTFjapRrAGEQZJ3WPbrl3Jb6NtiIYLuUTRIUhN2F1Ro 5W+PYmbbebtn9k+ugoB7s5Dc2ekwq2Um6ejKvW9iXIIVa4BcfU/Ki0lcUG5nvKzzo0VbTSY4Bau4 VBSJAOuo45OQwdRVjJymFGif9i1KWt09TW4b4YBhqQKCWwOz3qhLr44aOFahOaT/hQWkWr8Lv3Tv QPTLgNMzibryBkYAVAsFQLVE41mUHhTSm2tVOsgAT4OL9fYeVPaoglTxnQtFNHTX0eSMA0/8X5J+ vTIbgLWei9C44a2Mt+rbZGLTaUFbJijNTxiTTCFoEGWz/mTQhKGIcXG/X4TDhkgNmBB1MwQkKapk vHbaF/zcUI+Xz0YcLzoyomRcHZTcaExbJDr5QydQqXTILyfsu+TvlFFef5EtDzE2TmMmXFYpyMwQ F343FMVAOy3nbPiqgH1nRwSkuMpZsBKwDK8kH+rHjkMoRZlBr6uAaR7vtE2ubq3qVu9Umd1K1dFp YdWMHBmmD+VH6GX+VI0z1d6iPggCByH/51vDAH9gIgErmUy49N6tWAFl6F//o087eU1DvCngAtMv iLzRinQEA6H+wHXS3Poo/Qi7xiZYyJB5TfLhtMRgOan2FY6RykVMfIQEKvb+nk5XvZ9PA2otlsAr yuBhoPQya3nlB6L0pRJ5l/UOvxqkGCdCmu5bL4ls/Ew/TQBTsFVSpHcNHDHOfVYJYbJwHiv7VfNC 6Mx46kl4CH5MFtsrhoQeoWTuc6VOpShs2qtF7QDZH5b3lolFcAzZZzs4qy7VTivjmpv8HHjUevDI WaHvp4K3fFxxPtPsqZSNWcXGtWfqmJA1mHuAYp6EwHHb2mtU5xVjJCxsb44VElxDpBzEKVWDljih 8k0DZoDvJZbLsodXC3laMNMav+Pm1GCe86xIozkfciy7mugD7XYtVvxpMESrekPJ3SMcwsMUYHFs Hf0NhpYv4bh6Xxm2RcqObA7dbblq8Nu3z3n7+AXU62zrJaIOFDUxkRzE33YENbcd7nsd6zBwbcHr 39AV9O4QpeJhvElmQ5/RVbZ7F7P4guy7QjuTcGYMMf/KljLJHhQgBXqwfrSCwvmBFLeYvzXQH4Hp 1WhiWu9P88CmESOqms5sMGKEEDa65piL+BvSmpHniJal1DsTFbtR9My3iPb6fjBiROM27JpR1qHe 9sTrMAUPWBm3mMYrRZdFZ+gjaApZfqbBZoZojrqScBg2OcAk+46t08racuiM/3kyzxZWjk6On3hS 4jUp/sF13P7WZTNT9y/8shvGpS83d/OsiV+kDF4RrSatld6C15WHx5t4dXFdFXByo/S1uYm8CsHn kcNBTgq7+W5hX0WoCo/l1p6YfsRTdhaqsCgte7PDlixLrGT1BN1PF1HwLYB2dvUvYfN9DdTtq8+R jMKpdKwOUvFeOgYqYGzIcslvMQEpHp0ChaqeMqj4FVZp4iUp05MKL1r9VXE3xRlmV2uz54i8diX9 jm6DVU7nSRrwu28nTU1pBo0MVMomucn7bW4rJcpOZ2YEW205/MDq1oLVp93xJ9RRCj3GAfin1XnE 5XLuN/6qdgLjuz44dJ6n1RKvk6wR+FYJ1J3xfLMZTyDdtqc69jEzituXyBSuOfNlPNt/zddQb2jZ zSjN/BSVR5tg1sZl4dRSEfcy9Rg2dDTuKIwTiaYjlcrxuxQcod4vs9u1DhTUWM+n21ihWIILBndr HqLqWXPpcewpRA/Vuht+hUxoXdQGOeplVCrWBfg19if6Pepr62feTVrobljjwf0vXoTbCVbAZkA1 OJ1ltYi1oAjD2MsLrXpujOZYytMItd3qk94BQtVhYO0yzETBciJiMft8cQdTSPHvZq+rOGkAAKTx Blsyl0B5HOJe7zHF95fsNVs33ui8dFuiNZGJjOMt4l2gG8rhb2f9FouKKIwOp2L6asvrQ2jhNEZu EOGqFrc/HYjwYUdQOPNsH6KWygWRhh++0XZSzytkkyYm6rCHPrPfBbTahdGWrYsM37vdyh+EF4Px QatPR7fP54M9phc9xmd+NJ+IT9H/LJ3tvi49evH7vYUbeoWunFtSPs7Rd7ZwfVDKbv+90CYpm0oQ MbYLINErgyYl81k4la0PoILdHTY3tbh1vMlVwaVtd24jG1xiI4wEDCQj6vxyLpGH52EdQ5IcJTu2 /P9vSWG0JR930OBtg4vB2+f71RFazAj94vUnmCzA24Y9OmEO4W3U9Atd+V6QvK1MUeFRz29YtTTt tAdO/1TJaCY3jwUPQOcPvqJ+P2XBpAq4euAr3AvVmDMqZWcMnNC/38tXlqQbTg6ol4mF1A6bnPf6 YL5VjysQCiUp7Bx7myzSKNmbneobzillvoDVunKMNVym/Fx+AbBXkfmkemtu9iUv+YkOJAa9F6MV aZEyrRfy1xbDR1jF7TpbUOwyi3/vg8hCPLndJe2BCGfPZoSZgxMs8oCE1Csm6pIRMYKRe7HmWbpH jNPecNwzXDBURgy9ieMKITv+Sh3uv7ZyxTreGhq2I0jHOEoh68TVQBzMmXSmvwfbczyU73ul4g6+ aUMOC0eGnpbgJcR1+lBkawFPRkrbkswzBUiPHnv2z1ktNeECjWM85S65NYc85WORzGZnyKpzlLAr a5zrawAycGVAMpLqoyiWoBdn76w2w5M6lJrEX2ARm86oLMuvA2AWeRhXU5VFotf4rNA21/UT/vGO CMwyd0ow87I8gG00x1WfJ2my3h4C2vZaGg/JI+ujGv6tOZzf/dc0Yn/YjeTb3KdeKnO2zorUtLLE vnDIkp0xMxc/2RtsfO8o0gUF3DKraWq/UDoHFKdGQ6Aq+1z0FgtJZ3CJ55AzYaX7TooeMDZfGxG+ lss6C8v9WwXXTypWnOaaggoa/0TY06qVXcNxPN5qSIb1/CWNJqV5x+tOYdl+y8t+pB9jJY/fiSbd IP7nAE1Y/WP5KdtPBC+2z0KG5ZutT806Hzops8B58ZfBHWd0K3NUveCr+y4IufYPlUy9o2OQ1DpJ wrDQ0zzyqDp9uVD6zqBN8aCQUBpPGRMxNtI9MTlKZwd11rhNNUNbjeOBIDseTQs2a/TSbXSqrW3l uItWX+Ztrlh7uoM2cRUEVzj/MQWPaUIcMbAAl7kBmdomBsaVkZ1wFj4Jp3IHXWlYiDeo7j0bK4Nv r4iE/8Ko1BK9d6gT059rmLLnl8YZv56SYHS3huk/doVbkDdrsizb92OnFZhWLJSlWK99XvGRNaBv KIvRueEVDZRHbnGLDbmLvA44NjYsB2pQ1bcF9a75dDNqgzxf9egcBpP8puk7fuOlLlMByo/MKxvF b74nBkIW7OmGRgvpU5Y5Vn4BEIKF5hLFIjquQ8OedH6Kp2UeArOpKCBsqJSwNRIZkOQquZeUVyoK s/NTJI38y4KL+ZqZ3qhS/0k3wIMtq6U2jT0Y028bOpavVvtO1X1+Kapy8czX+xRwGHyAJsqbAQKR BP3sxTTuYFUMZ/YOQaRQ2vgFlzt7LnHN3x3cM7vaqtBmYWISEnEXEDwixx2VIZVjRBXi+ujIFTGd 00JO3n1Y9MddQde5z1jJ0ffhHLjAnUpZDX2qc1ZM83naVb7MxNVf4HFW9o8kJ96K2izBbP172OEQ b1KaKh0MszaSo5YsZ2iOTO7mSjdFakWX1POfuR3NNl1RyQiYhDIpWlHxMvkoLK3rgepfQJfX0ssx UYNXNUZ7TWyDM0ZBl3K6qJ3NxZHBe38oNinx2e3w8ZLJtIGLe3sRkAEO0xA+mJTobu2iTCnU8aUH 18wLuLQVyPCKoZVywNQWzrbTt9gIhuXSnUnzAUzXWkqrRC1qrV2ZqIypz4dfZ+mKWl7Li6rTWgSk l1xC54SBI/o7lFjmI15UCpgjHUdnAr/nwt0CVk5ZzI06NcjuETbhbuAlMDAhy0IjRqjztkpMtLeQ Q+1ZN+wyUUHqoox3M1EF0KOqkLcsOjSQqOSc04tKllnswHL1znAEt9ycvLz1tA9Ak0C4M87X0+/f QpJ7NtNuc/Oq0JAXdurg/ptq2fMp+fItxGL2V2HL0ROET5lKS8mUqqpi+6rVo1h85EGMumADmTry KQ8EPq6aBQDLW415IoIsuFgFSmk0MHd4Qc+AmNk1tpSatDrhTk7xnq8pYW9AK5OLmJA12eSZHrMd 8dmBhm/XhB3RQqUL3jRHibJwSQI+J2Zyxq0G4eaU1NLLIprQ/W4Ust5rBf32wGJHg3hNLp16jZZE yPJZKkWNUZEnV6gYjn5exkeqK9yvwY/aXajL88p94QdFruTXpz5r7huu60iilDz2erua3rLTLP6b HiwvpqOlpfEVSFaqMAgPJwZDDaT2BAWN5GTg9K0mr5LBiInc1Enh90bZGg3159YHe32gAfgoXkaq ZOEUUG/4LeS5ynrdPm2kiapP6OL7S6MTkyLrSSvCZhrmHM/wgg2uBzw8/v4PsjsSlRy0HNK/bXCk e2ZcUMJ8TirP2nNc9l0kZVYQgQ20RyeHXCFaGTUSQBoVRdQkHfKtShxzR0bpSkvjXADpIeGOicmE jJHvZBberSQFlQzfgZepw/PX8iPgWBxrSjOcuDOskAiZoV4Ir6IUiO0nKaK6z8kkppAdFDk3FpPG AGqPYbA0Mbmzit4KLtNd5eYBi5F46Z7+GG3+RUIAdmZcFGgMvZB9R9VxdNc+2iTyfV6Th74Vb0xM ZCjPWgTK2znv2gQnehzjZWXXbYeCiZ/Z37Y5NvMr26LIlfiF7cVpaBj9ZSAYQtGqz3sfz2gcRFWC axXP2Jvrc0UBO/h55GEbZG4t+jGsPMmSQUsHkkPPnZBvqoVdWUkEnvhzPiW57l5JTWTc0MGxhBFr gCXLSDRclLkG7lMxygWBdfe+5iutAnRZiFwxACettf05sPjrMdBrGqF4VFajtHl+xJKM1BIUX8sQ kyiydgfZ0gu//jmSJ3sGqdPd3FctXslGO8KLnkV0/P+Dzk1eUb9f4o47q/0P/xLhYGm2RZtD74d4 zECcc6vyOGDzHvEG+NuEhvTyTSXGKXZoNR/JZXt70/CnnadkoLIDaMWTthm0cegQic43ouXkzOiR mohTFDhmM1Hlki/uHKk9KFcBkW6YYY9LbqLiTeMZXU85Za8Uj2hF+1es7qaYSL7uvjE7i4Oj3GF8 +SsZ5guezar5sXFYPbOgwt3oB09aBvGOiFBoRLZLSGLnfg/k5ZVimDw1b2Z90pqOOiOZFZUdQb0g 4d82CJOIXz17bL7/K2q4kUgS33Zu6i0U/fnodwOiCgUaXSIKdrzFa67MC+3YrrHGB7GuI9cHpB/l ZC3UoF67ld9DCcSOZlD56BsNGPFIG6z8+042GxsrFvIF5+K8m7o3krjP3ZQmSClhl/g/N2hKkeIK K5ng+n0EHVB9bpE0MTDy4UAaR5boOFpkItiOEs5WLRTM7d49iHrsIwRuXmR92TiuDNwSbnPqH7h7 I7kbyYuuzLVlCZftn4DcYCra7tXHWsoy1GdGGAACvV50B3LTbaxpR8Wc49NzjG/m4BJw/gYKD5md nXwOzOVLXVL0HXBFgXlGhG/Fmf6v2S6Fffs8sdk3jMonthzaC4o1JRikzwGw23BiYh+QZwPRRrr1 XRNxtRH9MQX5cNhZMAnans/fQYxKnjHWKc2FBTb3zELlT6wvDu9UPYhl+G2Mdtz2IWGoFVl3y1ev hit1xOh5DKP0MJjO209rr60XFpZUpAvBfSXGWMh/kCmnmGpUBvEwD7AzyeWuDUJQL3EHC9YRDijb Hsf10SKAZ1iry32raJcYmrl/0+aCmcuzHFn925U+xNq9avgRGyjnv9S7Er+MkqNvB1+bpAYV/Veg M0dGwiZwpcG6NOh66q1jRdrJDQFIMA1QB8D8N5RMxzJsN0VQnHCCN5SbgHrChZ3T8a77bAPJdg1P +guF8gFvLsbby3xi2yqliBhTjMdKDXxlZLbGdGNKRgwBNIwbfI7SDra6pBHNiQy2vafvP3+KdH5z HqJ1xUIfG2ifPkZ/mwXgYDj2b6djOeGgsRglpANfP33Q8S+OErf7SeafwR1Je2KCETNR9wE9ZFI/ kSJ1efRtqKQjxBAdDforhstIDO0zV01O+/WNJFJ89EJzcC/hKyrl0+s4ITWrKgmzM2tn+r+D3my4 IgIar38QMPPl97Gzwe/j1SSLPKTgoKtz1XR44Ckn0rUhWiONguVqNbkQvKYbMc+7hMJUhI04G2/w JaUP+Kd8/DXc+irAHV70xkdKeJj4cEjWNNrEspWxVK8ph21ZQKESv2TJJNlar3no/hq2orqXPtHm 9XwfP6f6E3mj7PQjBiG9Jcdx95j098ppd3R4tJq8AQTAkxZw7DBJMAPqmkPJRxNXXla9pckh49LS yKZLx5Bt8mogJe3qvvDY4NyHPw9ijQgjgsYS5S4jz4ARSxRZ5PYC/ZTQ3BabRfo/rRYAib+6kNoQ xcUQkzllxT580ThFSt87wFmxDKS7xRJ8y7B/xP3zX53YoUQpVxrBD9KgrCRUrXCjTWzSZDJQLdPq qY4uW1LGVWJmS06RCyTn2CouvZEqYqFdwdxoIr9gnca4jB7UrzimI0zIJAwq3b7VUu4VKzZ6wkG9 dHfnSVRZCpAOzX8VioKAyECQMjv93Dip5OPGdapwULkrTE+z1SY7vfbgWYnHFElzKo7HyZ/XOE4N 8goBUOboZ87DsgMstUvbCxqyHCM9GDr5DtsC/BQ0E0v+CVaX6xm7xQbAgCmWaAqzS3xsg+WDLixn VzkHR2uP5ACpEjqrFXfX97oySH6bI1RnKjZhJCcpijlrSbqUIBHQhV38XAvl1663WidlIVEViPCX xjYrnwvxofncr/+hhy7kEzvosHiruz/9Qe472KI1bi49UzLVbHAZEvqAvFy9i8a5l2793coaWuyq IxBZ5Bb2EJfti6pqByNpm0w/qpEyfAa+zgoeoGZWsWqRC2baoc7rSdnJ3kCdimYgx2m71C0BXUmS zo8TyroWtgJ668JhITv0rLzRCPxjmqjNoq2IG4I67AGFLvxc3AWkUPziljvC/VXxTvyXPTqGzxln r+eEvFuC9Bwk7Bt7a+exFa83GQOYq+0O5KESAo5DvBsTE4twOOmkC00eVEbz6b1gklR7JTSt1zu8 9yPO+SitdwPVcVpBBiP3aGgXxUI92QGmZYfD+LmWed1vR+8dnCdiaDl+22bmZvEXKiMo2VzJexDD Znsgc2o247dPOkF1d0YUx/DNVUjUK0EOtElbnTunYgT4lx8IMGc7DYuPwUzFWVdleUUYgjNI0UGf rRWU/gVt+0NbmSQbdo8HPiHpagQz1ECBbnBOb3j3oPcgmtsFMH83paeKyh/HLUrC3AtD1AOB7NOJ vbp5OND5m6wPt1WvHDeP7ZDT+ZM/3qr0w+36SWKDsu6pyg9cqrxpUNjlBxznzAyJj0gQRUMi9Q/K tWIU+0LDKunNtU6m0Bs4EqLdna0BqUvIaQ9/9mQORuDlB4xRL54zjZ/qNtg2F9kOwFaEOwlvhtqr 6nDY2CnCa3+Ykx4AkSNBLKmeOC33c9H+Ngc6QpX0GtScLXtlz2FuA0X3nQsPuC2Juc73wYCL6yP3 uRbMkn4RYX10NVnXAH+C3MIVx/KwSdjM66iA1pSSmZhsorvxzAVgRbbOp2scR+TDo5o9dy62wiNQ dQ/WI5Qt/WbSbNFAZ/lh2/qhw67UhVMzq5Fxwmrj3Sks7kH7rcCPCVStFN1sw9Ot4cjBRAkZWX5g ihrhXwvl5Osm0OEZOdPT9M211tI+UGhMyUVUfF5rnvMv/txGx3ALTIy5zK8NUt2Z5M7y9x6/GKw2 +DMXq0KWJe8blOOfoC+QoQErtwLhZfjqPi4zxS2vawg7v7XRBJzcGiH5Pf6vjUYsHkIFcrdHCw03 wkNOche8hn0lPBQMG8Ppx0ATXY+0GLWNUKXlaX/p1okqo+Lo0UbhDwoK18rKqosCDbRNgZyiP69s UAWmrX66shDCVtwpVD+ML7rbkN75dd5WKBnojQfSRi2VUdd/RfhY8+UuOzNmo05vp3Xg3tD9XaoX qby1Ut/uRCEG/Gg05u4NhYWC142SsNu75Fo7rdZ4ENGGO4vPUE0jIwQeRvvDnxrT6Jz5x7lMu9G8 5bqHlea3zDyeJWVsZYpkujKFYCXtnQ+uPZB8eIvJNFzCbnwD0k+2ciy88dQrKT4KYN4zzF7M+9sO OPYmrxdyBtoI0hRjHUc0xJBHJqxrSv6Qsm/S53U7nVNg0Yoq42yNSzOsAjcRSNivRLyXbJHoN3hd XfcLtPwpz4u08BQYmZZVxupJVRyMQKYr8gv9oz0rMz/8zKt61LYxb47ZDJx3AvnWaJNAk2RDJWQ8 kHq6NI2WyZfntO30aNhdZPxe1SD0dqWoSwuLCipvUEEbLSPG6H2Du7IXyfFV3hDycfdzoaEtqWfk O4A00u2m6ELaE4m7DnIrBlzzVkyw2DpkvgHmn+pQwu0tMP/5NKYT1pIg49Wu/Vw65Vjr/2+f4dM7 gv2qmLbiGQM6DaionUDwFP6phtKPaG0fJSygkgrE701NAItSsp2fvAU3PVELOCmBJGCy/4AJtBEl q4pexN1oNdt30piXTcQu7c2gnN9EjeLoaYx3OG29k9j4cdn3t/3j+8w1XevJ7YDEYM/T5Ij7jWCK h1zvVWWWMi6RLk9nkpus/EaNliO18FpiBb3+ayNZT9c7/zMnn/i2Q6XCEiam8lG3CmPgtN+aD4Js 8D6XEC6jIcOCA7dvHCGgvZ0oWlw8aSTAd36GMltg2fikFwarSc+RK0j6x8HwX4rikAWojNAH7pPQ JutzyP1e3BGGyKZAfhapcOO7n38XPd2A3Bcop7nNgoK+wyVwBRilORZgTWN9zTxxGEKe8CXCWuCx 4WOCqATX559wZPufmXZVQP3DrnPnffFCZxxZp13Hn+hF8EEsx2YLOjygqDHdF0auriRxwz729ob0 yFjkhQME1VvchUGp2aVyX0hizyV9aTYHw3gIUx1bPMoaH7Mg5CAtB3MxpAm/IIqG7YuBjJKALLWB hYMkL90YZlV8MncP/yi747giyOk5t+U6qqHKiLe+vOZN3C2bhpV3gNWdZfuQp3lcZt5bvBSIh6ze HauynMznQNvoHar8FkozoxDrX/9IdUv1qiNsTRmvpJMZfpHbFAaJmVP4TYt4St5yiYV5WH7xHANf TU9gtq3dmcAejfD0qWrqijUxmdBizUxS2yijLLewBbDeZJsFTzOI/YlRYcelpY2h9lyhavcL2sxZ m4bKdzDpodb5xMl3+nYcZvb7P+jcQW2mQRjcZS2lo7v5Pc0CZBocAJ1jWhQ5l6GL7DIfA2/tpvBl CPj7ZEkZdCc7ukN/hhp+AF1BMEZ8htywdRmhCLlk9YaVygSxlRz/zCFF8Y8Sh0/AL8uhB4ZG3VSa Yn0aS1A9gYO/3AeksQQa0/XcArrbjjgzEUZuSZetqxLkdXBv/xVomV+q37kUVdexQHhFQ3HuSIPn YqDKC/RsIs0aUhAkgyLTaeqf0K1LP9XIqoTRUa/RWSUWX1pD5dGuNOa7TXbMNXbzPQEZJc3spg1X YX3qyGV703Hu3PioUCtbb+c07PJCAKj4RTDOFsnQsJVq7YOnttUTiZNIsUl4+okWPdjFXxYA606f O+/XT+H5IT49cOi18Lg1WAz5N+0LSB1CVF9m0c6EqEG8v9Z5c9ug/nln9bPOJrgyX+j95bzLiYSH mk+oLe5x6dSziYee4Tb6upy6kaf3UsKi3SLiOfJdIm4CofU3+cHU7SQfJjj5h7RMfisgmsbAIG1c vJ4PUkloLgBJKdYlT9k1VJ/Z4gQ3NXb+tPQDZLb4jlqoIrkseKeHpX9x391osyr+gjTQMmSuO/oF 0szkL46c5Lx602oLCtb5lbre9bevm0ATwGCk4wP0/58raystFVvQfnVw+pV98aieDiVIWnPW4NRb jbYLtKZER4gzMG9qeXr4Zf+QF629KRiLIIGqOkuruRZQ3K0If+M9LsIzGUhxwiaV6qwhJe2iUpRr rpgRyFgJAk2WFlILolOc6ngZvoN9gj5y+Fqt7CqNjrNSnT5gP5jauzpefE3AnXbEs/UhB5NBoM6X CgmELm8vwOR4jf/C/wJ08h0MIGy0Pe/KztbtciCol42O+zhAmHJpLs6iHnV6tqH4HFLoeW+I8lBw nLGxdxHWlXNlLj+pL6OB/s9nFkQq02ysSHjPZUzqLZj4ItNA0ecjq/UnDTw2DWOmxy+TcGNqrWbq qihZOmiAc+rejdqpqEcQ9tmOzbCDszdK+UP3Y8X4Ozy1OJLo5PY2Elin7UTCTSKr5zAUIaFVl5uv dOWbHcn/K+2MxoWAamthsG677ihwYaELqxNFh9xFF9x/JaO/8XS7yTYCpjIH17AEx3e7+ek/IxQA Ba9tYAyoDEKnYdXtvERflySq3MggvZncn4GDOwB4SPgtYCVa694ofpMGf6AfNc1O3/EMPSe+A4pO /ivGbkH9+8VQyBBI+HpZ1q3W3YQ2ejWJq8yOwopkFJdbXxlKpNQs4pxKjx177HRMyyXtz2dcFwW8 o0G4xlZvAAMSklV91f0wtWy0hAaCSToG1Z6r20qW/dJclBuK+Bbix+YbaJHj0Yzw/XWfjCTm6PKk TkjoFChC3NxJMZWEmWZfaHz40MWCe8zb8o0a5I6k24akBVdcB/3mVp1WAJIhkcaQkGl6+3CBHA8Q 1a2dnm1yND4wbna8fxJ6+Lp/6EyjfNybpEG9CKebnDQc9h8IfRCg/d7ZcCD53t9nZbDju89i5iMB CuoDz6ZP3UmBaQR86w1U4UZppDbHXGfXs8B+D1jQFsft8HwMXnqSlHzVRZOMzuwIYVTVj3jP8RZG bc04x3dJFvclIgR3F9udwXShTeDSJ0kvbDDzTYPK9fzTrBzuNBUA2IOs16BSA6466NogY6HR9v7D TcQrJ5lPI3gWPs1HEd954yl58hmcfGHre1nkBFizsppKmRvfR3U2Xo0O/yH/LuBZN4W3FBeS9kPP /Ow8TDMkxcZREc/da/jnuf0ake1KDfD+AZeN+ezSax+tjauDeuD+74eyUBJEb0hMbtrXmJ9Ikyy7 mJuKN5OpqjHm73BYvOfgM+2aVHAhqje6TeaOpRuwqsxZuNoNKo0dYQxfSdZk1btmuBuc2YIpalka iTruEolI3000AbyCrJF3o4peDMi/CuJPCN/z8DgLTsko466TiY0sKrKxbR7GpfKXsh6BBMo1EpAN Add1BJMekmSKyXCM/0lOYOLNEFV31jRgK1hsnK8cECsGcDZ+Ry3KuoggCKrAj9T9dENGkyWLAKOT Ez/0B0Trtef+gPvcD/s6VArZ2xacGq9dc9MgrgjWKgDpx3x67dLcfgT+TJN8SYgVLCANeORF04D2 Ne3K5HZLx6T9lCHU1/IcrD/g/UPe2sswSFoO++WmuBQZjSAVFYYQcJLU/689Vn9B4HeLlNg72Zpl SFMVhxcxpUDZbWNk6gIiHHipRs2w36yva1VHp9WdxZZGWlKDrnkz7eBD3TPc5ZZ/Go2RC+z0wnL8 ZkH7v+qd3Aa/ChejftxS/K+1on0BptybgV+42MmEcVBbs02DyhHPZSGbqXxdh9FEW7strtLSZP6J 4o2dztjcZvuygI2saz7Xg1luVnTasUfY8BnnAk2I7myaJkoIV2/2tuaEyVcRbzHhjpSK3t+1+/U/ w6nxndtCu90ToPqtO3ChWy8QKzE+DS149vALKZfn/8fvRAcgH5LNnxL5L7LnQvR1QnUN/cH/zU6v cD+tLIxkZKAVHUJQgRruYVz90pdwS41mNycT1GBFpMb54i5wB41qQDQBtoqs0w0X9oAhVmtBStJO bzz0g1Xoj18d8h5iJMeoifVKZaZcziExqxEZYln6SKlM7F5TCWaa72Xbne63mQvz75OtvoYIiagZ pNXgb5qlRF0QiVyfCBx+H3m+hvdztnDSKnwG+MFqmXvHCzY7stlvwomuCaD7tBefEkbnvKr8fSdp P9rjD7HDTQckTBGKzEzy6yvotjp/Yu1loozvxYf7s7NIn/FXzWXPdLtksXfmRxaLOlF54s2Y5TsX D9jNdsjsIu6/Dfn7B1Ap6jzKxutmSR0TEN6ZQmGHVOTttRDM9iXpwZIrobn8Z/lU2r95GdAoYICO zUWhj8YjM2Z0IPsIVvT5RE88WAzmaPncNs9fqSDyKkp1Q/yrh8zNG9XWJjy2ubD51R8svoT4bV5W FDWDmx9ax8SMjmB6qJDEdTEpYUJAXetVl77i0OqIvneKKqsn4gCHn9Lv+Dgp5KrY1ROvWgeH/DKb 53X3N/gkY42izePyiKALGoaUN8d94TMQig5mZ/+qBAPjsXABUGA6FnTMg4LuAo7EynIw8FljgNvo 2DHtcuKVDAbO4YfZBDKyapVQPlMmex/P0P5I2D/HxQ3zTEnq93pNLyRaviVOMnc2iQnB1bAJISF6 wZHeB09Y+aVfaXi6cqtiEMRK4NqlXNs3MGta2N6y2ZFaJQHCi3YFPSihq37Z79h/gB24wyPdOg/M qdBoege2DnIDGoUBswpx4v/HDDZAEeLnze8Od+BanKHK5UZJ5UPJyfPlEZaZ1p8Kq8QjfBUsVyW5 Y0jR5WG068YxmuAuss5UPdEW94l00PwbcOqnD6J0sTgNyqfo+NIcdUG6q5HmBXt3R3xbfiCmddWs lJK61KlQnQMU7blIEsta+4q+Ujlf6YHHWTx+UnJVRlbShYYvF3rmEhxnRwXsfHvdeGKEMT8AbDSX wTJHJlLxFIKi9ReslwaVEZwVZpnsLmoW35z/IgkQDJz09tSGJRIcrEso+zlj+BjUQkRn4ndLHD02 Qm8D6Ih8ZazBCeRMdcqOjIS7onWvK0H/J1WnRiIWezev/31DPT4CEwk8blhvpWPV1l57bCaQx4pQ WlBx9NaxvAGn+33EtbnpLR9CifKaPv4FaD8NW2wUdgieHOb4YcEw0AcFMFa1cJkL2IgJynUp+Tbh hve9yKEKY5saxsF1J5zBMjM7eunRe7cidctb2mBLHyBWk849EE0PX1eNwWpsAsKSYCoZONuNFW3f Fb/MKC7lQ97QhPHSAKEPgZ/sieqPaICzrD4/RzH7lgzNYgQZWoyBC0uoZFtlRiapmE3p237RICjL WYZX+JQw30trDZvDsycol79Yc+QxteIp3xRt1Q7kfkziTNXPMb0Xp3ILL6pRPjGrejaG3PqCOmpH G2kDl320Ptmt9qvCQ6FpOO+3ASnbgRVmlzqRUyDzNy4DztpQOEl6j2LwoTK2EN70LrRqAWipzxzf 7jVsnNjc6Ow2QpBTCQ4dwF6f6fAMcBCXAjrW5NIiRRi7s6go/z0/KGhh3/6WgKT8GFl+KBzRZ4X9 S/9IQoXXKNNPx42Ysli/aFZJAr6fIC+Ont9nBXblY+0v71+I1uKlVceMdL0X5juRYwemAFxZTem5 Zm/EfCzrXpe5o8jkbgHJI+vgJaG00iPJWDp1qQ5UmhUxEQ6E/Kf64H1lxLenFkU4HQTYpHE2xs14 5xiJbuz/I9BWhijB8McFuJ9O7Qg3KL0VPwxiaxZW7DYUbBsuLuJO6OFb4gQqjGjXAgm25Kfh8/fy eSZxOpJvyvllpyvfdLweh57E4mU2kxG36NH2MjY0V1vUGc1WKv7kOrXSXTwc5lY4V5v96RgiNtE0 eunSoo6y1dvJpTWR1RW28dkhzuFNMMuRrjaMshPhtYiAFwpn+O1juj8YZewKw2azStC3g9D8P+Uz l25TPF/I72kWt4kW2wb6WabNmkmN7m7060BJAgENXWPW6KMFhXdzp9rEwPspp/lR265XVv/teP0p zHcXJGyJPEseA6AN9Br2bEE51pu1ldRt85AvQTdyNGWkdiemYA91cYnsGC/CUc81VHb1Cb7ZIjDJ GQ//O37ZT+oDJ7rJEchYqKjvs9NpawLXotu0LIbdo8s+LJmjXFC6SyRKnO6N0pT8hAvVveba/547 tyQLJkHnGwMrolBUkEcrXJRkWgQ2uGZw7LIEwR3gBwdXDM4GJ3riswqNGv+JLXyKaadY700zD0S4 117+kmJYPpXHaZvd0ZSweWpLtqQHBwWxzgUTxfwQY6jBzBUV2uSGXDWYUxKf+7lXlALEEOyFOLxP fYbZqJFQmEat2yk11Z5bAnccrreepND3UJg040aPonMuBEbpRwpS5VKLahoO0oFgbsuSQwAnhThd cbcRz4lm07fvkmDYM97Zhi5f9tKKv5SJ6auWx//9Pwlc4DqHihpW2qaqlIODApOVl13ZeajLjZAW 7LOWyl9UxgcYe2djE4Oml1frqUxJILeTRdNz2NbdOGgWA43FgFnZQCDTOxPHwcUe2fW4QbZGTakN 432LathP7PB6tP02NWgUSYoowxM16bIHHfwYdmmGMRaX/KJitOFPQWgBzKbtpps/CZSpIBxXu9h+ q2gJJ6p6UrG6Uysz8IdGZDO5rZG2lTa/Km89Elk/e5YrLL9o0r8nnGWpRbr33Q55Yz5n30uE0N1F D/Polyu3B77Y1MU8ELgdSbspxg0vOncbp//dEx6EewFAwyfCyA530ZXkEN1m/c4OPWjNOcCcQvzQ IjIuqeK9ukT25qnvXOIG9ZHF+nyD0o9fHVvQ6nqRfvEyYrEIufi6rasfsAWxmfbXgxH8mVfBe2fy +PDt1CbscC2J2D3CCfG1GV1txEbKGDKaMiv7ikkf9w1ptalQHip2nJPJdYjR7zBmp8QZjKCYeYj7 yyoPxpzylH37NQoj49l80WBrZQFs8AAPhRZ/vI8MMt7awldhio6iSPyfGYTIvDDqXAIM3ZafamlI Dy/qsWgHkX9z9D5cYJzTL6cNh+b11J95unIoX4eRTzTWdTRkhUIHe8BSRd/jHeDSDcAq5VwKn6Mf 3LqtR14tLBLdU3pGrBu7v5dl3T2/f7i9qancn6x76tczcT5qxXzB8AeyXcJH0nbFcU+Z/42RteP3 cw2HlKqsswq9UU2r+TLy8PKsXANKlJW6xr/aMIwezibEern5VE551ErrCb8QvUn88BNsp2ahdQFY chSF1MFDzosqC9hpC14IRs6VRDbC2KR/1NylVVotQDiRppTvePKixcJOBzjlLD4yKk0TGl+qx0U3 3Zrl3lgl1TyIYVv+rontIqzXrjw6bmE3UAWl7PCEj/AWSAjWTB3oEhG4XgZ24ifaFHAs3ebowem6 3bMn2TpvYhRfYJ+a2wUG5OjZc8gQdEmIVcNXGZdg9N0lKgxgVnlBBv/raicNtN+Q28K+QyK98IV/ aS99jXMW96Ezt06MNUw0gectwWbdcJmwiPci068NMFDwCoOvAZgSOeh1QEPKYjNvUIJWozABs05v HPsWwtDEPLfu7w1LCoTLQQ6H7A4YFMIvyi04Sc/0l+/yMOQeXnhwlItrxGtZ0SNlj1e03IhnCE8F pxCKC1et77xRSYe9s+HNQRorfexK5WNMrqFaq6DdiN9y7pP6thgYwcqwLvR/cGJauxtVAfc4AJ64 P3Ww52phcmU2RObykrqmc+nxRoDvCllxlngzmA+xO1JxjYEslaa5Mp0UWrsoK6HZp1dNQe0NCSCv FuTYZhN1RSwaFlUf2qLvPlzcDouxRr9TE7+p775KKgKuD6lWkcHGom9on6aerAgwGaagvl3uzCPY NnUGKfw4y7+AXp7dZStF2o+fdCj+nLPmc6pPlFVBqICHRGu+m8j7byTst5nikcfFHnUd2tBGr7Y6 FwkLl79ZkfmeTRABOh6DXBXgd5YnsIq+LEP3raVdNpMlkyZb12cfC31CQIjg9+HbD/vph5qbjAcp kvGg+xfHZ0EjX/BjOTL0Asv8sBaJ7/Ol1lHfJB+MLOg4uyTzH2sT0kUQqxlRpGqnweEFoeoB8g4T 0sz8zjEqg6Dcxjrz9hOicdjuqJiHg+HiKgaH23VuvYMcxlJheioDvx7fMY5DBUw6U+32KcyE+dlb whGIIb3zRnRWnHAnSDzGSVJvnNorPcUUJc/Y/zpCRdBvNdOFM/Esxc6CPui1bTqtBhMKPF81/cq6 ErgxmWL2O1F18xY51kZHj7ZDanHN8AOSJzA0nK+vDNGgaFk20NO2DODM8YGUEFwYrxSRnK8eqAmI CDPWfWx9eGeyGAKggfKwqqQZOLLVJwINi/l5Cf3DB66DDoM/IOLZGgoDfMgM9IaMeB38HBV0cKik NSPMZyd0H/Ed1iHePS7W2OQIPzVsrwsPF78pVSNaviPdcGy3dcO7EdWknAkwO+2iI/4ZtAqQjlKv b5i0qiPp/AxvraGBl0ttUpBaK5ykcmuBlHDOp8whNHyZNgHDZfLZrcXAPOA7q2MQQH5TpXTy1yQS Ha584CYUvxa9852wheUafbbDUCcb+gBt7AygL6+VwNQuw9Joj8QJQecc/OmRwKszJHo5tPgrKy8S e/ter2pl0zol+AJZ7laP2wF9v+O/oIvzVtlWNyf+I4KSzmS+Ttzlcxk635rQSePNi1xSAUcGFGUv L787dc2I0MVTuxdZl8c/k62QCio2xrh7A//R9L+qokTHKxi5ECf9lc3Ri5jR65wU3nqf6P7pwrC1 TESESRm+dQnnkKkSqVUOA4eAn/xY7QKNqMlwq8Bpqoyvb39QBYIeEoDiIKml8ig7ZKyXLolxRTES c4h6CKMBz3/UpRGGo3KUor3gwrlRfjcZFGwVZg3MYTqhFlcFCaj6/cywc13PJMeLEc7PHlB+DiGX mxdnBXglwqLTi1doiCyLJ49MznPhq7ktPOWPKhSBkiUAwhRHAukNzWM4kZbTXLI6QuhdaaUzyJMD zCs0TLPoL8ta/6SYjX/v1dPft3ajjYwQ/5ihG0v7SjyLy2SMbPYEqAPTE8XwqRRZmbq3RTTiv11U 3tqWHLGtlVtI0PUMGBC8TaAC709+vrJRq1R1sMheILXgb0SiRzcozPpPsWuzf5Wtt8vqv3hMNfg7 aVcIV7Jq21z9NfJj5cnNy+twF+LQvBDRtTvadamuOTYMJZtVQ9GbDBBVvGXqro1ebrFv5VwSNxaT uLJVnBrsFANT4zI7022CtorKCpQAZjRB0i7P49vkj3ZRnUpHSdvmDl1+uM+K8D3MqRPXWACl/dlZ 0/Yx3FHgK5cCVnV86cahmcSysOkvtp6pKQHVNIw+As8pzzzMzbdKXp6y3H2wYhi5Q+IoIBCeVo61 n/KxtmsHx2cWKxOc48a/LSw5w09ciupUv2EW8Dn6lCBU9dV8j//AaNk5Hnu19fUEaHgpOJt7nd8m PaAbWm55A/ZKlvsf9VaSwya6ltSWQrrJQe2PTdWHk2J0uhgcHMjxbcNVBVe48d/IA7+N+ZKKq94/ kzAoOhKDU7K1AbHwjFdm3qqc3RXfgnYLMBurRspjPLEJv29R09g5XfwHuEGh4CRgBBXfn7D8YJgU EkxCdREv4+VaKE21h2UgtE1i+n12p4VHAPxZgXml8w+T8aUbTP/ZnYR8uAKKW0yPNFE0NOhHynJK JkntDd9Z89NAsj7egF1tHX74Xr2TIlGF8p7pItvRybrapTuNXOMOEFDiVi1Fpsu4yllwYIeRmjyA 0oUu4RtfBPE5qwoNqq4pbVDhra5gAWqHtLUWItR73Ys79w2QQxXvlpP6dez15e7Bwwo4zRt/UKzN u674rT62t1c2QW39wpqyEhaSrC5QkpmO3e2lO3G6nl67Oq5V4U9h5lLKBinIbzvFhyWrDlg6f7DD RDlQHM68a1u6hYRAPPlfPHtzRela4N7PtwBXiGS9Ba7i5e/nrVFmc3DPm3dXrzt/yL7QfQhtz3NF sGKCop0s8G1B52XaKJ8+GHgEtsMtfw1KueLutZ5okpiCavB6zYfkM4kDsOb62BVVHrq6vac3QV9G 7jQloamkUPDr9xzeDI4YqNgCr/kfYpjfZgMy/qXN8/7O5MHe1FgmfA4PPR5daqGivw2oCich5ARB oJKpfPjXTwDOdYGIV5m4ox7+RAGhUpTo4rv/cKAyQj1MInchgYQv3juw6pW3dg9BiWC/X1a6Tncv 71Vl8hWDwiCaJkXCdBy3eVih0i8Uj+fvoIf3OdwpEpCqiZpjfPjWwr+F3FcqywKghD3TOfcNFXlU Lsn/fBIIcVQk9vdRsS2zjK7cmKRovyk6jR8N28MjKhZsCNlQegiUMunzDtK6FJCBxbusLamXyywQ c4LHRUEWsCZapN8q4x6w7SpEcSpzqVFkE+4UDOGTnYp6wOQdh9VfII1iZeQM6HVyjMfO0yqW7Lky X2fsuhXHUHknGFeeGS4SQ2UAc3h6wvU6YsQncldaWD2tK4oAziABjBQm7DiSQ6/1whv3n97FuaWW JeoA/cGTLzAG7mZaRCvAA3OSgjhRHJC1sNt13nnIoja7HrNH5S+vIOH6Akl8msNKzk35qjFQ5EdJ qZvnYhTcmYviKnq2ZeiRUON2RpL5GHmFpEGlOJWOob7/kNGZDIfaCjN/yGi8/v2zWiNM3oca3YBP 85+ic31pMUUujWGWhxia249WGZ8dTJFMmbs0RzQDoLUkTD+MGYDRkcl4/sceAbssD/quOWWwzRZN bTm5prRhRSA7QTOyIWQMumb73AH6rN93+qpc/DQyG0nSr1w14lLfqJq1KDJlCQi/vzHY8JlI+EgK 3t6o8Vcw+WR0lIqXbOR+w8mw33DH4YeK3noc/ePGrkKVKs2lec70RxowVrukaXVYxY4uXAhEN/jx ejg8opQakHRfqAUZzDPCV1UZYezcXZwbzdmuAnFbYJJYlQQB9YD14lrYv37AaN/lmKGh+dhd08Mq 1ex83RN3fGuBjy0vK0VKMrih7cRhQWWDh7+K+w5qwFPIEnS9ve2gCWApA5Q60HlOaJlgTfKY52T5 m+7K93EjFoWgfsvjbII+vGQnq8R7HaiErPVBnyYGMpblDwd6b8H0Yljxu0cvoSan6ng2c2RZyg3k X+Qh4T0wVJFZoNj6VUKkCydb6dh4RS5S6F1+95X2sdGdV+KlxdFnNEodoN8jBLWIzFOoCcY0IN2m QKMNDp0kJdmR+isIIaNhkd5T696u7K0LqUN0jkjut/sY3AOvOoR+kxUaylsMJuOiP0FJTkBl4wsY 2zBd3mZvvhByAlyHdsRyUVPrphzVa4+y2cpGvTEdWpDq1m5zWV0KOLzynF5OyR4/4+q0dL8ZyatB zy61BZtGO19a94aQhXyo1W8JH5RuOA4CCy+fQ74OqdhwTSWMdDZ3tLCMBIcZ0cIX3u9FGNbx9EU3 TDoFrYCg1Yq1HjwQPd9WLbzzkUqMLwBhLiWaOTk1biukiVYQL1kDqMJt80E0pcV+cB0rmN6v+FPC f2z6Me1LyRLxqj5oTpD7qxu6G5B7mJWHyBub2uYNxwfxKERrmlpY+G8zJ+zg/Q6u89rgDcYakBXs 0Oh7m66IeSsD1Q+4uyI8RQCtsEaFsThAsADdmoCRgHasyE2ApjDb+L7Ipit6iGHJ6YoXMzVV0W+y i0U/L98BKhVx257HJe0sbxBL/vmyK9+m5olffVO6/AD/uQE/pZ5+0niIU15PdC6lhlk7XMMf19f1 Qgl+2xsvK2KNwhlZ/WRhYU76wmSjHOEFXNiI/HEFYmffFcHzjHE3pzrdRHP42Rjn/rTkkk+kZn53 Uoqmo/ZGcup6zLZ5pCPQXCQ5faElMgmi+pl8t9wBRfzNdeMCm60YRgRS+pKaeLvTEB8HjHfBaTJM PHEaf9HTgYTpDHFPLy+WEjt40kAYu2F6VZ9kKLLDzQqHZnvMINN8ctmSu+Zm6YPvU8PVk8Rj9cOX dGR3XcN59Xek9wkDnbopjEJhIz4MKUpl6b0YvGAiLD2ZW1fdeSinYE8QaVWHnD4E7hkxn0R5zya1 7ewfSTDWdtGxvvOp0YtVl+vsttASKrFMJYyUKM23qvN2tkU6mhEl/Mzig3yJxOIOvSxw4ZNk8NHC uzjUujyGxz/SDkQ60UQIiLCwXhhtjWTgNrFneB/DOB7y8/5jo43x/iItOM4X157XzPyEB/wePHFG XyPs247TKIJESXBITvjX9Kj19m6wopfOpsyIwcrstdw0deC2MxdRkYjN5/dcatLTal06nGYhZtrf SsywO7Gc3LRw8/FFL8pEfORFHIIF40Cb1UX/J82KWE5t14up6DRuFRpsWTx0TC24Hz1A9EXZatRA 4KjkOo3IysiKN04viFuDTjWhWV7EnF7ghBSl8AhoDT2jSQIv25+0josmztmedts5j9kshHaYoSSk ZxnjTQtBF/777yp+M2NprWlf9bvtBT58QE++x2MuYW3e/tppDJTrNANJt1RvRKqpmLLF+6fuEVbt k/NIXoBhGBTeceEOi2RNtsK5Q1+eD+GC23W8kjOO2K9ZhE+S3E+elrcwEZaQaSftHQ6+GRVsd31A FsUYeD5a8AEKQZW19cKjYN7uBSOTi4R4AmKs/Ll2HZUL75IM6JpfSZ8IlSA9q0sCCGbN8b9eWTdz aYlNc5S0V2QnVJIJXJ1J25r5oXMjNmui9SiZ4BhsL9TIWEKV4f08L6LnX4y27gDJG5l8q+SzU/aS 54+lUOAy9RqXvOuXivqZyM9HHvwmeMc+2/VpnSYdFmRiKDr5l2xgCtsZE+22TSdbJMfchuGLAwuj De00b4kELCelTQBXyb2TTMaUFjmch8azlrD8n2RnsL2mmdeWxJBfaDFqIal1nGTANrq0wQbzVMSh kgrCF2NUy4ogDU9GPK921F7yE2ayIkYhEJ95Mg0OBUGu69dykcnUhie8vsAsjAjP+hFcRSOTUWsO vfpj1qqO4ZRCnqDbwFRFB6zU5ew3LRchOVfjB8mMe/DSthBBXUEp6egREE36EoOxk0Bn3cQWssuW g352xgw6zCradWKrdYiGdGoQVyjZcK2M8C+uF70swZe7vb5JoCPua2pWMOR8GMLBoRvSDjN4ztsU 22C7K5xcII0ymqrTv7gkRsRkOyQcg7rg0izzEixqbtm1krdnUFpluJ0dePu1/fxt6Rzsi03SBpje zxSBzpZ7av3Zf1s5OY2mKz8lbVPosDKnLX0vslQasspVRyKbNc5oeiPNguyPFoQNeECqvXn8tuY8 snMfRCV6Fx/Youit+gKtUpvut2GhG/mm8JQGqmSTRhUMkRlEciZEdS8aAHRGvaRneVExqrTBmzSO YBEt9+H24SRIziBDuoeCT/5Qg0opjf4+OKJK8ldKCccOFcotIklMWbqaT6Z9G3Tp4Fmm8nCAnwq+ kS1EGL/qUIqRIx1V7G4fb81MSY2VO2F1abSgRhKPLu855i+LVHdr7lSapV3EAYJL2bfIJZ1lZdjz RTt03D0ZQDNK9evSJ8YJbDtaJcamkv+DSIgR02k5cenMGKYXfCIyu1TmrSCHgK1yRWU8QEgbJY7l 3yJBxw0iUeD2IZdGOGMfogdqW54SYL6lK68KYYb/9A3Lo1ZlJR0bx7wTwbXpWrGK55F9yD+jHZLs tYqmr/HlyCMoOsFvkkqlNVoyK9OwWTtXiq2nmR90Pj1zGS9X0zpOD/D/LrQ4oR5wuWiqZHN9wZus Zqm7NSoaweYulDAtPWurDh2vNykYi5VIRs208TzEDXqhhw2ix7Q4BmmTGXlVY5tcmoG6W/cYJdWK kzF1Dd8UBKlZtPxm2/VSKxDyV0E4DVykHdA1+EC1neuluuFWxAOODCR7/EaO+ouQrzi+G5kHBBy7 KhmxzYes1Ave2SwI0FbF7p8hNGSLmmkjVqbjjsBexDEgwgz/8it1yaq5MAy1XWvZE/HuWGER0bCz /O1xk0NL0ewh9VbhW135OhpJNf/pqDMzJCLmUoti8JSr9aw48R8QqElaJmcRk7PRB3Y3Piym6Ip4 /TpasaqM3fnMxP1+IyywSIW2ThJmTbqIwhsV8e6AXVCP1m9rpx3uo5/LkbnZX0zTg7emtSreqeaW O15+OdXQmDmYhcl0AD/y4u1N7IhrgeGjpjz9dGnl7s5e+OEArCOeKxH1owaKhbqHU1jNniARp7uM PquP8dEII5gvWyaZk+E6ZK/SiJmWBmKVXdHmo37eqBTWapF/GhwzIaPmbCZx2H2Z5AX89sqRu6z6 FUOH+/XVdm1vggTH/D/VN8KEncd8ru0VreiBl3xXkv9dYmsY4Fa4dL+6sRrF+25caSsyfVTyE0wU ZkzjsADUX8yrNl6s0eYe/I/s4SUVB1OKcbAdDtd2hzFJd+jynsT5t8Pq9iYGvmcqHsUC8hr7/g71 WWmzOVq5CxU7WNdrSSGLRDNHE5ndIl1iLTKf/1pUurpJBjFCEVOi8AmENHtmj+0i3BsSjZVKSzQn sMj/0Twia0WdDOJyeIHHoxmM8JDE9l2yPeKB4PYv+nFkVf8j5FkXV3y9M0z2lgnCI6+n3pbCl9hz hy90rFp479kSTvnPgIi8HnEiX4xoglXCm6EYgT/P36Ad7PQw8s3SfyKmFm0q7RUjCfHczWCHms5l BzkM46R0DebB6qb9e/Oae+TxHZo5wtBNa20LSbgyxrT32+UhlfrkEAwgxMIUIMr5T/eB1Fwp0b8x A8xIymylpfwnRKKGY5x8zXp4ZYB3RLJq5xq/rsIL6MWdtibOUVHPQ7C5XmqE5nIO0IKS+lDWGYQt ev57c7at0VT3U7xGNi+MwXOc4Ax3uwt6F4C//UEl9FpRrWIfS35O1s5cwkajSOXK26EDklFM9C1g KEp/LcfBSTV2+51oPv7luOj9C+ij53oUe3gQ+IVU6OKks7RxlwE8T3qqXsIBi/Ils5g+0oVG6yL1 tkI2X7e2DX3h303jplOWobJhARfcZ/xNqNMZBhl0Pvh9FL3fR0MYF1MkaxQ+/qorUvdq1CS3so4B Er9Jjuda/TepB4oXH9bvZMCuSe/5HYWqQtAvWW+PbGxZzEue7mT/YArpfLEk3qBwTJH3O+pbA7sK O0bYOGOopA4Zs6XAkvSRmLgXpg9AJGstZ3Nujm3a2KYOFhoQ6hlvRP+LJkwpcykYOdq6U7xJW3IG qrzaD3x5R7ffPFQqk8N2QSy0Hh5J54eBo0tZv3rNNjMUQATTptq5C1rS7fbX11Ff4B5MoHE/7OoE Pg25PwDsylQ/Z06XKp0In0QGdz9vmC1yNjcZ44wN6IWbQQoRF5H73r5QQj5Pr3hnxYKUCCwk+Xey obI4Xbg5M5URYvN4sx6sf1DLCAQPE7pEVYXU68ahcJUXifonDQ+VRaUHcD0RQBBnlGcDyzgdzBhv hyAE3q0+tAwD0R86HA6mlZLB1xq9DH3xl617L4YL1bmeMVc7awS9d7AeUjUgrkuGfYz9LhMSUOqu 7jdWK4AyxFhu4LfFVGXYs6kizvFG2WLJgCk5JCKvHiPJefs12oqZt0xDr+PEFNbx7uLrwzTN7d4v SYVWAyhhKOS5RcpwBrMc3PoZvgvTiDXTpY9xkHDJYPI3XdtZECXqZhdeO3T5IOUqcOxGoWVmPLTU JXD7Q6TeYcMfIvZUnmIvnnIhHsVTDVjNc4R8nYsYvT1TKT3iuA4c5KwwtfHCgfW2xaTD8NTxswmG 2pWzE8cJwiJE7HyVP3LEx2lJ78nFXvs+bszhMvkQcIIz8vjwBrx72TbgZsxORO3ZeUdE1a81Eru6 AJLpTFWu6QsRPTUl0dwyopsYpLMcHi4ioj9Kh8tnRlVWgbTBME4AKmHnNBcoizf8sRvIYBaF2omF E2GQ9+VvbjQPlhNvVODLxXfRCTRppunU2Age1cOLZzfayLnWfjfhDnJi/Vzqrrj455ItH/Bm/lL/ ISpMfzrtQk+sjj7Wux0MjhXKs6CW+fK9jfhv6MR9EBwdKnAstFBUqVADh7v3tW36oToJf1G5BJ12 F1uVG01BtWTmU5p9215hX+HyEW192bBtdke6HySxsznQ9jRpD3+umhP+2hOEaxkyolK6V0+n+NnY LdXIN8b4kGDfRv2qip0LWoTfGkavsUI0Ig4pwf1RNf7LTVtUtloqLLM4BKRlJZqmoAlbpEQmcbXg 2E/0argolOF/J7h70TXQPU+qtA+O+dC+DYpZBYwY47G9Jwq91B70QVZcRnA2S/IHS7GT5Xuu3AOI RcbrhI3glmUOiI9raY2jC6ziBcH3Fo1RmMXMxmdigQdaYz3Lqg0ysrvOlwGzrF+QQLj9xRTgDcNl 9t17HCpzoI10m8CNlCmBZoJTsZ3DPWpQPEQF0wAHqMBlfIjGXr+alCX9QSS/rABJfCkcEYP2HYOe iDBGdm3R/CGZ5TjTU+S+gvG0usvLR5fLKTn5Aqx3pEvDjx15jGoG9oNrf0El6fHQeIGy01uN5oKl GzbwHD8cYdf+9r2yNa6pAfebso+JQyhAqVEUkTeX7ahMPrNTqhTw/byYYRhnrEO7xvjKlpucRQ4N t459sF9XtFzryc5lLG4djuTd/B2+uJYlKJI+A3wOleiw7Xir7o3mqkkmpzRWovAI8ZVVAHtQuCWq WJif1fYYjb5Hq6aw0QnfX5xnYYMb4iRY6nBPY8Zi88mZrjpACGlslwhTWz3sEUFIVIv9h+917+lF XL6rbQIAJDUdrEA7JtFG7aFVbjBIEh2/Z2WyNR+mEHrosNEwoP9QoYSdx0sFXcjKVv1/iBxu7EOY A9lNPvaLoOP/Tus3MC6Mc9cy5h5lh1lDpYV0JBmlQBN3yT+ZzxzS8t0xAN3P7VC6sKLLUHNncjM/ gUOx6CrQOpUOj356fm6jfOAZ3JIZfZWwcLe+VMsMBH2XUwriuVDpheoZOGfEjHZv3bi8FbuN3ik1 AzpwU0myfLpUC+9O3aMFkwz5OSpUQuqAhZoJFsyYKydEEQhnYV8IIZYg4utnbElBHCNkP92pQhn7 YK2lzpXWA9GMdFmCCkGFXtthx6tJoL5o2BiprZ4XI70mt98ZBKsozujaA88CN0v+APUGIEUJyV9U AJvT8zJr5fnYf35yY9PDrgBkAP8L9gsEPNi6OYWRvzzMXzRxi5aF1oU2fqQfv5MvBLIm6h0riYF4 5gifPUH0Czi9Uq3z+Ql3r/QtlWIHRlPNshhFUE4U9KnKxv5fX2gXewL1OgLCMaTUgw25xINkz+4V qzANaQlUaCdRrpFHEkiiFDA1HCmiyiZys1Z7NYN7l5wR2i2tp+ovb4BzgGOx4bL62jfBxN/w7DUo RMvgJrKxQUzFuWywez2h01ParHRuu6pCE2ZYnMhVMUDH8mtl25JVb8W0W5DMGR61mdg3CXWblFwv 5kfZXI6bKpE2P386KWt01px+fnnphX0uMIVeE+aAphgt60lE0vXzwtdeKbNpVnyG8vkhnhFGJxS4 oZJb1ObAY3rzC1w24h5QD0qQXBxr4Crvl143b8j3JpOQ0lSIZtaULzxaO5pJU+kBnLB5YOAK1ALr 1J+17WDmIjzVpPlI9urq4BYCXVIAOlYIG6VCfE9VoCxeRfxqz/5lE8Pk6n+d7sbV76HlS2lPkT+F Zm4GE2/coFqCfSSFKKGAKv4ezIAvvJ8WuStaWrFFekouyfd/JzPUlf1Etaj2Fs76emjJIeKZN346 0nBKar4I6aYuT1Z834fsflknKLTdyoBvVDuyvQCwOsLvTJ9YTNujJOq5+wp9PrH2K9aP7xH6Yz12 o14WrC28tvisIBGjqcr3qZ2kZ6ZCVU8hOhHpyIpPkAVq9xxj5AIl17SxoagqItalaCeY1IDa9Xyr EpbZWnv1iPsuSmWbJDZazteiIKTnnenTR028mNcl8BDljObrDHUn4LrigKr8TOIrzw2LHtsWn1rd G64hX766tbnFNu7cbiB9lxenkGAiDAZ8IXVUeElGkxa5H9Wym5aUdQG9Q8Kta4QePfrQ8oYYPD89 6w0dg0ZyyZAtigfgTqQI1LQpi/99EApUPyaeOa+etbhE4M3QPw7ASS/yZa1d4rD7WcstjuqZNtSL Jbyy32kceYgmYEOxF6lumynwnqTAJOFcP32LkUyZcYGHX6clZH129qd7hfcAQV3OpJkdKAKmWPU+ sYKsia8jZI/9z9wzdxf2D0FgtxFegJ4Y3nBrKUoxdAz8taPKdmeal8sYYVvnqE5dVBDlUI66TG26 tuKTIoruValtjdbLB5rMY0jlfhHmmfiv6EvK0O7fINau3W5yDxQXb11knsWmu4zwO5QMSBl1IJac h6njKI7up6lyHa7i/H+O67fB6jF4RZ69K6J8ayd1o/AaJBzmn/T01b7siQg3DNhUYRiRv8WOVUPa AHlZJPtqDDh4SCQKe5x7bqZudC3whRyN55TUE9ImWffYNbWuJ4zz3rCTJw1KEd5xB1YoL/NBAK/9 cyWlM4tq1CAuGRQZIRYQ1nsll1e8GNOOx00pWBfA/wzOdx1Enr0PI4ekl29iWsPv+aZWHENP9cBj FKE5FP+lef+JgR/d7hlOYTrYhvBJBQqnGLOYozJhuKkmE/KoMVP0NQ3kipGsOUcndgJvOKq1XPeH duqm0lYczqbZHfyjTMU6N6GLvixOgS7TkLsPIstZNGCgeCU2kO9wpezHt30aAIAajHJRweOy2dQ4 bEADuFH5huYzlldq7pyzeecZEZ4ddV4zUseqIOaGdAHtxwEucKhJXKKBZi3g8RSQ71LNhUkHvtZk tpGCP7Kwih11EetLIZpPE7HjJ55c5Hj7k6fY2y+wa7vAzy5qPnC1fOM2ls7UUz3e9iqjOpfCFCZE WJK8eMwY7mW84LpSCaCXwxEuXCYt5d8yIcYomoYpqErbaKqcNsyJyZHdLpXiT11lp6Nn3zq9GjZ0 e2ye2mLMgTMshKzjGU1Ya8bA0EIWLLO7XrFOCA7V8HxeUbHNuCtYWYavfsIJM3EasAXii6YE+lGe D2vXBUjn+BeCypLn8/5d3f8rh+8qJMSBfEqCmbg8Chx5IU/xBupaKaQyDZNnSq2AiMo6l6fWjE3K DudCp4u6OlE6Xv3B6rFdh5RtKj1K9AGlTi4yYJVLNQQMsIq0zdEBXC/6xdznNclNMsKHjlfyi1DV JSy7/gbIKGWRTHWZKeXRQdkyLGxQilGmu6UQA4uEP0z8UNO0mHMpy5TOHyKbTKIqWONfQisFC9e+ mt0iU8wj/FzzhF87FKjBKtwyAHMCud3f5s/JWIUqCclIOkeJZUuuGKo3jC27Uu2wb0VHQ1IzWm7M kNapul7Ainiwfo/3gry3UTAViHZwS6DkqP8LtNyjV9p2I0XMAu4FBGtpZlC1+cDArANd4d6/l+kI d12hAJVpS1t87mHqD8BjbAt96sr4E4+4uICPmYEKq2xKw4lxrOywd5/H4tbr+a2D+VEVTIlGdGpQ lTm3PXXZap9C06XPzm3on8RQADti0Y4oE53/tpCrtJT1IWNmWzgDqzFFzGWhyZcCeiLxtAken1KW IhaX7om21K2zuNXTn8YecZPseeUj861R/hVNw97+y2ZyX9luT/eF/0lNre3cTwfiWaSXRXC/RYMl LbA6bEzLA6+SZKJl5nn1SEDpF2rQoAR3fxNvWq/cLhUiGRoqJktccGiFWE75cQibDThQz6wdyNuq OBCyKT6NLA5P58gPg7rjcM41XFH+SzhHozVp0QP98Jioveh5utq3fP7SP+Ak4iu3SwWjZlbUBpU7 LJTc5vv00iqw4WNbz2i7YnXLqefczEIEocBR4eY5QMLjHY8yy/jo8VrE/Zs89x2l2GfMwURPqcUB XsGT+Euv0NUiLA1QbBw3rE8h+nOUbKdD+m2uMxJLMJHpgwJTP68SSA4cDzmoM3GwRG+fVqvXd37K Pyj6L/xb4hA8iMICpRADpcBuCHMUEGz+hVOwThVIyiR6c6PKLD98Gzar2pdjiz4alIyv/nZbBf5E NQf2NTV0GC+u8YzJo9L/zKWYmobblj8RHqvtzt1/ymO9XDgBHnapEtbzRCgjCMf7VBEnzf1hAWJP pmHdJni6fT4qPqvQum7idZeUVqpLbRxG5Ljo0PaBeoyzjOcVaEnBNecll6ILHZo3OCflYqkiIVYj awzpc6ie/H9+EVuDV5ld/JlzTjB7w5qQp0EOKIG9k25cGNxlD3C4ipqis5r9qfCDNcVvINa70VXb 3ujmXNfxyfJ/SLKABAgfYF0J631aALPimlxb5mtHzYG6Qe7RFXEhy2PtyzT3Y52RjAzmVsuKplnF gw0FwX6rVrhHEdbxBMCVmP49uG3gnX4J2r7r0xnIT9udLe0SSoOQBgZktlFn0Tdav5KzcACI3Ozz yWF8a62PyquqTQd6bqLN+x0KkF9roUQt5ouZbX1W3SXEXP2JLqaVcEyVuoKgisqx8FqrUXmMkTNg ro91BgRQAm4n2CfZu0A70QJnhgLTh/X2+YAL3CIqwoJ8DOqnhLxbtRlRke44i1xDrJYhvpvClldO xQ9B1stTwtlvECd8s+IMF8gjNrelKK2NGFP8yuiksn4TmRVZ5l+r11ZBxfFDaoFnbYzvI9sXXRnW dTrrAzBBmGOfC1KdTkVzdl8c6kgRhQr9ZFhjLblJCWUSpunbmq9C/7bElZL+HHn3pSr7Uknm4wTT BYk7M4gzCjkPelP+y2Obtp8Bt7DTb2viOH6+/wolmfufp4HZSgR/77hWOvMNF8JB6aBuFz4AsfTr ftmkEkBH9cXJZXl0GjbUGG/MrOP2tjOnv2zJ09iuxLwDhMYUaOfmsjyStSN29OhdUmeCX2V6kBIF 4V7E9inHzojnlbSpk7pK24uDuo5lcAM7gXXifRqTYTEyAZpBs5IDstHbGGIShGJu4LE29H8hFFPy fxodoF/E0G8mT0F1xAfpqExd9ILn/4XJ6qyzcJNlM6Lj98hhItlS+3/f4ZkbELdmUSRzMB9wMwVX ORXZfmES0E+22zFLkpT6S275yiUgcGGFJ59ix/QLLT2CN3cQdhZf1dL03/cK9b2oWxxteZRB6+// TqGrby35703R5mpSk2lWr8Wnbs3Y1WNdwh8MvsYCbKHS0CvK5gFnj0JYY6ledyXo1VYaXdFeHuAg 2LK37C8TjjuFBLqYxuSkZWgNfXbloOOp2SCaH1ykfVoUCOZ658gJv4oYKEd5TXKGITAhAsvhXghO lip0lQuZXN39Azmmv/4xaGHhTLwrOjvgkHnmTE/lp94PrJk3DoImp4EXxLi2Uq0vJAFk2apFR3ga IOgnqh2ifwB/uA87P+eX1OkjA2iSiran7R7kmXDz3JigVhV/gefgifRiuGAdfOG2owFk0wLSHMif J1cpGn5KvNWyWJWoML68VnG3lNn0gbSVp6oE2LGaIt37Y7Qdlrja2WHsl9YAZYuNW/pRQSS+QaQh 4lx9tclO3RUHWQhjB41YWY+B55obOtJh0sPCFWRXIT3eArTtJ6JWmtCiCOOzS7akPhdy28hEV92M XL/Rh3R3keaqJ6Vfk8m/YMSgTVkKdHcL55HMFfZUGESxUKD4CwO+dQmSIDM1zu/hwm7R0W/DgbH+ IzNayxb2xLdN6tIqJ3lmdbspJM2XfKjsSDKXoMFlU7sg6isIT/NOcEj0QPevARZ4AUlYo8e7mK2M C06mm2DFfhVNVvkbcCBdBnjcHL76YQ/FFUpnPTGlBnVwAZko9rK5itKmoUb5ud5nHNbwc/WfvYBz v1xRwFREhnYoFEiJxjw3ciUKmipI939I/HGGtEuK+1AS6IaMMDWH4pDgnB3Qsmy8GUCsYTxtYea1 aVRv5RN8LRURLFfNwVO1f9hxa2U5BF02wPj4Qloiin1v+aiof9LJiTJsuUSRbcoEFpiszryms16k mEBitrkZfehoKm8wX6rqZdCLzzEdzykDxW9ZvtaZDYpf2HhTaNWxq/LapQlg5vu6VMpuA6SoLWH9 aYHarspbbBIHktIR7uLmcDX6w0JYLUAdhu/F9b3/gvcWBBAzeeINzKdYKEF4Gl2w8MhL+SAutrcT vIh5R/550hin+lYb5d+utfYs/AycbrpZ73QhBWEhEVPuzV12Vo+SDj49+h1FgLxQQeoo8e2XnWRL RlM4nTGOw51UmaOBdoDTJi/Z6rK6RBwbFCaMFNiW7hoW+FibQS5fiefelwUMaOupGE8H3q7dggVe UdJ13eTwt9Dd1w7hZtI7RO7VDfXKOG23Uizk4o1ohqOEsgzcXV+MqDwfKNy4+w/tbLiV3MMcsdWF Rg5Gt3tBsG+Wb+upQOCGbUAMulWA2je8zgcKX5BAhfpgKWgWoYi+6socbujkf6RWajDGeHPWd/bn /ysSyMEvnVSwnnljDAbZuXQr2EgTyQBVCOkLyyEVZz/cA75Go222R/7onzsR3HmqSvIguXxGE7Vj qDT753GfvoPFpskFDi590F9bvwIWo5LeU04NX//fv+JBxhzpoDzb5mpPWAA4xg1KcP6J7q7mHyMB VTVLgDtQ3X36cxroelLeBBEXI8qnNleQuTjzYnHtDfmyfxbL/mfup1QTPpmXw8kJ7EE9JtrDDHHO yG+L7oO6t+dt+xhZHKDCiYRPpM21XRM1fOQVA5ba8JUbPUhPNyO9VgwqbpmvWTfeBpf7wXkNRKBE boyg9RWyfMQCMOs7JPHIpv1VoH2Vi9ES9MFoC4shTYWWEdUbpXSVVXok+yI7U8k72UahOtYTEvRx lh7l3g7UVwIVIlYeISfUdVDnRluJUG9rAwbTfIC6spMx0LnsCMrB7iJWbwGYCMqGj3xrhxCgjJzE Qp+5qJxKOtERHcJSVCmEc0CE35n5SYor1MvacAf4Qsha4QY8TS86DKeipB0IW3WqppnGOYEGIYRV hOB9+jMaDOBtsa+liYbtWku2cTLhjAOcFSgILzd+CgEcaihOCv2V/MeZgw+GGLJuieRV95/5xy4s KdSJB++m6bJ85OU+F6CijUnLOOitrg9ImGyFzjalxfCQygP0BTcQa/J/2HqgMWhgOWabHEtdilas GiVqdvYc+NDY82kjhFBZNuNt2JpJz+jyMMrt44TE/j+DLGXWj6w4wi2tAjpF1AUzHr3YLLRO9wV9 a9ypOvAl0MELgk4bNzCsJF61ujnSA3Wu8UusqaOkX1DosW/cNOsjv6aDWGV/MET2B3iGd76n0vB/ CYG+j0Vt3ufN89PWASaiyIf+Gm9HL+9IXz1HBKg+7HICiOucGXWmwMR99NnaLxE9ImTKzalqwTFg vr7nFbEEooxSHqkp/04y1TRFaOHobSoTlR+0RpA3e87wCwaj/l3vlpTwQJwEbw4F62PV4xPmxN/z Q4vH1u4V8FF8x1BqLbc77ydwPZlO+DEkVqzitMt/zs6rn3Iz8Bxh+VewN0mRDL4/qbuubGeFbKAd gxofkrWKIhYt5iUd6V701X4pow3FGBTlmBQwaMYgfYoIFrWNPiwRSC1iSzpyFAZ9ifs1CWBl5V0S l18PVdid4RDUVXg8qNrQP3xchX4Vq8Yuvm/1nk/KzA/61Tt88r7TL/IL/XXjnQeIhXjpR+53Qejx ZGgeDs/Mx3UAH5JPPoEgxIEGeG1bjYJs9thmuq4zP6SoNTroqSiDlsTR3vSGiemCNQ5tccUr2HGc MtiHJCFmDpR/IBTWDGhpXm+5VsTYtmJWDPpDg6eEqmjASFQLB5MFq2i47Jc8wwf6pDhZMe76Axud sFqgRXJztafbm4u3RJNvzczCGlKQB7F9itsYKbm6bQIpLK9pSPkZnVIqj3nymPoN2bURkr2Lg0Lp DeWPleEogDj4sVBdBPqQOEmwCFTr4vnZXMQAWuS7Skrv5ntX3zxuSfWAMnsyU7F2SK3pR5UgqYUg eUuS//3UZ9TGGmxWS9suu5cAi8Jx/SkgXg9r2lhhfzNAOdLzSslaa+zipo1TR62AjexE/r9/nEno 1QVoBLIqBBnypS2fgrzYiUgIKdJvK6bKDOr1wS8D693kS4J0WBcwpYjpJwt1jILcJUMiyEgPDLuw DSdvl9pMKro7xbU9VDqmCS1t9MVREZpkrwPfQ6KXONy9ba14fzhFWLNAN3yCKJFEk3jDVgLMBp28 NFq4xv8KMivRu2eW5OHIcvBeBeTTLn/VulzhPxMAA5H1ocIMWUiXsVl0xfIQHNEHNQttTDeglRkw rYErpiU++q/N6AtOo+cVDWzicQTDxhTZbQN40n08iVYbYGE/dohdzjRCKfnTB2bQetLA7y3hjcH3 nVrTZ/5MkmwpS/dRatJj5J/D/lhGk1Z3mrjw7XyMMaRJymSezZnK+Hye1dqXyR808ZEH/ZOohrCF e3JD1rWF1FgaSqIe/MBI/dSkVRxF7yFDDEgF0ES6rY1Qw7p5IXE1GoapoRyPxysxy+zC21boaDRv aWuQi7RyynaO/UBew2VOUUZ0T4/I3FKaKGP3hMQa1GBy6DBi0DF+siJoCdV/HpMr0r6s9zYaqW+d ClyK8nlZeqlkyiqDfUMqi3ec3CbLnwPlALlUnFb7vahxlpY8x+UK+Wi7bQtD5nl/yMSnk/jxt11V eNc2+NAEEVfQGCCg1zxYJIqJMI4zFRarGm6G0nzQ+3l1CIAs4e4eZPXlSDJjydYkv0ad44aQqpfx RRjlBw3z2Dw87CS2OLStUU3+FyrYheb+ks/KuhFvz1192MpNv2AGpA/VS3/NFdl+yWalMsRhezXN MLJRpxGJBWCSkAzWQLQynwLub/lAxgfqvJqSBfOlreplPcCcJraQU/jG0yIV1r0rHK05WEntec76 XZZZlgSobqFc6STxqtlYY3RztG2AuWETNNy7hy+EuC8u2lDyDetn7SCPV93++XpeCKOZkAsPGEAp UG0e4MrN293ErZ2agPXlTNzahqYPHE+ywG1CVmuHSfBrftkyHId0qNRnZRD/c3UoPBnMdBRk1asS W4l1ALnpGfme5S8pG/ZojNPjYPzlJeUE91DWNqDtU7yaOvXXjI6cNPSBsAvp4ypgX2AlXT28D8dx cLL4V2zo9MoZwXPAU4Pvz5l63oi3l9tuyI2bVcg5GjHpfGBa2bPlMVPDmLzT7314FDXOqZRTpAOC SPTUFeZOGsXqwozf2zM0WYMU4Dp5ORWggrYYl+B40YwO+ptN7oiyh/JhPj7zAyapxXpyDu/ZWhTs 7dWRp30sXRr9dx6ew6r2f+37Zs1ztFz8X54fddBOFD8Dm7S+oUj0XXl+mdlFHTnv/nivhg1+Om0Q 4NhjVpZP9266zGX0eJf9XJk9G6qQscKLDpriuIzBXmPD912HgfLpr5QeZ8QbcuR2fnKPkSxTE+dx JJvsWJHybtXtoRZf3fOsObFff8lPUUFaMr14g5Aa6u+O3lVeiLmzJ9fobdVMCNwg80TV18QVFi7s x5KwufpCw57Dls6PIkEYFzNaZtVeXSUjGEBL3Thehk1cOlGQQXFDWjNuxg0+eaNtI7P9/dsUlTiU 6RZXvfPsi0HeB0z7WivOy2uWZrOV1sTmA8FHd6pb1hUXQzsKPYArZQsl1enEkMFxAdOMTcBW+llc TKSm+aNmEuDLGky5AWaIp4eDfgkhlW41gt6FpmnZ3hYIcXRYYG/BtUrp01ZbFoGxNwEoVoE+LcTv jYyo1BCT3+TRLCVfRkhuuRzildbu6XziO9iET/ZHZdX1ZXjF7Mydn+SAYj3cNQ8D29YnFec6ncxJ /BEHb4dO3zFcedIpHuxHKGr5x/Ls+OE9In4wQnexfTY0zG6WR5RtIV98Ja8raJnctrfR12oP3/cV mtwEt5DLXLsaSNOI2wnp41tutp5rG2wUAFg5QH4wSYk03gBfVdm/noRbi2C8ZSR3P9oY+92vMQvd EN6QJAhdYvIKrF86kMEbFkuwH0IqAWg/PoVMyc8EldUDGNTuTfi3tYOXtqEeD5ixub0A2zCRxxRh ImWTfeZFddElC02uIwPftB4FJonBaP1sIuAZnxlf14m1ycp4E7+fDVvJ9GUnwNEc210FJQWvCaKD +6CWgSHoRCwcCG0R3w1YXalTURnxo/X8z9KkJspa4ry8YI3e1GA9Oqc0InHPMZqTBkxIR7WlvF3d mIy5XnKnuxkoIW+X+0X/WcB1jWN4l6yzX4fnlRzLZal2f39ciGWu5IMV9cupHhHoIdz94fBhJyPz OB96ndtFrzCMfiMW/16zvoBA2j/22+5/2mL6K4AM66i7YIICxxgROjyHbNHz+wrm3AqTx/ChfBm1 HdREr+NsXM9MVDAXA/08TUg10b36sFPNZAl5vLmXVV74waSJSDMsJh9QNub7ndRtYp80kDGN/2Nd y4UOFTYVGWSOOF8fr6x/ImS3Coi6ftxp4BLdV42f+3ZPUqEiKko6pujjq4IUjl3Uhl4ZanIQLTv8 taLnWwFwA5cM5jyp2JM8tOriCrFMy55XGviQvRIxSH5jw5cnEuZXZoTwsaXkxOAOgU++eSYlvWHj cMf8etDR5L3nMO8+G0jII1rQURQo227vlNBYudDxm6rrDa6FiAuxc+foQeI4DlWffNlor0XI/mf0 MhqNRlQBUES5nXvemeNTBdt++b6Gps1/Sqf9CIA74n6U3SBU0mO4/awSgO7R4MKm6SOAGiGoDNkY JO3hyhCBHcj0yw1LjQm9BXLix+gdWA82egTZyDJFS+YI6kp3iQyT4ZiFfpa0o8mjnooMvJeKDHSN ReIHv2u305b1rqFRRrLlAo1zqOmb316kHjSd9z7wAMpSBQZJRNFBlah6ikSxV6vgzjpNxT7n7SpS lXXLi0Ca+pwWrMQR/MJ+PfSIaTSefdnmnQsjFq9UkFvAyC9SlixIdS99el06yPLBwLm/9R1pR2xo wlgtYemPbsWeGijO8rnFB5RmRzU82Z8dNOJHl5TvgwbEBAKIKqEbcBwAyKHdqg+b32xBLnjtWeSv TUM8aiXR5Vjne6n9Ex0JRHaTZ329Ki/J/VKhQkDmCA78+sDku8PVlwyiV/80Wlf8ExtqFKzrIh1b Rvksnq3rivG4031hDQOn5TTEGQ72qRaarXfoj/AFcfqEk5yXecJ+Tut48xTSBRd1YBTPi9gVsDbX X2/tk5m+PnnnAvVMgEOWNsnsT3PNElwizXIQ+H9lT+H19JpixY40NPDUVbdWSkO562Rs5fYhOBd/ +3B2D/lpZGYca60Tyj0ChJBkYlXzGyaMy/G5bWPIaz9fzLEFRsHpY2YodNnIHKJC51pnc66qUgmb 3VfqLbjaE2c1xMuh96e8eRZu7aQDmG+ANxSihiMQWKCcN+mYCKln3cVUeLMMghBKi7wp7+wQS8PN XkIKj3+ObuWLjiBM5QKpCbvuj0ETvibL+OjrvJb/q9uV9Dd6DlnBE/SjiFt0uHczQEKKy5F97K/y n03SnfIgh8PqkQCDPfx5MJBIM6Kt91HlSs9Gic6hJ7OuZ1ppC1o1R9L7bNwdD408EFVGbwJe55dY 35NubadY4HgYW/G5LjUPQOKVR09GGXJUJtzVf/e0K9ze5/93Kv1LS/+QGcW7ko6Uo4sqJ219pq51 cvCeLlJjl+J4rUw65JbrcGduf/ArBnK2bPJajALdE/B3UqwIeiVuDY1fYH8CN57q/BvyQ4KKgoJS SjyEX8cMuNu7JZVuX4LTlY7oIClFDb5IarEpgC+AEO8vI00JabCxkl7+y56b5FXW0cLqbWGrYrVr ++3fx+jvmfxixDMj2Wq9N6OEUBmmmnPNSrrO7rb8P0jVOv1KzRPlLdeme59YJYSN9aLF2zpHlIzI rdrdWnBTixVW2KImBMWi1EzrL1cxEMhGXLFlLcAcO8VaIsPEJrsmLAdCc/hIrGtb4C8YVVTISDcP VyUfk7Uon7jMkJKjhjicqjYPMsT5DnwiDDLZBTBKzt5fZXToDBginENqwRDM9Fw1Ukvk2FeDQncq 8TDhFQ64euzKRZbI5fq/ZE/ESfAbB4LK7UvXauZerIY7IpbLgi12Glxg53Jq0arG7+qWXWJF+Hhf 08CpkS7lhtPnVMeI1nHGuUksEXMpomiWk2D8h3vTjaU2MM+DsKFw1SU3Msy9FjaJ0yQ6kEUtOSKl SeHwA1q4/f69zqP12a0dY8bk8VUJdd3RTVdJo2EPyvmDecCjhW17CNp9Kepip42y3TmcvgCBXyAV G0rq9PHoHhznqGSB3zsXwYiH60uc5i2Q3EC6qJGTZfy7OObCugPUeI2P1eYYPYorLn71ueyhnUnF ozRe0B79X/qTlLW+nY/8gFu8F9eDNeq7lUpZ04Ggj0rmeIITXbvZnTkGF183yior39JxdrRdNwPM 7HKALp8sK1uQc9+RlYwdP5E1RA17uc+sBVcHDh5IW5xTi3U3HR+WQbpRxctctsKj8Qvt2YpP2C0w vBf7eFdjtxXmaIA4FRFLWhR0kPDaf0vI/f4yRB3sQGc95FEKlhvX+XJSuuUE5CzyfWK2qx/2M2Q6 aCZaKHdQtX6l2EVcah8eJ1E+Mm3i8VYlUBjduPXOCZLZnZJLN8JWCUxDtrJNCzOidlA0sy4tBu6d JWkwHMnDnI62kF5SStZ8waMUc7p3AVbH32CvIDAGbDKOpSltkPrKOwbBkccVUHXn8wAcp+lrZVq7 0XugYGyh2OJzoijzIKThzY113XZhMS6OgP/jE6QUMwNVAjS7WSCgVqiIdE2sa4KBvsN98pTXTqcX tVdVU7Sd/nvkMGzpmTieMrdwrTCnC7Y3D7jNXmwbB5PObhhQ5DsGXWpp6peJtxEzsqWUKyWwOnGY 5Lkom5TEE5tuc49gV90GGC1Ei8qloLtUB2hj9EjqVWESvujntfEil9r05deIuAJY04Y1O0LY2uUk SXGiLm4ls9K0ph2ltNdVL0wKgoYbhj4GBwsRZFLLfC5SJc++yXFWZS9OxNTiwvSYHXxQbFG1mnGo STstQFht1Y5OmLO/GLQ291yP2/ssITobKxmLP3O6xNr5NEsZF9ud51fdHIGHebaLicFMvJf/MsTY FFrjijXBTJh7VAK/K4b5opFRI/EHUagrXxftGkHyZ1TSBrQ+zaQ/UeAh+TW+th9ZFWfLtrVPXA40 tmp0b6MUitE8BGtrNwTluDbyA57zWXlS0P2v8XFsGg9GpgQ2DQzYeTmoOWuHyHoD5n6Tpg9cNpZW l2VEsi+vME8ndnFDJ3YXM/Ln3FX7PLsFzmDmGOqqeQg4ZuE0BbngTaQJE/FLHCblzkHchOySmVZd AWOC+a32gJN/w4fZWswlR6TLLBjdvis9cCtR6TV1rt1BWrM9hrY0uSKq2FOf6Ae25jtlm19s/VIO HBPmh4VRVwVVWaw0Xq2WxsAnaUw81Yul5wbP5WCQ+X1ohH6xdIkhHZJGf3SEk+Im3QruY1/bNeDS Ok+dkJfBa2+JEvpQCsvmn5rxhKvRFFcBoTfl2oCoIj1vAGfz2WlNd7hi8Pt3QBm7BVPOCnkJvyTj TUP3SlB1d/03lFVh4dT31e4/cH5Ru2Rd3aLQ3+VwaNiI8pxTmBZL/7UBMymkYiFZUK7me5N4el1K HwZuCm1m17L1xgj9LrXgIaMpJ6PvndrB8L37uKwl9+DTs8TsGB39XCppK9LSVlW/t1DSX1D6radh UZnbrUbyZWgucd1IyEJryVtBgDmGGaaag1bjseVyOvgIIYMUkevWGdz8PkoIw4NkwN4vaUNVr/cy SlbH9ZDcEtb+SS9uK8hsapvYPiXw51B0NKjOra0oHmb8Xbaq10YWLRKcfaiwAWRmdusTRIE+I0E6 t2YL0lQq0Nod/q4RWgzsMvvQU4F7BGg0nYBYRtR9G6aROQX0Fx1z7Va6F+/EGoXwbK8TUpskl0+W /HTObkqrLPrshmun7oxBfb81BEOYXsNfSvTDBas69BGNmD0wi7FZv+FFEtrRDE33CPrhChZS353w 4GKTxj111+PiOjrgcVOpUDaGPecpHT424jNwBqgArcatb6bz3vWD8ANguMjoqVEIsaIT4kLF0bg9 vfhk1MtalytvDVtSRpKfid0ERlMNuLAg36EWUhxftJI2TfAQpN5315aQwZcA/jFcLfPX4Tp68Xxu rv5sMKAG6b4VGw2kSpzCj2bBgnWLdWlLHewr4RqKLBa1DhkAj1D+Vng3f7VHFgutn7sWFoiuLAIA F5yPY2GRabdiTnJBh/LfM8vYpmtVeY9AXLZinc1bdahHESFc1CBEvYTveqq3Gl/yqFSlz9JOs4sS 4G2Xnkvl3ke60X1bipj2O7dsUiIku2xQ9EBdOgsadylpXRfRl17uRPzGMFLNU6ipOF8RqvADvQqO f0m2uRh8Wxvwbzuzg4grZAR2sJyS/mDwb5uSDXgIAoykESfF4516uYxa4ZzEyKxCjWos6LlWN14v x4qkdklAsQAeNTQ1VEMTR/KRRzaQ8k71tCA3J7TRzg5QGD1W5xDhEHFEx7DJUBmIVl2KiVvXdenp 6KYyBD2IdeRxWMKLifK52gKXGgbl84BX5ndwL4Ut00rHwnfSuWR7s6/lmrBGv3ZxByGvYL0/HwDO BFVebdk11stMdBEsfwLD7jfahIux4fM50ew2+H6+DSETJyK1n5RBsxP/p2n4x4owmubqfHJiZWI1 MY/Y0K6C5Co5E2jgIcs8OLh8DcLP025BbcC/jjT0Oe/uwd5G+9ZUSBj5GKidQ/VATFP7hYQEpUpN zYsPEa/F6S5jmASgDEsmwZbdAgGo2ASy0s/HdOHC0lKOR3nrUpgvRrlNSKsQJrlm2Ey3nlZLuqnj yYIJz/Au5NOL6TNTeGy36PphgjBJa9c+g2/CRFlfwwgMVALTTR5VVGxa9PeVKktDBXwRMfrtR57X TjgCwEDCalpoBUOB0wk5s4cK2n4LjhQCFPkmiYAbgMqlM4Iafvh91kfNaKBnpHlqKRniKSqJgQww A2/DgvRv9r3oMtPgFn4sshqP/IRyYHHIwKo9pLUZcz82v6HMdYw02JOpMTUbcGc+wjdT4I4JhSNK zcq3YdFnNC78FYOkrV6kzWbZtCVYYtnrmxaOBTB6kEP+0YO6dKpF11QfvSOeMFRkHdmcK1IE6suk 66gZIB/Fk0OC/DhQg9B5AlJUR2HuiqW9q1VHHAuHthbdBRsqnZM4cT8TPXCsvpQqLP2Vor+1uUNh yJahCRDbZBmn/3+rVT61wF/Nd8naOViGSS5u3X2PrnipDNsZjgKCYt0tupvHmOdzRXxDI5YBB1ev WGFGwtRgj/RPfab8/Umk5Iyc6i9fxa4cg6LH0LlfEUjvuAWRKjuPOwEgcqEat7gTmHrLDyWfFBMP IIegHjPbGeXS05OesrjUI4Q5QX8Kol/wGhMLqpDqMZz9GOGOCeZ74tbkvdaWl+9mZuJze3JkMhiQ IMa4X3XnfUL6ExJ6N/jVuBG6JP78f1JAOfapBc9hgU2Low+CEjlXb9ByOlRZWfKd0myeygKEGApQ 1DdJooyB0ZcGYl5mwWqLYrZtqM19/bDGdAi1f0jOPI6l2sowB4T0oZoMok41LgIdEvKuHLYAMG1R d/160E6uBXumDyoFah0rGKIh4XU4Q4LDs5VCDAvnOsIzOf3iI9C0Hn/UsU1WzJFZ2v/O8M7lQgfv 1ReZEr9YdSXBXx2h+wdGRbc6wHrHSzuoJB/Br6kqnFCTIZNy0eCMv7KKwgsezi63Wl0nANSR+GPo IkgNvqfskpISfguERiLBbLUORdcNqcVLhNf9qGgm4b8jQjxjZZgvxz6RUxw6d79DaxSEhX594F+s 4Q1dVJO9EErOt1RnAXLR3bpX90t+rlIAUYKdK7jc1qH9iXE9W51wdKf1l2PL1S1YT1I7C/ubyHUC 89hWHT6WvVQBELkT+OPigGLc0TOcNhDvazoMpqrN/c68B72WM6H4Kp/WdpdvtkRD3hgf6MJC2Ojr hDaxEFWvuFI6m9ykqDvQhrX5USoPhepbpGuTvZYJCC3n5tIGE0UxLDhD6d/8Z2Sksu/QTeN6Jlzi TbKOvfRXgZXLtpYnpjwnG2Jn9Hvj5S1r1woqMgCl/bM8otsOIYfEuSL1DlRRQlY9BZY8gcZi/xSz FcMkHAzgEMuWH3xgPt5UpYajQf3GKzNNKh1qRpD6J/tgz4U3uEx3CC0eKAqup4QEjGtvCVcn0Omk KVOtpReRo/W4/8YlNvLHzKndddF9558C6yzNo/ddzGlczEhWxz7l7nOQ0M3kfyz+KA1Gy8ZHA6A4 2waN5m+R1XSN8cFmHZdMIIgIyzqxwAnPdnevxzs3nm/UEu1PX5zsuwU27cWFixMKIpLhatxP0ra+ axUxBlqv8PxhEgAnEbIt2Na4yfM8+yn9cXZ1zpLoY6tVvnk1nJH+5b3Ul8mRptkt1l+RVflYO3us LWOmPTXddFrAGXayfQCiQ+IOf+sGeZ63VN45wSDsbaRnAKaUGH6YNrKwhNVGuRJhjk6KCheIaI0z pXYt6GGYoyIllYHaAUmhI2N9TfA/P0f7erTbqmpBhaRfjetm5UoYA9xrwF63SqYbZbw7ne++y7pP 1P6DfR+btmgDJKCdClGWHG+JqeEF55SpzHbwNiLSHwMEv+aKvdK+HIsraGppc0VoHndg/gMVWn0A TtYjLg2eF200Uwx4Hnn+Ph1HHuiFEkAoIexpDRL3NH33lL4WwvzWFFJRQs9Xuh4PcUS5ujyTs08X aSpEeicWCmGWb7sh3gdVWL2vEflAI+PCB6vb1OKch3eVH3xE4SblzkWPpvdFVvXuL7EvoWlzZMkE SiXA/6N08SIeR0uHaEhb74mG4nSmcsY3Yjh16rU/FfLanmxj+AMXUWwuqPcIHCjSWzfrzSk8Lz+I WF3B9XZivS+BUoiIban2MWKaMxhcWJM5EfAtYN998VO+qJxCEEvQ7BDw4BpJiI+etxuQf1vl7LQT MUg6wGAjK+qhq95FkUXMXcFK79agj+tKYzU2seQKrcA2z1sxcYaZvn/YdlE4ny+0UDKbC2xquDXj +4Nh5ckScoK3voxcAjtpWIWhgFggxQSIi29rH+XjFzd+KkOodUHLIaNjfRUcJxjw08gd+M/kd760 97TIHLMVoI1T7tfxqvYJLm3pI5sWawKDYCUmqG5P2EKWmzq/EPNeP1KrCjBbf7O0wPXMRKHSdChB 1cBuR8/BaS/LP0nkmOAaPUwCy/cW4ZcaV0HmCkv0Jit4Wrf7IBZbAOYoZ7LXtIv1mQe/tih3RKCD WbtFOdxkISz/vpizxKCjWTGWXNdq1r+80bzGg+ydwbVdf0IiiSOxGr1Q302mFkp0btv5BkRjFMEF 5YRMtcnqdHAHdAiRBCYBRS/NS+9LMHul7bsYVvLSULv4ClrHHTuLK4T/OE3uAaipkhX+QLiSG1PO mRlWZlVV+LOfeM9y8JkaBnGY5odfx4aC4EORnXhkQGKfKSm4mBV2sQK5v8vfcXChXQ8nhlltxHaS J5w8/Q8vk1Nd34K8JdECBv1b7bG2I0bBuZU5sM1uubvGNugRoqmUSBuurfigmSoc+OYntzXp30Hq Ic2aUO4z9dLov1sDpa+S0cE2FPxEqEKAht1coCeSBcY1KQmMPyh9gqCWg7M4L6SWraQk7bv9oGbk t3+KVD3Xk+rHNb5XxXRindVOG3VEapLD9BdmUjEXn7P2NhN4xzHtEuNGsOvWO+Z9h/2ow/QRGdWX +vM9MLERD1aOSPsY7Gt3qvV5ZsLVS4gOkjQn/fKRV/QPI+wGuu8hy3Atk8i6VE9VsaZ6kW+YL4ae KekiGpk/yTz1+dggfTJ7DTbSefPn/VP88HoI/uzoCdstT/hyuQ1ZckDwWL9XFZaEZpINv9tAxs1B 2P2hLU0Pjo0Tse/z/0WwxkETg81FNNDsL4IPaSj3GBPh+J2l/NeqeUs7DSRvdb9BTKvA7+WM4Tll DH9eWXz+tnZkaOiPf8LWhtOPsFjAA+c5dtcDOEq1cexb7gTfshc7QIL/ZmdvhLAhDIoMI+psWwOy llwhyivOYYwKpi9GlKIaZAUr4YYG4/+2Zab3bZRKwcuxFzJSDepkeTs8Nawl7YaC1/d8Rv9FcftL ryvImA7HfgngU8Ewe6u8q4nZyYKVp0a2peHc3UFitMpKzkAPmZ1O+VldY8WWWp6n654HK1m/fSzK BWRcbvrRlXjF7FdSm/ka2qbS6SYHnvbklaFvBlvHKxcIonuxZsQkCz/bFv1wt1D0qFGjoN7WF9DC SIxGoC78Erk0uJ+grIolJYDq1SpsHxEt4/ZCXVdZs+THh11EZh7sBVZBGXHZdvsSjZhuVL1CIpmI MvC7db+e5qUKTG761Nb81vF0Wk5o1+ZND4/T4WDVXoElfxN4P5+UUiPoQI5cEszHGTII/eKvMUrg zy1Nc1VczvqXnCNYhZrWAE+52Q/vFyKTie+qOh1uyVQ1ThoEQ6NkE+xDJwvWBuddCBCvSmFs5g6X qxY04c8/02P+Mp+JuCSw+7m4kUaTmDGkdY7+7grPKP3lrmramn+3KcQ9bF4EegP0zOEViTdZTNkL n+mgLByZhE7S74dwqKh+jKLIN4tGKOukWy1yaEBU5EhdlYhVGS0J/KtGoI14iZcMw/nm7UUH3RRX 3/gUMPsqj6CObmd4o/Sj4Mkv7K73sRguyooBdg1PXkbZ9QIHnc/XhIKplqlPXDXhnUPbe9ErzQKl uYHNESrVuO6WbTNhG7I9G6ll9iVd9KNOWTxNeNY4pnVnu0uhCQSRGWznzCSMoLUzyIVcBl/Z6+uB m0xaEYriqibbpi1CSCgFibhuTSRE477OjGsZlQuSbXN4Nb9uFBkJveDEVXIZboRqp6zQzy3ZSfiq KE8Iqv6OkA/GbyF7S3mLLLx9dpTv0egv9O/6WoNx1lXOJwjypd/3j/JgRaX8qMXREW77iJnEI+b3 jOS1X3vKFV7uqNMBTsyFTGNOKa7SGL2rK7J1xKLvBhmKiEhiNcXYL8sqK/Crij1tpMZ/DWBpTn0X 0HbJCXZnAB50vUcdjsNC4iITfATQHyAGjlkUB6xcF6AtAbrSvrU8NnCeomHK5jbhV9PjnQ/ySG/j 6VdYLK6F573DveKnHmvwGrP7j1ufTGGPtl1HnQK0Oa4RMgKymCuKBjwziHo/4fvacdkORiWB2GZB CAK0OOkN0K4b0OaBRUNoFgDAIQt9+8XV852sw0w7FVnL6q+0HVjO2V0COjOQGSAcNPcduXoW9OZ2 dbO1Klm6jnjj5vLb8qGgwjT/qrTuu394upzmH04YkTW7idx/TK8fpI9S6HOf9vW/93d/yeGZtDX+ b8lBQvnfCzz9loyilkXEKOuTty9gAcax1tCyto4Rtk6TmVo3qK3N2cBGG0RcqM9qpI0xXbQwRDRr KMROYbFv7rc87H/0A9MUvLZXtJP4wpYNnvKrcGw44agojxVV/vj0DNvEB6Areu1J1w4wgxsBIJoJ MlZhfUdft4a9TiiYP+nmiFLeDQielTQoMYIAny3ctlq4RB8VlRPgthvXzIWpF1wr2b960bQD83Dh fclnSXH2FsNfO3YdxaU7DNIT2JrGqEOEG8R+BHYrrdWRy5k+chyHhBRsI/IiYaK6M7NDOk/fzQyJ kL4/+jh+ZAgtlzdFQi6q/AGg9NRWHyzMLx1vPLEhY/b7SGYof20Ybma2MwRqQaEgvIUbsQisAOVs PLXuOFpoyMcISkW7wuv4WPS6MDxAxRIq+clelo/pMJGVVh0fsEJfwJ09PcUQgG2ua4anGon3+Jl8 N/N5vAYqaKMUjtoFtWA97/4XGT1JYR0epDxK/1IibKBEW1ssIJLynSvAGRphQjl6KZ87g+Z5LG5f PnysHzJ5q93nUElImTW4Z+5FSabW9P6jPZiGERJXj+2nG/BQQlXk+Um7XLs6OkWnwnnoW1ePoESR c+o31lYziOvGzfjdybWjcLVZAeBOk0+XgyCsRf8rG101hop+cDCkqp4NUPoCGERlFvh2KSGF+Anl mfVbMED7KG2xiD3vceXZ0eEEBx0rNdSFeuXzImFz1J2kFekHx1pIkgKNhJInvboP1+S/ug1d3VAg wqc/3Gs2XsUpIbOmQOkq2fZyJenx5A/fniPv9SSo0ddYBc9u3E5o/qxGdAUzl7U5o4X/xe1GLsmZ tPgR0OjUaQnkSowmDn07YAxYiLNOedDLXPcZrk+Hk+hjRzXMQI/sNuaLtnoME8uofMdzuixF1SUX BIbWjq4Nua7uv10T4XGlpfmBjP4H6puPKmF3rK9BOAfoMWLX5W/9ZYvSnMdyznnSZJxPIU+5YKao 4aQVy5mh/WAw+CqI2pOx07kX12lbGaZIh9i3bUVWMqZwrKWn1jtF/YgKiFl9VA6iCDHy37LPopID twg+FD/V6NWL9l3pVwFWOepMDWbRPGvXXZZ4Yy8LM7T7Kj97I33lU3hzz765g+kw2u8tTuEWft3C TFr2uG3ufrGCxFiaU5vIbSbeCoMnfaovCMGuaMqJelWpgqBcIJLqRj7sjMDA3ApUrgpWs7qYeUxc yOIJlAtzJvnpXKjhj3tFSbQBUhFQUvtUa47fS+ktC1QnarIxk5um1mSHckoseZSAkcvyil7KfXwK Cwv4XRuLN2Gd3dYbSe3y9jJMAcRAjwERTO9kPX12P79zd6VYRJZjUbQQTV9fr95zqnt26lY8g/We uk6hCoALUI9S++Jtg55pmlawhK+eHf1fUHcvRuB+zWz9fiOtkLntPe+BbVq7LWg+Ry3FDxGYEBJe K6Pm7XiSfkcssxBBcRTanXiFRe9vo8FyDErK0ASKmooM0y5KKDGgztOA6Ujy3OIpwdkFoQJOK9os IAh+bHNAYvwR3ESl1rGh7X3bVuREE0omDZ4AkAKdwEFK4TCLG3i2ra7dPdGKc2Y+a4bihHGoEUp8 3uSWgURcT4SWGd6yV/Y2u/tzVYknQqDelnFdCNgu4eAe0H3XvtzSumjPfy7mnVSkHjFH6uSuDqKZ aD2z36QPN7nrX6TwSFl9Zm9j28sdsktYrUFfhZbJ2SyR7j4eZamN9OD52IKBhf9AgL17e64uRlHI KCRCQ2hZUQ7SB0ekOZcwA+Fwvtvlpok6jcsxb2dD2+NsBM0iqfkgVsoDbEMUpEWGYpAzfIpICmS1 ZmG+xpg6Aqfrvuj434zq2uZ4FCpov1TySZqKa1CLFknj3uu6ApeqXYJH2iiBShCDDNrW2znuHalB OIU6i0lhSK6+zDGUWMWzvl5VS+pXn+AEOc9RZsumj9lJ9dWr/ZZuBaxWSVr5QZVo9Z0pnkBcZ3ie a9BhqZPL68yU5Ozywf3t+ts3ijYLTe4eZynO/B3iDPvSeeyZxE7cPsETFrXMbUSj/pIwDehL3Zzm 4hvrP/w+KfkPZhyFHQckzZOKBDus9fSKlCR+e9eCAOpT+xrBZ2lUAKzbn1XKTV5lKrFMb6YmOuqo Bw2xXRw02bEBVI/1+3eeGcA6ihQsKAGo7XhEkgm8btQSSV0brx1HtrHA+WBVrDTCz2Jnw5e6vDnw T6pKE/oq6w/qS/RtSBLYARVdPKZfl0A/n8NiqWAPT91aGDt7BrqBVuvMW1B+TEh+PXMH7pA/ovOx ISn+L7Xu4JqRL5TXpgEeRa51WWAn+Nf9fZvbcFy1vW6Ki5Vol3TNd83FxkqqpLp3zz44k1+3k0XG waFF8LyP24L8JqnbweSkeVez4q+AjfvX2bjSnpLMK9qBg8CFiIDoEcTfDXB7+hx+qKz6/FmLCEYQ f0N9D6EChRp9MO3mI0g+vNElGX/UK33dHrh3+4VCA/vHyh943usn9WBsLBgMO3cETqE36KwDjf0R YJW1QqmKjr7E5YKkMltOPsxPbDTWAalS3NaxyPkGnsgh+4E9m+BHoz5rt62KHnbnpO497yGAO1JU 68EJaG3cSXdr0ltJvMmJUvXFjogH3fZAjmLwa5Yl1bmKevkDvattlxm0gbuTHszOmB/vwasFqk4a fDftYnV5M3uBg4ieUjxD+vlIvqMk2bzGs0iVBOCcG4Th/aJzFYyRQSMs0/FRgsrldWoC54uk0qR7 GHLlVBBaWAOgRBy/EFaeJf4PpNX52nL7dcIDmOOjit9mkw6RSKWfwSpBYTr0ph0fpVi2fFgxln2T FnF2u6KI3IrRMv7h0mKKmmYEjh34qawWa+bBU4wi2lVAVplT3yEKsbGt4mxG0w+SA9prmN+1A9rg m0VvPGFq2enpqHO4hlfdiqFeG8lxpEKsadaSTqqFNSo/vW8whowstJQqrXyLe+q708CpR+CBZZgA YEdSANvwBtaWCVOPT6nfdKU0mWIjp6lHm++8UfLCA1PSs3JSqoq6DGU1qZjki0MINJ5yI/fdPu1w Fttlv73SIndZ2+6fZSNkcUayO7CTNcHAuWWtDOXKj1kTG3XsN9SOvRvZW0tJYha5aV5/DfaQbppT a469jlmwpV17j6g+vvO/LPmOvlfGohbFv/AqHS3NL+AmSEeIeiUlXFZc4n8xmxzQmxrajnd74kIx 5dSMHhNLFlzDGl4xetAP6NGVG7nXxux7zbjuHsrT7vAA+q043qHhoOoVyYE99lX4O1dLUMNfSFM9 1D5ldi6F2GQgaM4Z2kowvqd3R0GUk1w5Mdo6RT7e8DNg4NM5lhlXzBM3n/RhQyv8NgNFSSyGxdTA 1YUKfBUP1wBGxt9NW4mcSqNWOKsQHIplNTiyT2Pe+7zvpvJd9dFeG2rWIgDcnJ1KxLy8Aqgp4G7g CcxSgxxeEajG3As/a/QFMmig8XBOqbTD5dIEekmcH4/xt6wsgdMOKO20x2IZun51xzDGVW5hU0fV /RZLCSp0bFSNPhAHOErqjq0oj459T5wG4Vp7m4vpJ20VuV5UiKDeKpHVblhx5DXKrZboGnhp5oFH 6JZAv0XQuTndBcgXXAvYMl5zKbD5ve1kHCxUCd/H05haVRoy5T06wwGddHroiJKi/rlc/WijPm0J VAS9eTfzOsNv+crmh0Keg3CLfLcADH9Mf6q5Uk1s2+M01+056R1QJj4jsifyviMQpFfqOJ0nfCDC vtNooZI9dBgs4EZbZn3vsBa92G3P3yeKwuSurVsBhtNHtDripXaQ1xPlHBRfDziuQtAsl+SWiBDh vTJoeMy4hOeAVVXYn+h3W37EaKi47II09YsfoFlqFW9VWIUfbiywGs1LuwWMeMrd+4pqPFPTc3AJ XKzy38+twcbgJBI5faJjoYqVBT455366AMPKegU5a/uT0fFTgI5BEiLptDGcNnwybVcFgHpvpkMy vLwYN1tL9gN1e+846hVqXdy28co3A+f3PKooi/SN+b+HkoYtoW68yFXQLW8Du4DR8IlewTsBOfC7 +ZSOGq7o+jyboR2GITTS8hDPpejQG1be21KY63bu4/JE8ZLaz2JdZ9k5lddalXjq8BTQrOiM8VWS xHnaBUBIq5toBRaZWxjBFgD2bc8aVqPbSQbammGnwMdxZVUBV/Mn3rlWUaqVzgfGViAk7CRmP1qH eB6uZyi2Pflh7e7kLraFA++Vysxvx6tB6eD0t0CX7YuQYcNhiYLHXXdD6HHJ6FRUakGBSWDtsO5a PXkeiCPnnO6WND5eEo74cwVfrUO2y4sVS1fQJ78JgE9VOu3zUgwtYOvZUB3R+aWExO//wAa5uvIU co2dBW0wjAsk0vM8pDLULQJu0JgXadILRSL6HTOA9NaiKqwHfGWH4vezmiHEyBkR2+/c5irKpR5f FApHVhE0fYp9hwozw/6zNu4Si4ABEweqrCJm1lCUzs+i7pFmYkdeZACYGVpAekRUkAtMoIJc0qNE 4lH2pvS6RJPzB6wv4aYCsgF8X/VSbEnpbD5atRG76ieqIJHQQJ3k9lOXL/FECwz2YMcf7hLX+j75 u6UM+22hdqBDkGSqi8mzvy0RSlsSQBWZ2z74L/FMlA5VkLlJtZyOqaArAMvbddPI/eVozRNyG7M2 E7h0/q74+ubA3mh67+iPW7uwSDxPML6bRf7TypW2xGK2ys4nWbp2JhIOdXjPJ/GkkehHum9aCgbW ssrQwUbSdQPTEktB670rONrfuADGn3iS1yYQL4YOgNd7oFC5dDe5B5lu9R8acWzKjxp7k/oxlN/W 3wM5Xc5ASHcw0AaDDvCogyaj9mZDo2WyZMb5Sjo9tL0Qt6IfZfh4ftXNO3B3lM6YQaeuqS0MS8oC MTES7ouzqut1Xi51Sya7r9rJfEfd8KKOd54yyA6So/0l6NUYaFy8+NnHixs4qIVdHSCEQqKbUG2A +VBBHdQhGE1tQdBFBIRKn56sC/axfxcsdLW62n5+b4UDg//sFPm2tKA4wn9tUTEDFmseuZ9Cm/5E GbI9tWLZEZc0UwdH8P1XTyCMS/qyi4apKAimwgP1+qEBW0Ak4lmlohMHO1dMA6VC8uXQFAslZ3Mu Y4QH5z1WxVorOpVFQtkyZy/QNVJ1fvif4PdgdjBT6t+Si6WONxIn+fwTk1MBTtmo7Tq5ppDFWuVk /XFxvLzU2+GeTtn6o9tau2MbOsWsQXeHA5Vm8T3a5WHk61o739uABNFWvMpmwgdMbsf8kWIn+ywu JQbRKuG39FOcDd318sSwzcQZpV8xmcH2DZIQ4U/LIh9bPuNXSXsU3k35hiMRcVlBS9cks7ljc6Fc 7GH06gSFQQSZ+YuOFEjB6d/4OkOQa3Wknq7X/NbzGJ4Pn4+xUixKHQSiJIwa/4cIU0e+xX8e/qmu vNlTe64kctxUsTcGypMvtuzZcmwp6tNy7H3+xVQOxbU/PZeNDAlSHIN0QLGYMaFxDboKJPuzaV0G eL+0LhDiY03nZps7Omn6yiZP8ddj7+3cm91Hpc5u1sbXu7Wum+N7rv5ROpwkeN205Di2wlgtSUw2 TBxnor0XGWA3mgp76lvPl0qqxKEzzIUIxFLDJnS8fS5bbD0H0FTAaesmKVcGzixqSCw+qk4YlBmz dy4J+P5PmEV9kCr9imZbgSsxpM9eTIKsC0UdAJ7qKyXndM4KeGdCJnMC1jmHWTlqDW3h8ohKrRXY 7Ep8ixea1kkHZ7YxY63ZnIn2Z8lVlpBjEiOZL5zJygJuy8fmOlQxSS5VVkuiBqvF8xEPlF6o14XB qZH4nsr+55ZIlXwz9NPIC+Pp1iP7PV8r7u78huU3yr5KDkIlVtVy9BqGXxnObYK8rDRKWrWQHUN0 gHl44dKkn/ySLRfQtx6guaF+KCOcEmT/NI8Fo9A409JOAOX0ION8kQn3N1H0nBSi9/VgFRxFWEYt 83sPhws7DGi6nddkhInzUaCUydyEEZA09x/tMLotHa0lSKqjc0wYPPI897ZT/3w7pW0WCstUIoTB s5vwtNxv3y7HIEBPb6DPp65hmx4k8YqvuvE1ZIdYwbAyqS8U4JeYXBfRFAA8cft4aBeRuTTov1WK De+xY4Okjl2pUmH+xfi7bY6w/4pK3ivUadPz5Cw+/hk86l3o5l9JZ+H2xSCPQ2RjFikokdZPFFuv nMHVXw24xo5N2pb9C5I18xAEeNoDTopddhaJqb7T0pk3oxEc68EFsXgVOq1Wjc7KIwxsnweqi31L neH7pGoNs0/3pL0CdDFdrlE6ec1p0giqEPE13aBJKyhvlzZB0AcgFq2yumR9rImPV/0Dr1O+RBuK YpmR0HvtYMx10sVnyzV+DJOQV9dOdraih9pMx3KFMI0Am7iVKjI7BTXUUQ+agmiWkipes5coXFl9 21LgbioRUnZ7gyXf1mTlvYzvrdDHSWpSTMBcklD9viYxl1OIQff5+0p+yDPCsSYpjiSlsx1Lzaec FYmLOPCLXSK8Rx3daqKG8NCiWuvzGa1MskR5QC05J2T6zn7KoZLhIQ2BkSRBqTewz623stQOJpTu RbiHVGH+/SdCqL5lCpdvPsw/8/AmcLGe5c98ulAZa9iMkfFKPBoENM55LMCv2V3yRA1oa5EpEjdg Aqg2iz9Mb4UyJ/sTHxqZ/Fi+x/bK+4sITiV/G/NEqrNAeD5jOjkSSafkhEeLV8Ff3FvJC4K9OpQB PfTPn2qhC7cVnaeIJUPQtvxvMtsaeBSkkwBn2p9hpztON5GplEVoiuv/CMfukxcVkQt4Q4iZ6nSA vWoJMAVzdCWr/UH6WOwbdPVPfukQzSozV9K7f3xNqJe1MfJaaplVT8zSWfsgKixdKCcjn3RTINGT dDqAUdnCUBJ4OVPYgVIBUSF99+1L/zkfZFFYxxm4Kcxdua/u66K32Ox/hB18xjSiUYMFiKLuRV02 mXGY+Tub874/2cdyu9DMbakFGPcwUdOCAbL4Y3CWR0PAY2zS0NW+p88Vq3CFmCaKMuD5JdYOVuOG rAgTIZ5RsPVqBAHuvFqW0uL8+E4IPnLlOvJQ/0YjgX3qzpUOXkvbZ0kD9jnqq5R42Q3O7aQzqjt8 rJ3UxcgFolBYw6UA18tMidJZRS8PgE7VgjDM8AA0VhoCXh4v2l/W/GfZ4xX/qJYwZOt+0LdVcJN1 Td10nUqh6nN/+RVY82OiEi6hqb5yUiHyEqOMsOn+yloMLkyhzziA3BREHrgSWrd4nM+GZV0CqurR EKElM/psw/ez5CsT0w+9A06dTeBylRS5MKPVzYJlJGNKlBVw7DTpjtVB7D/iXsLFWXvQKdhhJEqd hFQX6J2dVoerwsitBFbT42CXBxqdJFCWCKMoTjpuURSjvkmYcqxepKHsxwjnhZ1p52FyPxld1caa 5CdAGrOFRqDCuhiCuW+xSz9sljp4IfUMHu36ffbLFD+VCLegp0hQm7C66vmjFkY/2Q0MOtPVrz8b Cq3X9Xd9kXpzTyMv9nvou+v96LulgUmUw9E04jTw2kf1WNw9903C64uBRi6LKtCqHYjwmp3BZd9P dPB1lkAQZSPJubU4Pya1qQwx/uactHXn7+cCZUqdlqnh2Bi5IIV/Ezxl45/VxS7QV4K8acg0nOlj hXhsno313dZkjmIzWrMxCiAp8YD19nGFJTTbb7MiFlLDHjYwjzTWj+anZoYXS8NYCqS1sCxYi/6p 319fM0d6by+k8SaQD8sKjvCFdCASr9PrFRm4jmHXRpGucpxU7A7fwaa7kpWJ+bsKOgR34mpV+Ni3 PZkh0F2zz9d4vh5XUPXO1BGB95GbpOtcKei8vaAoZfvdTvjUM0NKcX6WyqMIqIOoxZK8Z8WaZ27o is8RyQEW+AvsnrQfoFyrXMfx0+nUbBROMZtH3jaTzDVTlHIrukJoqpaNjbl8LWPFdPnnntWJ/Bvw Cmxfb2+46NAGqXHGLmL+HLDdvasCjD01boQdDBwQyS7Gc5hN6kX+si9QSiAlS2m1HMGXHSxrS10a sY7ShL52XGrSbEDqG0whpUDQ+mSuGcsBUpmWOjq0aTKP+P2aOxiotJcf7PmZlC7yeQbK/UZZcGvE 0b/dhUwIOz9m5zRK2RCW20vpeGn++4lOdFigluEzxZ1aThBUIPlLl2o9+1q9JRrh24SHED1g+o9W t5RpfTMybgrx3x4bKZe3C8M0c6PAYqYilTN3LoEPK3DmF7YYtMu5pzQp6mgRqPB6d6Ts9rJCHznn Ig+o9bk2xlDgGltNZzfM0MUCAS0drhBxK8Rik23ETe2kt7hdS3ylc0rEdU+j1ObkvCca2R5/Bk6c ZmheXwGka9odRxGKZWe8rMyLv1/j6+wsotpMl5XIb+hB/+PvfiscO56y7BrTSfaR5NjavG6Bw8+e tADSemLW2ljGTIBJz5YESScqJBGrvozBeNN1ua2UUsVz9xxwD1nqr5shX/K0esQgdbM+nk9icIXK fhiZmsF61CXG2dS0jxXHunBgAHSuns+C9gwN205lXMhqJtGEBpSU9ldqAJwlarGLT5eR/XdMUS7K PqhRlWEuWvdAeKLTkvjQ/yGl3eB9A9LPdJ7f6sU1iiLa//t5F2TipH4l8QdbAEGuuxsuORf4odab h2YdBS4Qgt9bQby7p/SJ8R6o3RE1/NerW///wi0hIiNSVRTPcPlqroNxvZyM+Fnd/JQfBBOSDIdy IG8t3BBUhJO8C0FxlMwX8NqiQdzqKVB+X55bzGmsmmeFPfuXZeOmOX81Cj8NF0O+Y+Wfenyfm3GJ RYsgEwEawo1/mJLsBQ8IOA4rMtGEia0gsTkx012G6niGlAVoYaItAH94CQ== `protect end_protected
apache-2.0
a7c88b755c3babdbd8675005dee67783
0.952134
1.812249
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/debug_stat.vhd
1
76,313
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block EgQKWEitTHpuv8jHm/L1VoSYsPrvLwxDHwc37pUUPtZZTKZlCNeOiKNhw6UmNROZ+o62wokwjuuX QFEUve5vTg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FCKKQ23drienqOaAFjdeZzmtCPQAHr80vQ7oc7oF1gqVkB7iYXs+ApaMKNAwhLiZHH/kxDsYXtLM ZGPnJL/ksuYLvq32tkjQo01oCH5Sz6JiiUC0zZtAYViTHbaA57/QEGO4EjG5ZnOGXU22bQ2ojnbF wLFj45M/w2uyMBPWU+g= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block scvUdZhRibsLkjGbyUK0ziTO8R13exUu1JuvRDOyfirLfEVw8CIQ1tPYRtl9xeypSf9dwDO/TR8s zNvd2TvHgdwBfcXj4fwJsUjOyNH0tHSrEfbBMHpNYGue66bDiMhp03Eg+HEIaD7CW/vIhYgI4x+y klH2TsEOdV8qVCRpJLgu9+/pme1W6wtRJ/+JPirCULnsCfSoplJhuANDOpWhkdpy0pv3EgJfISoi GKwxG5JjSGsLk2AofMqlTp+Ot3ly5JlVUWJMGxLMwaivz928L6T0Dcy7K8BT8gVwh0eeLFVMETnd hVFRGdCwNMQAUyQg2OMtDhfm9HF+y/uxlm2fQg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block H6POPSmdLm55Peq0lQYr779jPQPMfxjVnc9jH7a7KuFGIHaNzxhU1M67HEquCeMtmK+NiEaS5O3r GQQYlD45UZGmkmJk0UGLph1XpTdG0XM472hLtv3kIdyc8OzyO3X2nCIB2PfsE467LxBEBPfZk9DT 3VRQTsT0rDEMyO5EOEM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mRWtkJQAsnZ8YQTgoPLWuTGnctIjBp7cIjwUovxpn+YULnyHEw8nTYsvhRv7FFsmtNYiPtDBZQaE VzfZZ08HSrYrGQZQlSxfopiu3wbKXnpoYMFG2yMjk7G5WiQguSLG1/j3S4AeFgM/y12tv2rnjy3E yQYjoKPcIrMQd3IzS2rpGt6y4/eXAfC7i3jOGqPNIs5AmbFw0Ye5z6tHO5akpoiuCL8Kn3J6kvoy nBx+ZSpHsYnt4pQwQBBMtSdqfrCZ3tStgE29fhtupo+6xtEDzKZwvIh/oTR5sDGHAqeuEq9zuPBF GNwHK7aihdYxwwNAwTmsO1Ua7wAGhUee4Atn6g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54752) `protect data_block c0ukwG6PaCueoJBeREH0OD5BIdGbx8ZQ0k0EMltyjGHf3rT7VhjvBR/kqCoXZLB5zWxqHvo5XpGB 9GKRnOVksgnakjs4tXgfmIqgLtf6/0bLdkEr2n0jxXy4N63FYZAsqyG3Jpn9v9jjV5+mevlozt3n CHJdNj1p/BmWBa4LoZ2IW4xn9e7Ekh1MzziGyPcdnGda/64sX5QTrm+pBcdcPkubY+laDzmuHIBC lLKESSeHoL2PAoDiprwkli0JheVXoHCrR/XkQb6HCXdItn5SaryJ8O203yjQGQxjRnm+a/s5RQjX 8HLa308owBXj2OcNVnXyybkUEfZFtgvAG7YUKPXuUczm1h+Q1oei3fJG/I6VqpcJ5JRBC4BxHif6 Oh5pNB2tOcrPppCP2L2Rf6x2JYRzZ1MD/iSCs6yLca7Hu+IlJS96iVMo3DKAzcMZn5PCM3pXWQKj L10Rd20MTzmSXdMGGen7hqFmnKVSkdw7nSD7JSz2sMzMvBzlB8xNVMuWeygWpTh8s7UnBzj5n3AX 8lxYgGCuGZZiqjnxJ0ZqnVVc2SoCYyWDv1oX0/b4F0Rg9GciA2aDXwb8mZOuthp7QJoQ/dB1QUVc EBpm7J201/Tv+kB/LDtIb0NzC6U6FX/S/LMxdUqb+sFvvoRLp0/MU/Ur4jw7KdFR/WV7VD2vjBaR pJgG6SZTamsZXDpZJjv66LpEXBy+my0afNe/AX7/JwqECMej6cQynrOBPtZQ5xwLbcYHFminU1VA SWMji7tOLJqlpxCF30/EZ5bb858nXyTB+k3GlZHPRF1nYCGyZ38pZ7VtAn3QNhYzKj+Rpm3sVnUO M5p+J0/5F1Jz0/lIf92sLvg073u7e5yJWXhRyCLEyteAtOIJD6g5/GfLN3MGaM4BsgmqdQ2zfltr moEDfdV/H5lPG2tZCwzfGILYDSoJYkQ55/ZUZ84Tl8MxbS6Zb25kkMVegKMc+J5+N6JeoNFBIDZF NSABSDK0mWZyNQ4gMQ8jLhpwHKr+PEUIttTZZSYpAUNnfdlRo9CEwUj7wbIdofl3LgHOnzNXVNrA +91dDW7Yag/YvIWqHxT5V2Zh4WS7GFJpjRx/HdD6SaE6f5RBSj/MovFToklt7QUVxJMyB3Xy2SZK uZW+766lF3LliEFyrfqNyvgnyw+tQmiS9PznMljD481i3NzA31cDNXBzklRJEr35Zr0TOCfB0NJS 6E/ILvXAMRh+Pn9GH1cSGoXANWjj0xqXhhZue9UySsZjPkG1eOTjUHGKyJPl1/TCDp6m2L10lLT7 LWAOk8ta5DhfgzPa18+q4W4Hu0yRRXiEHYuXYoVPiMvrk9UIC5wq5h1VrCUThojvBrRnZSkT7avI 0orUMf+QOHulZdhLfilIwGy1XGDWoRJ7sNf6xUNZRmk8JwwgkMzD7YnlyGlY3qJVbbXJLsbjDBBx 7MJpMicGV/B9l5UzwSpKdATLkq4CST8oRL/GSjuffvEZnUTUD7rHZ/JnQj6pEwJY18bJuqNYMxl1 jfDNHYx+LKv9OIRJtkmi1PD6EE9dORgJA2m52YPP8QUydHYDPzk7SvFrScoG+He4CFBKeInY9RbK 3PDvn1GboMlexblAHNw2EdVnPGbWA8D70gEIhp3TH2poZWwEz1YkVchk4Acg9wv5jt6xCaGCN9j4 wBiwfxEP6uHRXTcsIgpUBAu3tN3twrvI9HyYJzi7OYp5z7ix96uSH2oMmZGwPC0szCspxXxI6kdM 1Av39oNBBlmzmz+r1HdBDmdtxlLPDUUOKrOdP1mQRWPsE6UysK/sHxFRRi4O4QwCDqkAovsBY49I TOGh9pfX4/+YIS5ijJ4j5vCoGZ8IlJ0K9HNlY9DPAyKjpWLWOjOQ4r3Fl3JEdMrKZ4w7NycIKZei USVY60w5PzlDU6TDtA5o6DDNYMCeVz/NN+dAO0jM6w5VVsGb2Rw0yX15wNI+0s+JI2BJCdEbx8Py DunLWS5xF4RkQPuNOSQZyoNxRKr10ktlwhZG9z35y+5OE76ZlrYGKW4bXkD3HnTMPuckAEUH7Mak DfSBaWb7gY3U4hSI7YXZlzFTCgAQ7L7e8j3bOVOi4KllAA8YtDB1ftbiW2ctV2nTkA9fCtQzh6Ls NijCEpTu8VqOORxSY9ggJqUEyuSTVRd9CyEpopfyly5XJbBDDddmVkvUSue1Sr3kzcqDZ6BugdJ5 2kmzj+d9Uz8Aff7vdrWavhm6s38Qk1eR/0TUpJfQbiMYQbWccmYeEuawR0RUW22siE5BAuoDNdhp dxnlL2MlJcXw+F9SIYlk+rn60VKfcC6PPhL3w7wOLEDtqEQiQIA8rOFxUs1TOlg6d8d9xbvkm+vS ohoVc1XNQdYAN5OE5QBhDqxAu2CrExSdS6zTqoDqiSwFfWqyzSKhUP8cg+JCNPxcaNGYn4tFddFZ 9FojdfM8BKhFVqdqscHyxA24EOGqtPZpgFIGVOgE4iDZqonhPnFTmAf/yhMVQyVLHrHs2GCdUKVK hHZWsqlAVWKlRau2tW2yHS8F7p1zRmmuoSSMm92gxfvrFN+9Cgrq0cxb0JWzqDS+aHlMxwL6gDto N8dZJisRtnAxTDOO9zaolbL1/6SF9yv8UMIuhONantw1dvvyQRqk+rh40uuMv+YhsRpogJX11GEi 6710nbBH1lC8LKqafgCR323XfIYSp5rIqikcmGslJj6h6ZsJC5U41n+iz/1dsxyrPYHST/eALJ5W rIL1++jR408yVAxEKLJ86n9hydO2WdI6vE8eSGDmJu3GfJCM1xsv3Vvh+xUeaMDrLEhsvbfBSZWJ MwcMdaCxcIj5sEdNbstp2cqXCOqeBkMaF4oSnQWaSVpQak9mFnfcNdTj7J1ewLo3flf2HI5o61b7 WhzAiVEBaJURwHXfHSv+7mogWLX7mJJkAwVBdae3ebTtf6Gn7fBvQwkGdSFX7X4eBLTeVjYaxuQv nMCH4SaSgsO97tcz46p1DU56MeXqySlSWPe8bFqYZ0/sRiSsK7Sh7c3rlsUP4Qv95lSK9evDIaMp 0ysbeTSCLKQj09XyZL+OkyzvrY7BizpL2zxSlBZ1b/FGugupk3JQhcikz9YJDxHPYU7bod9to4hU yBLuzNiUaNFZhXx8LCMRrpu1NBF1YzzQePpyPF+8e/+mTFyPD4jcgIBsuRebGm/iX07LkvbVVbpP +C0/V5FPKtEhFBgD+xIQWU/uFD6FXal3325+5fBVqBZPckgNu9jk+ZzFMkdCLHQaXuNSz+yeQNLr cBxcysXyqMuRWsIg3xVTF23OelMUV7UB03jpO6tQf6adWVr/1rjhiYqBNTCEnv4/SDHrb+cSCYEi R5NWhyaMZoJk1Ondv6gohLxT3wpkh/sfb1ZIyhv9CANOoomCf9fIwIIl81HDNVOyyWTJoCiBmkUx mgNqe6OkgpDy2W/RvFk7Bls5PI1YOgTU+cq2WKEtiEXevSHa5xVTZnWjCMNja7ey8rbp0IrIIbLp 7DXNtwK+iDWETNQVU+t92oW2CWdiSpFuOPfei2uHxPfSI8/QTXVGk7iNyS2368BtwyhkIJezBud/ M7ZREdE3ERFcUxe4gvV8NF7R4hOwN0t5YBrgJaZncEhwkLxVudagc11cjOjFmCEAaHAR6uSmQYmJ a8ChIetfLj73iscOQgRtgmNIVHS4lq3M+1W/TyRQbuAVvDbHPN89JKPl72jiI2eabck+pf8WxC3o hL+bVYiq0vpVSriwSraAqZvcBfd5HGLQzGbT6h/stGQtoUTyyeBIXlltKXUFY1AdU5DVAU8DoUEG PJ1doIyd58ketPnTamsKVno4zvgkVAqaZMwufK6yB95KOyTILJLfAAsF05pFqCPaQDX4VlIaXfmT bcBhXGlTep1o9EgNAMnfWfkiRmWUYVG2/ccUPjvUJ+2heeYNQVz3yv5THoN/TJn0XtQ3s4zjthRJ huuLQZIj39i+KyObfWmwI3aP/Ec2OgxPby30gyk6k1g9y1oT9n3/taLavXsSzfpl0ebh66WkvXUV gmQ7PfD1PxsF6kGMBH4dExLBt3FdofiBrzrrzT26rdyA8QcddMEx0SZp5oErsU90TyRi1ewTjONq cPeGsVh0tdIEOj8HFIOntpsQFHQaIEpBLCzhz8cTFNgtmP+9n94BQqSaUWp7mMjI1wcaRJu6FFLu cf8rdi+SFeCJR5jrLZAabwexl6kEn6sO3opqiEWKBLM1O/n9Be/4FQz840P3OV6SL7VY12gIYbdv RPwxHZDmdWU/toMC1bAPOetm8N8FHiKP/5UDrThDxhOO0SuHKbGGeHTNTkArfgarqOFWF0mKLh76 QA4iszy/6T2k5uO8GNig+xUEHoknA5tdSBI65MNVaqTS9yQ8bPOU+4RDfoB8abwNsAsyE1/cZEet s0VzKJN+L6ubk2IoqzhRUVU12NganfwTWCqLxqa7mVOAYYTOh41RO+GxmMZSNqGqiNMR5h+xhZ/b 2GiVAQAd6lOj1sycRkx4JT1D72VZ99z+1Y29blBqXSxDB48k7QzKDCGF4+SBqv9dC0q3Y3tJaeoX xn3jBPbJ/qMJ5VWDzUZRJqQ/J1TLAgKQGDV2WIvrWrCXtY4id0Bc0z5IkUPXMI78Y4/4qlRjoGmg 2kLFk+c30XecziAXYstcLZMuTITx9IORSRXgZfjUY6w+w362YFENxD+cTI+aQzIF0gpCYXIJDazj gItALCO5ig+UzGWfwC93cNJB4vNoHyjEDvtmA98V7eNJM3eVv+V/Htkh6p9M9FTlvfZ8mnLDWAz5 nMwU8qm0M5/BZQsO+7cH7Nl+L4OONNRvq93FL/xER17Wtu0iX7zXu1bf6j6aUqZpPYdfhx4fTQd9 NNhTNlDAAlSbriph3gMf/Ah4My419pUo7KBMInsyywSNoruH4f14hw3k7s3yVwTpjL/smn0YdfBF 1Sb2KfT2SYX31Qe9pFBDQOsU9ZAMsNRe3iSTk3VXaP6so6scFUIsEEO92q34H6VMVVVM15fRmnVk 1sLX6Zql8vSO9Fs9xgXYxsNoXwD4Px9KvJhgpwTnRNl5M5FMcoL3m0y1N378i+bLo3E3z1c4VTge 8XWo3vpaSfRzcXP7hOWSVN+TONHNmSeSQOYRTe08WzTxnn/gW9TnjKs88f5iqzBzzyTbOcXsh/OQ HWpFeyAnx0HAynE+hc9Ej3lqGFsG+SXUpaRaGKfKQ5jgwmuytGbOVrma9ZA/MQVeztawedxojnoO +gOTZzzugbij4EBDghJnoT9oovP1L8Ane+Rx93eiqMLMYVBfnZu+HCv+19ZWtQJHDcMbocdMh+PU UK9opexR7pTgDfrXsRoJC4Wg1npXQ7SlxOW9/pJicFS/0CHuib2k40Fs9Vp0u3ew0rfn08FGbg6r 1iDJoQj1IkLZYmhxK9PwM5Rcfb4l1PqEme7ALfLe/XcwbMx4QVv24COpY7QHKi34EGtldu7/GkIV obk2prdgQxOdntBxPHzmLyI1BRuW0MClId4YVDe06Jd5lFGJ5O1NbDw2mb7d+Z7JwuIwdCII/uF/ YSDQLB2991p0TqncKU8EKcYdYsIoib5/VFQhO4sGN9jWl/sItuxBgL6E44l5UbeooQfPL7sRRL3z PAkKge7Vu9yMqcRgPGUKFBacyp6WsWFj5FS+BUS5IQD5xsqldQ1UaakLiOHockwA6luuHef/xFHM DEH3l6BQIY1pTagw5ppKiJ7/8TnZAXFGpHRuSD99BwDqKYAY4xOwV/oMS+gZWXBVTZsePR+cGvB0 KeCnLKs7I0vYQJtPw3XYMDc1djSCr4/r8U/WyVkA0nLqqn+9316jpTVfi4uFy7O+jQPJmOufJcb8 3cGNAYmkWX+R1PS2n4L9/mE7CEa1vK+UpmksgsoG4zem+udkOYi6sbgvJO/5fAb96RcpJ0/29Pl7 UXyTA5bsCAYhXOkNSLOYTJJZWPe5Aac7kWxEE3k2RQmNeI5gCBzi8qcv1moQa1xdVygnnjyqmjPp IArgMvKp3OZ55RniHGBVUDGU/WuRF3QWEmy5turBAUtT5WSeha8dSk7roAYoOyoHg6Ldfqd4FWXm ZBy0bM7YG1zuV4E+nsyXXFJ2EGiw0QWPSm5ukpPdDJuVWQTbhUbAjYT0JbAnIn6ywstLWazNeQrp M5gjxE/UTbpWxj3zXRBVprFgUAQ5Po1/rW6zb69/Pynz0uNeQ5LopqHscfz1TLIw/wJk+h9Nbbya /MrwbVuBIzyee602KHOAAgAs76v6MYsnhMXz1JW0C5Twsk0D2/DNeSKQ513+B9f7jr9VtUtJw0lt Q2fDg362UeLFJ0WZnVN+UpSMg5u8+0jmtMsuIlC5h9Ywv/BtMNKfGVQxjZ/072hIde3BgWojF1LC 4sSOXV+ytO3QUPgwDRetbHpALYGAcXFSNlL145ll796tosG4VT2b+mG/UYAtihdJ0WIZFNhx0CZe 3Vo6jq4+akvL7Ys/lvw2GZ8Yc4QRz8xYLHoQtlhohkkYW1eM5+Co2gxAZYhKTLyQimY5dJCDK2MU cH2txoMpr+OY+J4J4WNcbUrVyrEGqO5FX+6ZnqTs1HOQsQ5GKoGb3ZsIz5o34kJRaCaHWAxCC4ez OKjYOWdxkJYayRXcOvxZ9zDRd1RlMEOuOranDD7kCJOHCsEVowM89y60LYJaWVjsN/ELajoXSiCF I6J9RdlEfk8ch+2hUwWnDtVGkgjK0dhTkNe0lF1ql5MnOmrn8mAwWixlFDHPDT8diwpmvS5OmIGF JljExLoSik/HMnCly6ucM6gmyRYG26kRShz7GHfHf/9Znao2f/dz3xX4LynGNB6gK92Lpe2fdW7T 2KCmRS8D7y8DSFmsjpb7WiNgDvcZvLkK1FTi/u/T+UBmPvMEgts/rQH2Gjn0IkXyFEl4Fn054wLQ pVisf2kAbQchqj5iej4EtYNAF5Ne9cUKNmoXsQvPzeLnN0Va05SGqo+pWIggucayrqp9RuI+cTFa vqadQ54eY1tMtokel4hEN2a9UqLLPhfvhn1+TQkKk74MnYod3cFYmAZnXHZzIZ11Df5NAEHlyv94 rOmJfkVPYoMpE31ZliNYEajrWukK35jcjXJR7B3aPJRtSTtaG6Rndx0bJAzuQ/I66Ayouq8RrLld /QLuoW/lr59PLeld9byqgufigECsl9JnewMn3nP2Oxn/CrW9fFgwTojuRQ4oezADekQYdjxSWsr6 B/HvRXbp7TOBoo/D0qH6vJrv5XbdArQHPYHG5b3MH354nxOmKuZYb3J2Ny5uAzHbGYu1HgM+EO7r yqi5z2BV9lpIVmAIhRHIkP16ZDW3tmicgQRBKyPO8xSbpOa+e5JaASVYLKAHU1+8opuUVPlpDi9H G4V1MjxtQKPPEMWhbL2bzxl3NrnmxYKCIk5iK5bmkf1TVwFq9iFXZMyNr9NNw+4Tind4UAVzFhvF ZBEkIN6QRA6Q23Qj7APOGwwwmbbseyo/a5rHmt+FzmqhxFKP/7g3JhtDoHk3NmaBrVzWEcSRVdi3 Hp7msO/ttKrCbylRQHdBpKyer1sJ5BLSp103KETRSdYdbOEgHpTPYaa+SlMEbEdj2wB2xM0v4WKG kYdcg2cBc/sVrA5oGlIfSRgejzFwrzSurHH6T7B50cZHPHmRJBu13N9qOR8WvVS8JWN8QJCYbxT+ 0OkAB58LxXjXhsX6u0PPyczup0rTYzjQ73WKsZhN13phtpCq3np5IQ4nBNm4zX3OpbrlI8o/cgOl /gsFTajnoy3+A/MW4vRH/8cvczv+V2JtOMMFl+Way5N8oUf2F6VRw6qAcp25aqz0KRQeWl80KZvS z48/iGT1BzkDZrXRIFOl2ySXAWRwf1YrPDRwXBL/8z6TdSq2m6pi+cmvrBJlvYaey/3LSIPFO9F6 Zrw8cOO0iTy24LIIiRM7p5guhEbM/7YwnYaDIAyuEzzOOhBPh7t9TJXW2f5EOsm1L048n0ffxE48 slXgh4mM6lhsrPVZNneRXQDbJ6oh0n9ur3JXVSF1OPvrVhM9OrbRwNmyVAJ+8JFqJNMj5+spbH+1 8Z5QfO5avgivMB6Dik+kARmMf8BMzb+qPikcj04nW3KstaJpabODjnwwdlXCGmfJtM/EndS8BkvR M+/dQShgh4JFcysU9T0SKLKjavvSlZi6dgqbQD35MxcRK8aMteX8au3J5+rbbKRb6ZCfY7ZNHKNJ /LMsr83yu6gnkq5RkHZikuW7Exq6O8pnNw/7odGy1rq7zjF3YBuSjtrfXftUYNlWTKw/TJlXY8D8 g7U5veHe9BxeTDbF16GDcbdxi32GTrhs27Xk4A/V/ug3mUL/SJfwRi9tn91gQtUfE61ql71EBrGj uyH0irluNXGeoF0nEuUETh7YHYad/KeKr9a9pVg5In7H6R1Dk23LueU4xCaU7a9FyXD7RMOLbEgy O+/uoEEUZJgHicF75v4AXxiPiZMaaWI35yvilJR61g8WFYpyxJoKjtWbmAO8AbOHh/+GJG76odzF SYOVXGkGGimKi1ilVxwKtwEZlWxAZJRug8UowqY2M1aAoABFwwGoLJ391l9A5Bsskn5xZpTXPXd2 JWVT8aMFnyILU+CVyEa7K1zrSlnYobBmu2WSzAMj25yfGVjS/NBBx7ADFS28LWkGMf/e6K6NroHq vCvtRBtDn3+A3+pXs86lkctO0aYQbmwdsGU2IRQ606vDrYZ6lS0qPvS9Dp2HhwtP4zzYaSRwUcb0 e4t8wjJ3sR+cV0MmaAqHB8g1b80oKYDqdNrgyDI36+IMB7OiWY2txBZDlFS1iBqJBu+cK4u943Fj brV34HQoOwMd+Tc/XtjEnpTqZHIFJMBwFBEI34V4SvzJRtM7A3zg0StGbPcUHvloq+YfFB7T4aXK 6TeHa8Wdkc4wWKgwNWYetTxTYo0JfastXKLmIBQFSfZJ9cboCIL0FY4aTYirZcpSu/8AZYRWn35m IziIobPWRh5njh3VkpP7F2oDodTw3yOU3o7p5s+L5VBzQ04CGGd8ho7P6wJPuJNhZfBEh8JrYegY F81DlzG1rlBVPpzVBCMgyBMcQoAvuFYx2dX8xFRrSU94BUGapqnZoG+FPLda3ROz9CkEciFXNexS uJfvI/DY9rd5fka/Vz50DqiKLAdGWhL8t3PHzGpnqTMjbyuYgwpwZG0VpkUVB371CI/5Hd71bNA3 daNKoBZC9jF2GF0bUhR8dcxQAFEWDVa77Q7y5Da+I7S0MCrvTbl+sCLoaWgacWb0yHmk3dsPi5Jz L+O73ZHLMqE87dJtGmqBBwQguqWA9YH4rNylV/+xrQ6885i5JsvxZai5aGN7oYTlUyquVYn1d2F4 87dRst9fEsEzPCUGkuv68ecTfKkKJLbC0TS44LUHqbBxW+WMty5wskHiUi5ptdiI4DLg3+StsNDN iTTAbMMz2FsX2o0Ym58G0JfEhdfP8PdcbXd2VgHh9+ffPpH/Q4LAqUxm7cJ/DezDkb9pA4dekMJS YkcVxok8v+pgcvgv7UwFwcIDIKQdf21Y/sx6RlYwrxYtbIbQbX+ljPxZdRv3wMfS2p3f/1zBXfAC SkbPG9V+oI+f4AHa2MzqI51mCviVUuiQkzxXRbJMrffCKAVnBm2hs0zd9OUAG+mAUGz3kswYWykN smwprLK43SoloJxN9ohBq96TzjORSoJ2RUAZ3wugWhoym1deEy2wCUeNu2sVqmq+ILEaCDTCwfk6 84H3JXrAwWFyKfvBbz3NV5SlQkBfCOHapb4BnGL66bVG0uLqd/zIj0/9X1jZa1OFP+LXzKfsXb+p 6hK+mQfLp3dR6JUy6q9DMvgi23VRjv3jYWCipdDDfUao2y7fhMmeV5HHsZBWV1pS3bIL0wkrKrp6 09sCJIXurrDxGpPAlA8YNaBrUMuOk9Jw+kGDZeXkGzI7E02DI/S+L7H+KH/EcJ9MndKAghMBzeGR v8nvbqFF4FP5Upij294Tb4bwX2zxYgXgpwkRo98ff0kVpleFYT2B+Uaw4X79cbxVbSjo5X3vuwIo NFTHVSeHJ7u1KlU84wbqoOQbhqHYI7K0IYnsRveRbYUZABu1bUI82SfZFcVAggcseWzlA9qwS1Ya 3g+3p3naO9UwYg8y/fG8QlXaGGtlcOHsFibrJ41iTj2yeSeblnF7uzL5acLqLRcSfEqY4Aci1dyT Gh0D5DvT/ea5ZtwNACux8D+UwYMKzj1HEEB2MOIp8RUSjt0sjdHmbE2JxEG5eWygtScfYICmmsna OPl97YU7a2KaJGmDX56zE7ms91rnbLt4Xvy3mnr/6FAEe4fI8MYgMr+W+7a/jXL13/kx/j4x3hi6 YXiR13Ap42K0FhAkjGUmqVGlfVt3S7gC7HQPHxdgh1qizMzUc19epnMaa+uVzelB//9nugs8WCVK tSRp8vj92ksNFVEc4blq8SVNKiEmRVA2ogUyTiU7LGd7e5RcKIuTcdJ23Ru7qCo7LqSDgOJ6WIYL afr3QM5w/wxMtwSjVwHlUQgB+jL1Ft/YhjrbzBVRQdic4G+zddPmMfp2Iwi5tu/GOe/zAgmBndSn zQz4piOK0hWUQt9IAZoSNevgK1M97tWfGlVqbU8YCXpawfmsb4hCK4EjwTFpJRctLeY5TuXBewpZ DXa+Po7XbWrp0UaD9/eCEqKuJVC0H/fO0PyWoBkAYYVC8ms8X7cdYEAw0lyWQiKePBrQptPp2uTQ QrFWnps4aVzBEHRGlUMzvl4NM+/QdmBB9FDMGv7i0avex8kTeACIQl4AhTGdkLGoD08Usxp8lpBC xjE6iDgCdtsnD8YiEyz/6nrrT9E/EtjpXI0RCaKTUdSJ+XL4Vfow8PxzWOlC4s1GUuxfVtrNgAJl SN0g2HQqR5swGAIoiJlfOwgdYvIrRTuB8k7Bsl0LDKYEZt4qUU2TDTcfVMRSI3qfQfrBufmujRjt l2AgtViUwdQDiIIo2sFWgliQhJDcsmLTUMssQeLL0u5i5EXAr95JBrznQGExb6i0+GoRG+1JPUCo QxBAH5IlhDdEUxrPpowpqPqWMm/mUxCn9j3CJ4iVFdoNEOUVmf1B7MB28Ac6WVpmP0T0NkbyUSRl wdCNx9snaDmfkj1Ef3oAsiuKtM68QzWjXweZQeVXQX8byGAopCCR3sQhvOOOmYAuNmv3dLNAfddu 6f7/xf6x1CLQI6OMxCRd/sF00x1HJRQj5QwBn4c6XNwBMoeu931IC6GrYvu27n7ZYVakyZVdo1Gt R6xnU975ysQml5jHxoyNv5DTAh0SbSXDf4fHLgNy4/usReseBcJ8WfOi+S9URAXozzcsoJa57jKV b7BDMxom2LM2xmFR145BniO/LWDq0oxDCmGprJ3Rnp9DKD6sJvBAfiIGMaTpQEq8a0uHEHXy8ibN SnYe1M7cQwATvZXtkELOn69AJBz7B0MG65FwA/9sS00mVpB+9G3r1mc1FA0CCZk4KpIPqWUYEE5R UZb9uDYHZLZx1UbMW3pKWsYc32xOlVgGIGRgNcUAuWpI6PJG74kTGMRGVBC3+Dpk85CfEFXSQkf7 B4p0daxtbFrfOlcf9Bak/ALWx/ft1Ew8XQgKRcXANQKryPKUwsK4IYPDHdT7JHcaZmY+R7d4H5Tb oc1lbNqQI6GnB2aRl60+M5KsQuYNrQrSRWDm3MPgOl/zXttWAdw+IUhMo6r4y4SX2ZBhZikhm0Uq FoguAs6jZZhNFgcjU1x2o/V8s42Fz2uppssbd+ZQo6A2Vw8uXgaXxMuGjG+rjxnQwKIa7z46WeOC K1c8++2CxiipYnrAQ+6adwv7bymW7xCYwBFQ5gnxWxQaanylHYsgkY4wgHDl5ByfcqIgGZX+NHKG 4phKf4JKQRa6eb35RXS79fsHKzqfNs0+4HzxpNSFYvH3vl292CKssMe6bZ1o4q+WBPSdmz0hN1AE A/FYI7utgXl1EnxOkrAtpG8F2lFH4TPoC4avAJSleukDaWykbe1yrzHShLnI3F2EmtXGJYfxhk/5 O2vQ9KhEmkDT2Sdn8GaOkNxM1Y1cbmECw/SwD7zx60EAcJwbLbsLB8XOu7w5O+rwz+YAd8LC9VfO 1tNWUfWj90ghr/oJkCiFMxIeH8plSyyYxl6qxAdqDguXGF91HlVZvn0tip4wVrY2nQ1hmQ3hiuGa jAYuFhazsX+e+t8g4C37EXTZngETxkPkQg/e7e/HJkvomLjov6FGb+4tWgfTEjSTuZSh5f6aC4Dv p4Uai8YiZ0FYHESaPK/prw+NeTPwY+AwelIlEmuNibHu0cVvFaUb3l95+Zn3l9w23ruaFOMiv8iD dUJF1txa2wuL18YirFnrRoTKV/ikYHzavwVnOTpbbIBozDBFiPC4i+b+SOWsoEH9A3RdlwxvgCII Z/vx98IReIfuROBcmRYwxC0CEB6I+pC23X8Lag+rHEY+epY0eMdWu/UpM+kiPqO9/wcirQt1GvD+ oCKWzS17J6c/SImnblKQF+A5uUluKOHQ8S495nTdc4fr2ViIjag2MME7FT6YojyCwVwEDzk2nJNg iu3a1D1J/H9sJytQdSy4TNrvd8SYCqX7wsmjKH+91boAN4SCKZ6dCqMsfVoczpTro/7Sxpl4dfbf ySeAKODO2Bry9sdRnD8IQUYvJq+6DpdZQhEy+wBEOlR3Ph1gHzSb3ztQOmPzNttGCTNGe6VJnelw 421Dillr28CHmyBe0re22k3E4sBGe5T5wr1yDJ16UI7ziq8HtemZRNmif+qBEklvnDOgYDhYce2I 9WyJvTGrGEv0pyNDhkQdcMPWJxUxXl2fc9eUh26GhRReidVl40VOddPY9eTn64Exv4QYwgpwfMYo NPmRvI65Q4NcIESyHzt+wWPgus4IGbap7S2VAbS1hsrzXb386eF+K266A/Qk/vENHvXn2noN9Igo BLrmfCU4NH6qnX3r79v665KwqXZnlEPj8N838fB+TOtvYW/QTkb5zA4jBPIk1iLoANKH1hCK/+8r TdgmYxOYrNF4/LmeRoYDyIQR3DpiTpzP2YzKzWR2FSiOgrTpCEyrTzguHdhnYFir7iY/S9BEUZqa p8puPkw6pNSBiKJuHyBmn13N1lggxjYUr3qch44GYCHRwt1Qz/kfpKYVoipfWi65pAamTJcIbZhJ ZAc8FN/0n+QqQELcURVS28TT6Zd7McYS6yXXiaFe5m3HEJMQwHxcVK2DhGOC4lAmWPnOEJ/voLRy 4hJ4prtUoQBxbI3kayxWqCu6Q/t0QMVPgwjpkF+Zuwcr69me4DunO9f6QFjE6rqD3vPgyX8wca1/ yn3+QEAmW+0BIXY0OQGaHUL6yrqcEoCeyU85sP9UgWwJdL0Ey8gNDfMGOcVEqgFXatprCfO81POP 4AwifEE8au1zNYqIWkGes3ANhasv+XF+Ab1Q1Zq8hgYpGnc32tVdyEZ8DYh0GaNespx/0LWwR/LU SzfxAYk48B28FB6LwlxU9khDV+YojaGq370dpuQmpmO9ae2Uc4KQTKQNik8wPazfIRPRSMWl77QT c+++GvUsuC78GwjQPQejdK8a1cumV43GQunvauD+gNOW3HImQPDEGKPNg5gN6kMmtYqJJxACvz2+ +BnYyxMQ+ILUiidNmItVlpMMUA+61e6Z8zpUBMlGP+Cxt2X0t7aQp3rywk4vZv3yrB/t2vEMNfRn 0opptbB6z5izWTNN0P0zB6teRu+S5hb2fTtuoF6ook4RZ8EDECXax16kGVo4jkIiFQ1YvF79hQ1f 5KEwbbpvSfQCm0bfTaIyDAQAC/4SfuWlYb1MfGxejHeUmQylBboT/6H5tuU5PVMN7EgIgooJVK5w 5BH7idheMonDpwpeI7GqPvHImD/DWfSP2wpdGvGZBu7Vuh5P6nSRB99I21aAcU2B9nben+/YLVjK EIdyT4A+EhJjPtib3rVpiqDnwaY2yVRX+F3kZhfNkOtEj3TOlZiY4HN36VJm8UXxudp3S17k8h+i X6j6AnKKhYYOMEfdysYoNKVMxYWm3c10zm7fYwKAVA2jz6rpOts5ZLDd2tjyu6uozGccjmvU8hlP 0/juBVXiySHYPaK8cja1USSyKmz0RBiTYOd1/cu+C/uAoPPjh1EajTjvBpTxdvIHibEIX4YIPVEt y5ioyxpcWSFEyrzXDWXlFtuhFlXxZPu+/1mhzYA7+F8HdgUPNXg0wqw6i9JgdcyEcEBVdFuVrdug 7c8TKrdRg5iNlB9+TcWVIjw0D5Ld0qdy1k9gghMp6deYaC7edk0bTQ5TYSSaKI0144eRRkcww5lJ c/LX/+J37QBoi6btpzkoMErNruJxnG+yM9EwAuClX4Q0vns2r2kCp8ouXslkQ3L1aWNkPVZZ+2sE bNt6bNWfE5ucaGOw1IjT1eDtzCKlPEaaheAoxIUhaDn7/sQIhEinbGGQonOmsG9b6SnJyeaKVlwt nlgw6I88NyN07utPiQKKW7dIkIlUbLImAhGComvNW6hhUnSy7JnGfxyQFEv3ucD6QVovCT9RXk5b FCRlaDgl+0dapEqSJx6CFToHxtG9SE/cmwouMcAdDjtezIi8eKhekC8okqHaRHpVCgcV2KFBidTh YPCjEFz7tW8fOjiarVXYep3oi+Z1awoDAp6w5bJm6gb2pJyEDjr0FJ2lrHO0bnoi6QJn7sycpBO6 Ui1Xf6Stp880rua6AWd/FTjRPmpDaAB4LqrB96dRbnjkXsMQwaN1B9rrjQdQ/kIQj/y/DSw/V23a ZH4X0mL9kCcAc4zrc6Yr7Hj3ob52lc8Rfm4dqDRnskyXHQnVPtAp5eWBkMvDd9xkf0WT2oPijjBv aJAEISHAgXf7vbHBq0TukTbpTOLPSez7Ns1V55TP+b0Dle+XkRxYuMRWvgYpufmwGQk2XFOYPTla ezGAZNEqa40dNDAsJsJKk0yHHSBbTmgslR3VhyoNyqjvMCxYlkz/Gz6qLt1IRtwxbO915wYcj4yU 7PVI3ij0BFRZ6z0nOxiFId8hv+GVWjyJ0N0JSOEp5ZmV52sjchPK7nDiaUS9zZAuCvSJ3QX8OZ39 fj0o4hFj5HNKtBlAKSJsErZz/8obW9bTT/d2Itph8lVgMoYDHNhhNEpGsnA1AtspYDkLoHHAmb7f fudRgIALIwy5JkNAOzr8C0UMiuv7nr4Pt5VojvcS3FuU/MkuJPaA8yuB6iKkSw840ninzHpUPTXY zGEL9N5NTfrbdld7Z3e5LazSRkgwVt9rs2gL3dkhlwzMy2FeluYHlf3F2wTG/JJh00cEuAw5EF6U y3PBOdVxUqXsve8Asb35FWstGr3oaZRn9OSU9zevPfDunj4E6fz2m7+43zgLljJqP2hAD+wCOsFm yju7ML8Ygb1Ztn/4Q4BfhH4oKFhIhltyoiDJEWLCkVlgGse99v8VHh/7Y2Gu4BrZassNeKlXE87C MeG/KlpLwRyx3J17rvQFGPoHdGLnpRzpZLOcgGCp+UhugRXsIpTFX1EPFwvZZ4T1SR0MKquH8hjJ PKwCWoavPtiv+MPee7hbCcjz0FW3fE8r/+Mz6D90kYFCMv8cNWDq3+442RSKItEjk6YOwajFgdvJ D+t+XA+IXPZcZzfKusBtONIhXyNgsyEmXZ0RDbwq+hMardSJZ45624z9nlJVsZGg8ECBN/dRTZLZ qx9QQple0CcrKlDM5/dcQ4EYfpYlbLS+4lrizWYmmgTO53m8aRSPiAYTxrq719c2iqSfcTivqUaK bvRYM8rfUfZsMQYZig2HffSKVHQBaNr0jQblA+Z4ouJCSPkMVNFqxwDDLa51kOu+HBWLD9LtnLBm 5cJua/YwciGu8JWXKyYGPQ6lyUapcdLp3UhD1gU1klugRFkgkExgdY3MrQvaBUPAeiYA45rtelH8 LlQWfIBfrjFnhZXdqIS0R9EbAqewVM3d1jLFRDxeomBs2GYQ3QjLKnYzg+H1WsoonVWqhgTkuSTF dKF0l9Cw5UEUyL+u0cIaO8fqP/hT0ShxNMQC9kcis4GWC07HZJ8bQlun88jWEjvykNUqILKj32kM LxuOiXtiSNBP9VeqG6W/xN306zTPjWxE9AbLtO5VJMHjdzmcGBrHKdGOUohp81rAoIKvT2rNGCyM Cv8fx3wfmas3cGlxhHOtY6IYdgq/Eeost7jMDz2Tp9j5VK4nmI6WnmMHn3vBsOu58jtXTdIfF/G+ jgpAY3XD9vqrsb3stBcS/Ih5+siPs0fhqLMdFKQOFBepX+r5+RovroeiJXfhCqGO8Lel8Ii3yQQw tc2+41ZAVTtPKStKbOk5RqG6LR5CpeXAmomMPWXUmjqqNxECw6M2VhVllfEf1XkAy0ENbapR2UOp Zx+vdUcbd3Q9FNzClkethW9U7Mu5N8YS2iD+El8ij/6UzvGPjQ2GPu2PjQlpvRyuH+Y9rPHJJYDd xtaClrE+EjGKucMhlXbkhik5A/qgUjaWfQH+W+i+3OeZbn7BIuMVm7Rn6tAe/m+vR9FA8C7yKjSa vqJ1vZtmDJ5JcYkfG0DPq57vq6kgHS/n5dpj+hI02ULj3O+K0vTJ0FmqxCgY0dQrVQP6YQDQQ2JM Az0h8Z70ZzG0pT1hy58HoE7FKiZ6E8t4xyClO+IvBAqV0Ipfr+izM+2rbOwzssmrkCtfH+gTxIWB UWP0NijA8vRveeE9OfSZpIyRlQ8uZELsfEquTVdE52oh2bBaMsKmtJuTeWF4gAQojKcrbRAXKhUF cdHPrgvQmvyAhdU6Sh6qmYayP8kfm8pnDL/OydeYk8fsGCqMe3YiGa1BRkTlPt2ww9xTshNKfvEE crdJ2be7VJF3HxL8MfirC48xVTYj0eakKAfN5sjVp6Tf9pSVYvTXykeVJDA57nJ9iOkUWcdiMUVp pQMd8BIjKPfNRZo16RxeJZSoFLC8T8mlnznzDoLVxRelRwrB/4jrRraoxuc1N110kOhmB2yqx4k+ OUGBi4Z0CJ9mOLh9rs6uiPDa8s+ZV3STzRS3Jb3IyKZyO9aS5q6RDC796hVaAIYAs39ftcuvSKw5 J78vUd+FEJm/LEbf7ZBXAqYmtpyrsUz71hG/5j6U+8VUxJXUAIBdy+CEaaeL181beCwT0luwnL5d UMquRE8EtKDNQuoRvihWBgA7P6a4RDlCUwVI9R+IQG73tkrMt8QlspwDQshM7A+ran1IjgEJkxi5 S2vTVzQia6JFd70kjoDq+pRuxahStxmv/lkp2qbqPqW+EzJ54hfWI6/2eCjWgstaVzhFS4f/dC7a CpRP2MjoMnCbcp/s6xvzNv/9rQMa16qlI28KM5NsSxHvb45FrghQnNUJX9YQBeEcN6fS4XcN3DTT /NQkNk0hThlCfzhRIhIn5VN2xhKHvamNQkEmVupgDzmZUv3CUH4r1LddUdqG5QrrFS3O6KqpGKGf sAjYr+2ajiTh8+Fxg2sSSo7kDwb6oOmVpyqdR9mujROQ8Yn8T7lnvBD5gKp0klrlUO1JDsYYViZF 4Ka6IU1EVYjkU7u58qAIoqs32ueqGMfA5tdWe5QCJQFsoHfvGbptXbwtx276LBp6A20Z66qeZfBy jHv8uHJ9fWZJ1n63W2EFieS7dMrEShLW0uArQEkl9vHijVwQMZbm78ZmCpsAW00AtbT80FgjHNVD GhNui4LJ7J7gcYzs9aEEBoslCB7XwwudhuIF9MudhE9MJxqEdHku+HetrwAMKNVyo5b1uKoH9hAQ hDa6SigBwIyOaf0M7OsJBSiw6MRG7Cmd5MoXdtQ7vpeqmyziHqGB+Z0KPjSIwnQXd+a31nZBUnkA JRejmTyRs0k2grv8J4ilBFg6CVY46EjuSLu8feN5NNQR6OS+CPSzHir2dOpdGpkQkWnGR1R6K9s4 as+VoLeJGBxoc6To4N9nX6+qx4A+PbnIRx30BhCgDB5N4FFwH3tDeFC9Otjd5BkJ5Jb6ZoC2E+Uh 9lklcZH0/F7E+MaQf38ZsjW0tRZmuishQA/ZOJm4o2fg49+BHL/U+hVUotMvJ4YUt9m9T1d4McXd 70RKRh2cWrDgfZSFedpLP/n8i7rzr82BtPEqvZvWzitYcwtJfYmQrfrU4aRReZmsT2c5/AO5Db1E mynN9J481k+By/7F7emWfnWCghStRs8LjTK+R9e1XSfdPuP2Md8oJeUcA70X8pcxkJkW7sluyfF7 vXgVdDIKV13lNBtOQk3hQX0bhi/qA7sSNUSU6XwxtqO7dmhZbQ0/17gnyaEAohVVG3RF+eqHqdWa 4+E6L4vlc0NQtgUMlTzEO0emngFqUnvJixEODKnA/lxOQC/8k8nStR76RjyuDf+wjJDxAYo8KC0A et38elBSXzJwMEPka6ja38XJwVQSjwB05tqPtR+aVJdnBHJE+ZiScwXN+WTtXTQwqHuQ+AKKmUl9 4L6fEOzeef3+F3r1rKMIzTMt3LLMhjcaZPAsGwywAWEtpKTt9GPDPpTYE8Qml0mCZ9Ty4bQa2Q2D WfeHSDmqlbDM7+sUhW2mnfU13q27oDrAeMFsE8SfZbmQ8bc81xTEi0jV9SmgZt/Z8l+5nTDUxneK e6sb2kAa2SxEMSxqOok0/eDJc024ib2PF8qCBe8sRx37bjpvzCf7ipIkXNcvVr1hTcwM8IIC3m0O 0W1DMCWccZIjdttUYBwP5nyAW1Zdb7cYOyT4MtQ68/HGg7xFShw8Y6HUAC5GDluB0iRh/oSiXbl3 XVuriVYznxzUWuectj46TXUwoME0GWfhVQVOBzmHx7lWzFSaN4UdqCBB2AJVOH/HoqqORhvRIPlE dZTvs36QSJdYjJPjttgJ202NY07ew66PjAg1Ac++bWv29CeEVfCcsehLB3a8JawAOwy6GS6IRDyG uNurj2dOTpOXzra0gcN8366bEB/vnp29aMrmI86rDCbIO54E2i7n+wn3CHBmJfnZoghiDYoDcVnY ktvVBdNRdWusRbm/i6QQs+UQAQ2t4TnIEh+gHA4IYW9GRvFNIKLZDvlkgNl5gBsSXHSdc6Xc9KXj 6Tu2igljGByeTmJ4KWFgnHqDvFlI25hhKmG3zlGEZeSy+6zyN5Ph3+Re1+VGnc33ItGiVI+9V6Jw DnbnWiqDO5VGH9nnLHJSUrUl3U03JLDkYnRszC43p9+dXnJEnScajQayDRzBCUBR2zQVHSLwGI8w boEWVLq6NVXBo505JzG6J40kVGieMivPHS2Ft+joTBt/of359G8sfT+O29bP+KadwWG70KUxmr3L r4keDekkuUjooQ+yX5iYsEIIRiKZ9ZTy7ulSgcJtuFcRnTLaIVSMMVstT7Qk57o3TlVXn9rxkK9v RFDF/woVOSyQ9bTrUXqLiQe647DLLokmuNW3ObYzZ/LSkKV8u6H7OuPaH3Q20ZcXybafrhI25r4L HzO4B6iVuy/xeSyKPZ3irFJ3SlNlE8B/jNj+sgIubCLc2jVzLn/D9bvWDMoF9hFVH7/Zwrz/QFjK KU5GJd8u9W/X00bQmGzJxikFgw+t5OOdwa9wMNb7SLLeSAu/Lg/AQGuCTqD7/OR7MaL2SbrPy7Y4 8QT/+FllVISu771yeMqOonVMOOBsaMlWlj2KWz2JMzluEt3gABDLNb09svRNHUZ3lkw6CIymfyPo 3edzD0vZhozvGlqJcSF41LKfk0jWOkMd3o3JclS1Ttq5PNxntwajibHJ54NyO0F6nad+e1uq0TPH StzySvu212FUtLcUsMSLdeVCwfnuxIVAoh7F3Ije4Ih0mOEeV240KZPzR/mnEcTuLiRj/VXF5zJo CjNcgtlz30b1syiLlvBTLeuks8mhyxueAFml6MzpwKkAxSAOQyo5pa8xQJiT9eIlHb3HVPgQ1YEi ELzKR4BDmdG8LSwfF9tNBS//wXWDApqaHE8WcHKm5ezQBXhO1PPAMml1XXCneEBzPNNQu201s04d s+hdPbgDv91dLg9mqqyJzXKwfAE2Zdcp/9nkTh8aZecoTZskwYWPZI6zhOuEZMcPNZUVlDIsiMIt y8+kk++vqf7OVi5+iiBKaf+085dBhwt9MIOqCS3gbIk7Of5zUBeDchGMeU4CmbQQQeemyIA0RH2p gHSZrkOSNOjPzggYg0okQDGWoj195eaVNLZnY8Z1db45FM09yC9BuUvewdqW9vbpoP29zN8C8oav 149s4bDHBMsui1Iri9bNuvYEgzsNV2ydWMqyK/u4rCIZQ4c2Gpy8rNw+799nsnIsJetGhG/blQ5Y HFQTdCpnbyH/sI1f5/Q0qhD0hyhXOTmQyThdizEhmFddU12mENBsPWUlEOCggmAxFvBMJdvL9uPG WKQ+M3ISGoP06pqPJsn6tAj5CSCAHajDtjcdUs4SWIbboSx2z0Ji0SoAxnWJlzqcNJyqWqWcrytv gggl2/Hb7lB5St67wuboBsPXxeL+13I5soof7Q9BtsFdPO7lgUBRsEqz9KD5QADD6zNc4hQfcCa0 4/SJmb3jLJTlJZU67PV8GhelCBEBNHXMBESzPKRIV5PGWHq+aZ9RRUsBYVz7ePxEWzkDSPTstQJL KfQ87Pj99bdyZ2CXi4Fu7+boVeSl8WI9FjLqOxlNywtdTgyUI7TV8YKEG4IwubZ/+eaQV+mijMlY qAOOjXI9QzZntmQ22w3EckBawhz0y5ucDK2+qpaSh/vxR2lHbXSXErUYd4lz0hLkQnZ+iUfdLGLL Lwza2c77E4qhRJlRE7/ygJ3zTI2qpXzd+qQ/06sH2EbNRa8uGLKAu7Dhw4uvI1vscxNSMViBVv32 AMosyqVw2RijGSt5/MDUkfVKu3TDejt/8oIAyj7/CJfRR4GIFe0wog7AnojImql2CV7h+MEd8LZK oIdV6DiEw/IW2QlFUEnwEF0UbNjHWchJUOC+W+7ITwdTrW3BqomuTTJc1Sg4uUM6xVGgNAV+2Dad 5U3p2atfJOe7t32B4mfbng2LyuXD1YPux0vxVieig9USzyfAHhA6igZFRrQNE19tsKSc2jhhOXPK CYYzgGTmcNx7ldKPMELuaC6SVBGPCh4k9dbJpNuU8ohQnTTqRDETGJQd9K4TGKGqOHyMHdBGluGK ulVBzuna4nBkDkVZJdpRc3GRfxOeJ0pHNQR64YYOBaF5AU+PtJoBWhi3wkhGxHikTHkAOKkSU2Ew JodrpdgwUWKGB4Gsru2/O4cqPXMfV23Km6Cg1duaYXFsGz3KeMIbVZCHUKwVYjCkMjz9jtEpDlAg Va+eho0NZ+lwFPwv23CsN0sxZNjv7y2BZ4cEk+j0Wk/abBVKOkb7HocM1yzjpcibEu8cbx5nF+sE TEnyCjXqmX7i4XOS8UNkIskgrfJL7+58JfqCvliU6dPYdWicYf3VaCIvJIGHlbEqtCvry0uxd7Hx eAUrbookX36nGvVPgsq6EytAGd9EqebDZkRpFDP+rZ3EvMvDt4OyrPjo5UR3t96I42UQcgeC2JVD N4y7w2gHG+ctm+ouMrMDS0biQX3PsVRoOvEaBt4w8KmgDvezCuctE1zgTdVLo53cjenf9jve0IM9 wID7T6s5ClXtHZZ/t9d9OOGQzZaJBI3WvhcEvDV/iYhY1VIoeTggQt3LrTefnZmprFjHrUBA8xw9 dQ/0U5wXXA7r7HeFNCQWd+lVE7fqB8p/7yFL4w5/CWMwWzCN8XPKXFd5Qx8st9UcALDgBk58Si9e 37HKO9K5V2AS2/xQSNrYXnxkqn9dXnRjK1cUZomcO8RtZNZPJ4i8xrOwRJDLEiSI9ym7js90Bwb/ 4rqKOwamti74yzCkOLtsjFba9OEVIDGkxrnPVX8DQk30bvJ5QbLiJJmbNWKIVoNzCwWweNQ8L2e1 TfU70THV5lhfHAeNDEznX/Obx6NuP5ZMvX8BRGMP7cYnU7MUo0NIn09ZqjejCeiWZUh43AeRnZXE PGkrBWWrVr4JAE+g9VFItyGa7ygmyJNKdSTSFnMQHI9Lf02qZef384+/KJzbxfbcOqSRD7/OJH1T NHL/JtFPAjqumtysBRevfGzv83RFmfFfjaQ6IMVtm9K4SawK0yVE7gCntt2WCRaEkhp1dHJEDdMk ctYRGw5zid9baktoZ9cxZbNyUzuJnR22qEZPi3COoVortco7gdTd+7+jbg6XEF/8YgIFLCwf1kvu 4ZAktvDKkW1JdBd1Ijh9riE1XLoQVPx/Ob4PHoUTkaos0fqQci4XBXi+1QeJSYITsCH9TAF2DLIX 7gINZ9qD5US0/4Lk7pJm7IgXv6iOM5G+yDnnnrrdAtfb6ZpEZZnGyIC9Q5+ldsadqnek88MNHwmV OEFqtzlh1dSNw6brhpuO7UOzExC7WdESB2K5F99YrXMyze/HvZxGZLvvkFepio43DNHjvxYL/GNT CzSIKj3WkK3281pHsTjdmT0PxbA26PaGJnewfoIJUckCfyttWoU+wRW+4aduym+SXZlcK1kFA/XI qX6eINqOiNJ9q1AbfS9yCxIPnTcAjVt5vDjbUjoE+NG7D+4ZWXKICkzW2kRzl6inNp/FlS+Fbjoq NEdY80o3SIVVsoPVCVgXnrbjhXd8SUEhLM/doKtUtFPtT8X6kCK7qTHI5Y/+tsb7hD1hmBcI2GzH +TSo6NqGrgoxVep19FtAa+IBJww3+xf4p9FmhMk6yjLdJVgaK6nWZTxje1KMgu3tuThrs6UoygSw mIPjNY1ELB+oqqyYjeFH+nvvoVL7JEWmiD3Ev75Q5MqK3mRfL3qprndqvlaIzva+jQQMWe5hKYGM hlCYAdVOmlzOgSgdh20RBWN4+AXzvspCuGWpJB4mInadF58UR8g2SiFdk6uTfB5nlMBijDmc6xnl JBbYJBXZ03J6BHAqIg2X8Wba3aCX7SyE1feDAEP00D48QutyFzIxlJFcMefqlnnSv/zu8Or2lgsY tmdcKqZyacpA3JU9UoDdIpz+RYQq2QJgYXoEI9X2lsGUSCVKYcVNNFVrnYKk6c2fXhvEhUkQ08fG tDtK9/k7Hbo2Tm8S5eW2bRew/CbkiiDxtdnz5zMOV3Oy2j90PeQsKyG2h2CntUeH8yOCu54tH6J3 5JTlPqlvwqn9MdD2UUQw7EkfGMh+JtFUKyQbclttH433yL/HGylM02DBC1SvA/XB5ruHLFHPX5s5 LohqgmvkzG/QIRiqE9fWnqX3TYM8LEY4uHeah/kBT4meuTSDIP3Y7rfbwxCIu5nzzmGlLxN0GHVb l7LcPYa/yuKecSBXEKNXsFn1WeISG4ddhcNKqudQsxYlEsJPRfyivQqovTw0LfjsFylaNFhVVA0l WePgMdpU+znzm/tqIX/nrFnIo8qrHUsPhXCABiSiTdB/laQ1+x1pvbWjGlRIhXXQVoOW0YUp4A83 XCzF3qKFalKNpHbFGcZVy299XJ8E69Zr7n0ouVf8ndiY/N5EtTd/PZ0k1/2qcChikpiqeqthLMOo NI9v8uCNxNcIQT27i/M88v76kBiRi8BReSrlheCvHpOjBv447jocS/OQPbfPb/wvllL6jO2fOUNn EqJ8nx/MhgD7DYed8w9ApTTcLDnGsoaknfAcfecf4Sf2ScSw/TKmLY3TLcyuvypKbA7Cq6jyFrYG aNOZ6o+AxXCSQeQhdwHPdjnNrN8BjQ80POUDMHqVRB20vCqRkvnsTJW/T5+F9PZMhhZ/YIqHs0sm 7xNlVlAdEwiuekp69eGRzfpabCK6bEPevjEJ3lRtMo2weq9++Ox4QbhyC4hC8T4KmsRWDF/BAmwM QuIu07cH9Kx0SMvZEj69gvhQZJQty6fm7xypmyxmTOK6fqHWy6cAn2VB0r+U/aZQV+R1sXKg8rfx se5iTte0qd+FF0a9j4WPj0AM6o3nda1UJAdY/w7YPjawE5XtlZXK7IaEVqbp1abSHL0M0tOkCD1O AY1WOLbUNoEUSmJGvj2ajGSd1xZ3/qZECETxCf/Ug1x2pLiVIbRQaWC22mk6yFKexPNZ7sxV8YP8 qhH2lstw/0x3OBLsyK+AEk89HRBsZ6cLFKnSAiy+TbpCsL2GRTFqj5kG8fDk7FXn1TKtgat9eMG1 Ljg0moZpi8dGV0sRWk/QvY1uUrRuhHN6yokNI6hdggmXVr/b+fM4tRAzi7hoYImop0OvBu/EfHkb ShB/Y7824GX5DKjM9aUNOmqWCrF5WfuAFY+oCmmsmDbDO5jMhDx08eEdt3hhTMq4ZXvKqCCg/rEH iibWmbZMWumtwDja7+zoUjr1vjXi1btNR+1hmwsZtaY306QB35dDRDc0XFyt3Q/F6ZDy+vrFF+Yq 2/oh5RWrAH968Ea+xsxyWcm/3CWHapJKzaY1SfVFU/xQsIKyc+rLoEo6AqdFG9hQlzzvHe2zxU87 sgQHAos7KKTTbBaPOFZJOgp5SH3x/nFoxc91uI7voEcK1fYenP1WXAYioYQeOiCO9s95TSV7LHOD iIlDRAvvvycEETflb05kPVAN5E7bUr8H/9rEz/fAWDAUXlP5bc9J4/mRHFKlnH+jye2E18t5RZzR nTulz6wLc0kpiXIFZWiHB3PmmnExWRBSsgVaeCBR2IpprZVm8H1Ze1T+X8sXBsOMxDb3TROOrsS3 a7VmIUs3LebNRMt3rm5Nzfb0uEY8GaaiY4qfSPNO4RgIlD1Q9Dan7qlOGaxQYUSDt7XPsEQXtAui LVbMC3wTYF+NEAp6FQXvMd5YCCVDRdHSeQntcmM9Pvk3gBzygglX5GWWxppKhN5aSHoozcKr4HKV qXvXNwgRMHVXRGGlgtCl7+KfMqa5gVpEL4jKOoHyL88V5mreb3j8i5xXrdcgNVqZZb88OZ3mGZ84 FM2uXm/flnoy+cp264+IHxG2x5xapCY45+2V8r6LlVnWPw5PAV8Lx4172D4b8Lz6R2OtJtZfUE8Z q7VQjlsaibho0Pr2sE1aqwXDL4512wovb00fDkAs0FbaIuUWdviVI7XSg7rworl4UeOw3Axtqtjr sz6eDZD39Pck5qUrMg+gMo1gfqhgxSdzu5G9K/ISuB/ycNrMU4XBs/DUb1n/v6ePtZ5kb+BrQGuq OLKT730T6YxDTpxMrwke6tM/rKz0PXo/dC8juAPyRIglrdDykaL8GNdalZ8VEBaA1/yjvGYYbaL1 wjz+/JrmwDpZtPPTf8UhpPPB1foIwzBfRtmNAUV9ZaLMr3hozx5eOJkhcSLD9RyBdl9yYT8jDc7C Fi+tMo4h5O5Dl10tBkNZjFdavhvgBhFALxKBRRc0njoJZRCQAkUp7opzPPnDmo21ZEpf0oBurANm 4BmStvseNHq2u2sGSxQ3/kPhsj0ebALzvQWcJyAScrH7f8tsHNI2vxmpgCfm85SuwKoWUQprSz0Q ZoLSPR7df+a5dQp8HypHbW/2UK0a4yoLoFfxfSB5P6tyTM4sXb3yw97jAxDfZ/ZAxgtAq3ZSKo/z 8o8sXGzDj8+tYwKoUY4A/WX+Vbwyx9EQGD7lU7zBZXs+59s1/E19zBZlGaBPfcJcRM2mZEdBdHfY 4JkY6L+rWeNS/lPq1u+KQxPZ1mziQdyoduJu4WdtpEOmr6HaRYTE48Bk8QjqhhRLaSyj/2CKRzHl zu+HZuGGh6mqONeSP/2QLWra+tD7mlWJFz5VvBWLvhLiOZ7x0eoBN3pfvVDwz186FF9QRNzMzxX/ uvuvt6zmveIC+NB/BN9QnBwJS/rSDcjURFekna1QkE/hLOtUeMAhTq4yH29S1Nftz0S4GJbU1psP y3sszWhhEU3uzclh6QGyq5n+a4gedudj3Tv9qLXieET1I9LsSn+7PDPa58Lz0M61dAa9q7GmFxLJ BLxMiiIwbXza+gCHxzksPvb8TiLLYoxBFx9rcA0Me8qbdktr2UC3WcGcjzqeN10AtqaIB1LYJrjO zG7kxl2pWxZJ6nHZ5LefiXIH8qYoWc5kD0urFRdtH7GEDYA2PwnBTd6woPQoyEnK0qDkwkdLHDmy HKigZ630hEBpwzKJN0L2DhiK8PwTStRaV4wYxT0SOKatqZxg6WmO2oUGRcnPuqeKUFWza2QcBdVU j90ur/X8DhZxXUma1M4aeYC7JThxD6XfK3ChzXEjdEaaQt8MTtBWymeYKbnf4oaZOgNivrwa8lpA aOS1soYymfWwODYx5gZV1zCkgGjrRvYAKsO2fTFP+fnlYB1KFAfnyld2vsqyo1LfqtVCJkDA62ez l+pJ5C1iu69Fpk6kSSj7jNrlwe6uY1FnXohb0CDE+BMEHOIk80hyS/iIqN27iDicaZI15cQ9T1Q8 mbeyHYo6j7TUJP1wIBzrevete3/+EnNEljP6BgsgZo+oXVAVuMxjRUqqyTTU/ItLvqo32QVj4OAf Bf/MeIL1gdyZvnP9b0A9y44JOHgfS/Zr6R45ZCmb2im4WFRuuMxPpYdqTfzEC0l8oMdH8U0AbwV+ J0UyaRMIa0d4pguGVnzXqT+IDPk+welmBtEHDZHNbXXSzJATnu0vAAoxQTkp34wlekrlihI3tz21 d6jZBEqs8DQuUogLSRyoD/w94fSsTPGNBLgBnv3xCjUtsFnBPXD/LyM4IXwrCRCA1YkWuRKxQze1 3ioaHmkhLIJY9rb567SQN4TmMNkQz80JJvox1VblvGOHqfQjXSD2zKJWP+n2t4dzNgGOAHgZZ84f 7HM0YUKdC4kA2eQrB6CDGeL1DE266ovimIyfgBPHvqTtoNP9fc+f0t9ADsWAVhLoj5XiuzKZK7fs 1beJo5v8ugnMFhpb1Cj6xs19yGe+pPDMNX8NAc3z2ulALzRjg81zMyufKK7CuHEyfYRehfV918ek 852NKbsY2mDEtnRDCm/0YzC70l9UYDnTHfYZQYTli8rlNS7iUPy6P1avNA/JlyAGeTo5As1TWfTv eWUwlf0YLFSKt0+U0STEj9LLLkeSoApCjcu2WV5VqyiZ/xp5dGolTCvow7eoE+MDXUiTcXjG9Zqd pUmd6n0PX2PPCgyICGESCDLGl+0ycARU7+XgogFk8V55mn9uTR687YPNbzScY4N7tQHHLzvLR5oO L/oqbiVWzMoDQ8VaajXa4UD2zw6t08JfhkMOK3ldoENvcAgLVmYLT5vDxHPVEvPUwxXmnZGUY3nI deq0WysalQL3Nx5DESySg7JPStELSjGZut7X0zTuMi1izC3i9rWeC/YYt5OFCB0iAGCl4tTDmYB4 HA4Wlh+0S/obda9tZfKYM3L1w5UbmRVGH+5Yr90hE5zWNcVi8OB6pddBOZa3bGeYkc8zMoP03Tam qCN/7OQYJLYQvW+K5fCugTqh8uMB3k+9QmIjHh/yp7NwWaTcVo6SYrJ1sqIp/9knrjwYsEQeCOX2 KR+Jo9lAAlgWzIS8k6pdsegMkSHhGZ+CnrqhOuAiAUSPS2CD8+rcv7IXDcE8pC3obpYJXUHYMuAQ AXbOp/Il2WMZPL/RPVafm3Rqjk2ZdLYcsHiydgehOHcOYew2dEP8WM3/jqlKttfGmCawZH9Jn0lx VhevfNpu6HBEy+6ai85oMTBQwqG6TMrwBQg4qSOGngJb2Sl3tKjUaKe9a81ZnI+DKq2xT28e1Ucp A4w3Wukq+z9R9YMb8/W43k5J8bofnLFQdwkwLTWTSpZDQfXPVt/SmWvCsgLiJ/ZrymwXlPtsbLAI rV0/TwRLo37GsIKjB2ZX6VfQrQ7+OZBvKKr/V7p+mPN7H+4ZPFmDVCP8MILjeqIsPZWXX6yecxdf 753UxMt5kjNUvcgsXi0wp8+y4hTyqcA2O1J3hOB+DtmnK7My3F/1Hm/bz1mArcaC7Q9GfMvCKvee 06MKl1/aLvwv/+qCp2O+q2OLH0JmIjsUvlib3ZSAzQXy56nq1LQFwaVT6d5FB2oQ6F1D6JkxNQKQ 7E99d+Jnl7mBu4uRTox44uexqSwqqgB3DmxEuhoylBfwxi6TxaFa49TijsBfvfGGfNHi9Jg3HyMh mKbXg0TP4Cq8jWFD2yM48JsucjKC+7uncAjwYgSXoj2fKgXwmQwEzn0SVVFpBL6+2zW+YyXw5rIS hKs9141/Q4q6mooVImc7zIB434XUqSxr1MyH89i1cC7qVCg1GhLeuHGb558u0dULiu8PD3KR60jY 6xo4JqNb14Fz0RwJHSd17NWsdztJ9uKdyC1OOKLSoDh0ffs5m23cVHeXSr9/j6DMAHumgBhkDCuF tlku7lUaV6JeP+x/34mz1WijlyKP11kpaFTD6LpCTkWmWnobfmlW6v4pCuYEBUrQDsrbWvGp4d/n oJDqVQRTMRvK0u9CBMBds7vhFJ7pbfG5jw7y5oCciHzjgG/saUSA0ML50nTd/BR9e6kfcVBS0hwr GFvAaW6PgCEDdX4pWDKLYFIosDrntxp6X6M5d+lt2tiaQQUkGQ3J8dx1+6l0vuPsTv9t3lleRIDy yseeckpQiAP29VDnZerVGVX1f4K1zWkZoImBYIS8MKNxqSVWB8xB+Vf2QTaSyREJS/H24BGfn9eK 4F8HW6cYFLm6x10FbgNLQqRwqmmkgOlIGKiViLxkdYPV/ko4s+m58Knq1bGspIVbmktqN0RYiF+B bAcQsKflf5+w2Ee/GWkV4qiZx1sTLN0wRhv2XUhjhpSqNY/pLacLhRceZqhY89qTcHPP5lWRXWim ENCwx+mSdQl4w3eQnZo9QMjTJfZcnDyGi7ySkMmFueP1zhxIatKk8m6saEgNoIKcCkzs3nTBrBmJ z7xZLZMDjdrZfVVoaN86j2MT5pPO+w5DWgIDd8qhrnxPJuCgliZEnF/ElwD+ltF3n0kBKLRLnDuA ZAO4Fxd9C3K7QKXyJORi2S1Lh7ABJE1EbdUlXypyK30whtI/SzJprfJuMAzioh37KbRoI6mabuHz m93wV54jcwLyqiCdQpGzSNvvXv4vrRYGyFcE+Rim4PKp+AacXnDF+eRMuwzRlpJR5B/S8GHUKlb5 JT0QadAlAJfBPmZsNvlcVgf5Jsv3J7SU3BCCh9BHP0WZCd1JLN9vZhGZX/NR35tZz6en1HCZ1Gpz 1VvNzThP6oYau9w4t95ecLrC3uh35vdd10b89cAPJE3Xn5yReLC0aoLL9qNIAgBq8/1SxOOWgrg8 xgR9bpAiOMjXvC6knjJbS7nh9NiwR+jyypUecaVLABnJV6+VL+sEDT0LoF5zetwKjDIuoZbITfoo loJJNPzz9VhXh3fc0PKYyHFp/P2imgo3x2D+yrRTM3ulRWtVku3fxyJXtayDLnHEuWoZD5P4kQE3 f9dcAQF11kgDJG52PH7NR9csp23C4xPEFWrOyq99sZsjUJWA20tPIv31nKZpvsjQh/K1N8R+8S6P wsKCq8wqMnNBSCBlDEiFUuAqx2ufXdXlvDNhbV+rODT/JQRDhxwGxeprZrWxIV0JhxQF5q3QliSu qZx7/3BgcyruZw0vpkcpfLH6+aFINQSsQQP///vHhdCDVyNX7o+ewjzcIqeiMW13hoHRvNHTbBSM i8ojFuppqlToPexheSkeJvcKJWtmitCkmUiJ67w5uFd74zlMd16PielXQ/aWYC2Ez40LnjmSA8V/ o81O2V1UNArvIcyr9xy3q7xxAcF/7lYzPF1a82unK1u1EE3LtSMPK40eGsBDY5ThuwkEEDUKGtiI n6ELVE9AaM2j/H9q+ocCWjfzjNJOIqNH6k7PkdBKuIAOH76/rsPhwyROgU8avzmnZDjw6YuL2+/B Jc3kSPBkTVK3sVGJwUVTLJZ4O5oqXbf5/t0goZuV8O50B5rl8Njo2kDSH/4KESvVrDhiVri+nn+S uc4pF56E+tyaFDXIE2vAJmcVWP04TqZI/EBcg3WrI2ogEdLvZFscjRkdilv5ZBtVbkVRuucqfDqz jtQLlNA8LMiSU6RiFTYj9HVGMomevgMehT0DnlHliyRalMbDUkqsct7lGNlBeAG5chdj51R40FvQ uYY/O5n4TFcoIjnk0OqlPMiW3JKMjEMnEV8LaihMMLQZ2NEzBZ0rD19mb9djXE+mJ4mvIV5Wj/oK GMniGulkLPjmX0IDRPLyS8XwU2qlG9WXhod2Ey6ilMdeowLa6bwn33iMe5IVftK73QefD2bHIonY vO2Ms36VfMJn4D7XACmpaZyKHvc6hWFDfm0egfwqDSgtxZiog30sH4LKaGJ/hyBTMGmFXPhVT/6Y LcgQ8KYRO0DAtZs8PY5K/ZUZ650scoZN7tDTvElAo74ZdOISnQTPc6jU+zUw3TW0tly09SGQdwDZ jQtrT2Ar+r9S4DtkS5YyG/LvQ9rJNkLDm6NJYNaB/E2xk7aqxwIM5ZdkN2pHgaGdvUIEOQGPXqo1 frUrBwIDUcvUCRBMg5zT7F5w51/jp7IGI5tYNXpUqJTP6E4QGukJ/ruQsDHPYBfpKHaolYuqAy0X hwwCwfo5/up7yOC/Xx0ZeV7wVBaUP21mdmW9EloJ5FA7EIkDq+K0finfpyv41mq6vvxQInCZ8heP sY06G8abi/JcnLta8Hs1fHKFGH4K1RbIETowSHjU0+DMryEw4Z7/4wM3QV4J/TsUWSW1eEZenEcX /UcYPhHjVDrR0d6RPu2/4mXTmF4HauHNrQ9aEKUcrTNtjCrf2ROO66mStjcbjDycuOK0dUinzO+z lNUbmkYIRtL3ANs6F4YihzVgmPmZbspTtEdxmT7lDRqalisiSRbrU7H7JyO25GPVRAilMPFbkncB H+xMuUkNqXtmJZjggmwLKQhQgoTvisp0d6y5LjKgiESyCcLEpWvC2zHaXGQhMFzfqiBCSJp2+66J 2MAjHwtfARvMm/TDo4+owFUaAv4JT2fs8g2z7bi+5MAfDK8tJ4Aii0z7n6ZJ4U934hJrfCMZ9prL ycABb/Ri1qWQjXMPfgtFSCyoc36DpOEySmUmjIKorhDJTme9kHb9k8ph4/pFecVR2iNvAfSTIaCf QQV8sx87+sM9X1nnfW5f66uXtsOmted11mpXUqScoR0SkdvlD1xO137xU8oQiUtDcIn721o+kkZK LxhCK7/n6BwYvOA9UUA0OSo+n/bmxntUsbyLHYcthQAcD4spd7A+LFznI7HQwz+2LXviqFtpEDdu S+XXYME6ZJihPdCEEV1YaLlqhLBOFMU2diEbF7QynVPH6Vq9V8eLLGope3sGbz7KRyJfNIC8p4ib jIATiadTs9hLRQVGZp79z4xBREfWSZR8FzQA2tjYlHeOJrL2K58Uu4ibsby2njmq24NYSoY61F5C YstoQ8G1OE9q1BfQIVjnqCa9+ymL0YLNtP3FQ9bLpGbQ5rGcRadHHFZ+8EPytoJrPpTXW8Vp+i3X yW+okhwYT32okHUQ4zlLDLcls30NfJSNemYUyHV8O8oT1iI/h+510zjsQet39WNjCquDfgshKNY4 EUzxaggz6z58RUtcPGeSUIFehVh9fnR2JGP2bz7fMy104pmABxybpi3X37XTS22dTVPiQ9AkmEi1 MhEv/9ku6HYqrExTjWARSpXnLa8bxipw0mb5tK0pbDmdjXYwxSpF3q/pNE5XQ8Rz130iZX0jPePm 51jb1llVo32C0D3OqvISoM9egtucKROAg9or/SJVmtFVT9SmtKtD780+FPGHw9wBF92SSX/+v2VG A8kYlhrhJjsTfKiIpBXV+7TMBEOBQOTro2UAXZkYaWoKliJzrvYLn9pC4mhvWrh8nnK2Xem1KWr5 K1i8kvFv2w4R/eHCokKx0+dDeBNZ/KofAAZHsWS7bpCP0CanVid5DdS8bX9TYJYtU3KJlZE4LFnV ELh7hvfPLM7VddpNjv1UEBvrQeycasSpq53RU3+o/BsgRDvkB1UAlKomKAUkkizXB1unKDht1rAW yQDHOA80fOW7QqNvpVHo4ssEGjjynLcrghTd6zJDg4DWOKZiZ9BU53DtuBMh8nSfAfrb9gdBonQP 6kKkBhOtD/1/2bO4YGtPDHCfEuQQjhXgKgv5D21CoA4hBpYtbqno76/16+49ZjeMiPFw17FMCYYK PwNU8oEzTjI9+oGYufRyS8zBd8o7nBOIzqccwz9vKZbqz8rb0W9PAI4sXw6uqrE/2kQE1tQ6H7ti q523oE3bp1UA7gqh+tlA42CGK1AKr4CwgvNuJ7VUm5x/9xekfGYdDY5EIlyHsJnAKiqtO3oFTwko ZC7UlFLy2QJZVkmEI9Ss0o+AkOzF6piHQ9hv7fbhL9yziwVJw1W53+lR3JtlBl+9e7Dftwp2aTi8 ao2BU6mjIXx1xi640wU8i/DRAT5uKFslO6Kbf/dvCpRbtKq8RwzsZgb4zMxNQiZL4G2zwoiGErh/ L8twdM3ioaNROYI97sAyuZxx21xbtTEiy8AnShGrVDucqy+CH3tZvvj8qpjOo6tNPlZ8+wNflyDN WqRRnrF6H9ZMswSGiH4S5O2p6/oOmSiuarRjMoryMOv6Qn5eZX1Kbc3knStYX8LQU85motWO+XUd GX+Nq7VrgcXAmrSHOHt4HvkDUSyikBT3YwfZdNeIQz9jtv2BzUZmiWhmXZbqVoIi1cgvT7qFTGJG 5CQC5FCSvWHComwI6FYs0219Y+QopT68ipFcLG44prdz2xhn+XK8zB75DgnDuXS7FipQFBea7rhS 81r3L4yernuj1MCRmfTtIE2wsGhNG6/dCNQowyOqJo1rEnFhR/g7avzDr4atZrjF1YbZfWvYnNVq mRHzB0Dga7pK+dMoC8+9VqEjR7eh+1uq7HAgMY+5zKTv2yjpneKysUwrfoPS/qMtox/bYbO0m1fC LkpTwFZp/9A0SjZ1bpkM0CYzd+V/ditcG6vG/EKXTqNP9/khTWyfLwyWznJjKjkH/KKm40PPnQO3 LCXB203MSbNqqGkvvKHlrJpaBoqL3Nl+VDeG9Rc6rne0O3o0M0D9msxVv1uj9qOLHVX+h3WhynE6 zP5CYF2plbXbOraOAuQL+YPminEI7IqjuMfsgQgR3XgMy5eUWwGr+p6S/yHFgIfg1redw8nrk+QA slnvyuFX73GOu7GmZQXEqIwV5ZofQyh3qSpTtVFqXTfclL/dDONJNowIZLdFy1A5ThoL7T/eaM+/ IOuyv7PWNA5sNN8Y/HRv9Z5UH4FawWU5Uq9hL+hj7TS+qR+HmWGRQzKXtp9c33IEFySho6rNF/ob SU0nYN7nm42ksMr5nlVtpJ6SlUFFBDTi4qJzjm5ICmDHWQppcDtMFn8ltGhg7EkKu/j8Q0piEdQz 2HGy0cCxfrj9l0NXjPbnFFrM4DjM4AzeFnSmlEEeDOfAWl6BE2LhIJ68AtZQcCXxTFRNXawhB9dr D9gyIbbhoxD1gZMjFkiIjg+Dhwj2NqQFJPASiyZugVd1WKizy3XjGXanL2EHviyoByYQPl0tHBMI RcVkbOn6dY5FNMojUMtJRUmCpDN+fvCH6+OJHsDgHXRaXUX8LpldVvqd3DAVMiqQNpVSPIJodZ1q /5yAwO4FeSnV3v5DLwCqXD265j2wEJp/QVhoEYIuO55a3sMHTFYDxk2QyLm8fjOjfKoXooqQaKmo 1Da2Ngr5zHhpdudD8iLmAPZMWrrZDrPsDdnB8+cVHvR2c0YgkNh0PmVxu9OOae4qF0JbCmzk/j5s Mca+phdZ1lcqjmo6w2zj4NDk6YffL500N9Ljm5Nngham/wvPcZPlADpFW/j1M8w8Akr+Bh4s+LOz 0ItiT2/GZsrLqb3YAa78mooTxvc5IOpRcIDN4wzVenTnwmo5fOR2kO7lPPC4V/V3LRKxL8Nbke18 3OdU4SKaBdIP3Rw6OM+zG7YZOUODU4Y0MIJTeS9kWyHCw0vEsczH6EXRJ2pn2fOyp6cTQLZiuoFX s6nrPn+TawC1xiV35788h3HKDkP/eF6JdF1KPniMC6LJagBUFt5vgnntRfAHcaKLV6exAxWtiFUN 0In7sPTO3mPb0sBA+I+Skmeey95x1jungi/6NUC6j03TU/7fmJIFApcZ4c9lBXOPAos1u91UpS+J 5/L5H17qZ0QLimDLsCFExA0EX49FEVb3T4gEWGBJpsw/ppmAk/112W4NK13tJQHCc2dk4FSoI84u R/mlGiQXOmjNcBZWNtZhRB8ynh5Haza5DFYDDAcKSU2qXQBPV70Onlfndmylvv7wYRn3u6xlctUQ 1utLCALT47XkvpkAToWecnkv7C2oUAIOs/R/U6GbgvEJLF9KR0zOGcrog9a34d/CkwdaPiDWBx+k S5f4FR/9wx4dSaTjjcNEQq2zH8zFCUmd9qb1see8jx4p3ZfXPy5Um2Z8Ie0dIxbr+DQujj/sUcH0 oD2KwyvmcfN7/jqUrmd9FKKrvn1moUORBYuQxcSMCGcPnKb7A4yegvUnXAzUg/GCu5wLggy9Q4s7 UQlyC5ZjLzYvtnEN7g0HnkbHyr8cGjhz7HEmLXlEW7zdlFQZt+JWOiX25hp/KdpcY1SMc64GXStX /C47YWXjKAr365hxVoXjvHtJPPMxkcAqZ3B9Fn2f/R+k0sZm9P8Nswy7M+VqVrANHjoko/c+Uv4A dCFgHt3cgj53l+P1MieIu93/WVWvlI6gFU0GGROOHi26w5fCJKn95b3Hndv2iZG2dK4gZsj+aHly abXlQ7l6qU1dpjno3fUMglsDMj6EJFcNbBtStfaY0Q+PC+kN4ZbWSkDZnK+HXsnvM8e/pEuRHrH+ sxQkFVjphWwFsDrNOsiOYiYC2ertT/uLumNeYGr4zt6qeVleI9ky9BZFheuMK+8f2Fy2XAsueYFb tqhiSpcfUMavbhQ+KQCWNp9FCWbIE6b9/8hIXOFQdmZuzwFlOMyw38B0r+4scG6ovTk28bEoZ73y jHR3lsCWuRcFUZdb7xhuSExXaP7FPN3Erp6zDD5yEBmUoTohxGvQkH53pkLkfHEqTzCDd1he+HNr Ri2idC2u71bdSrYPWEFbdI8ioTnTscl72g6dJXVPNl7fyga0W68dbN6bD1e2c/MIftlI0EgyuCb2 CKr4BT48/Vtm7SOSvdBnWoXIN/bz7YIfcAVha6dkGQ+gbqHUUX6IV8WcWz66EZe5nmiI9ga/o1XC pUrDnwYyq8dla+c0SfgB9PCMp3dMNwhA6EAyClDpZwKnNwEQp/oLNYOlA1EjcwQe6YB5Oc7KuLDK sRMlNfy00W6PHo7eEc+6RXnWpSOuFhan77GgIn2f2plAiTpEDy0VKfvYg2NK7skPfRVeCCPoEx5D 8QoSF4z/WfG7x4yIvuKUEkCd3Gb03Hwoh+hhqwHGJLLv5HSz1JzHmczqUsuGIL67F5y9k7YkJJ44 I0+ITcoijU2Uvq7vIdDokh7AGPMhUXglOAmJRUfl8bCukVHN/cWIpnRIJed2Rm+aq6d8vXuQj/fw pCHIq/2IDTMt4MnYI7etpeztFXxpW5Od6RdJcRqMh1NEGH9aoPbA1PtU609SDKlbRYpnI8Tlc+GO X6wjhV0/KmpLyX4k7hQQ90ic9BW7VCk8dMZw+5NCHHwmIuaC0PXwg/pJJUeeHhi2oVGQrfBd9mZx WVBteHjXHoPN6pDmPiEGpt0kFNxKBo8iNFDXWwAuftDjplo7zFGZxe1HzG0D+kl0rWrhSybhIi9j 7cox8bdnVMGT9V9oR5Q6txi4eJ+JUw0srjFQ6T+LDHAg4CSKEHHUSR0xtD560gz7k+ZQbV/7I++v vKvdh7YJz/3vtrkSc20HvEE94N+9xUjJXAQToXDOVbgkOeqSDwz5NSJ8jkn1psOw8sQ8wXgtz0q2 aKs5thh64c8cRKzMOujzMm9Z+MYORWDGnL+91GXNPRRn3kY76sSKcOGG9PZN1+RynUL1w/sRnZJd kWLJXVeJEb9crMvbk8+lq5qzaK/nLY22WUEunAIj5ckZMAk9lKIRoTPsp9Rf1KJGM01U89qFHB0v R408FAR/IYF0KN6pEL3oD8JF40V8h+HNCJbLR7hs7I0piGQRezbqz3G6eVjzZUR63ANhNyM9MWMv pKHFPsMzx9CKG/+VcEpYdtMLdimzlUF/hV7Z4yoOCUw86dUGncgMOmK34nWb92jSIXdsgwb5v7P4 c4LE2CzqdC6jVpN1OMaucP6NnGtYLEX9cNS6QbdT0gWSRTNwe0PFPMKMmGdTdc+FTBBd3a24W1jd pnvD5ef8wKPkwANWGifekDdg69j5eV81K7lAcbRxoRrSodxKgJh+TGzds/seeKpd4jul51W3z944 NLLE91fXdY6IyOd1EFzf7jSeogjEmtKsSmq4T2nKFuMyqcJpzhUFsnfuDUm6kxoPAaJ+a45RquYE lSi0Ir/vJubke0Fr9NkgacbvfUV1rAkXaFqjVj9TKoJscvjSc/qorugBS1i29msl8jicXYoW/RDD lhy1h3JgvH3oK9D7aFFBhz5oi0MulMSaTiIWEY87APIEKcUhvcGlgxq2RwEWvmtAvRLxp4QzMWc2 KPMtDGzdi0mmzaPt0GySWcSG8/21KnI1LKT5daIFJp7U0gtWozlP+r+EGEAaBX6nhaxHJkhLFUBP Z7IkfgnhN88CHPBopITkIQxx2tYMjnVyoyuttB8ITK23Oc08zIyrIpYOnpQUWnsrmBJh3fHj8d97 EwVjmfwJCnipO8YEy5dydPEK/aNkipt1L/lPNh+vYi2+OCY9fheG68wCS0xEhwK6HeAdZOw1lQqZ GIa0jhyzYcggAcOMT6ZVWlDgjaQI14sRNY6GZp/3KYy3X+85xH5PbR6A/wUqLQgGQiK1EcvjGFOw aYmdzEE+khYr2tqYQhQ9mBRXJEcNetO33PYdBQSbARHzh7W3Ep50LujVlZTyHCfqRBFGYCK1B6Un fb6TSLXMljMqZLMLvSrHsxTYshLuOsu63EmXsqmBKMKCUh0W0OGUYvFRV6iQZvJ/0Y0PMH4X9ad5 cQUChNsI0lp10EgDTUSIUzR1k5qFJohDeN9lLNQ+lt5zyHHt5cqfDoAnLhIdrEsM6uGBsB3wkzRX n4BcTVuGvK9A2oUlgIISIQDuxqBScGLQw4SJTr6d3mCys886i/Q26fVsx7z+26+oZTFotyL3vSIq 5u09awKPpCqkmAYMTgqgAo89AIiY7iUT8UZW1KblN5cUweVq4XWSxuzzSpC+Lnx79J0SbyjupM8j oij+yiB+oD94lsCvNCHHHEIQ8WdOcj3xDarTGGupHRX7oi9BsN+uhWT0ZJB9+FMZcRuPn2+AgDcY TUEpgP8L3MG8Y8QDCJRPCeRJlfByuZKOiQvhUz8OSb96iJIeU5XkL0bnsQP3xHAdQK/9dOyKiwBZ 8AsYJLzXt2ho2nwQB1t4P3xG2X5OCwAx4HuOvA7qA0KH1Wu5k6qQMpEyPy+R7Z4Qp/tVzZ5vB5LI PNDMlzimtBeF9AM3hj+egYBz5MdpIoGqhFjdvZeA1Wbxa7cYKtUu4Tff9Vr57dggzfCXiOdVcWQG 3Y0Iqx/u+/v2EVSuTHiOslx3NpXYVsnIg/V20TpHFCmj4MDO5pvt2DjEgleFn7LyGKMdKHded3Oa 7kf7QecemwkaLP+HSSb7gwLhgStb0KwdfZ6R4CbmsGwBr+Vo8RMnZbLb7sAgU2w9gwy+fTBaxnJU r8RGE0ZLbR9lweVyo5IJcsU5PgM8cpimcxhvv5pwCuTuclyitrj11XjOpoPiZgIntQDdpB76XuCF tlwnaNYjlk1wTSRiSFIlnG0sOtsSDvyEt0EvhudDMdAGrdapq/M9Q9vq7EeEsDpELB7+kX7DroUa xWQFdedybVp1S05UTgoCOul420SBOfyjADwqnSbzfebnWgz0ZMbyGiQ6gLLqQn0hxjAg6CEKLVlh higxOvibvRsnKz//5TY00AYpY+afoUPSwxsbwKBa1U0045OI/L2RP2lMiJYqG09689XmhaWqeRDX WSmCa5UAhpGJGOO6ZIucECwqfqmeeLWWrdQN9uqLPxo8JzHXSdbx+yBiNHzuTdhu06JzP10It6BE 3e1J4kAJilx+C6BVk2HPS05Ri+OECc8rN6xSTpvxZCsOqa8ZH9nto4lWHvV0f+wTKCrZ1cY+dRdu U4fMQR2HQi7m1ID5It7Jccn+BqbG/IRweQfLCvQVHAHl/llDT8dFSqmk4Pni7X1syUBZMOv/8nAg 4ZUbHQS1572fF0cmA9/SB9X90EepKk1yxq6Jg2nxupP6iPnZbTFc82P9TqpBTEIW8onAJvREDJm+ 9QVzJRjDsjURGzix/VkCPRpmsAEFbOyxE56SH6Q2LVHlUeRlJOKFo5wyL7uSIKyo9+kdfhGAS5n4 I4SYb1UJIz6IOKuaZlg/hIgjoPrVrps290fEwtPn6Z5uqkMQm+0Kqxm+TFksvjPeIv4hoG0Oeili e8hBDAT4abFA574kZL6gewJxu+7YmgiTFYepin/pqJ6dhbm6yRI0WSLFBl38h1NdVztPsRQdHNJV zAdZ04+4yXAjphiL1fyQEToUk5/fRfn6AtGI5MYvkqmA1SATzx85nc13Teyws9ltmsKU3JbE/1aY ThqgfDVB2AH3J0IkKzJULFlvJrP3mUSCohz8e4E6/MlVZ+R/stmW81XmDbYBmz+FL32UV3AJBRvZ Z8F8lyoRb/aJK4113f9Ltks7+kj/JH+TzJ8f00FNWk6CIi7fHKbticUhEdpA+cu1b9QIaCYp6C0R 1V8aWK6CflW4RiVzi5yehdRjAFX98vwj68B8lVB5iNvIsv/hPRbzmMxMqrklQV9sWhqqFJufDWPw oZbNqPcHj7lNGU/qihE0Ro09dmUq9pA8DRjb7dQ0ADUlCXjvEpP7jQdeURwh+08Xk2IoTFeeHxeQ 96jV8sJDjQtw1vFjp8f1vicf3V5qrDU03e3Qzl4VgzOiiFxqT7JhnUsacME1oclNa+raMee2+ASc px0EnBRFEmgkX4nwyFavs7E+iZiIUkdfdd9oHDMASfcFRGfBZBqUoxtUxHu7pR8884NO6+i36eep umg+ywXWs2RED/rZtDlXecv659gDu272g9zka7uN/+9Ct3EFBn+LM9OFmwfUtvWWiUeJMScIEEzI NLzYcJjelVRlLzRukRxRLEuBCODGf9OiFfHhqNYe3Czysi2PO16jPvLuWxJUd7BwNz/q4FGkKmIN N1I6ZRe3ntffZ4znDZAlpdunUB+6PUy3b2Y9BtXRmHMAWMPWrPeVHIJ+tEU6BO+WEyRL5RWE/uwP IJYHfYWzn/YG7VkcP5L+UNa4QuCDwOOr5IbusUOUkCPT1h9HXdFRRz2hkLtM3aamKBo5IZGG6TZ9 fa5b6Nh7SFgowyCBAlDkAD5T73pDFT983edm+J3o5dyy7DyDssfllhcEyZyijNqSwFwgbnB3PvBf tvHplrqoFYA1RTmpJ69eHiRjlPqeWTjTcVNv+A0BStuTvOLGI3EVnoa6t7UZ8bFc3Q9KOGCMS7Uc 0GVjxEPo6GvPFiou3z42losrzmjFCUIf++/jJDHHsnBlg6N9+tMccd3QWivi62fFpefcIdudXFlr FdalBBM0/g5eA2l7qF5+WaQkaivozB3PiQWmCA6N0yNGlR9GOOrYPWVOSkQvjAFdOZjtTTwHZpe/ pqJIbvonSqgEozrtt+xTH8aR9cUebGjgrRfQFHF+egTK6apX2RQFkp7FYakN0/gQk5Q/fGq+e/Wk 9JAzUEuadpFid3ZydYwhQvvChGT9B4T2fBqyfICkZgeVw5JzheAdv1IXcyPepKNrb1ONxuq00hjU aQMyP1IuKo7V2BOzJJ7XsIsKLV73Mmsbi0Ky6kWhC9a6CrlRtCJl8eSP//5l4TJBe7ZSkUx29TaT 7J5ZgSb1rwFlWaGyCuZB0ReIipO0TKv1x07MPCrSoffdmXyhXGCAEkMoHuZ385Ek4Ep6uKb8IExZ 0mq5jCXuEFte4DIfYptxUdN8c1pvTdHinR+yQ2fuqaaVKWYqZ/HETGUbjae0ZbMiBbFHiMQdMnBm +fu+aXcJ/rklQoiPslxvN6riLovlxslKiPEHeUKkO+DEZb5IzfcXu6MBxs0BUwtZoF2KqnFFJd4M KLDmB9E3QQq6s+MRz5f4Xu9eC+vK1t6jwtivVL3rjsUYl3SCLrfdGXVNzwl8cP/z9unYqZHNusS8 vYWSREEFe7pEhI0pFdslSnPpKZiNu1kuQBEfLVmLkQ8eX4tFB+VfY9txbfyyyvNcv/101gnydHxQ QcI57bMG+mPVMVliKjNxHPQ7sLDQnQSdGoMWQpLcyYwXCS8lhY79EwPHssSv+EqcxkTOqI1LLsMT FJpbsFDx0pE/QtU353CwT19xjZKrp8Kldy98r4hQxgzwH8zTMcpEo6LtdxxP2yMeba53PGWc0NNy 8Jzpoge+19Ic6D21FXIvvLwaWGTsGJ9KkQQmpbwhtLac9ojVGxY+90/98UHiybeiLkHDRoztV+Fv dwotGo9vJS6lfP2hx7YSXZq4Z84WCmSoBxWWP8jqY5zENHoAtWiCGDrFZ44gkvcannVHmSwNhSOm p/sX976cBHxQl5kYe9URUBfEqgFHwkcX3e71cT+HDIzZ+Ba+ow5b+P2h9I77sl51qPRjmnxBc3IR apK9PS91pnMzMcQXdvDbDNJlTzphgr4gStmaxkXbng+4FW2o7hyQOp2m4fZMt8xhzMn/fFMSSlKJ I5W3K3de8Iz3EGnQ+8L+PbqshhSKQT3NPt4ZdDwr0dklm7FeLs09YPHM74suIpueljO0oFfNm05H tMMwV+sWhjAPSfEqJuWSAmOVTxv8ZbhXT+9Gd77e9+m6GXHsvBzbC+rCVPd3Eop738A3Xa9mKSle VIpWZMz9v4n1YmUPmYgONgatOObzEVvyG2b7clMpv7atSgkO/l+1TVaxgF8cBjp9WuERuVBxhxN0 TTlc98T4A+DJ4zIVupw/LG1p9/LIzckd55PtxuY6Kaul6vOE98EhBR4zPI9EwOnB0kL0aAnoPAW5 mox8OP0QUcG2Xgk80Sla2cCbHcsRX3VwCtsbqlMcEEpgLfEXwJOA1c/0RT6Mg3Mole/HCRFNyFe6 tcXTBwJSO+ATfO1IKjPwOmgoopLRjPIxhCx40PT3ZWxkP84ygWguXvz3Xf7bCQNU2Cnq9Uw59PYu S3eDjkJWxo9dWaHc4/m3b9YUkB9bO8fazz8ZI/rbuBAhgWt9aHE357hFZ20MFvnyU2/jB10RrURF TCDkOIGBBWd6UtGpQVAYqy8Wu7cnokaLqpizXf+ZKPTFmckOSizyx8q31vdEsLDmpuzv57wzEWZU 2wp37cr5s4WD7WfAHy+xy4UqMG8/Jf25Ou+H/nn1ZcTvamqoNhIcpid/o3/uVQSEKKz4W6PUcDE3 ps3YB9sVzhfvo6hrj0GnF3blkrP9AL3nH6BJNggmbPmycU1DPVppgYLsEY+FJPq8altQElUmN+Jw KWMhG2kkNvY16XfyZku7ysfyM0DmYne6GA5etcdvM7DS9USxDcCgNQUWBYKihX9oOTKgsOYrfWBR 5e+dAEKdV2JkOuI9WkSNRyKxEEB/Tp1QYcf4SDo/S2IOmVVKcPu/rdB35VerRrincyERxekBp7J0 GOU4IyWOLeFNK2AoigkMQ16+Sg8KfXddWV9Z3mc/l9Y66pN2p3+ZsvrXtnv2M7yy54lNFV/6cI0e npMVmZD4zu3j/3bPbhgueOxDj2xf3jJYBw2iPFYzK5e62h63Retg4q2l1WfRXnyARYHLyvjKzu+O tHfbksnpA2y3neIlMucMKE3hlbYDgxBAoCaJeZhA/iem6fKnnOloYBBkBwyUYjT+gWakC0VFFiDd iKpFJ1SbPrItpy2+8iSEYi1KwG6p0Lf5rMVc7dmGBKfbGsVw40nUAz9yZhl+m5qyGDwsn6Hh/sbo p7l7wjo15qLi6GRDUdfVCyiJNAwe3MIkipEMH6klpjPRIinMSGIV47VOP0L+3N2zThRp2FqmXPX6 cHSFFZTHynpAhxX2hn0uVzmbCwMaVECmbgh9rCAkd3ydcQ+A/S2IApwZO1VcFnfMKM84RhMJoPdE uQ7tFNYhMjbwHez26/pBCxSwIr2/jDFOriZS2S0L7pIonvISE3j6IrYU8zlF/AiwWsM/cBXDK4gt Q719a6JdSmap0Oihe1Oj23UcdQVOTkzXD+Vyt58OMJ/gIqERmbuwR7JxTa7CEtmnU7xW7xPXW+uN MDGFNLP79IlCHv4qJhw0WZwoLLB7MPHF3mGAiQ0Pa83Y3GwB9dB7Ug5UMJlLoY9MiYp5nBr8uZhk ZRt9Qt0nHtB4sDu2/z2pWKwoNtnIIhx1TSayhPrrTbHABHqENe1th2meaywHeNPC/xF7mIDVzwfm SpIWYrQn1+7jacF9iLHGh+/Rv0QMNmN0KgfPmpqJY+EiYMr8HB/3UOInS1nUiF9Kk175uxhup7vp yUOR8gr2G+TKEJA05KVboL30+fPfsLj6BcmmMV4hq0ZbU8yNhhnyTroAuLlVb+HjhBGzsnyTXqD6 64A60Go8y/64Q7TBNcFFsB8ayrTN9da/5GiDrSuBZbOvIm7KLxQpseLPefECzaUBQ2DNYyFZq9Ex wh/6Bk9UAo86n052tfy57ZVnCMkFDMZ3/Z93ltzco7r1RK6S7hC3OAuvadzbjffXo7egRHkxKAE7 e7cWBLiFfDp5jUd/V1LQ/Y42CjwMyGZd95XVAhw6KF/yoiN7N+p+WWqs6m6B07uZNpazfuk8HYod O6e9z4NmJTCpAMcslpfj/5WcQ+NeuKvni9nurDK7IZICmpTFTqrr9VqQ4wJNutMt95ngNM/b0tcJ VLARo9VD4DCesOYcXeVIboh92wqCuUSstW2mRl0kogfY+CHplIV2IgrHU0E2TKRVWE/uKw5mmchy LaLLBcusO3f2AS7IPi/PrVnOBL1iIuHu15AMA8ZOsIsKOOygcGux9QDZBz5Zm6wo4tFfW2LV6hfM 9JuB/KD9+CJtNBMbg3OXI4C5mMExPqO0x2j5VCQcD5iOIq45JIJKQ8sMun7zz5d6wG/GXj0Ao87z wvF8Jwo2S0f2L/Cse5A2xkYP+dXfxfqbp9YNcX18jkhPrzS2471LBgKIX0WJOkOyfJD5YX65lXLB IqwTPHpgabBtNHssus/C37WuHnb4FFRlH7Z4VJFeGIRgJoLmtL4C1bsIsHwogEVUONlzw7le0a2R UNBJwxMzkvQXTlrVfkDicx16Kb3S9i+P8ILfe5NCn57O/bpSh9+qH07hB3QI+hwX54ePkLf1GFNt 8HFs8duv9vY8flmzoS7viHv5aWvEyZCVNxV90KZWe3SflkOZpRTJ9HuI+ScGK2vUctL63Syq6N9k 2ZhIx0kiwhMsCDtOTB1b5J7nW9pOvUTmiamIbZoneQMvUS24Q0cYgeJ/5owIDNBz7XBq383+zJO+ rCe2A3ywOUUKr41SePCDwTRlCEXGc0tnGmLexOFlADkNpLmXzWCx4en2TmMA2Lrw4wFNm2/4FtVq iHXvwSbpDua4gc+H9J/DRi/sPkntAA7O8qBFOeVeni5X49GBWKgkWnymvRKjfeAUA9e4hONWbCw1 CL2so55dpzPaBRXagC0Y/zIZcE7L9FifVUnmrXdhOjg/A9cjOvPEiF9FvPcbDB7MHagTmF07K5P8 VOig4/UEwe3bYogd9BvN1w0oZfqqESbXYSNzIdBA0AE9ErjBPV3thVa8Kuahze1Ip/1VNf3fDTo8 GAJyF2L2Uj1BIHKs5EaBJakhOOKZKhnA+rG7ffbFBEKxXsdns3rPCCTb/iilty+Sgc3dja+0MxL/ xOOElwFUvYC8TqR20ha+DOFLClzFVFnnruEb27ovVsWDBHzThtDHwYCLCwPBe7LXxkT2as7SdSHz IbmKwIvkfG4IE3KC8t+RfKe1lo2Ak3S0L1p+YwJZupG6bJ75exSlwBEJi5nTVwTCk5UwRxTDTTHb ZbMeW5n4sAJS4htqNfFUXXNhpdFxWSbjToa4oDRP5msMn5AYl4t32N4rNTi4+rY26/KxQx9Fmyta DOC7PLeNAr/JhcDV8/AQqq+nBv14yl3s3oSy83ynAHEOtsVk2z0s7XUagOA2ApCUP1g/JYEL9SlY 2IJ7rEv2gsqhDj9XfKXtclKrqyljiu+64cd6SLBrVPogNpiXEmWE7bB5JLMMEiEDos0ke2nOwW31 1Z3Zk8inl4cRhh8vJjyzd/RtIHuTNPz8SmEYfYl4KsWTB8kGxFCR1jlkTqRw9ryG/eqHcIaE7t1S o/XACjya/rwYG+jtP+DtDMKUPMsFPRmn4EzFWwLnDbu8SyBqkJJ2AmfCXYofRzeVV3DgKsERa+/I sjinWtq3s7l9KvY75FSt3AgTbm/3+QpxQJ3L2gwrRSm7MMFbapPt+YZAKWnCfphzfNLKIFH0PTlL Ks2R7MkeIb9ARp9U8hNbsHYxc/r9gdxKdDRunriS9kfZAwbMTjz+3M4VUbJ6v4M6sRPJlFaMIvWg wC8uz+MMS0FFamEHwTZx+6iwUDvBQA6rwGVtGbCx1+NYuM7RBZirleXI98dkBL180gxOBlfKx7GF W8wEbULBeQb5F+I1YCU6GG9V3cqRhwzq2baeEFTasG6YTK6fn73Fi1wbcLGhDmI9l30VKOLGjKyH 2wAB4I5Pqf1ShFOqOzuzrKyO1ntzOxhs3N5b8UNfo9i+7hUyIohB1V0hWiflYSeOJNONAUJ6r+dc I39/I5HhPMbcpn/hRgSA0LsUG0G02Xmgdlo4KUWEojfnWS7zd1MnbChvVxCh+FFxVRRJAyxHt/Hj 4snQGNAFPg4GBlOFvDN9QhmoIphsm/BYIPSDOvolybwCyt/CKNH0fcGqN1FMTkpC9fZ0XtRLK8hx xgApULaVkNGAjYeL48gIOLRMuuwlf9oc9NklRD6uwFd4Q8CqT8F02PTzobVC+o+Fl5rbJmtKEK5G wgW+Yh3rkwdihw6YOIe6sceKzHXvrknIrGNzWrwm8NStuJGy89mAorSKG0zf4bbKbkB8E3pTeuy2 ZxN/ZDyot/dBGCpKJ4gmuJ5xMQHhjBaISmSZyTCSyNz/ZpZZ7BviutaL6FEVtsw+GhE1+q5g8IMO BIIQ+Tn/e424L5D9iDFEJ6JxW8bn90NBBoegpLsTON16d8RD6nPrh0sCltZun/hw/HfiTsx8uTlm gCd2nFQSrQMSvqZ2hFF9rQ9dbk0pF/RU+7Ph7S1HvuYqO8Bd29ndSW2rBOICiY/3df/xM1yOXuCO 6znYj2oy5aQ8DeEpTGh6yknu9V0nJE3wGeMiGzaZRyH6dXbbS9MCIqLI9txjqmb/10gufrZXJe9a sGyeFq+ptqkoWhC5DJD/wkEgbdLnwTWOO8i0VCFAeHDO/LGYsEDEBbL7+knTgfO+ToQJ8F8Pckgy j/aPmFZYL6mya81BKdqlG+65C1EM2kEaJyj7hGw9sX47NN3sRs3e4PmkByk6Gs0pGIvOY6w7TWjx UpjmsE4zA962HGanEqrsS8M8br34W+FrBdBVXqZfHvYHkSNPvGO7xOBdWjKzruxyqLegD18KA1hu w/HeEtSYHRUPqnwPGLBGmJgzynQC4eV2T5vn4/ZRYd7Y6mxIIMuCkkQezKCzGTrl8gvKZOb5ONqV Et3R+9tHpi4cmQFNGz/nNUMLGlUIqutV+1zQGc41z6Ym4Dg1hh3TbIy/rhGRAAFe9LL0h8wo5MsE eQKU93/xwO8QtbwsXujwfIwxFlZYGhN1rHbLRpDBWdlcJgb9foBZyYFzd8kqrvL+HB+WdHRRfj4j gAsM80XYXulaP0TZbSxOP3puXBDXwF93e6O8dUndsMZDsimwXV9u0cxjge9Dsw8o7+DD69vQhI4H PnM1aUzc2UlXO/x2EMCAqKWk1xcjPPBQ+3jGPh9qnqd5W0hRTM8P6lWV2AALLu7OPt7Bnim2mNjw M9xoTXn2mC5dtWLE59lWCQjb9I85ghW36ocXYAlmfKkgr20Dc55Ec92XG8GPFiKyJv39k8Vtxked Nk1RlKAnny9yslpFAeSk/CS57zW/pHf4kUIz9GYUQFu3ysazr+89BLc7iSD+zP5uYb997fsBNr2d 1Lo3JBiBHYFVuCMMBwrZkiJC76vylEN4iEeE6FIYWpzW+WuyCitS/kDeM7fHfIUgOJUYlX8Q7frG 3r4vPDjwOUGH0q6mlOn3+OztKzqTcn4FeV24wkegDImPKU4+whTwuJl1+EH0lwa4k6HbJcrl6qAo HHrW5bTNqmmSmRkB5FmwcVYTDCqNN0Nj/3dmTrB9+mi6ijadhbYHMWP6b5Abl1ElQ0rg70EbcwCR nX4eHR85VJYSPXraiuUEcEEIo7jS+wM1LKEsTPFlfnpwsU+SYsrDHSZnMllzaOrG3BsRAEM+nXiW Ci5WZ3ba1x1QlxyU8zGEY0kR/QKXrbb5hdvKmZ3EfiQQgavtBXddEiviP8iRnnKs75DH/1/nqTcO 9gOcsC43NmKhWJPe21NnU4eY7UV0WHvC/imhj0EqsnEGaXySsftotAnr3x1hO5Je7TXuvcC46lzo 7tWufbe123uNZ+46kP7FuKHi/C7NrdhUkztNUPJx6lC8GqwuznTDzkbAoPKXqRhNfLyapgzL5zbr 6dLMJbwOXVnulcX3zQO4r66zbIWeA6U9ghsELpvxu9IyOBfpjoZgHmJNqw1HhiR2mPIZ2W7xZCNU 5rAe8qMZdodIXzbJX3zGR/FHDiEV079ngo8rNbLEMmopSqkT+E73oYzg88qO8JERnql7u/JF5/XR O30gVRRKBOl07dgblSj25u8Dugyp5HSGOhjj/R2TVNNMMHV9wxmOT/3VwEAv1+bN4i8XBgV4Uwx5 rd9BRZ5UaXUEctP46geXBieoKvf6mSo3UBYg2yKoejV/TGuWG2RPWNnRAgnnqOdQsJ0yAVlizh1Z R0wzXgjMac76R+WeVcsn3dbrMIPRlEtS8b5i42ZWqT0gqJsszkXdr8GTq4BjR+DcBVOeK5SpeyWd g9qw8BGX8363PrYLAJKacyQlA+X9kS12FKo15Ui/OaGooxLPFV85EVrxVRbdPZ5nNg9ONP/HP+ZR P/B5GAuMdvjHjsUCssoKFhdcEiA+O1dop+3gw2lgbK/ZyP4tfOg9jZyM6VHb6rOKp3Xrgho9tcha 0GUmSJhoEqNLZWQgS0DINR/BoBy+2x6ERXwSBvwHlDl4FOdXnOTCcEyMpWV76ikpZ+p2zqLJkJyB ZVcsiBE5SROWd/5xHr1VdkXeMsMtceNmCoRTaEar5fNLDI7z6NSDl+DGxMwv2SFDHKfAtow30Ygi 1vU2nhN2ry4CjaCXCFztgHkj7UQZ5LJTIcxBWoHURwcmFw5cXe/+LkfAINe3JNn0AxCSsPe1JTU+ k6SC03xEuzfCQ0Ui/R6aa+cC0wGsE5SqsagrQpj9xwlcbuR+8Kk1i6FLAYXWs5LYyi3MX44MdYyI faFlf2J9m+oPRcxl89rkUyk10LTYu1v323JTGiqnLLsuudULR+GmR+9YobFrrgy6AawQp4maIs7e lZFPnHckKid6HTrONpHdYCau4qx1y3nigR+qlFu3tK/N2dWt353bBfN9Df/vmipcItBovz81vdX2 RWTxd+EMvxUGhDYDHzzMF7SAgTdJ9lWC18pdv9lp5piPxlbZ6LoC6HPNMVArhgVa73bI9X/nri9B CDdlA52yLN5eO2QJnHfoCtVUbLuC/k+mrO8n4RLDYsqLbRI+67J6o8cz7fzmQB5tbm0BVlGWDsXs WwtGq/sPQT5wdy9/niopufHDeEzLWQlOIzgPYOR+NyzUQlBFkiYgCD3VTLzi+7HhZ8P+Nr/lI9Jw jQeU+6++3fD6a+aj6Yd+Bl2CoFI5TzLbVAKeUxTksTKmHCg6hRib2/N/R7FRNR7NP4i4qZtVwzJA oG7SqeAH5WmgPrf8JZunmIhBi4ztxfwK80LONAxVMEBzaF7t2hZJ92xqHBWGi2FlK2IrShl+2Vpw 9fQxkB08vFAFTz2OKMtqTwEsS+S0jGghF/138wg6/f8hQoc45jBtl4gSRNmLE/mMHqUhIQbdnPt+ 3AkurrBwKxJ1DuvS+S4OZQDSRoBp3iiS4Hi3tIV3rGynl1g5W/HSs3B3yIxL+q5Txp6NoSu0NDhL sE0uVXEoGmAmgk+5FMBL9hU2hYlMdIaGRzbUbVtRTP0lFz6szwFqdFsS9eGst9fjX/hbXhCVYltV c/VdjCDOi61ulPYg/PrcjBO0R7RWycGUumSmsskm7w8YdVYhERAyDZyc1L/zNTEJDZq1+Qbstz7T rAqjzyIZBHyYIePeGykCyhgJkMMpvrm2oAcPpsN13Fx4ge3ubgxO3YGGX3/gdn2I2jZRqNJ8BN8K IIKAxWL2ZwbaxXWFNZXWXqZociiBLJqo8404xq5KhDK7Vr7M3FmWcx+/wS3nJvKbLaflF7hS1Gte g9H3qMk8X1oLECif/+zJNj8jAMpHd9D4EJ/nAICfG32EEEQUIJGLF+36VL0Ij+TDSVmBtn91RbiJ mm/GtesFId9/WXELBHL8YQ9PnSlGkkbvOshT0mpBnzKawStF+dohZGgrEeZH4US4dgKW7mwD9nKu N4tBe/ZsrjN1KV75+K9neBDXV2epMCdjkiPEy+Ws8uDwDrhckzwUufTXe+lSWSr4a7uWGeyK3U/N tELkZdPZQVjgm72Zv8uVh3YqP5of1jhNayySn2HPqItwPY1sfNkLhuA0V9sZp0TFXeYEHPAtN3u7 dl2IIyKeyhWOYghaPbgWFhYKq4cupArikDwgnL5lHB05+dnbbSanxlcmzgk5tTbQVrDKg7Ejxa51 oQi9jKqNC3smIZiZgnpTKeU+EdfuoVH5kFH0UOTWwoQdDf0M367dv0wrWocDPiCPVtUFjfE0p2wR S+ev4lhnYlW7iARTcstX+lt2P5VT/eq1BGSIWr6OmQRd9T4L77bXiG9I4KK0krlT9te+oQ9POEv2 eHZ4TjxCIbLRnVxGhtVmuVMeMQPLEhOoKTmCD9J/DSuC3oeiIEE5HXSMDfn2R5Yi2++soaFCIpCV wc8ZZMoJIhdndR+ekemaMfCKaCIgx2IPhBFFOVYUUuR5YWOvjdWC/YXxZhq2T8MsFvCnnOF4gJ7w rzGcmd82ZmyeRFxShS2m9cZRUeu9FQkQgnwJsBR6lojA9gAzIR5FWSaUlZQj9YbLtPe2EqqGj4WE jYxZ4DACUe7dB5hA4s7Quyn9ThaRkgzelJCZgm6UYhI/Em2fdae0MJhTEbHWajGcPteopgQi4+1C QJtWihN0ts7+exrp1G7/vXsrFy6zLCuXB2hIR6eF4J3yeEMoJ6+1rXGrt6whoHZaCJ+b61A42+Re Olxgo+DiY0LN2b/1Ys2lQR72znV2QkwD9haRbGVsl8XjItJFCBCJxI24bh4L+y9+gOgrFDNjfO2e Ce1mET7v20sqRe9vr0wRa6Q5md8vhPMglA2m2PD0Ry3JinXm/+FaywkbLJcSmmStNi0WlG1eIjyD P3kfNCq8pfHwnARkeJGQ3psTikhWohKf/Y8XlQVPcxwMHcRZM7wNWmoCuzMKDFvbDERrBjD+eInt snugwXzREHgtlCDCA2vRFrwB4lwnYHluBJi4bC4MxDQDlrFSLiZSTSIxD4U8Fbidxyz38gu23abW YjNTPT1xyH4tpU78qn/6250rw/hn4B6ObqF3rlShg6PpcKFtAAGPbRvLB5AdZ2ZRqpWW9tffYls1 XND93Fz47c9pOtWhzozI74NsTi6jkYdJnxuK8TvbnMo+MvbjSWV3uRuAt1ZDxMdBfJ7m0zKHWFpH N7PC5zYsK5CmjXZ75/ZL4qOQkwe2GQXPy5po5JQNEBMemo4YQvxXPbD69kLNlUFuru06Qe6uSkJs 4SQL1qqamDbSdcaXbHKFYsEINQbSmio1XNe5G9XUumnM8vPFl/byenwXlKJ3t1TxNR1hoVIUjE4I TKDFkPqY7CDX1O6kt1EJcz6krYlIwWIl/IEKj7WaGy3RuWElHDYKVlyYmg0dUE+xfwhikVWcGoMA F2GExZ4abpDw930LnVlVyyUWJetIRA3teEL5gbNs2NXf7MPy5mRUmUQVdlctEqfPkV607Fdvs9Ck v2VaWLWKBBZJaQvhg6SUv94Yqd5RiUGUe/SwtFySQVh8hidkc3niJjKfEYwEZl2J+p71GkWFSycO 4DZUsKheUowiGhXbZ6pIj1v40ggaYAtByxg6aR05wwlxGX6bWUesAP0dNPVTeNPwDnEhCLaYOeXa I2o8YiArp9eKKX1OxFQIoqWe5G8hxzVCKzXo6vWnk6eXQVHYpYglSTk/r6gRX/T2d1oRNqHKQ+xh 20uEiZDXRvvcZjGyJJNrY/cTLtSk1XO+9oApqDwux+rdENZliNDLvBp/LckwsyURwPMWhiRiEpVW zzTcGZz44hTkeXjpVgL4N7PihlzYFoQjWj36dHancrlLMT2hD5lcnfEn3cAslFjGjH4VKzJuI8mq y3KCXD11JXY8v8SoXACI9lYTVGcVNMxMFMLPBbUT3UWxSuvpI7P5xgZeg87E98/9NrF+sK4jcs8i bRfkvP+wKyalBLNf96CZ/G2UuRyLJrTLOeJcPaEuKFEZQAsUL+vcsO+wFiuoq2+RK4vg8QlU+77B j7S8rpqzAaryvD/gu6gwVznqhmDgfsgujH+JZbYcNcGFaY8PnQbt5JpOLM0RhXJh+MFxs7/S66wp PjsO5LvAOEptsdZLXv9Ji1dGkFnI6kR/WFpW1CscJyC7kjA2jc5rt5z0W6oaj1KBTT8Dk5EWRaSn 4rsmH78b9pvssK01hc0KiNHtoXba2qhTbNIVrXlDuLwrDFIdgPJwkts2WmlLWSqDFKx1PKhAv/9d 25P1yqtWQHIO3SGofqvdDO+/+bjfX03SKD/cvm0UStNA/TvD5B35N89xYRjsI4Ccs3DwR5VCmcW5 TdxTRy79TdDSTG1cXN3EEReGqLDCCx2T6dMrlixAwpZ2iXJoLHnXvYUuUctVvq3L6fl0eg60W1yL 8gCCfxJJQUG/otI3LFShiJogTQ/312GX9dgSMIaODKcwvDw64Y0AsW/YvIqA3It3uT7FRSKjYTcQ NTahppLsHRxrfSK/dyKgFCKmexCg0lvDfSe3Vw6b86hhM5wpPWEpf/KxDwJWfVQkkU2/oqcoMe0+ Sn835zPRFLn6Qf4jDrcaYOLSYuR1U9NYKab4+X4v3s7gmML5ei624Vd91aYSFWk2cJWjnyjH9FqT C1EZWPUcBKqBNy/KM0RFdWFaI04YPurcRDh5t7OBezARXeURYv1nwrR5SBqiObanTbg6XZnheK2q oH2xh4TRsbWY2M71o39y5afQrPn7TPGh0YYUUxLIrFxhpZPxZV5rPlvCSZO166nHAArdPp0H+4PH di8oFVD6cWJGQIdyIBjjKS5IqxAewkRiWeNenxl1/ZO92lwrKKu8e8fNXFay8/x+CcoojUQuFvEw kLN812cbur7yQeNF1J7goXFKe+ucE/e4qqB8uubfSgTm6addzq93/roTBc1VLQRHiXJuQEmDlqeE 8Z+b+4M1QZkrgP3Fm0kMVc08+kQaOEDXz0Ee+KtYSwWcyeoLISF1+l/mp1jLlNrv02h0fXe/2Xzi zc/TwbBb3x85vj+oVVq2GEdHZ54fdY0Tx2YLMW9VLhPJBddNt8tAe+o6OiowIHaUKd7OU7Xb/a2q exAfvbDtKH1O2K8PVTo/AOpcJx2Hp+QMQmwk9PwUQNMYvXHK0lkcZ8XPsmc4kxsBSB65uknsC5Ha DY6BUNPNV3NQV1En2xDujtOokG0oPQUyfd4dgz55XgqFf+U9Scbn23f4/I7me4B//AORiapVktIR 2jHffU4XRipxgKlYkA/zNnaIiktmQGj3MwW1FxAWKzGzzNue2EXloQuytWjUWlrerP+izkWpMp+W 5Jww+vnE8T8+iUiDx7+wftlhyTy1JQYRL3gNWyA2hHmvGRyuReOym3+uKtiK5oTw82+hI+utfLq3 n4JD4mZhDuVBc4W+XvT/63Ezy6/1oYzzHbe2Vpys2JnLh3U3wxdirxVREwShz/lMw3X3kLulLFmB 24HQyml3OTYhquH2qJf6Biu5llNSjKC3UOjbVO5hELg4tW21mZY2+5VslMABrQ0/XRIxl4XsvpT9 78HAPpDBkArVuDe2ijpi55iSgQJhBArh3j5a9SdHYsIWBmwFDjEAR+VAqFTkd7M9f20pKHSSqVPQ A+LPQR8WzkPiIC6YZUy9v5xDqKKFTMbu3S0jGJ1l2uDpxRmw8T4aKhLT2msrS77QhSDch1fORIYw AEIKZH6JU8eGGcF+TbWNGQ5YPJJ4Yq3FJWDfy6hTQtyAFh7gUvuBvUhfTvPn3i2A3YNiFbalFSKo m2NLwq+GwQ5vaNv7cYeM/rd5DVhX4TV40gCItNERExVF4cS5RpJtC8qjztwoyWtzb8Y1i/B+pume B6afU5U7CuT6xicPJqMgnAaPyzvnO/04V4GgWsR4jmHVVU5B0vfAaAsHRngtO12DKQ5cxAr80SSV 5pXJqfHWSmcUE//BeLe4dXYjkxyijZ2PCzzaYlKYZbMAhNhhEjR510CVz6ZuxQD3FeKLgXDuxpxd HIN4vLg0cXpAYDJzgXyazBmKDxLbP/5I40CvT/sOJokRRV1sHc2yg8LwypGR0QOwwcM/aTpJbbfK rMd5jKk42/uLv3NDzvwuG8CJjvBSnRi7IBE309aTKF1lKLcOG57PavNSeGqlQGMdFELMmpoIMqAk cXtuuqa7izj64Gz1Fa5MU8c3r71JS2DynKY6jcF/ezUWYFuE2nNehaRImBhYcaes5+mVV4DNlLcv 6iAz3hwcGhJ/j/4DFcGhXB0VhP9Jiq3ilrolxvKo1NA8O0tIiUslkKUMOadcdtpaE9JiuRlByUE6 PuiUfct0i191Gr2L+a+Q0wPkeKQWd1m+NfAsgBeAl1mJ3teML7qU/h+bbt0n7XrvdBwVSfdf4JXs y9OCD5r9/bGI+gFjdmP1/Il+3fOPaPutkKDdSSppt6oLxXS7k+ZJx2sMSQsN+YasTg1PznPrZlBZ 79ZkNLqxoWcnH/pYOiggIBZ0s/oiB3V3zm2nFl60zqY1odqBp2/MdVq1Cg+PLHioW8EYD7Uu+gid Y+4sfuiLbPBNpq0ueE7trq754kXRTo1cyQ9kIovZAZ46pF2sO9S3sIx+B2dL/x2uUfjnARti6V/3 h2brN+Dmk6YiYLvjhhv8T7JDgUAJ7r6XCtdpIeqJa1sWR2E4v+Pc+0KmIxQhpDyCASSGqG/ID0Mr 2mN8oB2OegAoI1JbMrDlYVo4JL8I+hJrlsa62rxVUUYdGCHNxcM3s+wB7LCrz9l+Y0aNAxmonhtv 9+f2BKGeMJQUJ+9ts40A/kKuCzzO+XJHzZYSVx0Nzqyc0tn4ToIsgg9zxo+ljtUMhIwQ0FhYVORE rgAypz5lIB5c7dKNyA6DuYNL/svVlBc+ZKNzR30eZh7scD8Iru3CYZB7j6iYQYCdhtuIceOJHt9H 8cmixFJgZEWwnlndY72Kw4DZJXo+rp1cWd7/6NyPuNi6u0mNVVEkMZB3mA/DDw5of36ef3P/Hzu4 yK3xdA+KtmF//ndN2W9OuGeYRjz+luybnBu85XGYvgQlK0j7qqNHl9QvMWGgjUm7bok3jdlgq7R8 ZcMOyC63vMiFGe2fgf/dUqvirC0TMrztKm5Cghhx5mjYfTPYudMVI6FOe/PycRIooUu/bWWBhO2o YTsdegbWfyBXS5/UTWl5cA6uVs3ZTB882pyD0zqGDMpzMNIEP7636PgQdvFOSHntgS5dIwzg0hxv Pku6ZhMpkNMwVwDYw//+5ciA1xg6mrFX9t/p2kA9UYG+wYNa/3rxT7QeVNMna/svMNj52DJgaGMP GHZF+MCR8ZV6zX0PrS+DqBJhJpwJMbXXU6LywxpPEHNMUZoOf/rhAHFuDtKT2xRlz7TTDmYDBS9u kWohdlJb8UKitbKCytZbI4Q0xtbDd/FcY4zn1N2LTpJJr86JHrMlsGwLp1ijEAcS0T41NLA2FC9B 1ELC9hSwBYLbuvPyK9014TszoYxUl3Y9EPHS15SRe6/cW7ZdK/9RvRYvnwkARjzcItrSM0VMoVUv ZCl3JClJSEaAd+lxmVk737DQJZw8dQEbqV/Q6p3FQGncKtBWnJp6toy+jjITK3xcn7W7oFZcuowu uQ5DohFAa1OLB38OvM18kxEovXG90Cg+bJzjA4hE8Mn6Xq8QGElTm0eTnLPTzRBJ5az42sMSjdra rYfJ9iMDzAnhdpsMgo2kb+o6OsuWCXh24fOpuW1pZH15jY9q6obCJ9J23Y4uWORDC/vIZ0ThyhaZ PKUCCYXMPq0BaQMEE48KU/GEGpMDGOC/lIE52buNML0GCL4HsqLUQds+vBG4DwATxREhpSUy44FW Zpeef2tAAXy1QSgI/MC7kuu87uyY6iaP0SNFAGqt473xUCmWOiSuQDoirW1UNJrqSlkxsOY8blrG 4j8PSkLySF4/fcgNz4KjViPOhihyjx6S7fS+5eBZeMYQrv/1dWThVHMq4g/mmto+2qvHqrjaRjhK HhMFL/LuPKpqijcGW8KTMihJXAqK+QNNcWTc9RhRvB9D45qLaJ09GeUVEe4yFW3bWATbz053VtAk 36UkSxIj0umR1d4mnc8l8HOBzE7wIJI56NdllDHVpq4I4le6lPJGHkOOab3SB+37EfbQvlaErIzr GVdHxqJf71b4V9SugDCgVgYsEHvgZFmlqZMLvit46ZcfGCDxETpKXydCM2d5joW5elV4tLJRnODh kHcY32b5zl6zTxTVkuHK6pi0vH8/5NL8a2vRMc7W71E3+wBxv/Bss/J0JuupPenqSGoNZAWJc0zN SBN7ZdIDxdeAY+JPq2oE1ylXTjMsQYYcVKs1e7jrOwz3qj1YEfzPmVqB2AmMwcN3W2tE7Fy2/5R4 ItTpIb1CAvAujiqtKfY6Jk7twDGpXdaW1Zf2ls4PZ9lTyleJvefMiZ5h6bLSFDtfE7CS6rbU048I Ztd2mT5nyYjK5RfROxHKNmezDAJ6m0ZWOzk0N99ESM4DeBqpzT4Z2vINJxuAEwsJN+SafJrE+M9u 1U5ElECujjqSSdo5MBxnF69Ij/Svz18cRZkqB9jk3uOmD9rBH1eRcQ/Fb8XRsmyT5rJ3VAJLfKCV tbgThFqRNScuOQ7E/T2iMkGYpgKillqjwQDxxKm3P2OCxk3+VIhbTDI2Bo+UvsFojAYUZUtypy9T u9I8pJdUyml5WSRap2UaKrosMPEduccCfpt/cgmzhHdWcfIzI3bkN1+5J3yvH++qyuTO07N6I56m b69d0l8sCYkItPYIVjRWHeztV91OL2K9lT7CEGUclePV7MnR/zyYZOqJ5Yp7uacdHBwpvTLB/eZW d0UPKaIBxlMx3MqsJauARN69VC56sCV+1BQ3Saz97Ovc/A4aLz9QgOcj712M5O9f3Uckmq1TOdUB bFvipkLJ8jYIOH+tKjco1rysGaQQrPPn4Cad7wHXm9SFMz4ZiPUS4TrvMrwj+BYiYHoFPpw5eYVr agRhzZRVgOHYROWzp1tH6IcfUhrptL4729Bn3FRS+n2M4gTNKtIur0IaLZRE5GKEwV6bq5m1fIZE 6RpxX1v2cSZiQ36c0xhPMnR3QoKjvo/zxxtNhaq3FoUmLVga0MbIll+1SFcwwd5Wjm8tEYbEEzfy 1BcR0F0ZyzCjjjcV+YYp9z6+ZzpxrNMcE6DHzCdCTcevTYODytFB8T+Nvu/ngwZVHqkiV2IT9LCI NXhTVgYv5QhH6mntfdaaA6LCdUMCpYvBm+NtW+HVufg7ujEiXd1jfs2WHlIuNbP7rIXrH2bw+8hF XbQmhxo38CjJi2xBjvHVmXuFznT5YtRGNbZsMUkpy976Bkiog9FX0YmFE9YEayARl+/lDOmCuwrP bG6RjStE83oe3L1WDrwkXbjCsXwCE76hDgD8nGwYKJp89L4SJc68bkfGlS0oJKCYI5W+A+uw3Gwt GS3Ip8sRu3xKrMyd6y9BjOvS5TWSWl45weEzF+lVP++rRVohyjNIDLkzp6taoCgPvgAzj+4pNbfc YJF/v916rb8NUhprTsAnL94Xz9D6E4Lhb8GfkhrH4sSvaNMpy7676YjUKFybgT5oW8I5mAYyzTfn AVSjROw+xH3fd4nXW/jvRo40WwiR3eOm4ap4dohqovHYIaxUl+XhaZThL1WhSaWuuWSjvfMuMAk8 ygiA5jQJp47f+yNUDd60tkKk8S0BkzADKXs4tnFUAnzckyhG2y0DmO6j+XPZlN8f7hpXOgQnw7Q1 G33nx++OTx2/+XjJD2BzsA2t2FvcuvtmDB0OyeQNB+0IzXoB0iUs7wBAiwT2eZHIkbtpFt+oPzRF TkLaagKvcU+xfFLke1RFx+rCs3l8n3UeG46Ov2evQb/E0c6eECaB6y6IGSd+QsOTRG97Z9SCn4Ch ah/4YXfnbxZoTADoYQcCCbE7T0W77llYRjJukr5nJAog5IxJB26tls+0h4JLD3yCG+DYD/LfiRxQ 4j2No1GmM3I2+iIFOCewJR6l7PbeIz/GwQcp0Ma4Kmne4Er218oEoIsIUDynm/iAKO5rxYFji5fp taJ4ZW/cXkIn3CVOVg04EdjtRFedQnL6ZuwLFp0qLiSykUokiyn1kKwU65Ax6ROG/adzDBWruxxh Rh7eqJ9JTOxYgSJXqxWMjnmn1euDfIJ/mtwqBGeA6JGVm7rQjfOUKtxljLoQagpvhd6efR+w+XOy mpLaIGpYTJ20h4AHoMOUUWDNQ+HfgCnRovyy0hYwr/Y8o51c1Kczt9t1WwsLgqns2u3nUNkzEFwZ 7VK4XHyzhgamXE1oFFjTbrcEGV/N16x3QnVm5nFTDyjhK+CARIX0+tezgviY97nGcBgdckeYd9e6 ++jIgPU2ZGMkV6Miu8RLLKgn7132tyiBcs4baZT9DwRJrTXCOBrPJd/ZTyr+N3ReAiBX/kLOOxR3 KVc3XPJDjNqYRurfBOxoO/GTPLGiGhJff1mfmaJw7jNmpUSyKRXhyVYJ94hpEhWHZoOeUnV29fEB zsMqu43NPRtFedHQNCwp+lQKTt+Rc8Ge1LYZzJfqXtg+U2UodBWiqkxswCrrfRfvQD6vLqR6D0oY WHX5eebfyR4+ES8gNdpeLp+kaq2XbCIZ7jBcwKQf1pNwsmyEKsjGFi6F7u4OwjScx5Ubgbz4G/OW PbuE++kYNOalbu1TBoZIyzgZPiPk69m0Fo75lNUY+pvtwdon37jmsDJePnBT4tQJXZnrVCOTZYjI 362IStriW1iGo4oPam8qzuHFOFj02Dm5ciot1i/WLGVuK+kmBiP5TVDIf2WwFAy4CO65O7mLcxlv rbcYsje2svZH5yAHWj9lweug8HCV8+BwkwbmszB8x/hN4wqs5VcLdn/EY4IqdPDlLeSurfrLqgeT fxfMn3V5+4O3kv1fOJhMkb8prmnP+jJMSzi/WVKPcRDrQzThZZ0iy5RPd50+pMgBGP6JAFXaDGcM zkUa4hH4mlXAWT3Oi3QsLHD0valg8tSXjFekKeh1b9/Fi25AS21P6KoIrl3Z090gI/Do2R+hcl2d CVVCU0AaR5vKnJb2188iV8MbE+aZ0xbK1To72wHdiz1Vjopt6g83bEh49p7xuxVeJQ+qQHEMTVyZ h9XKz07B+3GSTHiCRM/On4/C2+oOG0x9zVOqrzwidge5DHkwvbQNm205t3xzmEvpda//yigUS0O7 M949djPBJxZRzHdBBmuu2sdHBujmUvNce4dhHW4stwIWv/pBVuBk7Vilr99oUBsoYX8zb9uJBZla PEhRwmOePRcAZR8SFK0u0Edi8rhztdUwCT4EWbN0I7QHb8ljbJrzFSJwFzWRgz1AEWy+gouyyMN+ 0ZnN17IfcMyyFmtkHb7FyWCB186lkhPfXuGPa72ke5C4whxhPvM+d5l2bjPBcV1T6bfz8tp5y0kO LoccRXgYWxTxCieqkHLJiSWfK5PPFhrmeFf/uL9n/7j+R/yy9Y2Vcpo86aH3HGPz/+o7KnIBXmif 7aq+IzkOyrZntRNSMhu5/S1lI4T0Gmb/0noQjhv2A/PgMliUPqwFuqpchX2qwKl6Zf6cUPJbv5ul Aox1tX5aV+NOHn2uHQU9SqFhzUuX9q3xuGut1SfNXrSlIPvGydOSJHBqjzLNIv0ne1SLpzkeIRex qyI3BiocF5RIEaqkGT7wTMZDg3RJcN9D4TkvlH4/Fo3tajDaEO2vBj+69qaWDiDj/vFxiV+eAEk/ E8C5VyDG3n3CMmjkmpEiRmD27FPwAslRGDv13IBAhodwu+qvZCBgKRRDdsc9RYYHad5DKD8vpApK jS7f0uRfVXxIuIgkXpA6HVQxASXh+cnEjmKC2gInPCfki0dGfhe7TmePoo9uEKpXDcT9JdZxbpNA bQc2L84gKSR7J0iVkJEBGKQoFAex2dXSrXC6XOBexX6EFaRuH7Y8x07TdJEN1XcMkKfzAUtPSVGD UqRe7dK34UNxvpXuioU3eNEc2ew11+okXTZJt07j2G5c3BTWH/C7rYapKrEHii0oZVwDosqpO7xu /vx2Vpk9lzS7qDlZ+aG8r+gPYkPbY0OBwNiciXZfh2v+sHiLV5y1Tcw+3JDQPnKPqFnzjde7aW8y GHv3HRGyb3ZxJFnj9jiYzf7TS7oSFWDjSKC6GPBTDiY2JTsCah6pw+s7TwTD947tTaw5Y2a/zSlb xXBZOyMqdkzgdHYr6zs6Qu6N+Es7TJxgFcJwM5sUAuF+N0K3LljVAg6X96IJtOvMban5fJbScUIC bum4nQj/DwHFxAFcn+vVM5NLco94wNV/IeKEzZqiM16EarM6VvSf398G4X/91fzIbZwUNrHgKSg2 6OT6panDb6JC9niOgsI9ZGyfRK+bJRSlqcni0EjBJCatvagAS8x024DuRkXnxYjVljrUU5HiPXCI 0elLmd2SGXIr6OW0Sa0wdv2qx1hKKC2Gdm+45O61/KKpeeYboBLDrEfye2A9NUhjA0RsfCqVjL3j q0itChHLyu+57I5q6SgoqULZQfqCOUIpD7n0kAPjWzd1bOCuPpqAKceNLmMpim3hjjkZOyTQy820 F5JB536ecYeLjsIO01TUZFymhhyBJDVqQKB/lDl0qK+5iau7n+hrM2EX+Vh1eWfX4duymXAZ9+90 OdiqsOkHgQVzhd9UkF35RuTBYH894k8aR7mfQ+4Pk54zMyzimd1WBcMt95Za4SQrOZvgfLDD8diW WebAanEy/tW3msCH5njdOshi6JWFUBfYes4VdU7qKW8d3xM6JIr/nfNn8akJEPNtCQforXX6hofq xUKfrPH+bDn+JLoNZQr7YJ27HSABZzMvY/s4tOhWNIWmpCwvU2BUx3kh3C/ag2Iojc1vuVVfecNE GYmjNP4y5MRXZ3z7e8YlM+kzuFT1YS5XvRleYDRSSfiQLBEXGfTxkBVzdWP8AmYNev4Hd6XCqptM q/CvfEY1r/62+s3MMUIWBh34LIpNbEemTQnIqwKtcRwNsg3+V2+Aw9zy26XkhXIiNvEjtoIEM/WN o43gxXMZSs/gm/ZLNSIhLSON7XKw3rhisLU2Vcz4otZFPR3PlK+ZELG9gI5ayU7PspibVNv4yyuv xXZSQQOZ8DUtyU4iCQux6Ryf7hARLgi1fbG7cXKv94IRrwKMGbk1alKs5lPcThQx860UARovd/Bn K75J8rSIPyC6HgIw2YDv6ZnvMrobRWNa7pHcFC8C+qHO6zjS8G8Xlss2oKuLkgJO0HPjj8tbpNaq 9ZAWUsAwyPNQkEEC1NZrCfuAP7OgUlzltXafo4pc5P6+NKHTwEBtuXWn0uAsqfJY8kf8IbP9GM6X LmhUdmHWeCwE0wkFGYcDOTqNS5vfVywN/Uss6G4ZM29rAm5Q5driG3F0zM1fl3c4myhtPikhfmAw KBhPnrLRH6hq9mUsmpeGD2ZPXCv5YSHRfcyxlr5TLmprXEOh7Y7OkywxFzUlrlII13CjThZ1o4h6 OB5KwkKjLWi3x+Wyl86NvLCXJxsYCK6aTWNmNt4I42Qq9SyUfan4wX6ZLZkaJXAUc5bTqK4KkQOD uuWigik+AyxNVfwQcBnTEXKTJcAEriT987u5Twrdi5QQ1597ZkKrOTogTZAbk/xzv+xdQWO0KO8s y3gC64+PPUI49+Zbf7GJBmGs5pQx0TT6L4/UozbQcVrq29oJvaKteigLGZCwUjIiqbXGWIDkc5Aw 8tMjQrAT+id90cFu3oSOJmdJzoil6XwEXR+MnT8Xk17V/IjYHv1Rq6IkD1LFxEd+8xGS3dQzeERc sYLkY+x8Z6vpfEdsp3NFJLGC3I96raLISU51WrEjGCBH6iEqmi/uEvQusJ9wg2SQV2xxZn1iw6CF A855KInh3BqZBLaRsydmlDWyMW/Vm/TrCluPe3WBuH/Q1J66t/nSIquGf+t7tMm4wF4dYC4Y9lTu MWhgD7psjsU195bhBA/IUAw4PycjTum76GqBp+cr6I+dLg7llAzRr1NMAtp9lj8iNk59J3Atz6g1 QJUOOmG0tPW2Q1q0axUEgpeOBJrlsdY5xQsGEIkQyohaVljLabxMVC5CGDTytXD2urNyp8RupEut r/pxvcJAWv9p4Py6AuX6JkvDoabxf60UlEudTMDCSGE/1R/gGvChZoj+KAswFYS6BZdOiRJiA7J8 AHhFM4MIDVuGnql4GaXAHIZaoB67qGR+YTaNEfjLNJv0JoSZtjH3O3Axiuo0ArhoH95mZzbn/6yN b+UF2EH2+aW6vZCbGLg5sE+Ly8KQ8FVj7B6UH0JO9eHKU0bRa1szkkqKehndZ2yYQts4hIRs7k0X KONefVdfGnZJh2ccHvEOBKQn4ooVbgM06/ro2/On0rSsiFTBuVtFJsC635wFy6eIYdGqSLAjYSKP DFzMdXPomPWsMxE2qHBDO5vBgy5bal5FpD5+EuPtVr9Gy5Efp4Tm+rgVcJ+ttHMqEN38HFiDPF9K R+R2VJPGjNZRvhvXrW2NMvxUWo2uWZ8l4gIpEpEk6qPQD9iilVib2lJRDOV1EGEmRi16y/ZmLByP IeWfuoNEj10QhCV9k0s8jANHdcbXIaFiBJYhTeVs5jWqUkOVXcKohqKPG5mW8k1EoSbxfhQNPaBm wRYWqh/lKW0iXJh5W2igx36iCRayN/xRsd/4PkIEKidOtVbUX/yuc81jpjtTqKxjhd0rhHCAUvmT 2Rcw1SLJ7LzO+/MnQosBeKpQ5nIhkhe5l0kXE45A5WCm2OZTQGrNB5h0epYEuAKDu0kx7Yfpd/Id 1zjajc9jHgatp0gB1t1SGrXQ70Y4RHsxGjXh8OdZmzrN/TM3Eo5gKOb9k8aipHcuM8bvbcbB5WHt YHQ1QYiYseFb1e7wfNq6R+Fn+szQc5MqZxNO2W0Y+jXEpk+4NnqaeUsfAVET0unfrYKj6WB1I7vI fRDw3G6gssll0lJIz0p8+pmXro44nzjiNZee+E6pw1jZJ/VQD0T06Yu+TYMb5mBiBRRuvWv1egB9 9r53TYRZXO5J4e+so/MhykyNYOBsCTo7uV2XE1gGYOCzdzjv52zYh1dbAPAeVkwdiNIlDHAYT0h5 U77QVctiv2t+6zhg+Aoni9LAINyMxbuHRa1V3KGNOdy2sbkOkf7ZaBun6Wp6MZXKXxCFs1sWMlpc V9SJghgSmG5jlJoE8goLoaDVJN8ORgsOBUz9Q1fQfnb3t0VOayAFglirElCErVI+ncGZh1O/BpBa bABe50MIr370Zj+ISAcykDl2LWhrabyXq5xO3I2knZ/8iIZqdRPklqRN1uUSX75OIQ+B8QHJkfJ+ p/yX96WYtKGx7M+Z6//rELBmuQQ974LWULwvlQaNZiyCFjdh7SOEK4s9/Bfa5R0dvB//zPzslvkU HIV0T70OHmH7b15D5t07kiVzOE3pH+Mudbt0BbxLLu0D1hk04hzsHKSI1GJdF8QHBrLUr05uGtH6 uMk27GvZW7DtMXQjfMDlQWQQHav7CY9Jg9dfgTe9KgP/SzzIJLRHk+bgEdmwdr0+SvoHXQ/cjlH6 ubAwojX7+wDyjXnhcgZJ3JX23+Idf4NCVOZBiBH2APKZDinCZwWf2JOyOzrSmRMbYixv0JitPWwF f/USbENdPBpIfgBCf2/0zpZ5GEIdbhWoATBzlqbQUU1vwH/T6OKc0qzH5y2/b+ol68iXKpK+5XZP g3EHGfk+18SHP8ui9ZBbN6hsK3A5ZuL7C018NnraRDnAlqllYGOodpIH4rztqUzX9+WyreRayvNL +C9Hwh4bxjxBEoI6K564K7kQ39THzwAQJunvrOpCQ14vaqkiK2XELhuK7VKHhYV8FVeILtDU/Z1d 039qAplW5iQ6I3dK6k4RujsBVGqvXmu3xhNNwqLoCgSrqUDupYArpr5dCaBokmiSNPHjvFysE+Ni US1fVwJGBz0dKFbO6TCpoj7Rnr5JUx1Dkt8IYD04r7l26Qux+w+8MQUGSp7cKia9FudLRga+i6AO bThrWjhqIfxJY8+wBygNZaMqj/LBm/QoI7AgIdrpWEAujTuU1unvE+QcxX6v66nw81hjZDk2smst Q2ScSjEEMtxeXqekZ5HUmObCzyOrmKvmvTofsZU7fc8NvX5xoYSDg94CfI18F/4jG/1rUUpNlj5s XisbE/j7m0jMEcn/5RZf0XCEwGmI+PioXUADnZBRNwvLY6YLXAbqTe6+qdQz3qWWGtHOO4RRTEJX WlakWlMzwWuR24qNrWaqfydi6dve5QhwvNs24x9Eooi0JEIgajydvjURGC+XfhlPxopC/djaKDy1 UJuC/HqprbPBxa7p3VCLZ0ee0EnJlaCRoka3lnYmouuxjfjN45v1uBiIJXtn2XaC6K6of4aH+Dbl Q42MCo37YSFwLDMRA7fwzOhuN28gfmPBohg5NmdQbKvnPO6RCuVDs5qG0zdQQecGiiQPbSzYooQ5 yKtkRKjvAUhBAAoJ4OF9BIr7CH4hKhKaEKIEQgQ000bnqdYM4U7t910jTG7qRlWuZU0lcrYprKcW JckIvtSIbuZgZmxp+Ck8yZOzcds7QThavGcGme6yVFTKbSyxbA76+7U0W20AN6I62sLsODNxNeKm 9+msAVgfjW/lXeNE9ga0Z0PbSVJMYzI4pTPxO/PyXLlyEAUjY+qHREdLHiWA+6dQk5G+4OUjsqL4 u+3B5hp/zlfkpBlNg12V37hWk8bBfcUK4yn5NmiOB2+93W2BfJKt2lpAgNa9n2YqkawxqBBjNLka niCamxwcViqmeRytXQq48csqxs72A5niLjtj1GOjISyhpGVDpuWmP0qwrhdgLtNM2Nh2DGg/H6yb rXkaEuf8hp9VCz3/jiqibBtW4LXGGFwI76UtUNvV7Jw2EazAYAaaoPh0krF6fc6mjwRLHwnaWI35 lrY3Z8mWlEPaY9k+Yu3Q9RGFijl2yL0eteHFglCCYt7Lb5EYVJzxytA9U/BfHg0eldV2ZGQPD7mc F2LNi7owcOe3s58ZhkrpoRO80rYcL2mHJgUNjmoEUXKgQ5QCkr87vn993WzuUBi5ndDQFmdywHWc jvw3qGXF0Th+hFeshVlWIwGb9cyPGSQz4r2LvI/G3xxbisT3Wwisi/bV0WjX6n2OOc10bxPqFUEp n2ky/4GPRNck8S5HCV6lE8b0VSvwG6Y2n5rd2mibg82b25z4KRDgPPRW1S1fWHnywPhEeJu1CJUT JntLmFXIEzICaWi+tiYDDs3FoxlWohVMlWQsjm/uyfQWoD+0h3UFBMchYIxO6eeZxwzQnbnlYxBK TPRSz938jerepWekrfTLKc+xNB14n+qpaK8z0b8fpCYj1G0ZC4MpPpWUoOVxuboJp9fPipWpQHKJ WiY1VgsiYfVNJoDszto6SvTdndkFPeFamjG9qvj7g+rjX+cV7csZG00syjDYZYEvN7k6m009dOrd G04PewW//u27fD8QKK318uD2s8S9pQHroacvFoqt1nNCTnfzRPmseugOjb+EbMnqmN4gLh+I5yMu B2ch/4ORJVlZ81MlZRJPLD3yRmEOZu7EUJRHI4rLC+Pe5CKpG9GoaU4Q+IU5MojbL92utjGsCQnq Gv0xndVdT+RMvrVkskltWRJ2s+wzuK61UFyxYrYK5yNkFxx1Oi/yJUk/lhVVRSD39U92abDej1d9 +Msgdu8vaR0oJ97Uu76hI6ht8/hEznHWiGGkT99mN/OHhQ15jvSIedcc9C4JQkXqIHZVizbMEaH5 UvWXjK9mJI7DRgnQ9IsS6GnR7h0FbrklRVTYooOoubfimIt1mEvZJB/JhmwMjp1IQm681kFA5K3J /Wb7/AYUh8ldVmI2JtUcB+G+Jo266Z3wZDI4NkczRQwhd5tu9hoGJSfi48jy3/vQ9s+Sh/KWox5m 8Buqom/IZRokqnj27WicR5cnOdIz+mD2wOIFiPZXDqi7kLsN/oN18jGhBn7HeSFHQo7biSGb/H9A JeJB8cVF7Tw5U0m5wD2AaK3cqgQJbvekiR7ju85zA+wj+j4/2z+1ZjGZrDyRpAXDcaw2aRnWNEgH QpP1h4/6oOXDmZhUVl3SvRCTiWQ7VOehANws+cFtYOmLYMo/LesIfuQVE6KcijGTYjalpEFnH6bP 2rksjYPTsRDK4e7TNsgOow8wXvyOocRQ9MNuZwOqSGJmhbBW/9p5LNjO/wG4r5V4nh64iIYDxMzu qnnKoLV0yuNm0YZyZVKMK2U43HSWaC4qB/M6uUgubSaqZOXqFZvg3SiP6WbjXqib6/cMUsL9AokD iCEFHjbOM3f8KscxOM2F8y9BaQ9JD+i+ehXd8uCsUdLPKu9qfJgsViRTV1y1D3maQBPK7thxQRlL eo7pS4P69SS0vlf7EA5fbWXo4jWVbUl5t7bO6TQ8BD87jhuKsoUfIcCmpUZp2774bEYl3D7iABXz YzAP/vnO7NjAk216+4E9/lf/RFYTZQNnGd8i6ymDMNzDxV9t/OzgSM5LXV0W1aPraILMlf6t3cVd +7eOKP8vb/KxUGqYNQXqdzLREw39bbqcHkI7OldO+xlADcHeNViAUiBGzFMJXW9qOV6AZDum2MsZ uaBOIX9YbLGsI70aEfjRzPoKNowzz+ivla3CelQWWAbCjojMYgYBwKLtBycL7JaZFrgs6Ki9Z9Eb CvjIcBbzzOC7Qr96ce4xX8SHU0BmWXJREqFDmnn9ocs/dzxbJnJ78L4ZzQOhl/TqoPc7Y6No32zN GifQ76sHHUvC9c3SoD3Qw5g6x3bvqrwpobTb5up16Kw8SrC/T9JgXtLCALUCsKaTRuGXQA7fZrgY j6S6zokUue2RR21Bt80tf6tNGAbWCWlcvVvhsJcRfcC1xAfsp1+gqxbnwms+K3vBUiGnzMXFdZ5Z Ndl+hdrVoYDR+CHt4KxXWhE6VzDsfKiPzoPTNajWg1zwsQ0UI4Ys+I+nu73QLTnbsc7aD41CEXVa FDRJysmbR8siUmLkNXEjp14tGLdskrh+ga+FP6HvfF89iYke/jJ3TTfp99Kxgse4wPYV3YLLjcnj 2mfHGks9vlr9glEXwpHPQzSmKJA9XaIBzYD/Y8A+D/4U93N8/1/HDyV7Vc1KBkQefNKb4u4RLAIZ dh83MN6kvomUuQQJZUS8JzSxOTc2NxoWVGt4w04IK6ZGESXR7mMZqufrBXNc4VIhnbeTqPar9YI9 vxESoVhyD2RS7kut1ocuuXNgpIiCQnGHg1MOaJIxBt8SBAM494ztdnqvlc/Rxy1Pk8ni5A4TGh0H 2Jex+tY+w9E8Nc+TeMrlO/v+jYIVoKJDOFrA/fZ/c46EJuwGPO4BHKjKvVJVqCejxPBr/xjvZ5j8 xOTN8ps/f5F4uTc4QmqwAxImlusmX4REnuI3dPlA0ZuIBcRY+ygLSXGloWig21QuJyGCHh/TBuMZ Dg70eRGhQelOssGXbABaZq9w3y/eV882hO7R3rvE6mhAyUWSD5aqDq7mhXZUrwz1r50dpibCwmnT 7jdS6vwA+jFHES8RUmcbfKOrpAVSMfr6/O7nUwbpXpIk2Rpf8JiochOxvWy9ZraVoNrYCEkGec3Z 6AQKDo/8DblJSfC4iBbrz+MK+O6tOPwpIqRLZKGRW8+yj1LHtonWWODVKpOTfoJTYw1SoU7ysOSV ZGULe1n27eR2cBpm+3YgQt73ekADaCTlTKrifoIoKi/LJNWA9165SvI4vXnJ1KoOPoZebK8LE+VV KKD6JbxzWp+vGpFUvL1eL+wlGUXmE8QaK/XZoxL0zsIGk/KxHdUanFXIX3B/46HxTx15wKQiop7y rFpkKMcB5RGoJ4XEnSEE2Qt370xphIiGVoKmJPGsV8HTgj+jwrm90ouX9tzEC/4ZKD8UyjNaY/V0 4MaC1qzukW3cxFcaf0wurjwPi6NKOFD3+40niOs4B8qPSnlNd5o/GXRwPdyNg2InUmE5zjG8YGjB WRHfo9XA+NkFG67v5oCWvQqEzSnC3l5P+1sV9BnW6pUvv/9tHrVunstlLtuIG9oYTZTTG4y413Mg RcdrbmL8q4VY23O6+q3Ul8pEoXfR0d5BKeTmlKBNns64h8hjJp0FgTkc72WdW8AdeqbDSFi/NBXJ 28ovoIJZC/EXU7f9m0WtDkIHoEosLYtNjaQsoMtQxAwgG6rbHidveIq6KNBgrm/bAVF5OIkwvQo5 G9i7/FPUNmxiucu6TBvx5ucWLig2dbaLIZ4m8Dq36PRDekTduvT0lIV4Fo9rS68qpWihQaUmqoGi Lw3KcIbSNWs6vP02saNokLEgdWcb2elfmdxuTbY1tsNbi9m5QWySXdJ/NUxjGW70408SL6Anvu5u nInKILMXqUWOE4CK0l6MBcMJYYlWQsnqAlA4wHnSvFVaEBxhQLs7enC5DXfKWfrJS7cmMpKYnAJQ uA9gwhiymMp0hQ2jxErnLLJqFCHjL4cE358Nnuy59eprx4tqWNnhJ77qaYWy7kryzBCRcvhR0ho3 uv12YcMLGPo6fimzt0vtA4nrv8JqU42YFwFUxF7ijuGEaDhflwdbb4pBwaR4r83ileu3GMk433JB SqyNevkkfDYUfZ52TNLAR1QyBlmn94SLlwKRDvFEv0W7W6PjOxqSXMSf0TSgZQkiBrc1nd9Qk/jO xpZqVYuxuMA4u9kmSuAh9PpsDuzckEvP0ECVSY+Y+3+gTc9jDlKMANgYXfo1CaqQKivJ+UdZQ0YK Rq1m8IZW/kN1/AAVO4HUWTGTyPrpTFbQEL47mroP7yHOo4Bw9zcolmjxGuYzwITiNh6Q9yZeSo/g hqq9WSxlNcS2WuPoTHRYjAVUISXR9pWjkd6rxpKWMgJXgxS+5DBfE9LSUcFSebNMKQv9udlBiqh3 h3lrLJs6EiE8f0NmV7lpPUGXGi5w+De8656BtZUc8ZOkHDgj/lGt2LsCLubHVy7Srb+xQ7j/PE+3 v7Q+CzjsLxLi3N5kCJNOZMD3qlzxDKSnqmqsXUOV7LJq1G9s3t8F9Bm0MwG5+X9dQd2EnPfmHFKD V5rH4kfTKHdRpf6ew0SHiLe8NXdj7Fa9To3b0+OkN9YIDaViun86YTWmES08p81cnRqEqZ0mWPsm xIEq3OpWsZiJCUKU3JgBFiFji7tngx+EdNgVsXGFj8kUhP4oa79HmE04DtAOoXdqqvps9izJo0aw Q+ZhuIVTD8hdsCXtwvRKECTqrgDvUefjxfrhPaUZVcyL8KdiVfZZvi95Ua3PgaedM7t9q5Q4in+4 DLbP58wzU/5qsAB9S81LelqUant/Q2CSjGOshGBdeqLqePJjJpp7EEWIh+vnGF4w4V2PfM8EEzTL o2/Cz8KSx59K7/J2VGYCg5g1BdVPgOG+FuR705bQ8up6yCk69LsLy88ivaULKM15gxYQmlIV7adZ Nx7xoY3B5GU7NlUQGnpIOle6QgmE4J5EGRjuijVBw3tkStDFKrz7eR4xmKmA1kndFhrYnNIVp15q zb16fbOFfvRWUwlnVSNc06oxiNJFUV0mEha0331mDZiNxBj4B2nZZ44ggNlULE0/XFdzY/zvQOK7 5tZecSXN1b+1Z6hFBN5TytecdZrA23bC6C5QP5SwKeXZGFVah5R5RL/GTBfixsNjoOkBjofNFY3z BWB2gS4BxC1dX9nZdx62wqrdmetM/rwBRLnu5lfdtP4u2zbFbseh7NsZQGuUG0XwsIURfqsTMSuM q11KQ/45e/HwsPt6jkAtmnfGZwdDmYeSMa/ww8Dw6+Ogo8STDmt75ISxKpIq7T20AfTiYXZjly+R Lx3PiHPPvWaMLADhv6q4MwoK54bgWaQhXkg16H9rUeJexSe81o3z0LSb2X9YsuXkpmGZRGsSrUys l8IA/l27FQGooS0XLnm6FUwz4BoRUNmWSNdFzQdovmDzr2erP9NPQ9XQpIeuNc4OHEhH1Lawihha APxT2R2y8KJ4BruIO9U3hIOnbIC0KWdz0oUvnREl41zbz73G6OpKAdtdPKOwzvSfQ61pvqrcowH1 yeIFMXr2+3iLdFEPiN4OfcXxDx01uLL2PCU789P1NIYODegwPMLhc3GMye2Re9kYv0KEWoSd1kAt 0Ao/Hrznn08Y1dHI3FWIHkloGThCQhDIQ7LTUVEQGb44nUIYzSVLoCwzU5gyy/IedEmN7CN+hbyP J3LiM4S+ltdNcrIvRRVmK8AqxjWdhimB7oif3jmdM+vC9t5axR8NewBV1pIYbBh4wOE/Yfo34vLi ++D5ctfBpPoTirjZ9TYWgUnb1H7e6nZPfZT66EYQomUULVU/agXAWvYjtWYgijgDKZa9sBbnf10V 6P1yGLKsucyrmxMIt5nlf7YIeFJ2Du+lbP2fZI4yb0Hqt4hY+AvmM0LU6C1W1OqaZawUgFD8Vyc5 iHsYbcSBUPhfzIuYAfbXAWojsoD+lB10KMOyyRbli9CMIRxB3YzKh7DVig2PKA1GXiNo2OpBjL9x AgUKeM7qQygNSGHNzkl3ajSZZ0XRLbZNgrWXAUQzvX47gvlq+luglPlodufpmDJl1e7xpYFSFdCY UafKTKcohIzxmByGs6H63CDOjm2ORuTjUv7tr1HggY1JxODCYjNxFS1S3B7eRXycyRIK6YYP9qrT /pBmhLUSL/FojVfQ/4DBKIzutJAQfUPYk3zxSU1DY6+6QwKlbaPv9MnfoEc1apQLNL0u1lTv1eIC 7s0Csw2NBPSsAOvpB/OvS97QrK4/6WU2ZksyVOwLwwO/K1iUPSqCR31ihzWi7/ASQhhpWr6P6PHt q202p+npyO2pJOUc2JpFLlMbiZdSqDNZmHLasY3pLKBou9bokp2R4RpGCdhFgZa/ArM3L4073Kd/ BbazZbD0Pii/1tzsqAlQ2YqULNagxikzXhbQgf0RdY38BNp9waveCJnEdiEngDJwgQX7fUSsda24 2VND+QU15+6NpfvJ+BblpgMErtf21h/HBCFTsL+yRtDs1eSLN6uCldwFC2hYeFY7JwSEhBPTpTEJ h329dbFlKzwx8OGJhl0zbfehHOzsnfGfykY4bith4rQlXfuPgjOqDN7HaRvvDxIXCv7e8ylJcEM4 4R/hc2TWqGbXaPV5u/S803Jc6l8zC9W+n+DZxallG0wB+3bqzmCkCFiD8UeAueIZFSJ7A3AKD5X5 DMh7PljTqPkThYgF1DCq6bVFLv+69RcLATJsQrL0FM00l0mBN8g/RhcYZFgrvj5pMt91DyjrER1G CxMEUlFljN4IgXTUH40Uv09wbiXs4GAufKlxeaFV17s5dyBPZ2BAphvqqSEAEWqSzNIbz2mP4BYy G+ZnK/X0/teJstqS3zbze1wVyQt0UOqFd2iDMrpJ0sUEEutfLl8+WD71QRw4CgQfPScG+sG8EqMN EY2wql45BIxCPeeaPYBERgTceXqSnWOSDLXTNuLuS4/7iS+9tMnd5G9/W8B7aeiSmQMufmZSNs1K Hwhkd8mxGOjhcygvtbr0Jsr3CfRjD0bwIyqGLWDGhGdvp3VwSyZpAe8btGIkNIoo+3cQ86FvZ9yc UVrqUuSYTO+cvuY6/o0jzQSDbVbnrK8VnoSqidhqI7TdRA9eWEMQKhaiEj49lHRvPMshsXwnU1Q8 fRVq8sI19PQcFXSryu1MmCnqRXqwFGdcjGfrWDZ2m1LDjDiy2b4X+orponY3dP7DaQHCFtOri9TB u8o6+8QhxL3o0zWRIGcqA/dYS6diDuDE0IPn1z0Yt8XmVVDM5FU2E3yZV8FqEq8k/pu2dIsBKY91 JGlG0ah0WFUWaOPOOTL95e7CFfTPNvXNzpWHQIQXjgUBj5gXy+KezUY7PRr3eotgKmrsrKvPHVt/ glps0MdI4naZicXs1Irvy2p7CvYoZSqITgAqMFfNJmsoh+H1IZjoEjPHu//+VDpJxPSlysuNYd1v JcFCj8DKv21no3BekrRWyT+xEEw5e0GsLPIhyGm7M82a6UEVLqTqJ/koRpV8ar7/i+A5p6+OCHZ6 UN7p3hJtDRCUCSdnXW1nx6PBGguesfTbfxsqpRaoJ1Mp/Hcy8GLeK5D+8hYYKjzNbfo9HLDwqoxo FCyh8uq/M4svMZ7jC4kTdMnHL4fBmfsXF/u77rZcvbU/e/9VhFKsvA4bmUWUrmW0klBCO7dFA77f ebU6YBmnAmxEyVBwO5eefg+QZirl+dTotAWcLoiRv4mzP4ygqTeHzvfabXGwUpR1OR6jEl4B7MXU IIoqpdVWAmBRqFdUXhI0RwP0C4GQye2C8t6dnvif9oNUVDwOW4jzXIFalvATDgp698ARqZaMmk6Q 0jW0ONgWYIozCnPEYkFKJmau0aC6dwElZoN1NStre0xpPjx3KazvSWIuVya5Ns+NFYoRFiVe4D5T rrF+dpk2sc/W/3exUwxH1QstgEyAaj/uLb38xpDFu40C/YtMRDRElx58fMZRXKclPCi84ZcIB6vm mABmqEhOOv61WEy5YAW/UDnppAvn3XHtxQhctZWue7x0f0+KgwEe4pc3ud6iUOYmtPq+cJPZPTlS RBusaQZjO1HvbHawXgtgy4dxNc9c3jELf1DhK+tFIjC8tcKw/gfdAzosQiCV5fW5le1jKFkzoQ0/ u7MM0PgD6Wep7iN6WOJBFAYxEkvGesNIENZwM6HHZ7NxFJfVxdRoUqG+AY8rkCm8JOHCFRKNIFVD 9vwCQ7n+dW8CB7tupXSTJ7Fd7FlhNc9lLE0943oQB72z9XSIS37w5LMuE0TOHpWx28+jCeYprPsi hh4uEaBqtkGnx9rHc3WGl+EclgfzrJjGrRfsg9Z8XsTAO6pjeaDydyammMpJh/0MWaihkdFEGgox WxowY1FDdOhBe7rKRGAjbus5DUISNVbqumknCbNpnYXIjZl/5j63vJ1AducRo4PBPaG8d9GvDUo8 Ym2+3Xgm5OVd8eXr/JZsjqRot9QDHRrNFo2VPSS3HvKd0oteLTij9NAVWF8L1TlpVij/JTNms8h9 tArdouQknW/yiUtHglJRdi9otO/qAS1YOOY1uyMo41KU1hTmAdmF47zG88r4A+bBNKOdCCVcQGT1 bIAgnESJRzzSD5xaN3KKJ/VhwB0PXKtmW+WtU0NZNvcEejL0aebNfxIailF/U6qDmqAd0iJfOERW ftueD21wgA6W5cY5M4yM0vKfR6lBShVem+Kg28EYtWgZRFXlZmYvOCmAqDbqHkT/RKz953OeZx4g YDaCrSRyVxB9lWOXbwogoJtitjeuDEGQ4IgWBGMLhK419DtY+qS+5+jOIqq+/sqMOv3E3X7qQdKR zAgD3U5cIhde0cvez93G6+m+FfalLpPQ6FE39RYSXxeOynPSDIAiogz6ZCVGXsoCiEhJkOad0twR +v2KjXb9K2rYxJgkK5kabVvdZvkHWOQciGYHKfA8ZwjlTlJd/kadHzZYSljOyil9N7ZhmTPoPQaG Dm6Z0EDfkq+0RA29tJqakC64dcUrUyL4SdU3Xacg+Uz6tpjrtCRt5x4I5cse0mcN7Dud/b5H3aJE PoO7FayjMTHZVmVZtEGP5+VKxrGAA1UaKooEJIhyp9xu/NoVUnxXFt8sDAVBUYb7F21j0i6rbav+ QoTBc8MqNk6XQ3YicuA6TYQ8379QZmxNTstIjjXCg2TbRVB1Yk31vxvxCGNbC6bnLpzzLFLCsgj7 ufs+ZrdMW1FjFg1bcGTRrlgS2bNlWvzNUAPYuVdBXEDx2cnqCWDbk/L5qS6EVJ0RQahsn03DZXnt N9kKDWK//NTbYMwbeVVySHSEx+FtNwbj3WbcALDGTwAWw2qb+PY4Rq5To08JQCIm2M8k8YI5/ej2 bDBQhLaVl8+wQwR9Zh7DWcp0HMb3VD8EoUwDhw+EM9sha6Ns5wVv5En2VjFLkDblOwPo0mU8ma1P 4x3n/3yRBQtbqAWpu2XIyfyNKlzOAlWZfyGVp5OSUlXndFJLvBBsbN/dAuWfVFghTxFYfpLxlKAD TzHQTzPHE4RcHl0xIqJc1jYW76YaK18ovh0WldevAX4fO0mk12jd764c63vTHLxxy0bgGLJjYnWC EsIBkBIwLuaAUhoJ3GirX4q9iP53cK3YrtyijrbVUQYcdlKiZjvjd0KEyk5fHQTAvBJqcvKuFy/w rzJuPHxN/IpBlMEy49odEgEHoNMBgMPlWPfLdYMQASnIGDi+sD0W8QjSUTddPZ7d19ZIffsiV7Ff usdg39VZYko3zccaR9uWAq4Ucf7+CvyBqkizcQfbT8GF36gtMXtDjPPvZDuLlFSOyvHA5aOm4c4u nZftsGO80wkfCQJtGWg9QnFdsKtCZSPUPBnKmVLDDfNsvzzGsLaa968mH1ibd0FFAuD0GmuqpDkP Aa+z07TEZNrFiUjuXiyT5FBW9um1sEsJQT1z5Dqicmelz3IYTLFodkyVmbWSD9h1cwvtuIQ5/FpI yOr/UhiWZAsbL5+REM5Rkg0N3SVXTMO4uhnjakp3y66l0uwdwP/m8tYlx64/zzLFf7bM+Af0WTJS ADwKd/xjBP2tKSmeQlol1QC/oZ+4qrWDDt8baGJ7e6WRZ2Y2QOQX4ldyyDHOWn7JooVFkBPgM0IO xyObwyFHnO0/lWhx7aq2mna/HdYQ6iGkS5oL1Si/dzk= `protect end_protected
apache-2.0
ead8caca3cfe08916844bab566c1da77
0.951162
1.811628
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_uartlite_v2_0/6e58ba99/hdl/src/vhdl/uartlite_rx.vhd
1
25,186
------------------------------------------------------------------------------- -- uartlite_rx - entity/architecture pair ------------------------------------------------------------------------------- -- -- ******************************************************************* -- -- ** (c) Copyright [2007] - [2011] Xilinx, Inc. All rights reserved.* -- -- ** * -- -- ** This file contains confidential and proprietary information * -- -- ** of Xilinx, Inc. and is protected under U.S. and * -- -- ** international copyright and other intellectual property * -- -- ** laws. * -- -- ** * -- -- ** DISCLAIMER * -- -- ** This disclaimer is not a license and does not grant any * -- -- ** rights to the materials distributed herewith. Except as * -- -- ** otherwise provided in a valid license issued to you by * -- -- ** Xilinx, and to the maximum extent permitted by applicable * -- -- ** law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND * -- -- ** WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES * -- -- ** AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING * -- -- ** BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- * -- -- ** INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and * -- -- ** (2) Xilinx shall not be liable (whether in contract or tort, * -- -- ** including negligence, or under any other theory of * -- -- ** liability) for any loss or damage of any kind or nature * -- -- ** related to, arising under or in connection with these * -- -- ** materials, including for any direct, or any indirect, * -- -- ** special, incidental, or consequential loss or damage * -- -- ** (including loss of data, profits, goodwill, or any type of * -- -- ** loss or damage suffered as a result of any action brought * -- -- ** by a third party) even if such damage or loss was * -- -- ** reasonably foreseeable or Xilinx had been advised of the * -- -- ** possibility of the same. * -- -- ** * -- -- ** CRITICAL APPLICATIONS * -- -- ** Xilinx products are not designed or intended to be fail- * -- -- ** safe, or for use in any application requiring fail-safe * -- -- ** performance, such as life-support or safety devices or * -- -- ** systems, Class III medical devices, nuclear facilities, * -- -- ** applications related to the deployment of airbags, or any * -- -- ** other applications that could lead to death, personal * -- -- ** injury, or severe property or environmental damage * -- -- ** (individually and collectively, "Critical * -- -- ** Applications"). Customer assumes the sole risk and * -- -- ** liability of any use of Xilinx products in Critical * -- -- ** Applications, subject only to applicable laws and * -- -- ** regulations governing limitations on product liability. * -- -- ** * -- -- ** THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS * -- -- ** PART OF THIS FILE AT ALL TIMES. * -- ******************************************************************* -- ------------------------------------------------------------------------------- -- Filename: uartlite_rx.vhd -- Version: v2.0 -- Description: UART Lite Receive Interface Module -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: This section shows the hierarchical structure of axi_uartlite. -- -- axi_uartlite.vhd -- --axi_lite_ipif.vhd -- --uartlite_core.vhd -- --uartlite_tx.vhd -- --uartlite_rx.vhd -- --baudrate.vhd ------------------------------------------------------------------------------- -- Author: USM -- -- USM 07/22/09 -- ^^^^^^ -- - Initial release of v1.00.a -- ~~~~~~ -- ~~~~~~ -- 20/09/20 SK -- - Updated the version as AXI Lite IPIF version is updated. -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library proc_common_v4_0; -- dynshreg_i_f refered from proc_common_v4_0 use proc_common_v4_0.dynshreg_i_f; -- srl_fifo_f refered from proc_common_v4_0 use proc_common_v4_0.srl_fifo_f; ------------------------------------------------------------------------------- -- Port Declaration ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Generics : ------------------------------------------------------------------------------- -- UART Lite generics -- C_DATA_BITS -- The number of data bits in the serial frame -- C_USE_PARITY -- Determines whether parity is used or not -- C_ODD_PARITY -- If parity is used determines whether parity -- is even or odd -- -- System generics -- C_FAMILY -- Xilinx FPGA Family ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Definition of Ports : ------------------------------------------------------------------------------- -- System Signals -- Clk -- Clock signal -- Rst -- Reset signal -- UART Lite interface -- RX -- Receive Data -- Internal UART interface signals -- EN_16x_Baud -- Enable signal which is 16x times baud rate -- Read_RX_FIFO -- Read receive FIFO -- Reset_RX_FIFO -- Reset receive FIFO -- RX_Data -- Receive data output -- RX_Data_Present -- Receive data present -- RX_Buffer_Full -- Receive buffer full -- RX_Frame_Error -- Receive frame error -- RX_Overrun_Error -- Receive overrun error -- RX_Parity_Error -- Receive parity error ------------------------------------------------------------------------------- ------------------------------------------------------------------------------- -- Entity Section ------------------------------------------------------------------------------- entity uartlite_rx is generic ( C_FAMILY : string := "virtex7"; C_DATA_BITS : integer range 5 to 8 := 8; C_USE_PARITY : integer range 0 to 1 := 0; C_ODD_PARITY : integer range 0 to 1 := 0 ); port ( Clk : in std_logic; Reset : in std_logic; EN_16x_Baud : in std_logic; RX : in std_logic; Read_RX_FIFO : in std_logic; Reset_RX_FIFO : in std_logic; RX_Data : out std_logic_vector(0 to C_DATA_BITS-1); RX_Data_Present : out std_logic; RX_Buffer_Full : out std_logic; RX_Frame_Error : out std_logic; RX_Overrun_Error : out std_logic; RX_Parity_Error : out std_logic ); end entity uartlite_rx; ------------------------------------------------------------------------------- -- Architecture Section ------------------------------------------------------------------------------- architecture RTL of uartlite_rx is -- Pragma Added to supress synth warnings attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of RTL : architecture is "yes"; type bo2sl_type is array(boolean) of std_logic; constant bo2sl : bo2sl_type := (false => '0', true => '1'); --------------------------------------------------------------------------- -- Constant declarations --------------------------------------------------------------------------- constant SERIAL_TO_PAR_LENGTH : integer := C_DATA_BITS + C_USE_PARITY; constant STOP_BIT_POS : integer := SERIAL_TO_PAR_LENGTH; constant DATA_LSB_POS : integer := SERIAL_TO_PAR_LENGTH; constant CALC_PAR_POS : integer := SERIAL_TO_PAR_LENGTH; --------------------------------------------------------------------------- -- Signal declarations --------------------------------------------------------------------------- signal start_Edge_Detected : boolean; signal start_Edge_Detected_Bit : std_logic; signal running : boolean; signal recycle : std_logic; signal sample_Point : std_logic; signal stop_Bit_Position : std_logic; signal fifo_Write : std_logic; signal fifo_din : std_logic_vector(0 to SERIAL_TO_PAR_LENGTH); signal serial_to_Par : std_logic_vector(1 to SERIAL_TO_PAR_LENGTH); signal calc_parity : std_logic; signal parity : std_logic; signal RX_Buffer_Full_I : std_logic; signal RX_D1 : std_logic; signal RX_D2 : std_logic; signal rx_1 : std_logic; signal rx_2 : std_logic; signal rx_3 : std_logic; signal rx_4 : std_logic; signal rx_5 : std_logic; signal rx_6 : std_logic; signal rx_7 : std_logic; signal rx_8 : std_logic; signal rx_9 : std_logic; signal rx_Data_Empty : std_logic := '0'; signal fifo_wr : std_logic; signal fifo_rd : std_logic; signal RX_FIFO_Reset : std_logic; signal valid_rx : std_logic; signal valid_start : std_logic; signal frame_err_ocrd : std_logic; signal frame_err : std_logic; begin -- architecture RTL --------------------------------------------------------------------------- -- RX_SAMPLING : Double sample RX to avoid meta-stability --------------------------------------------------------------------------- INPUT_DOUBLE_REGS3 : entity proc_common_v4_0.cdc_sync generic map ( C_CDC_TYPE => 1, C_RESET_STATE => 0, C_SINGLE_BIT => 1, C_VECTOR_WIDTH => 32, C_MTBF_STAGES => 4 ) port map ( prmry_aclk => '0', prmry_resetn => '0', prmry_in => RX, prmry_vect_in => (others => '0'), scndry_aclk => Clk, scndry_resetn => '0', scndry_out => RX_D2, scndry_vect_out => open ); -- RX_SAMPLING: process (Clk) is -- begin -- process RX_Sampling -- if Clk'event and Clk = '1' then -- rising clock edge -- if Reset = '1' then -- synchronous reset (active high) -- RX_D1 <= '1'; -- RX_D2 <= '1'; -- else -- RX_D1 <= RX; -- RX_D2 <= RX_D1; -- end if; -- end if; -- end process RX_SAMPLING; ------------------------------------------------------------------------------- -- Detect a falling edge on RX and start a new reception if idle ------------------------------------------------------------------------------- --------------------------------------------------------------------------- -- detect the start of the frame --------------------------------------------------------------------------- RX_DFFS : process (Clk) is begin -- process Prev_RX_DFFS if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then rx_1 <= '0'; rx_2 <= '0'; rx_3 <= '0'; rx_4 <= '0'; rx_5 <= '0'; rx_6 <= '0'; rx_7 <= '0'; rx_8 <= '0'; rx_9 <= '0'; elsif (EN_16x_Baud = '1') then rx_1 <= RX_D2; rx_2 <= rx_1; rx_3 <= rx_2; rx_4 <= rx_3; rx_5 <= rx_4; rx_6 <= rx_5; rx_7 <= rx_6; rx_8 <= rx_7; rx_9 <= rx_8; end if; end if; end process RX_DFFS; --------------------------------------------------------------------------- -- Start bit valid when RX is continuously low for atleast 8 samples --------------------------------------------------------------------------- valid_start <= rx_8 or rx_7 or rx_6 or rx_5 or rx_4 or rx_3 or rx_2 or rx_1; --------------------------------------------------------------------------- -- START_EDGE_DFF : Start a new reception if idle --------------------------------------------------------------------------- START_EDGE_DFF : process (Clk) is begin -- process Start_Edge_DFF if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then start_Edge_Detected <= false; elsif (EN_16x_Baud = '1') then start_Edge_Detected <= ((not running) and (frame_err_ocrd = '0') and (rx_9 = '1') and (valid_start = '0')); end if; end if; end process START_EDGE_DFF; --------------------------------------------------------------------------- -- FRAME_ERR_CAPTURE : frame_err_ocrd is '1' when a frame error is occured -- and deasserted when the next low to high on RX --------------------------------------------------------------------------- FRAME_ERR_CAPTURE : process (Clk) is begin -- process valid_rx_DFF if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then -- synchronous reset (active high) frame_err_ocrd <= '0'; elsif (frame_err = '1') then frame_err_ocrd <= '1'; elsif (RX_D2 = '1') then frame_err_ocrd <= '0'; end if; end if; end process FRAME_ERR_CAPTURE; --------------------------------------------------------------------------- -- VALID_XFER : valid_rx is '1' when a valid start edge detected --------------------------------------------------------------------------- VALID_XFER : process (Clk) is begin -- process valid_rx_DFF if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then -- synchronous reset (active high) valid_rx <= '0'; elsif (start_Edge_Detected = true) then valid_rx <= '1'; elsif (fifo_Write = '1') then valid_rx <= '0'; end if; end if; end process VALID_XFER; --------------------------------------------------------------------------- -- RUNNING_DFF : Running is '1' during a reception --------------------------------------------------------------------------- RUNNING_DFF : process (Clk) is begin -- process Running_DFF if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then -- synchronous reset (active high) running <= false; elsif (EN_16x_Baud = '1') then if (start_Edge_Detected) then running <= true; elsif ((sample_Point = '1') and (stop_Bit_Position = '1')) then running <= false; end if; end if; end if; end process RUNNING_DFF; --------------------------------------------------------------------------- -- Boolean to std logic conversion of start edge --------------------------------------------------------------------------- start_Edge_Detected_Bit <= '1' when start_Edge_Detected else '0'; --------------------------------------------------------------------------- -- After the start edge is detected, generate recycle to generate sample -- point --------------------------------------------------------------------------- recycle <= (valid_rx and (not stop_Bit_Position) and (start_Edge_Detected_Bit or sample_Point)); ------------------------------------------------------------------------- -- DELAY_16_I : Keep regenerating new values into the 16 clock delay, -- Starting with the first start_Edge_Detected_Bit and for every new -- sample_points until stop_Bit_Position is reached ------------------------------------------------------------------------- DELAY_16_I : entity proc_common_v4_0.dynshreg_i_f generic map ( C_DEPTH => 16, C_DWIDTH => 1, C_FAMILY => C_FAMILY ) port map ( Clk => Clk, Clken => EN_16x_Baud, Addr => "1111", Din(0) => recycle, Dout(0) => sample_Point ); --------------------------------------------------------------------------- -- STOP_BIT_HANDLER : Detect when the stop bit is received --------------------------------------------------------------------------- STOP_BIT_HANDLER : process (Clk) is begin -- process Stop_Bit_Handler if Clk'event and Clk = '1' then -- rising clock edge if (Reset = '1') then -- synchronous reset (active high) stop_Bit_Position <= '0'; elsif (EN_16x_Baud = '1') then if (stop_Bit_Position = '0') then -- Start bit has reached the end of the shift register -- (Stop bit position) stop_Bit_Position <= sample_Point and fifo_din(STOP_BIT_POS); elsif (sample_Point = '1') then -- if stop_Bit_Position is 1 clear it at next sample_Point stop_Bit_Position <= '0'; end if; end if; end if; end process STOP_BIT_HANDLER; USING_PARITY_NO : if (C_USE_PARITY = 0) generate RX_Parity_Error <= '0' ; end generate USING_PARITY_NO; --------------------------------------------------------------------------- -- USING_PARITY : Generate parity handling when C_USE_PARITY = 1 --------------------------------------------------------------------------- USING_PARITY : if (C_USE_PARITY = 1) generate PARITY_DFF: Process (Clk) is begin if (Clk'event and Clk = '1') then if (Reset = '1' or start_Edge_Detected_Bit = '1') then parity <= bo2sl(C_ODD_PARITY = 1); elsif (EN_16x_Baud = '1') then parity <= calc_parity; end if; end if; end process PARITY_DFF; calc_parity <= parity when (stop_Bit_Position or (not sample_Point)) = '1' else parity xor RX_D2; RX_Parity_Error <= (EN_16x_Baud and sample_Point) and (fifo_din(CALC_PAR_POS)) and not stop_Bit_Position when running and (RX_D2 /= parity) else '0'; end generate USING_PARITY; fifo_din(0) <= RX_D2 and not Reset; --------------------------------------------------------------------------- -- SERIAL_TO_PARALLEL : Serial to parrallel conversion data part --------------------------------------------------------------------------- SERIAL_TO_PARALLEL : for i in 1 to serial_to_Par'length generate serial_to_Par(i) <= fifo_din(i) when (stop_Bit_Position or not sample_Point) = '1' else fifo_din(i-1); BIT_I: Process (Clk) is begin if (Clk'event and Clk = '1') then if (Reset = '1') then fifo_din(i) <= '0'; -- Bit STOP_BIT_POS resets to '0'; else -- others to '1' if (start_Edge_Detected_Bit = '1') then fifo_din(i) <= bo2sl(i=1); -- Bit 1 resets to '1'; -- others to '0' elsif (EN_16x_Baud = '1') then fifo_din(i) <= serial_to_Par(i); end if; end if; end if; end process BIT_I; end generate SERIAL_TO_PARALLEL; -------------------------------------------------------------------------- -- FIFO_WRITE_DFF : Write in the received word when the stop_bit has been -- received and it is a '1' -------------------------------------------------------------------------- FIFO_WRITE_DFF : process (Clk) is begin -- process FIFO_Write_DFF if Clk'event and Clk = '1' then -- rising clock edge if Reset = '1' then -- synchronous reset (active high) fifo_Write <= '0'; else fifo_Write <= stop_Bit_Position and RX_D2 and sample_Point and EN_16x_Baud; end if; end if; end process FIFO_WRITE_DFF; frame_err <= stop_Bit_Position and sample_Point and EN_16x_Baud and not RX_D2; RX_Frame_Error <= frame_err; -------------------------------------------------------------------------- -- Write RX FIFO when FIFO is not full when valid data is reveived -------------------------------------------------------------------------- fifo_wr <= fifo_Write and (not RX_Buffer_Full_I) and valid_rx; -------------------------------------------------------------------------- -- Read RX FIFO when FIFO is not empty when AXI reads data from RX FIFO -------------------------------------------------------------------------- fifo_rd <= Read_RX_FIFO and (not rx_Data_Empty); -------------------------------------------------------------------------- -- Reset RX FIFO when requested from the control register or system reset -------------------------------------------------------------------------- RX_FIFO_Reset <= Reset_RX_FIFO or Reset; --------------------------------------------------------------------------- -- SRL_FIFO_I : Receive FIFO Interface --------------------------------------------------------------------------- SRL_FIFO_I : entity proc_common_v4_0.srl_fifo_f generic map ( C_DWIDTH => C_DATA_BITS, C_DEPTH => 16, C_FAMILY => C_FAMILY ) port map ( Clk => Clk, Reset => RX_FIFO_Reset, FIFO_Write => fifo_wr, Data_In => fifo_din((DATA_LSB_POS-C_DATA_BITS + 1) to DATA_LSB_POS), FIFO_Read => fifo_rd, Data_Out => RX_Data, FIFO_Full => RX_Buffer_Full_I, FIFO_Empty => rx_Data_Empty, Addr => open ); RX_Data_Present <= not rx_Data_Empty; RX_Overrun_Error <= RX_Buffer_Full_I and fifo_Write; -- Note that if -- the RX FIFO is read on the same cycle as it is written while full, -- there is no loss of data. However this case is not optimized and -- is also reported as an overrun. RX_Buffer_Full <= RX_Buffer_Full_I; end architecture RTL;
apache-2.0
94592c99d762f2b7ee3b9194f1a5f15e
0.394187
4.949106
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/find_first_bit.vhd
1
16,269
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ERcgKijOvaQg97onnHJYqh20/L/69j+2O2Opn+AodQM+QOyMQUwpuwfEK9RokwwO5bKyyH0UHAeN DxyRiJxJsg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Djq+88sGZvfMs9A7SWAtpDcO9BjL80QilDyTkT4zZN3bHpE9hwr1UaOd1THeyzY/BIn4fKU1DR4Z X3uyDvZeUATfiGZqIE7sbDiPiBBMvzJVn+PWWi9Zfjv8kXiMUTiRK2uiMS+IQEKmPAqLxhG4V5LU WpsmjTKUV4Z6uqs6ipU= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block rs7VH7CxETDtYiaFvWykxq+Yw7zDeh0my9fCWicP1IXc6p0Aj7QeF+dMm/8ZCxMbMFnl1eo66VBU hEZ/5+J71USGJRqtUDLUcBb75nayMKPpDz3aoT8QA6KUV8biAAPjDO43+LnLezVtW6dyG4W5zMZe baZQ8PJhNqULAlyn7j4jV9/EEp3txAFlFMWi3oBCKhz12gXzXqK33zUvgfbKDQ5ymHeb6qLxMRQO tRapDbRTwTMT21NaPIIP78wo9LN7FgdJaLNhYPduu4AU0AJ9az+xXJDhvLSYKLOcCgEMuss2q3d5 wNR1yL/+7JKQ1DVuHvGhNaCfU4iZv56EsYt90Q== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block pwfn+jefO639+f04lapbKPpCSTOXxW0pHnQuhI1MrJvtN40WC+F+i3OVX6RliiZaPmEDIdJosE1Y haxIjrSy32hR8+EuduuzobvOAUahMv9F5sZL47rtaTslqd/4YPjEmxxPZPOa9JwXZYX1a9glHXIl 3TT/Fipwm9NyPU2z3kY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mjk/PedgZWkCvLKDeH/DNdG/k0yyPC95dEJ2W4XCArbTuArkksCWPBzPEjRZiMan8vgTUt0mhoyv ZoydDiq/jUb+KGYC6h9ofzrWMFhucwbuB3xYa5+TRZeqIWQqI19Mw0CpSTofpXYGvvtXNNQ1Agvk ZIub5qfVoHQGk29ja3lv3juhUQkkP7DmaFDB+gJUrvnFC6XF2z+/19OmMVNYzp7As02GYu7w2DT1 fEQpHTDcVULkoIkF/gCiwoZ3ZKaN9b/oHy0QqqLmbof65UbQ2dvePKLvR+rx3mtzFsqOw0Avq8LJ r17boL38mukKR+tbGj9QofkZv3SaDwnKJnTOVQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10304) `protect data_block tyniP1L8HqEC7N59dpnSHKdgcvF9qdjuxRubKrR3jJ9o8j1RwQTFyTHM4oPFWI98jOGLhVAolI2d WUgV9FnRHU32I2ACk9PB5y4Cm3VO5NTWSGKKo3CQfedBvEGjyXPh2Kr2ifI1vhDyJ1peMyht1jCt N5WOTCPjUVYsvUtli37BNaIo4JSvJIcJstEkJ3sg1RyL9UxsalxJR1o0fdQ65VrSIc0+BNjzr9ZS 2wywWlHnvDV8GMfqqEUo6sJBdfWHc8VS3jzJyb8o67xpnShhV6ojq/mpXj0utDUXbl0w0XwPIjL5 AAKvPCDD9XWCZU9ZEbiKzYaRRele0YJXy9zydYvuT1+/buwhRdlyUwbs52jpMAj30AoMTsc7pC3G gIHDCp0Sha9RRcGainrFx9Z6MBY81PaGlAk2UFIRMtOwjDs9/w32A1UHJyF5FcAl6RQDNIU2+1IK 4Z5bCgslblXU71o3GwiaP75GUBOG/TI54sLuPGf04y5sWjc3W1cuax590/31usTjynHtwuXMpSWF WeTceNaRMSpJ80aGMMyYmA5xEUw296CzdGKLI3We21kt9DgryrW67rpNwlmgTl6O3jJ0+U96x7GI C5gOp1inkSVM7YzWHNNwppPDSC4Ax5GZUSpUhJdY4a2x6gN+SGzO69BUVM/aXpUpW/0vvS9vnTud jzWRZSGUTjPeguj4oJq9EukPHu2VlxRLiuF8pNuUNtzc0/H1qge4xDo7FuUVwcuTdHejczq096q+ 4Z84IWyxbWQz1IkeTkXA9fVzE66VMECw9M3TsaO+1X3NTBK0MjxEA/bWzxS/ewUrmL+wFnvb1mSb LbnY/PVBu4n5JSZqnkvA9BW6VIfaDNDeJz0FSWhQkaBZ4gdC/Mkz3SUWP3dwTwYMag6CrDEFZfQO NmMbddtGQ2CtG3Y1uKhbNPuDoM7Cwj15sG7IXDpGrbopYXEaEQ1mMKBVkmUYIbvR4tFApSTE3U/p oBOHODJ5fCeIMvlrkF/1We3pMZqWaBIWIz/IjXjjDt15idY0Qym8zyyQ9MKz0G1bsiwMojiYV+TQ WCZ7zPbgaaZ/UxwT9DPff1nt3iTfDKXXmddfQTz4fTbYSsvrKUi5odZ5PfdXYWAeHQFY79EhECc6 n9j6pZmyzAu0eSi+oSYcQFqb4W0wwGppNFdhSArTOei8QtOlsAvyo9YcWac08C2lLwlJ3ms7+hpg i5Wx3KaWsXNQuU9ICmbXNaucXe/TsnEv/NYL60x3NAUAtsyL529ulv0qPC19wk8v4wCVnpH/45cx vUlXqyV+ia1pfW2t7/EEAui3OX/rwHKsMt7WlJUShjAu7V7A9T9igzky3Vgv/ZQXIjFvle/J8WvE d5OQLfOf9R1y+bf8Ed1pjgAa0aqvfSOuqcdTDnDJYjqRt7ck+FZ8Svbq+/QaDUXB9Z97zDNYzLDc jXRewkdembtUvnY173L9jCGQfbgtbRHhDKYpj9QYRCy+ZgADUyDo/+ZsXjACiaNyMqpQLPJ2lgtU EmQA/rqZ5FPJZoXEYHpuuN+UWC1bh4yOVWkj8U/0LZ981eUG5gcFQkU/a5iE/Jpq0rB8cW+n9+ul 7zOCqMtgwFSqSWltHE5MvsHEsHpcpvNfFjo76jS2opLIS+3o1q+gcFRJuMdBxrMWQxy1EbwAFaQ0 phrje7aSKpfprf9YEid8SnIEt2lYN5OtrCfrbxhbaivH8tSYFG83AmraT85s1bfb5E/O39WG1GzE LSEqNwMEpcyPu0I0vpoLnnsIKIPzpbM+rZjN9KhOFfPzsu7YwTOyRiql9LIf5ej9inXpCSQ2r/kH pPG3jp7iPKU9tkAKEvTjcZYO5+TfY97YcTjExLCe0H6MQfFogcko41T70tgEAQRoPvii3xA07+t2 5zoiWHhcjgBlU+GM7tVeTReY3cRFm/kb0YnwKn4LabODUmmKFo0DexOhlzUjnorhdVboKTxcJBvY /y06G/xnAi8960N2vjHXwM93UjoBDKfDqHH2QIh7T8ZgiQI2yegx4PcVHYcL1xyXDvT+oBL1pEyL 1dlwib1/BzlONEfaVU6PSC/rwusPkr4C6C+54op/AVz/9frob7aYO+Kv9SLMuXi1Rwe15j1Z6S78 Sou8AI5aFLQUG1zvPlFYK69+A5gr2hxJVzJgyt2SoDmHP8bIEDLItA0iWyuBHW8uR9fXyZh1vjkD vUdJBf9GrMRb45mCbp1zuDroP8mK/oOuTfu2Rydm8/syqCgHxAwaxH+FjyDEXmzyySA6KaVDwO6m 0C/HbDujL2EZ3rZPmQacgj8V0HQL7KYFwN4nJwOicFWMes7C89ZxkDvDwzBbVg5L1tyj9HSR+hGY ypWcNQwWNCZqe3wAV6FIZ/suIk1vDluD5rPYjcXrfMn55HPJVFuERVwzAUOsjm7E7NlRN4hmGhTd DgEXPAN1Qeylow96FZJoxqMEDNZTk9GTZOdzcO6TKRm14WWsIen/tk33crerP46jRGPT87rjxfWS 0zf9SQxcSJUo4lDtrZIKqpso06ZuK/I378fIT1wReCJrb5YRvB24DJRy9LTiX6Zrr0F6ldpmloQE c0sBfR4lDNIDZIC0g7Hw8ZxeriH/qZX96atqJifKeD0yr8OmlqmghGyeoJDaMOcQfJVvu8tClkVr L0Z2dQhtW3sUuV//qQnieqTyxKU/HWRVRJTN1QuVukHhcPZXQVgOMd2XNM62gYBc0Nh50dvFmTHL 52JS18LA0276pUzctLiTzR/AJSsQESYmdunNWPkjXBob9N10twrynZbmDp/3MCuvcWBfpIWbgTTy bsBSW5G4PtsMEV6oMnp07z2+C2zXK7AFMWe+xKDW2fmkGybHecK5pspw5hvNHher9FakEpWeCkVk Rmp3Bue9Vn4JKc5jMr5Pj+rOrJIwiNWTiq/xSt/3i2I7Ew+A41Uhp7WIGYfrgfYpbUS9M5GcuzM0 gSDP/MJeRCKOoRGLvIN1nIXLzwW4IwCv4VZTVppUOtqH8IVZech3uPUtQjNL36TqSBMbNXJSeMFX FhwKePClWcYUb5wi7i2Xy8hQKrtKqEjQgvnsEaVz/TDNoPdKSUjN6GfYA/pqs876cvLX/LvbCLsL /bBwgrt3IYis4ybn2kRcN6Vv7iueUpj15wtUARU2dvIgJDjP99B1EvrhqO5K9wpOLtwZlTjBCltm KsNMpY7eYoUpOULQ6ZekhqQo3U7t0DGT4OKdyNH7uAiybQVEY1YI4YVU94LMRfgR/SNdAgBgu4UR SoRYiJ3w8hhXuemntn5Cgnutrh+F8oRvhdx2YFqPKQNZblkKOMkL1+AFZKZDdswvwuRd0DKRQNjw YS0RyOKuVKIuPk2SGEi5huv74bvUwqQW8iiLofzhmOQX6kByMCEc/VoToNy4l6DngVFY7WwVn6oP 3G+MeRpsnmuuBWh3D3eFH3tIlyhoUIoWxm4MUrfDirDvcP1O6DsmD8+ZKy3r6E52QUmFfl/WXsJi E3h0HDL+9o4PwXMpsL5Ej2bjPq1PjRwVcKiFGbHR2IfLo5oyKOX7K7F76fFJT3msdyA4spIIJnS/ yCzF86VzqRPBY3w4NHCtExcjmej3hbpnLnQU0yIuoZ63oM7K3VXD10IV3VVQdQOwnewKGL9H5xIQ 7K3Zs9hfjbsIXkuD7wF9hv7RzyBCgnFdrfqujHbesPGACvd14ydv0pnUXXLMfHxL/CQCB7ALGKEy oOjhdXMPh7n+TaEkYnCkNBWUag24gzzZ7P50xfEJrvMoiD0d2nBu84ZcmqqfEOlxBNnLB19AyJpW OQtIKAa+rWLb0UkOpcwP4QSiIvaIViw7hWoOnkEw+0VXGEVjE/8cvYL8aKlcjEypRlnx9/ywW2VK 22RB4DFbjtVKYFss4FmhozMsu06Axf2wCt3xis6rG3N6IC8QuPKmyNELL4kOeZjgSoiJxhMPSiYA o+aax9RgmCLFU43TbqLmxhIjUfKLxH0ZUHu+dI6p6h/Z3P4+z020IpyOgjuZU9xNmtUrpP+LB6No mmREjVIvgsZgFg8kU8DUSLtXyT8chizL9tpIDHHrozpdoDenbB6EcsQFIlArHiVziIsAKeBLLjNJ lr88MKLqg5TgNgAel+0eQyE7Dj/HDk91TsdF+pgsL3Plwu7+SiHqiF10z5kZhW4WZHyc20N71JHd GDsut3WTbN8/zU1fUuyrwtGcIs2ty6ZogbQ/49SefluG3L96tDnV+XWF9bz+qMsPHXNkB/Jyu2S1 2eVwPAXJgpov5VVGUmmtRI2/KgoyUQCqZY4GsNPzOHF8WFSD55m5tnL+rbj/Hv3fF85jeURWSAxl EB2dABtMbLUOqvh0p94dpt+i1bd+C1Fg7TQlDI7mjTWHXvS9xJs/DUFvZsXlIPbpp2RpwmG3K+Pv xxMf8FciRRUqZK+hofncYYNVilpat0elSXXUUj27MBcs0ku94Q01qQvHdkKOXztshXXnpcQzfKc/ j3ad8CMuL3JiRyp0LlxaFp9QY95rrPI+tw+Vv1DAknJvU52Vi65QglgH67EUilka5Ioa2RrlBwfG WuUAMWJQ7/9aynTM7LBwcycNvMMCkzgGKW2qsTwaRUn3I5zfzp7iS7XUAgrvWn9nS43DJbMs1sfd Av3txUWA3Lbx1sEB21GlEIVghXeB2DtfF6G1+Fk0FIN946Nqg+NOErazhMHhSlHEpNYQ+tIhL982 pxspk6xbcL22uhRgJz3TH29rNFiob3L/rPLvzl65C4NOhf2G0ymX74+mXnb2gZfPVUoYGImsq0aN Ja+w1hPRsa+gTbDbYQEIX9UuxyzQsWq4yE+WXl0M93P204NIEIgye3p9kJXTAYEtHyfj3P8uDBsj kW3V56qcSaHBoR8qVNv7HMZqblG19xEcWMQTVTQBXzAsXsQ1f4etFUpTeBrVdh7PSCm46L4uZ7dX +BUIz44TWlv3mQVHC1oCmzp8I9QDNaYAVYK2dkvtS4DcK8DRxbGASbKW+AmLs8rGUZJOVJaQ/r+C FELWur1ag5OnXbl6rAmhWpL2/X9oIK+NeJbxIZm6NbTL5vxZ/3ahKuWA6QeQMjsXERI0tW9UWSSd v4q8tVPbbyL/pb9uDsGH3tk0FAU/WxWbQqMlbC+VQdcDK7xg9EFgk56ZD/2X6NQIiA/xH83UqWrT Y2zga7VZFqhgz3cKsoXbej+5Dkzp04DyQEB9C9zZS1f8dcTTFOJ2My5Zggk6B4txWZtTFdSd3CtB QctbC21kK0Ge8UEKJeXBb/Y6mTYoRwB8+ePeOAD4YFKoKuHfL0sI3gAoAlqfhlzJTcxSDMt/MXkN Ix6SELZQTX8GGIchH8LgDOgWbnjjFVKtms0bVpes/Zunrke50nfFF5wa0Wi0z8m8Bj467/G2/qg0 plmeDr4hxoQ0iGQGOmpIEcLbXInnyO09gOarOgUvOyRaFPaMpXOozPrsYEYC2oyPpWKgplSOHZyy zQlqJXT8PAeHQ652P5dPPCoMpe3gJovFvnf7ZQqo+ObRcqPbRmnMCulxxklIR8gMjlO6j38ZINm4 mHwzoqJVfT6OfiSjUxIKQHBsVxtbWY4RqWJlmVB8Sd0vLfEL6p1IbkeUpTomWqTzaPbSs+0o2/Ie V+9ZwD0DKHI0xthq6ejJw8nQo30dMcEvvDydp1SnrX1h9G/QqZ8ACA1YIpeRr30cJK8TCEoeTqtq JTIAushBQdZmdjtsOD3pVbuQOoUlJIgXDZxFqc52eJGvcRgv2H1dT/9YvDZpuFuHVfbk6GMf7Rvm QKz+6bRb3t8+AFrk4KcACzeJH/sn7oMKQKmmfdkMKnwXnfJCwQdVFkOjXocfTORqVRE5gEv2od0W D8lqm0NV7rhVMbqG5kikA7ZY02jUMSYsgsTUho/BhAGmV/qibuzZY8NYRM+HREkqd1Pd2pJ3X9t2 BXLkHztz78J2L7oqtFGq2wNJP6X+gQHhwxIXphc9h9r74URBljvkaWIyi8skwzA6+GG+HaoAEw/3 CCXlB4aJknUIxP/fRZtoCVBMyOSjb4OEdkt1OkOzhmpYtRmtYVZvIY0JvZeNsHSBMVYBvWIIfiif 1Od9mw4kEZT49tJnOXdLlsZu81B7PlDxmTJSaML5LwLGUJ8sdf300bTHJCGzg9QCVy9n7+K6XWXZ quoUJH7xUzrJi647LbSRjKz5sNpyZtiWIkXJT6lAsRgUf+zYJh8GyfN0TGOsPRONXCMcNykOTsea aTg01qTiUnzNfDhYJyC0weapY+j/1N1mp8/uuwY9k/gUW27gacAWaCRKfjucmP26AytjV4Fce3+n oqyoDkKc8Irh7bsWvSXzdBc4tLxhUNei3Dk+gb/9Q65EY6Yr/s/KLVqz+sBYja2oHTQB7qKvDBcY gzhmsDf9rGqiHEOuV+fMnl9iQgLAP67lbHzQR1cxKEZhgU4Yq3btJjKMq7Sau/9r2ThxCK06H4+D UotDUy004OQ8vlWAky4LaY9QyUxD5DfOn3TWAv6douESA3fLBRGOaSHKj9sUf/yDvSDYsWhjjZAu vKdNdUpe+OQUsIfyatmja0zxip6Gn7dA9G8l6iGHM5l52bheDkzvlrrBRd1hWjQQ1pchb6OLbNxY VLpGwpU1YqaawbY2XAEM4GshT9Neh7TBpEHv5gI25slaBbigOpbhorVWJiwJ7XFGpK6vcJyzn8dy gBqxgefLYNnv+v4gy/JGwE/dZO56NsOLUNGVzEg4KWPtUU4clvuyBO+jwT3CHlgv5vIfLNeXggko Jyk8IUo0/WIljQixYzk4siiy6pPptY23zNmw2t3XS7fL2T0ZgdAbuomZpaLmht9RxW6laPBwZvk0 ZwMHrkKJ4Qwtx4j0+Bp+LO7m4Rrm/aZRYxOGhtat9eXhQ9N9RRSppZwpSRizSvjP0Ar/3OVKrRzY aEKVLPW86JtpG24aAVsGuszWKASab1It2SCkSdAah1LtRGYEQWrIsqOP9GFdgY6M/i8Kba9e9yNy I26F5D4RAsknh061FiWRTbEUuwSfVHm/2Mbk8WYxhnlqYxV2E7frxuNcKhubEAj8r+SOJ8t77tW9 NYcc60IiQ3I3zeIbJ2Wib7uZyIRCbQQv8/qH4EqkqwCABUrB2Y+LIx6BnH8RMeF2BEfhZF2HtyWQ hNg01t9azhRZBThauRSiYOWfPAaPrSeZ1ZviW6R1bRRBOzFCPYaghlkbVRSJsfGbbOxlV5CA5uLT Ml0nIQy+J/RDerjcdLyvjMq3Qv9sU1DOZZ4WATyfgx8xT9gfYp40ua7yYXUdZcyG9U3OohsEg09X NkrcVmEZjFsHJHOh2nhplUi8UEILYufPlr0U3/0NfIQvMYD4XzEedzsnlLB+xWxI+wopTYLIRetm sT20omqGwMCDJ41BFggVycBbay87wX7P2+07L23Mv9+Ox1yqFhDQ2naRIvgV/E7pj7GgRxHtos5j u3VwdFQ29JBPuslHWVsDQ1XteUmgw32+1nzBd4vbVA3+Rmsk26mhcvBFCELT7ddFRhnDG4WWTeiG Wu93/pHlb9On+KquyyntkrDgoL/QEmRH/3MmXc3m8SKSSY83BBl49B30j0ntONcOqICxkuIpMX85 D3v599WC8LrLfNVIkuSHUArJLpEs8NaTuv8Je3MccNKd3vlYRmezvEXjlRZoIjmhirEWHwBBvGOL cWbrskxS6SsGt/Xvwr2jiivJ7Wab9UoItwFkP8ENegahO5gxo9Vp0Q4z61X7nxlNHUe8jFWCfZUV eU/0zVQGY3HoOh6iMQQelMRtMgCKbR1elbEUnBCdGgBP9ufXJ3qImvhVdqwDNGbS46qOY3W1aadc iVeQ1XHIXKMLRPwZlPZn7rnkoarRodPM2HeYBRuRMIVi+7W8zR3yYVXKx2sKLsOTv+YXlfIdJfJl 5ynIUe9I3TRKOrP+CdkDR3eA3Hm9hHEtbj2sE8gBd/c/iQyL1zHB2XnHg2E/Bd8wYS79dvV5bdsj Vc97KZq12fngaUu6CCy++aMRTEXJJQVcT6XxMz5oTdPpsVqlyceNx4RA5BLdtmTyPEjrwv8y5wpx JV2sRSrMIigkGqlW6BaSc5hKLfDvsIjXXTBkBvTtPWTV+mASs3udjjovbNBM4BwBKDmvxpIDnG2k 5quwrbJYsojEapHih7joX3tWUE4vJm3Z+cb1Vhe5M6V/NIiJrL/FdO68DVHPHzabJfOcMZLinIbs BvtrM6JBHPMI2iM8cby9nmbW3ZJOwOr+Gt0hxbj8Gak2krBLU4+lxJYFTl9XDfNT6wj6C2wiMqp9 UZ1tGj+uKm47bDBwvBpI8EF6WmOdgtGAL5uoFkJ7or7QVFXjOfz0WDCFyJdyZ0+7ZYoRSqKYZ2jy 0Gx0oBloDFlocnIg/0dwhN146NlzWepAYRUt4lF6vaz4GnabR7G+63Ra/YAM7IG530HdTx0DjuH4 v5NeCE/FlR0g4LhwoTnfQNjoosMs+IZ1wdUZAoWrwEtvbnh96JUhFu2/qtznS5uEeu4s5tyjDAcz uaM4RO1RIA28Ze3b8KllfcQ9OibOZtBBIph7sPBfEe+8vylNzFwEmKFQyasEQdT/HAzoA8mO9VaO uRFS6hYyphTcTHsXNNIWd5hFeE/Q1bqeBHwqizZmdX2L+F77ONrcZOqaA+odeYeo2mZR7CKFu2OU Y62Z5L79CMp+y1YLdPK1JiOfeY0lCAsCiOxINPQCxpl75w3L72rL/PcVszeIP7aFRs4Po7IJMba0 n/6HLRep6/1F7NCdRBMax8BfP3gQpbuVT/LrXJ6I7mnjpJ3FoPI4ebYCKqWtrPRg0MQYOngvH7ho YenIOouqogrMdkVED1iZLaBOK9vZXTvhZs/KfRP7dVuQ1WvZ8qXNYOOlNo9qTiFB5LFB9eGZ34CL +93qczH6t2rgfL8jZPGsPNSquy6DqQy9iNkBM5KIZtJaYqRSxFUvxe2NJ+o1gCyyu50NyglZR/O8 rbiysid5X0BrWeVGg3kJdkbM6wobVXvxEcF8ShMDwnD1inh9258c5a4g7NCIAel6+cSB1BYMOz/k RIoH7yRc3FLIUEcjZS/rqulO7DcyosHkezos6U6xBPHhWJALla7pZk+0RCMPMYkOcCXpjr0Kvdvp BVDq5URveg4uxrRUDpedlHxWefqB6I87p9kal9PLlFzQjdmN1mNa7gWOV+HVt2M6YgCGZjhwImJn mF/eNT9YB7s5ygolst9DrHZp+GLSn9175VxfaTDDuB+yWcNsffnV6TXUwrAp9UW4QPY3cfSAfJz9 qHde5Wfm5sAtve4uqP+vsNpJW54WmV07+xKHy1X9xifYYqPsAXVbQu7mpOSnbELtoB/kF21qfff+ 52ZBdfJT6y2TUBnNOpX4nVXXtb4nFDnT6BC/hhRPDi/cQ17WidvTPRr0JYtELEI4BflYoXP3rwpj Yr4s3Kz4NeZzz/q5Ha+1L7v0wCO6tPuuKccibOoDkYRk6DRX7UwIJQ622yDQ2oOv8dR22XOMnmRh rt2hr9Eik9Ojrg8GHD7347xaydYHakrGRLcParTSyzaxS3OHrRd+bSNVqnnICNQ5cjnqwqqF0m7D WyOHqMX05wHP5bcmaWdi27DNRz8Zg1luHnJGKnR4CX1vC0qhM8+OUleVRS+0fXWWTGyAjKPzoA4S NTRiX/b5BtpSvLVd22ij6Gw/s+YcT0xQNj1hLbMYYRkhRmfnSZ2DTjpwStQa49dpmLoZ7mzVJ2eE Ec1xxGL/9lf57kqDjWKdQqyznOzWiKigX+QlK0NNoFOO7OEsT9/IxzVePc317y7RvBcKG7Eq9U81 WyN33zXsswBkPuH6VsjcBiL+FdkG5f0WXCckVz4JUh5aDZEPEqhGR/G9Os68QQdNMTagpIKWhf/G oxAlBmeulfFkUsRGdCb/5maBgYeszB2qaXiQEyP2o/vKPbEXWv2q537RWAU1L+xRC6M+GKGd0Xn0 nX3utH1kO3N24SoJfbyPxOsgAP/X32kS1gaVUuGBvKnokTJYTW3Qy0EKfZh/to3BSkHk8+DRnRN2 vjYEi+2j9w15N3AdDEAZ5bcF79eBRW3NbYV+0vpnFvqocvRTYqsk1gT9Ix4V+ytUg+d5FLxq4w+D OZAy/KcXIuZxe4uCbqzQkBABWfAEGL02/SZSgv7IaVLJUIQ+eNzpLfJN5AFbV5xSRGQE/w56SWHE bHY8qPDu70oT9Nm3mpNzAyswYBln2QsvJZzI9hzJ0YAstxb3rx9la7rbdJtnIPeDplsd6mOWBzKu pSwTQPhsFFjQYXPPhWxVdS2XrHShTDsBagNnktZ7dtyyYOimpTpuaPz/O/uP+lb1GQTruxugX3ld zcSLAS4hDBDymawn5u/jrEzgVupQOjWqmZzu76lER0UHa0eK8NItW2vDRl66r1r0/3zrE0YsaccA dDzZxt+2Pl4GlVvgz/A5BhOuAvyiFJPwV1brktCrZBXRcCo6a+TA5POK/U7Wort296YmUfItzjN/ Gj53B8V5/Ps/5zAhPrumc1S4wlJWprNWzCtrhGL1zV6tWQ2Uf559Y01sRvV2GzfjpvielqWQpG1r RS7zH7yOOepORrHHOGYblR5xlO5NCH8QcAV95QpHR24TX+jPlJDIt6KEW+jD/aR4zXvnazSYK0md 0eLRtEJBfhV0rrkBmrh0PdxiU7Fa8kv6OLSBMVLFdTSEg5HsTmOgE1Z6gKIBu+Ln30Ysd4LUdhd0 VSE2tlfHe/rmawjvCBjEWDbRSjERDEuTjTxxNpS/1IANujgsauQngk7UHbcNEtisy2+bNLa0QW4J YrNxp3fy5/1wt8rYQoL81QFpJvITmMYyVwKzt1U3FIu1nhX4HzwKwLEgXLGePVYBCbGz4rzzcct5 2a+OpcJUUf5BETsEF/iioJi2wFB0HX5E9ydKUfC98Du28WNfIpFinmFc2FNMoA4wQ9W6lh/ltBqd T8T7NJ+t+ai1Iqf6/VujGm1sSzCkbXDSWun324yBHPwguND13xTAESuauQuRt/kEjdeFr6NaDeCQ 5aNg1P11jdxQ52XJf4g1gsRS+dn9NRWDVXWmBvG3JgCtT114ohuHzPGcjRC3HzXTYvSpfIFKULMh 0FWYT3pRCpxTMZZOi6PsmqbKC464dQQprlEabU698oBhDw6E/oULTHQFP/vQx9DPK8gHJq7vB3RR VsEd+q9UskE6vFPuthi4GngEdN7SgOAQdXo98L/5u/UDQ7JZXaCXxPWyLxEqwtgvzvKBb8nc+Ze+ oTnTImtPya36j/XFdXQX9ZhQPWpUJjokLBLHCq0rsngcI/GkMgbvWxx4ccobpuk/GtKQ57/YAYgh aenYup0/2Ikn9Zm1jUDujm60IM2cD89PSmfOYuFGu4M0sGtPC/eE05YnYyMesAsyBOJ5hBAUFBAH zBLzfbplSmVAbGLBMHFqp/CgD31BhKxIEeraBmngJF+BZ6rPicNF6E5LUkqAIEB8e26JFIn811fv nQU2HY2FMXt9bvzdHfLcQHmHkos5qMSBu08JKO7QbISO8azW/DWR5LUzPCMAPbWbP8I/QPeUebrs Dhk0Ew1uR9kKapRNa/RHiBnuP+LPMzl11VDK1KG3Snuu6a4z2A9S1EdcxkJSH1mAfWk1EF7iTol8 /l2dh1vXVfX3+Qco+r8RGNIx7MTmtmBzxWJPYDMczu27m6tkI/Ce2HiqLYSOcA++mpEusZwS+KSz ogRHTcNj9veK+NDGsQ4PnhWfFOpM6VFB11lGVeqtrFuKHOipcA1ol0lcofi0NbW2WyQxgr+uhRU4 BK0wptmijXLFWFNy4PZ6d824hQsNWPDU2KI2eaGHSqq1pXj2kG/TaiXkXtmV5G4+jHf439pZFmfy yyD4G4PQZs+J+LnMb3Xe7x4DMCJsISBW0p1VaoAedS2KFJ2cB4mR8WPUm2+F2vdU2EAKjQgBf252 EC2Z9pqT297eN8iMpTORnh85hFPJGBGK9tfAokLjcuQPu1y4KMwZ82mHxzb7oBu/JHTYVrVjQV0S 1o/nsdVh/zXRjzY+FqzPCwlh8iS9lHzf3jjhDW3FhQNDTNkYVNYwJWi1lHR3W8VxdT/VCmtMZs++ CmXNnY9O9ellR4GJhnkuV5FkqNRVFNZ0vn9c4dCqkwQ3vwNtaHzsap0TNL9dOCzD41NH9LXGOmqG qUH+KVsvhDVYsc0LfsJYwpwjM+O1Xns2yn7xLv7lOTjp5/Ro8CFk/3irJxtGuk9F4H7WlFrQOYAi OTs4f82IGkLWe3Sp6QsZcYI9+KJyn0zIBj7+ifNrqeijHQXEKmC3HkTXhCDPL7g8f+74TIFaFAL4 G+fLGJhTaoOnWLOlNzBaXBgD4wehC9DCJTg/qi0OafR5Yiaw/qzHd5UrdtoiS9oBJycVH4jaZKLo gDBcJp/MzJpbYg/l5cfSTJWDirZN+ChGS1KA3N1vQYEStvQpRKCJ3doTbKdDttOcR4gffvKHPBx4 tvkJz4EbQoXmN9vd4hL/Eeit7puTalIGwUKYGEhUXnW2eXFie7KC2Molxb1jhzDFjJlkPOfj5TA/ Jj0Pu3Rs5caxI7Y1PRC/mQjYO8x/OT79aRvu72u+QsszKUn/ls7g/DpdL929ff6ukr43ghj69kBI iXCx9QaBX5qDfyyQ8nejkXxRj3lYHxgpaF8uW+Vm69SGr8NUpNgxwNLqecRYusZN/ETdq6wSTDgr 8to0xMII69tmnifaA2BGrScni8fUK7iMjosCWBr5hHoPCXZ6kknoG6FCtc762BamJaAf6/X0ZnF4 wXxBsWXeRkeipO9RwT1xBcA6pknnvPCf2lxH5zqDN4OSn4r5dN6QpfdWqlDeScAq0G6hXyuLNf7c ptzaQS7C4ruB5s5ivI2JV3hTkcx69bhpnXlGgJomzqNTMuTQ4QRi7Il1/jSi6FcsoGofUGQZPh/s cWa0STnwg3tMm9ZRX8ikbi3ARvNv2k2+34LuVm5DkuqKnVRMyPF5dW7DyLfHl56KUHgE9TImwzVl ynMgoRRjieeQTOyFfS7fkBuq3fOelmoSwWjLmDSymCas222YvgY3u9YQZmQnLmg/pXloFRhKASDs LRNZI2qFRHhBCpTGVGkutYWAZaVVKLT6vVUkIOv8uri08w9rX+SjB32+n6C6E5KZTQGxLj9rCITy 7k2rGSnPR/lx8QOi4U8+Gt0loHHrYkZV962nxeAZ0S4G6Za96Yy9D6cU3N8MyFh0x6uyQFDq9XQm p+2YZwbDjFhxmfWkKUsidJTfEQnjqPaITnVRngzjA92CyuGxwCcg3SrZqlxR9rArORhXbe9PY+RL a49k012ZquaekoX8CaHgpB8KS1ckqfOtp+pqfo91y+G7v5ocGNK+ptC+mNNAjbM5AXZ7yXDXUQ34 wJXK1GG7WlcrapTN93mz8AFUTdf1/sXBV/xIOjr5pHCyjakGL132auXyWH0HbqOftFLyjdVqKLJ4 efmw4yEAhwiVHRyXtHturV46pjz4UIllmaei7XZqeanh8vhNNF7Mqha5F9KPAShxNN2CrQrMUD5W FFTU7fMNQ7CUFwdxgMIPyTTfggKaa6URb1b4X/Kapks5+2RuTgbY4GX2iqMtmYHTnjRQOsHsOfa3 nkIl5XnfTxF/2+OxYHOIlZPlrdBZP59HRG2/plmQIyPZGfNtVXKzGOpbRKEkg6tGfJHZnEhQ2UQk MD/LhoUmmlNRnYE3S6g7bVihaBgcvucdM/QYVAezoAR9nV1LLZIv/K/yB6Y= `protect end_protected
apache-2.0
8d0dca5b5427df0619f9135c1c8071df
0.937058
1.875822
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/4-MPEG-MV/metaheurísticas/mpegmv_wsga.vhd
1
2,687
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.09:04:32) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_wsga_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END mpegmv_wsga_entity; ARCHITECTURE mpegmv_wsga_description OF mpegmv_wsga_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; WHEN "00000010" => register4 := register4 + 6; register5 := input5 * 7; register6 := input6 * 8; register3 := register3 + 10; register2 := register2 + 12; WHEN "00000011" => register1 := register1 + register2; register2 := register6 + register3; register3 := input7 * 13; register4 := register5 + register4; register5 := input8 * 14; WHEN "00000100" => register1 := register5 + register1; register5 := input9 * 15; register2 := register3 + register2; register3 := input10 * 16; WHEN "00000101" => register1 := ((NOT register1) + 1) XOR register1; register6 := input11 * 19; register3 := register3 + 21; WHEN "00000110" => output1 <= register5 + register3; register3 := input12 * 23; register5 := register6 + 25; WHEN "00000111" => register3 := register3 + register5; register5 := input13 * 26; output2 <= register1(0 TO 1) & register2(0 TO 2); WHEN "00001000" => register1 := register5 + register3; register2 := input14 * 28; WHEN "00001001" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + register4; WHEN "00001010" => output3 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_wsga_description;
gpl-3.0
3cfb3ee0a771310725e03868103f2c5c
0.655006
3.060364
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/logic_sshft.vhd
5
30,599
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block L7QXUHa+EKO3d9U1lByc/MlIKaA8hoGuMRU7SK0bjih0q4L0bhKN9mLV6juvon/DsSBgTbASQDzp XjUIABdnsA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block bVntC3V5hTnRNy5jl2xsvCSeeJuoGDgwLuLST+wn8IdrQ/NwFZizL/B3TlZDAisWeOVE7NtE712E g/7YbbYPGFyDsJ33ap0iYxiSCmVrIMH6N1pRLiUQSa8deQqYXi6ZLcSynx4/VhXRXOjw1GIuaWP4 7bM1niXwXkEu6VQdTt4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m5P5oF8fWoOo2eqmes8S1kI3tnhVTxoj2C7SHK/+x0f3aNHd44dJElPtQNe8++stgziqASN/TLwv qoIpiAEDfJKsHZ1leHdcRfuAUeqRI2cjhWS7pRHVGfFSvKKxkDxhaUfv0Zq+yQaKcuEAoFRaXw6b xmB+5pgUmQtuEHvhjg9k2N7thmtgPsqW2Op4FW+rnowp37Auj8WXN/W3ylviLvkH0EXE+VtweEak PmFCe9+hT4kG5xbj3UvnaB6HwpcHRSP1iWLkX/k3w80Ofx/gTGgbyCtUv8x1XW7aptfSwAaijd5b AyjIAx+iwew75VN/VW3g09bZeuGeXy66zQ4A2w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jM5h8bfBeppi5YZJSfABjcI9yI1ktEfvRORzdvd9oTHH0f/cou3dzwit+DcXDs6MZf7DDfhKMh2B IGtchv6rXvRZ6M2wv1bskIAF8VCKmXrnFfYr5mRque5wmkwBYVg0WXCF1cEe+w3Fx/EQsEXd4NNy upLu3LkUWcnh+iqHNx4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Be5+tuEiORBtxrRPAVW2gLIVJB8wW11O71rfRRnUk7uIzPg7SgInlX44eSrJwTbOxlxlEHBLpLwN hMG89KzDC0Jk7g572fHVoZszRBV7zEt5MCNzLsL+nqIgdnKnvp0w9Cm1n4qrDk/vX7M75o+ekwb3 juklEkpM3BS50n1nfMxG4qiSpwbcCAigxZYjSX+3RC5mAtvUDTCn28WbtUgr+BFpzhYbpuu+eIzn DuY8CAlEhGR905rSl/P8xTB2QF2DKCJATFSSQBRrFoRW1kqRlnH1E2m8aow6k7QKpdjLStGomr4L ufRHA2eb126wPMn/r4l+csMwLmzGVqmGXUSNhw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20912) `protect data_block sbRX1ypEr7ivndxuCOsz/Ev8BIPUjp8BluEeylB5RDgNrzN0Bu9f/SpfDzdQ7P3yrG+PtlJfIwcg 6UUMHogUHy2/uLSs843RvfaTZsi0ILpvKoMzG712FK7s4SVuQfLICsqRCjWpIGZDuVWjyxwZgS6V vZeuPA5JOVT0gPkORuT4OPzKdwM1vu+n1ese87YsHp/Q2tAHWtpf2EhI7IXSnUShzjmo9quFFm+o pmdiQevKRQ1DLGdV3L5/MROXI34U6AQXSU9gj2xX6eirLVaJsrQacJblxYzlG0Rm3FcIltueau9j 7ABtQDNgtw3e1zT1odMUxQGST73UGnuCPaXX6XqYPn6PmfrSBhMcGYZixRveCqkc4DAc4CLfcb06 eDiUXCn6RVQrBaxKAF+9lDs81XCgu3WStuJdjxI8p6Ey73PmxVjii+u1mQBlZiJQCFJeHvg43ylA qucDljeh92ImjR1JrgRld1TnRPPXr2iB++gxBaJ5HsQWO5PWY4MOiHVUQttV74A9GYEZ2Vhg5nPt qCB/e500JFqtY4lBq+S6N12iGTbqnWzOiRV0CtlN8YR87xyo9uR4WeXYMvlYEf4kmgSsAUZOiqvF XkHUU5p5LvsltYt7JhYjLynhb1ugjmFQhvARXEvjnVbZqVu41X3ElDMMFgWs/4xZmJlxk8PwWUup RjuKChzTYYyZavPpwaA7i89evtqRA8eGdSZHNDHKLZGshrIVJlPnkl6h32U775s7J5C6VxlvHTXZ v0Xb7xQ/pn1B4JsEjwzUgj6hKCLJT6c21cfA1a5kcS4W7xmbd3ZGHia7RRBoaRMwysSaVs04tgQX i2wXDCKfxcpk4nui4FhXKytaIrLvf3irxwvDLg6DEtTFmSMLjE4vqY8Imut5EvncNCGj6XAyzlEK rZFaOSJEdQWo1q+dch6LLxSKjdg37fkUqUrwF546KtpMdR5x11feEk7fT6vIG3uWuW/YGX2wCJRI KWByxtxsv6wSwxEApskA91g9o3LriZictIbOdtyuvnL/jR4EY7yfz+hkQKKSl2DUoYKaosIcmcGm 1WpkOz19qUoZWotk9aKFEPoENWgCLm3Yoz7cBfGYu/tOzipKZDbsi6WGIdJPwnZEqGgt8KAkOj+A JTE/peYaMV/sx19g+ZmLOi3doAXycyFej0ql+xpNnxsgVoipHWNDqVkjn6YDHbCR2iQH5tQiLDB6 Bq93CxejMZUbFv4kyHR0lk/yfNDm1di5pHwiE49PgFfLerLexLBGDG9sCAci0g2W90i/KwKiiCCU 7aCqkEBlpiCQFTqbwrt4rW2hhTuVTEzotTMbwCOH3aY68Of0iNEu3JyBoQ821EdqLeHSqul9ZRAq dnJNkUZd4SDOK1xlu2Z6ZrjxndDmrfTiYVlOwLtdpPUZ3eop2fkussndB/1vAAc/UzI39hg3TNuR ozlvqzTP6h2ds8Tl9ocutPQeMcAACxRnXOUbIMjRpO7+h8Uv9DDu/+/2pAtHNh6GFbR1Msx1EM6c p2/fF5J+g+TZN4WcWUd1uAKACSxv2uZk3e3RXLC1v0RS4955Un3pgj2xRqeCX0nPMxrjdtTzyAna b0ZMySfYUBEKmklWVX2Cdb9GQgBjcUvjKjhYkHhi5f6m9QK56iKa2cYhgDElxFSw9F3D6mj+Bk06 pdM9pl4Jgy2wOunJcXHKIdo2zodzed5vHu9iimQxhwzCmvmHG4EOh5F/QY+r0j0kNDLoLV0GG7/Q mIOWl+eHnkfRUP+kdkNGseluWi12mxkpKgHt0lWN4ksZdzajE5g3XGVKrcqPEyTt8l96JWdVv8rP Tre4sb6i1f2w1NuMrpZuuAOCz/BamZBF5hpY4GxYuFmlKMAq61Ny3wgJiDVr4JdDfU1OPiP1iUy8 JbfYn7o0gYQB5pkbs8/DrS9ne0Q7N/JI3Uk/mtfXW2aVl+rwkghXV+W247ePZMWry2YdwgemtfeE wIxAUGJcj7V45Laezyn06aywx3Vi59C8RJIUYJ0zfgyIpFuUMWIr25C6bDzNZrWjbAzcfCSTDeQL OZg+AxfTO3/uIDmJhUS5QKiCNhsRgIsI7iOtHe7yUBx0U5aunfLTQQMekMC8RK7MCi4jt2i3WNPE MxjEBOj3Tx8Y6aBIyZH0lUbT89/37KbmlBAP2XhDeDw6Uk4dZrPY9WbIFw1/x7WtTL2mVOQlvz4G KLAmYO6IuWJGr+AktN3d8l7fc1QbyzCVES2nLMQmklcne9GWVTsG5DyuCJk6TKKAlEItKM/U1AmZ Pm+iyieFemD8RWRMfaiN3CG1BOrTluiEfy0SQjuNqa3cUupZskrnDIGhBtzoH7ayr6UkrZlNd/yA oiAiP8MRledqwjT5bIOezu12nesjs1sc7Ce/8oTHefZrpb8dO4cisaF8o4JD9D6qL8V/eTTOsEmT HpwZFCTdz0QFxlIlHcjyiP8NahpU7b7LqG5bxi/rBaMuWWkdn/n36/52ITXuJXQQJxxop97jFnWV u+iFRTM6JIFc1LAaKPzVmzoXhIGsRlMK068S8VQXwqWUeVs4uSi81Z2mB/+FTg+WvWORL1NXJZk6 5HiBINXuPgvvv6Ki+h3eR68gzK+IqL1Z6JXhwQb2OmBJhwK4xKyn1KrRP6R3wXdv2oPcMzanXAmZ odJVeRalAcNikKCu4J9CfdqWrR/TXzN3aFCpVTCZ9jhKS5H1eWre9hamkKPB+SfuewDkdKg6yykS +noZaES9yJA000n8DboA4wLgWGD0FC+G2whsgmRd5s+ULzmbaUh173i+d/UgJ9jf2f3C4SddmIuI Uql9YEKE92tHOm4JMV1V2FParpavaV5CYLQCbcCklEqBoRaa6FQHvti8/HWzsOjLGX+SMYWkXIXg ZpxhRL4kP3iS5lIX9jdki4OW1WJuV1hmgbI6xysngp0PTr5MZtPKDd1rwJNQfrixRJ/CHMS/msgz ILjhOiWFGLQ/80aODSC2bVuTNGxYPrVSV8u9YRdXlafQzl2CTiHI4s14tm1DqMIVuj4aY0gBQxEY Mfyd0l7AoOsoUOhFBNEJvNYAa/Izvfc035vvyl3Wmy+SJQOxK2LewDnA5Qo5LsQ6/F843RPuYKSc jKcC4/M7QszouqLAtoaFZHcI1Q2XmW9pzmBo2svqOs26/vhO+ifmKD5YyLGIkvY/CyXaza6DxmJ5 Bv1lWIBJmyfPnU/8vy9G5tMgHdDeIj3rccxfGCVVh5TVq8nd+/odY0X7LV17wMEdsTDa7HydeMoG m8+t8lLSFTWdOHzCSVvT5GMGZtpuYM125uwS8TlLC4nmQN0YQND+85T/VwNAtCgVgSj794AMOmQ5 16oRbnBIy0M3oxC3m8SoKFM8cO8QTi6WcPvgslIGy/i2KAjWKNUXmSiS0ySvqOdDeVnwa/GT1id9 o7crhw64GbEw4CB7bTzyLNPhuhMasf6f4S9RWqlR2RBpq/ns2N/3r2Ta+m37pUY81ju+cCah3TrH fSYzWm9Edu0wVwKCQKmewXI/J/HrfOXxT6Whb+uCH8zlAfbKX0xr3ZkSoZA/gzxaQ+R9qs1HOuPq 4SZTarPdntJHKpMhBY3LrMye2LN5buQem+i7agKoUCCDlZZ5xIDvU2PaDLP9zmGC03qUroupN4Nj eKoVRc9RSafFZOelVVaPaA5TsXuir/845hUI1C1pYw7ARtOnDlOip066C3i0bGdRiKBrlDvwtRm6 a2EsIn2PJjhtb+56FNnz/hAEC0QRurY1rFCxhHH2kzT1elY+zAgMFAIu3siwUNeH2Nh/KdF7rzzG QE6oLxHP7UpNJ25D90BPIJo6uTuRAnq7stgCyga3WmaujfO+TlZNqsHFaXkdGWTqoTG+JS/5RZVT MBWIopLDJMDB57avtqGrPpL6jWRMhct2z2Eh4gLjVR8vqsLdFr5PGRVOwYJtZIbYdqFu+TBzUIpf b8fQQn295P8W33blDZCosV5cd036ToBMaCslDg50rxOP3KnNTdqciN/PIwcLAgQcVHGjHargK0wR j37j3HnBMNVva0S60e0R5keApZLm8BpCSr1OLqnoFl32rhMPFXoI/513jp1sCPx6/rmnXJnFEwSI lpK6XVNqYPxaD8wpHyD6qtByW6GOYPZN8gwgq6VOvOH/ej8lO765pdPSQRt+8QcE2LrCa1/Y/lsx 2iKAIuLtCdch1W3qb0oWl5kcEaGGkEU53QjLIs8093FJH3VthOYXcc8VQpv4rzP89YiGjpbKbmb6 bmcQwwhV3UiXC29TJ3beVYe/omngqCyMMevJwzQ+YkcE9LbrXlIa0icww29/ZmcO6OwhmKqTKFi8 lL7Msc5ReIuyFwb/jOfbzj9THlwtuRP/3i7N2YDQTcuZa+8bWCWDSwMmXQ7YpP6A9yDf+rjk9Nh/ S59D3/q0plKCbmLj3HFJF9gPPtUgDrzALhQmtDcQ/8GCurVb8TyKq5PVepVj3riB18LPtstF86b3 qgEsimmNTIWk49o2S1Fb0Pu6IZL4dEaBYlXqCs8+w2p+9cI5T5F56ysBMtk61p/dPu0K/OzNFrEe EPHVSyW0AWk7mQTohnG59hfeRpA82N8QmnciIAv/47JtfP8HVtB5oRgQwe9Zd279ZivJtPZSBIA/ W4Gq1/JPDQPNGVbheC2nS771GR/1gbfmwR9K2u99Bn7nIGvawrHFx28wTTHEQ2sEqoYrp+mDWElF nZJUJo4jU3vIN16ZWiKkcbSUKZi67mO3mCFxhzrrNqVh7Wn4STqgvDeY0HWMahFVhOiyZ15Xn6Zv +UIj9FK+i34e6/Nm0fUJgCIPMmc2HXzqo4yJ7E0RcQlLFxFB2Ozbr2ZcF07kmyNzXJ2kKJH5/2ZG yw1RiZrRzQuZtNiGilfoL16sLRKao6AjVDpSL1ywL46noSBJp4DGvvhsVRM0cUl+HXyf76hOi6Sh 7eOtRT+A4dZoNsZjENmf1bUB15YKIEN9yoFvEtUu7hTuw6gwCw6idT20707e9UCuffenNsUzvbGh HgsOfuHJZpw+cWH/7MCXuXg59ivrNp2h8OA87DfV1bimGjP3msmrwTKgS+W6FkHoxLYvFQHNflbB FXlFbfZ+IvRDQFhzuu5IpXeh0QSwrFooe6N9awEUKoUJjxXLZSbFWu13Ek8iF4eGIYYTZOjf/9/9 Z4SyxPcJha0p6XZAG/vVKWXc8gOt9fXp6/nL7zLSg+UxFtzT801GfZ/zrPkEp6c+esRPzncPDv9b h3NXjFDUtm0OEBuDHPEtRAsVCet2MLAccaLUMYO8hR2pe/HdPVFrBqvRP0idpJdyZYp8F4Y5hbvH 2nUdft6kPzfXaHrnNb+/hQ42MbtvDlpiRf8USb952OiS8Crp2r6CMxBhdqJir1ExQSqpc6Ih+9W2 /bzilRSSTV0EPbx55Acn561zRZFIagwlsT3z6fg+8nvTbFqYUWdh+aVZCe9unf1T2s1SZnS5wQxC jGXlB/Te0d0s0kWnx+MEY+2KyYF/L8Ij6GFEsd+mCg18Gm6WXQu9/KE1f1Fe1q3byOtCnF0Wh4nA rpGyr8n94af4V1ifxJAW2cy/X0M/jwXH/7MsbIZFSKTn0nwsGTLi355zghO6P6VgIuc0jqUY2vL6 iMte0QI1waPMV0uz/BPWvoe8/l2q90JZmMjriPT9N1eaEKtkvZXgAD2Qn55X2zygWZ7I5JpqOjMS MqjCsUJb4/H4CiOgvF1B6sTw6tbAMyloSUUBbc9pw1vD1wZj/JGQZuQ1s3AvhRw4KI4kqQ8cxq6K Hj9k4vxbZUPmKuexRx9PGGtn/Pd79SMb1iZJG/TS8pg+iXzhN9prp2k1YvTSNSKRkVs97Uiy0zNX T7c59nnbCpxhGLCV31uiGelP4c/zB1dTAZtMwSmJnXZeCV7csHoErbzrseidjnUgxqydcwR7/6CX sTV7yzJ3uf90tgmxcWiJa4/Fzj6zbQDAgcx4wQKnYfxNZ/fUzH+Bxh0HiQmYBdKl3u9dbatudUE8 a5p0hAE515Nzhy+ud+sHC77LrToKpSCfyxutIkgU/M9ZzU8HFGlqR30SVbAsPLVAv0Gdt0ywMD1g 47s5y9xkYEoCGWlIWYXtQmA3MjPGhWDcyP5OQ4Dmn7QsnkzrQaDF4tKeVP6w0Kvd2r+/ekoTzwNz WcTTUzvBT+4KEbxibiKEwaHRaBMPC9T9F6uei4U3rqw2BU4fqNrCt9rGsmLFbE1SrORuOSesw59T xigYEFDvyV8Nd0b1HEVMd88wthKxNzxfQid6yao/viacJ7f0M4AE1dpbS8Y9k88bF85HWeCYy0JJ zOy0gWy+jMXQJr/djN109HbplDi1gQ4dTSFvKrCi9V1g80ZJoIANcsIKZNqeJVWVsrtIbUmiZaGb Z51qOy/uS+NUVbVqmWEaD2ouLr1VFc3rtNU8oLzq8jdICp6DKRFspScdnXEaM+IzkC2aclDA0Dsw k3VRqbCkWHTIpnnGuifQmgqikWrdOZ62hp3/BclkPhg2RNMiVClKTi320g+9nSBjLIPu9Je8czLO 8j4SpVp9vQ3F1ssHlLF1EojxF111E2njKETvuRdBbH1Oo8x110Yv81vTQ8JtXSYi9bmR/EMmVdv6 XOIBDPhZy/VLSrZPTFkNq2i2ub9bbgE4zUsGyHrRAoz/7ds8/39cKrPHDDqRjifznU81yUfYFsUd p8OuV4zx3cu7P48QJU7666M+Gbdenr9Txh6yN0KCA8+p7nGv/u7FlOWseAGu8iJDKCW2SioOAu5h yk1y+kippqQNLS3KS2l8cjH6311nAMZlVhCoED5DtjLEGfmv/JIva7OXVTWAzzyZ1LgIlltU1sHc c/TXBFDgf2O3uiUxou9Ry6Dygzcnzqn8XEjDd/ZapPFez9/5i4RtVTrGZHw6H4YtWUWPIoRGgl7A RxgN3VUtMno1lIYoYWqtt7aZ7W2dy4I9fkRHNxeGIkl1QO2LnMB3I0MHI59qyuBB6DMHgbQ2/gRs N8IN6CYI440/U1Ch3IPTueXyjRq5rPrlUnPML24cV0Oxoqm/0Vq43EvuLudVu1wzO4tg64GgdRVq mBrXgWlJXdY3WqJDS2M2Kzp44X6V2oh4mayMe2vcGczB/sbsE+YG9frllGen33wfGVrrjaTdD67J 9jMrbAvkv3jOlk1M5yMoJpwzWoSGDAMSS1TcM8blri/7fQ0euEkRK1RXEzteFP0XcuUaoL6+cTqh 2103FynQti1YGH68guqh13SmFkBVg9YZMEt0x3W+/8D9Ldm7myK5TgD4twfrMxPFRXQ5bhY9H+iB tBT64cRLj2jOZw5i2v7fmUsZwf18d8jOaILySrfuvD7mQ9uK4VVAcc3oqDB2Tw3lBVGWTr2mi4+F bEuiYeB1w/zh52+KM8bws31qaJm7KVZ74soCloARg9IzHPYa+K8vi/d0xkyjKjhsAtT6o4C4p0X8 Enzq2NG0JXr2Qy3CJerkBycDR6t0BirSNvQpotw1L5kOJe41Et9psfJ7Bsmz/J4dA2ohSOrnVKBU G1iZ5BlxM4aXNmiIlJmpWpAQCOI8pBxbvlIDYO1xjgAlQphNhjH692RVzAJKTvvxGSNbeRtE2TMw YzooctDf9djl6lDtWvfM9wtzOVX56q6t53H5trbGl/tVBysZWxjqmQUwETR47mlMm65KoMadYKuc A5rnHeVkZB22fneCc90EDUWnHt2mSnKAW4OUhfo3FrCokx5Jt8VrIEAUrVKHS/7czFkan+TUNQqt Vs7y1ZRZVCCptcBFzEG914X/YmubOnHfwGke4Z77Uzn1LqEyzyh5+82Ez59zMneQpmI9Ss7O5yyg REbNI5T9EcAxjPn+4QCJxUOEf3PwDtQR7VUjZhjlfXFhVxH8KKF68abWBddYgj0C71wHl/rjGRnM L0zyCz1W/FDYwDUOibrcXsI3G0bPD8zExV79o8i3ZWVV1ZPTerKRWeuFFULWEBuFvsRwhiCl6M0C y3KWcuqPeReYVNqNv+TuRwbo4FP4CD5gnpTgR0nh+d/v7TrAoY1PkbVg8o3kXeHlpzqtA3k92ypq 1NHMv1UE2GeUpE2Xc9MFxd6oGQnIsngcuYgI1dP7gM+ErUIcSE5g9VzKfV50LcLjWVikfcb2NTJa 6ezMlhj7U2ZR3cEhwM+S2kTn46MPbydvwKbIS4iGdIlNU1NB131yCydxemL+ubaTJWY2iv9cGbOq kJdPAbLF8+toPTKt0Y8OE7kS7qYERFbtodfh0f328kUw/CqJvYtJZziBWo3MjITqPMmoxE8rXnz5 MI3XtxVQUFaZI41kjLW5C9H+eRbyWUYDp3sNAJHQOzC2jKd0tOLqh9CVrhn8f+KDIXRNcISAWv/W 9qKPmKjpClxy0MK72qrmbgvP/wyHdgQyR5XOpTnwCJZo0D/V128+lWcVqJBufCO7lnBRDrK2BiQh xjKY3s1zl2sfpT0lbtqjXoEhR4RUj3GSp4b9tBEk8HzwLDmxjKio6T83nvqDNPTgPHtXD6f+s/IL vNN36qyhNznbzSDmPnkRKxOaVv0c5/4bqABZDOQectb5cO+yXIawQFbSJT/C6+lEB6gUteGOvVhf KWFQ4pn+ZaCygFhibTg9eRDprfSHY+U8U4NCcs8D360RzdMA8JWjeGkxZoe8S/qjMQzdFXH2J8Ip 7r8pJPJi1jl15QzSkBI7lVLlKWhcp7ixmWD/y8rgtVkIOXm0Rn7bJTC3PkLZicaJd2Jw8AXUQZEM YQy2ktyOBSf68efnTvkoRj0HGnfleHlLG7eCcxVleojlcotpF1Q0Q8OPVKk9gnBnp4mrOe7Wa9UD 9iVpuiesvzsIpMe9u/A88KAgZeMPkENW9pm2TdiOtt/b6kS/bP4j2bF5RMJk52fxJIHkyoTzC7Xk umii4VPAJ9WvN++y5cvlTN1jWLcEUkXpmX73GI+FXsy0wyoyUSkCqDAxq+bakG7CDixsH0qHbx9O P5KsNKDCizTwU/3dGtBo+2jtbqAZTNGqvFRnikz5hW44bXFdcrAfoCwYMSO5FFbJBloPb76/Bx8e IQLFE2Ia4ErUHSl94OHOGdS6gB5RWvAWDE3zly2480yFGjBq5Nm8VCDoI1HYS+W6QX5QlpvzxQ5k zkVIHMqyWQT7sNl0+N++QSM7KJSSaguX1MCUH0je0ebjkOQ8k15oa+DKwcjffZvdr3Pq7ysTDCN1 GaN+ZcTOtfixVTu24QWh8xIkp4GAB16zsVZgWyMXDwgTPw4LSMGTUmoouGGw2gZ2KnOY6RqPebM/ /blSf4pQsS4+tyeMQ58AvdDmtjqJ4dMNHDCJcsiF5UGa0+wQ8CRQ5uQDbW6F2rhqo3RhbXe1jU9J WHga83gsAvuVcrz1/w59AMB7/Wl0WheCwOkdbo0LhLIBvs+uBtHOS0q84QmoDdsaj58Xno7gFal4 al4tGEwolpvzJdtfaVN+FU5vav42Xuv4FnWJbZMakeXrEz5L23doqVtyMWQnwx4BfL8R9b/T4iLZ yqq/GcddnORdGwZ9FxB65TcoU+HVM4R96V2xSznofA9f5JgRSxEapEixJhnfGbSCNASVyRjc40Ph 9KO2TJQ7mBeoe2/zMn2a9sWqdZE+ZZJATvPFCi0irsRvNGTUQwJgzu1kv79cZPr0WAXi8lgLSlFY sb2iUzMGom3ett7HEMh1uKI4v61dGtChFGtaicKBpLLNHdWrX1OtAqoE7QQOWDkkSRozn9gUb4AE ObDLriLrpYwW72Y0juvgGn3tEIIu6MWFYksFofXTc/nlcTxoWDTEUh3MN/BPWRD5EN5lSJybfIPn J2Wtj6//UrESuRw6wya/j5leP+vu624zMmgpX+CHxtMxVON8EnyZUzseHUdfyYzzGGWvCh1zjqCT HQmPw/n5C6qcCqOGz4EBYLKUgGc6S/M1HxGUuMqQk5ROvK1FHsprSaowJyIOJ9maL1VKwtg1g6nA sFlOiDKovIDvXg8NKqnUBzm3EgjUWA/vBzdKlBani5HPphnO/pP7NRVVorB1VK90yEyOX8Kk50dJ wThlzbE0umnEwWv639g0ZG8IK/OUTCg+fvjsGjcf3CpOvYTTC5eo5CR8rgXw6mbJoDq+VPiZbY7I rGGNwVPh6P/r1Rs63a2uiTXXpt7hrhUCMS2vNL9yhxTpQPi81EV3bB5zMb9cxpZkm8RegBoLPaB/ teY4EbWp+oh8A+azGfteaAZsLgS9EdTTrOT3YtIZ/75j663KODQleyIvVVuRno/lCypAFdxuwBuR Vi/6nNLqISItkXioXHe4Tplo7w1c+RRG+07vAKwnYkXCc5sgO0dx/ZsGfS6eDQ1E+HusV7yXy37q nJbdYYKi2DaG/DgQPt3InB7gXTYbJO/OHS7UJqisXr8rLVK8kHtkvRzMszH+/KQKnVB8xKmwJBOQ 3NVB8EyNCzQVb1HT/Wq48uTas90HCZROR4VAyAoeH9HrK0fhuHDvYm03QuSVbSw8WqxJEKaKrugu nM5rBEEULB9kNDvSbu584muV9bg31ykqsfeyq4aC/J8oYA3t1NC3oC2lHtjvEBLsQ4rPZkFlYKza S1Bnd9ixsXgL2IPXzcbEK6EVWYJ75WhMwKbt2YqyqI6IvqGcjt7HybwrUEmq3GEaJlJ+etFCdhul K/NQHl03xI+COeG7EYB4bibxyDIRzzPIz8w7iNNc+k0uEHgVwQxdnlapxDkSOB1WPR6V/0hx3pM3 RnHeSkMB8fqUPa6gGJiDxyTvxx+ASJ+56a1l5dmqsySEvsNdnQ3egyVnFGmKqfC84erzlG2wdnb5 n3axMolTSlbJhPc/FrC2ReiQIdYmXofqTe0Gis46OTzcqAcH+ZXAd3azpQfGXf2uuK2CZzhYZtSS pg+imaoGmNDfsmWxSrMIFHiD74f8QUL4QKKl0cGm8TyFHbaBm5XqNkESVLI06ozAAm1vttI+X8ee r44HtpKTxtF87We33VhJ4t1Mt4nidE8T0UVlaNe0MTA0G7GMbNtnQsRBwmOcImRCl3Rr19CXdbn5 N+/0/yFxEJ16k4UGkWvGeVjKypAIz55L891+1zXDi84UEr4IOSxJjddXaGoKgi/uWSta4N3nX/bG hpXD7/dKENpWK9u/dvLTMkQgSEI4AS9nus9QAqhz4eTS6Qa3q0tuxyfcL/emgHjAmi7exBjNig8o PsWaMKyxCnNH8Z7jdgSmOhpQDIDLW/XoOZep/MO8PNGTvgy/vD6mC+YPIXjT7t/p4GLlQ9j+pCdq nKFEJ39iFx8YwQtKWOE7Vm0elTsJvXXDprc6XwJjlJ+8vdtVlC+3JT7SeoMo9vG4xA7s4FZ/ODXI BYBatIcmPMlP1/rixsaay08TG02hw6Zf53bI8jRRcUcAoOkrscOtsSae5cwsyvpkz28JzktuXT77 UeUmOoM0Uy3Zfv7I51FHbkZmOJEM1gXoDqqHV2vsqOBUmRXqU7m9+NoS0q6nhQLXQfDurQj3MozA BjteJfcMphuMV+wOUgosePcFU9CcR++mbujqaDSGNysbXqzm4NwrKjbVpncQL4b6jLSS04gUd77I f9HkWSAlwSiyquwiZtQZ0koiyLDo4hAYzqxEK8U2vvAoA9vMIl/JImhNjJnF712BImuj2KHzoZCL 7Tzzo8YWC/IKpRV0JK6h543ltkHVieCqAN48gavTOLUo9MHBSmiJrFR2MZHH9qhCod+V+4xDijn4 Fk2kKyYAVbaOe/ttc2glTfT7rNIOwTfRlKe+GzpSwmQYGFLw+PTTAorSj35Eotp8sJrCWO8lhidU 5eWPsNoQfztXJ3agwqS3kSYf/A/2Lkhdtq3oij3Stgjd8imYF6Dl+UzXD3e+KaPPfaCw8P7oluRN rXIKJENZqZO6WsOjxR7Eo0YZrq7Y98jGjGkyhjZ5qcaaF4KUPSsS3n+n5odUbjNoRhw08BPZ1aaQ GfqbUskxLWCfTe+1uV5aijIglGNe0DYp6SaXL/WLdtLToLPkUQFTOgOyQabfRAm9A5gmj7vEW0co RodXCL8AnXDIytg0jzhm94007QGOkeH03ARNozdEoVqO3nRLCiecSB2hr6/7spXvF+A6UC1iakz0 5wR7sisiti/zvtOmWRqyXXprDFAJxmPO9UH3VijlbL8JBDc9T0CnHz5LR9Q0TE6DsSFmM0oAA4a9 8DMNz85NJt7gfpUg0UziCtWuQrqsl/5AF5xdB00m+vOnEnJlkJV+dVzldtEXe/STzTatmJe8Kqpx sEK82uVnhEgqT1uqpEzoVN+k9sWgyCI++m6t46wGcHLVaTVbWcvtz+RZCH4s6iNAzp2GMHtMpSsw rgo6o9wmCiyYDzzn31S/UXlSSoqaeHwiAmmqcd4ANtPloOhOV7wNaIxwKcrYlDC6UMCjclWI7K4T sKg0gFLpFQaHS+w3pUUxq68R093t888PeeeuxPXLNXemnRFVh2s5Ms3O+vEEDcRg6SXO3NrVi1zK XwC3Z5tARYlxDyNvLQPD/uJINNTcREgYhSX9fe5vGCVUG4gLDmWgCrgdklRY0/2cs2EMklsZtAxm Kx8JFie9fxrHKEBaZ8AMmvuofyWcBTvmb+O/ldNacyyn30nScTaCpFUyJxt9qz97kg54EVXb1B+j Q0doubS+41ESHFsuDCLkEfXU6jvHIDouQ43j9ZEejWvkJT5yt18+HLwC5YyQMB1WBorRU+0To+R8 cY3dAGlDMZoi8QDHqSlVCSI/mDOr5QYDhN1EmxoPy8xRaJpL+y/YaGkr5/k1Inb6G9dnDHMCXqEW ki90msitR/96TOYxdF4yLeUOL6Ce7oCHIOgpv4FNL1QKx1tFqD1jbFWoO8wbD5GC+9nmFcnPLEzR cdkZ3uZBjXD2dvtG3SW7w7Zb5fAMgs+q4OU0lf+rAEgn/Kx9+uS/GjyXwhn09rPp7iJAXtjJf5Pc JjLFT/O2geXyKaoJdbzHp1emaFUaNtLC3f6LHFp6KX8N4eHETpuj/71smfBsP32O30cWgSrxPOEB mTbr+MlDhCI09l8ukvV1HS7nhkKfPZn/pMrO280f34OSn3N8VGZyQ8zpMaNu92vyuv3Jt9262MYn QybCfb9FLEeL9pHgGSq4rovUytr0JYGmWTQPbulWjrmgq0c7XDJ2lt5o2dRe3FIWFtC4GzPHoY2k 8j21edvS9ZGcBA0i91tSi7xsmSz5ROwukh/zRftR3W9F78uak9EKvAjTdLDcx7yDenXd/vtuuvd1 yKe0DmcTLIkUPX7sJQfYI5eQAn/PW/+cB3NoHx9Ou5yrRgL4Rq1KZprCWnrmRV+ylO7MwcTRgMSw uSzSa1m4K3Pot19hnMaAeep6XVA/i5MyqmL3+dwDW8VsuJ5O7ZnQGIgMs8+Uk7SVL6n5N69ufnQ9 2pDWXn8Gf1lyyZLTqhAgY3HyzyPVW+BXvNymogI+NtPN1ZlFuVJxAajkgzj4Y2TJM5wC3hvcympv GbC3aLpa+nxYo0QykpDtdrAIVWoD0i7DkHtDuFpKIgqZN5BiFnZMEJlKNVl+fZBCdSuKUDk/Smwq 8HVXxmWQ1rMQuww+CCW/nn1aW7CRQBJ6jrOhmaZp5RoOyQHINmIhRFg4BSYmuqyt+LWQODA4xHFQ cexwRnyFVfauVsOb0sdUVEiEk17xS5fZThWJjAbvBrV/egGdpaVQvGdH0Jfkv5zJwSFWWlHbLT1/ cHwoIOl+Vmby5XvY7MuE3k7lz3Z0QdgkmHuKpyaS6h592Z50N3mE7SZFiQs3mLWC/Jm7CTMZJBe0 9oBYKpJWSsflwv3I59rU2MkP+XUruECdWamwdw4MWACscNEnOjmPiCv/XQ+HHNT8lAsijfrNl6a4 9eGgTpcE6/QDEqbnPIQLZV4QaRezj4eHSFhDXKeryxP4QLWEibHOP/aC5sSCtIlm5/pDiyMrJISJ jproHgXab6nsRQoA5fQ84FoLt74Lz0cSpt6xPnwXlHgN+6WCV8lX92UuuBVDkb8zJkHBXt0mssCy 2DSo/Ns9E0gGered7Fbq13osofPIJ0QupA5QOtirTZDH+GgZx6Anbhg2mu7lD0Zl+GK1la9q5dVc YH7CB5guhBLJQ6koO0hGZc7g9F981TgDF74Mt11/XwORSm1UhQ+UyYH88epG2kqbCCF+4Y3BT75+ e0KQBaKl4vr4HcPxwCYVLOJO6BO+1iM2x3VHEYHRr7UeiWg1flA6FvhvGmsN/CRKWsMK3zeIvQfO Igt+1rl3WNCopcHicME2Ya4q5s5Z2/PN5muXYeyisg2RL/m/UtnZqncQrpTyvis28HM3O5kFjXiu X+Dp7FGntpz0qtXoZIQZ26kgP0fycSgQBbj+EoXEouccZHLa7GmNd0BEizXmrI9vZOHx8LzLRKmB /wz83QBwOmAe+a+NZlmAmML6Gb9zsLTFaDq0LgP+kEP80UvdbtHcgwyODhjTX8vWEh8qlmK59g/0 QSecu+8xei453wcZgM+xvW1+ec9T9o6oIM/+wu2RezGbevdM/39JzKFqHIZ7y72BMOrWJS1/EqsV jVhXZpMjS16G74GJUL35lnNAhQ/e8Om6TeIUNJ4DnIUBSWL6xpuEV7KLaP0jX1Rw69cNYx67Dn8x 3mn1gGx3cc9E2uGSq9mslLkyukK+sEC/71mwEIG9XOf5l05AnqaUhFMfY/m6MaFR78cemlZjglEc rrEZU69UdITuJ/AINe0xVh0MtVi+44lHXJZ8EsmsXhmyAk9nHzx3xcE5tJNkaQe4Q6UIZUB662vi GCc5GbHzNxLoGSzehUPYgZX5fNTPJ3n3nqbtMej7r0hTQ2apDAW1fFOOcvGwISXEdFeKtX5F78bB JUduUGUGgDEtSweWb6q98bvcTLqcf0my+oLWSpsC51xpz5ijcv92gI5lye+TO6T+KleRAt9xn9i5 0iP6wPrqgrf4bMN+qzqj2+ZK6RoTw2eheIv78GfjG37GGKqk+2Z+32/a+plnalj8+R75MG6mHGkd MqbAvpl0nfXDts/PRb3wbkArilngbNjkAvX9mLtnWBcpr4wKj6OGv6TYbAsBp+ojXti/7/wsPYL5 0yKOBTKj2YaNs4mnh9knIvV+wZxNWhCy7BbbCg7ynqRTdWpXHfVhU9YHEEdeOwCAWkFD+YeCQG5Q OrbAQkO5wkntZREGYo/TPMdk2SUot+zwvolnhjTQw+THS/1oPltQkV3kWLQMEIz5wYRvYOic2xw6 FaHs43sXuQXqvwfmtqopI1sa4Bb0OFEPZalwD3aA/nRfzgESt++oD8qYg7yRtWBEWSIGGl5Iw3Dm GfWwgXLdgjyIMrh/ZYvw/qSP8QtN7LmCVpT9ogX6pZEQm55f4oT6xRa83pdiXe1tq0n2cqRgR00H c+X5lJQX7L9cTrC6D22nGMNplvTpFaTYnEjJHMVPL7uPXqbFh+Suyl5MWk6HDdneGS8hLdai+vqb I9EAw0SMmF46hbf9Ym22Hg11ec6eiMFmNt762fGWtt0I72ypzGeeluD6b4Kxx4pmlD3SEXZAVz7e 3QlMVSxzdc75Dv5htM5weLvTWpNApE9/SxvQ7Zq7uAVSC3vUILrBmZPieBpdUeqMXnNsynOKY1Wf 2FzMdpWhOWACaAVj94M9pDiEqesf4LgnTHyLHlHO6MCrJioU6KaiOnMcT8kaYgcXAQDMh2p/s0II nxIGIbY4K3qe1pvNY0qJzu/Y0IWiXa2VnGRbprZoy4a0lMBwi3hBbl52CBlZ3/tRhMpQP4F/oUnn NbV5GQlHC07jfo4pizwKi0lTO9Hv5aqaKSw1hqQzk820rO13kiz7MZKnhq0n0F1B0tfF0cFZjZb1 UjMDsf3iO6Ye3nOA0ej3rtN0qCmnVwblcv3bwSKxWhvw9V8fV5lG3PMyKNXtUjCChOK5sfa0/BAb WBI69UIX2caQoNBmqAYzEgxjod3cpWdZi/ao6cQ7vhLOQk5x9xZ0L7dxVppfSrnaJvwEaKKH5NLi goFbg3nd5rO+9A7fn6uxwHYfMU3zOjqMbbYwe2PWqn2loTnMde1NMM1vQm3iiPTtm5j+NttUD9Ot B+y/zv6t1XpPSIAt8VqIM+3JDMH/b3Yd4ztC46Iq2rwsZCaOij0yCdt0oLaWMaSKGLvllPEh6A6s THYgao5uJzDZz1vpj8zM8yybaq2qdLFg80bv1OIanFUe6ietihr2vdSYHbGb9ld83EWPiX5tQuYC RiZSrKjSvQXHMFrIrJNW56XbyC4i5iwBKzE1rPrMrnZUViawDGUybvA6zPhfIKcAcJq0u52QTG7v TLsi1xelcrG+8e5NfxXsJaVbpKjILS/avPPxTYJkMchzG+BTKN632A/vCCpkSuaMynZm7SUarAu5 5v7YNHSpXdw0ccJ/U3N8Jw/HDG/rvmb7SptpsAMATasUfVkHLEg29l1VU6CblbTfuknnJmtJ0xTa 0t+CsTsMTQgYikdlbTyfBm7OCR7jAmEgFld6FmFgRXkDeh1Dw1uR6PO3FCrnbmwMTWVoG8A62MYO VsY7r44mDHhpp5jxKqAXQcoN6HpigozgdFgbBEJX/HPZ9HTzX8EGBaqH7UFOcb3YGht36ubbocu/ j84lsL7mD4XA1ce6JevS/mTsR/qOZCX5emNKz+i8NE7EXdQdG24nU2yqEC/FZ8PMWLVINycjWq5v F0JajDmYdLN+o3X0S7EUpnoSqW9Y2OVDL8afdhaJk9JqsKO6kWDSnQ2RJ1lFKsaeMlbBom9wa4T8 +ij6BwDRWc9xDR2YpSyLfBQiJVKjU4+3cDWCN3DjE7kcr2da8E9sF51X4N8T8+pypIHUZMXFp647 kNC+4SIBhzF/8hXvOZHpJylwuXAK0RbUZB5Ewv6knFMbZfumKyZDsBe33oG8oW3ex8HIjgoBimKu QLvqWrDwNjcTI7lMo0YNR8kIJXM9wgYY89upKIu4MexBS1sb+rI4Jqk3JzMg/eg6VQkl8KaY80U1 wu/AXIfSwkeYP9f/GR21yR+u9Np5tfc1PQVDRAx5I0wqPwWnA1zCiBRMra5KO5ZA9hiwClt0AKlk 6ICxwwbCSOxK04mMjVzbjSHfEl1fd7OGivTzf7XNwtXtpyUKsEOfOVnQTwJ3DV/Oil9/43920ReT lfmMDmxEenB5Wv9IfNQ4rxAnlvSuJjgEQ4CsBPBsXlZ5R7YOHautmNRXvnqeLpkZif2zO+Qe3k4O RUXxFfsTBUFoUmV4UZ6e8c5aT9xkXBoMIkMDymaZnhpQZXCLEeyNeJ+8FAmL2n0odNrOL0Ob3jg0 PIH7RKbrR/EOFuqXn+mGXbFSUk27AG5vd6DXBSF1bPCoVyoVbvJZO09hrIxMztYu6oNTLz/30zs4 QtHKdRiwcM/2HI8K3epMRZkNsiq0HTQXZhgXw6SetgTQJLqx4HcJGszTl4oMiBeIQIZBE0XUg3l+ Cl1TPFrbsSuaAO4SqhAV2lp6dl3T3WG82NIJpS4+xeOrAlXlgEWkn4lWUjRIdXBXZ6XQCPblViJT XrkBfk1pwtM9N0y/TAytzzKq2ImfTZvpHiofC6Nh3WBwSSJEI91BxE6yF53hO/1yzuKEs9i/puTi uLcLbG9/Im8VD3+heJZFq6aDH/8+3e0jbw1n8lz32y3U8NMGpaEZ0aK30+IzidBRbUi1ORJR7REu 34BpUx+iE0JKGEQtBx3F9D5W2jSXZOZE7Ww71jFkoTlF1GXDlELVIRYFAuqerYjfnygQzBLxC3Bn PCro9rcrgkjareN4JMTBY4BJyQa0CU7Ttu/E0aSZMMz2B2JMPzJbjlPJH8Ls7W+cZonPE8AtK9+8 Nx40rQG5HhoM/gyfJyGKsrNVX8JcZ5qJYphc+SkyvI+yaiNzPX11MfxfsinFOcoIgAyS5XRk1dXh Hil3IzIpQCLXdSeaB9b7JCWs3meD0ur9owFz90djpn92BDFFIGiRyUhaHG3/y/XbPmHsRzAEML1o rSM8Onfl1E9s9c9lvKIxjjRJG7RHC2wCjKgpsqH4aR/qvSnp8KPJ5iK/a8dmOkWWaLrm7UEkBkGl +sRG3kRbKGHVlpRjYpG/l07lVTexP7fVe2e5jfQ4Ng4jAaLmHyPlLLvXA+kHZXJ2JF25DMIPDIJh +9HEnmBCZ5isHgy5ajx0h9EXZRKMhM87iIuDBQ+ykbV742+xkroivUJ8HQS9I6o8x8vUpqFKTQ3P 3h4zzbgcS5YCTSlwsSY83DA7eAZ3t+McCyrU5extde0nsM3HeUiQz4eJZkYP3KnZL0rJnxPQhjzx bktb0RlrNYCnCKtE71CIRXIVLDLqB1L2+lcK1X7KJbprh6yf44ou2Ow6/bP+ugu2hGrffJbExFbj 21SbpB1y/Ay0cndmoeT7USs7a3WMvUFOUnOFh42YLSQS72zHLmGYMfPAAh5sp51DMhgYiT3EiQgs Yhh2EnYohUw2ajMtn8PJGuGHjx+9AIGdKcDppsRcWUrX3yT/oN4gIGIe6zncGd/TcFTtwjn53E+N 7AK3SNGQXvoUx+Wkt31cfdW1bleL1ZzEN3vGhV+otPgHvdSxziU/u6B7gefb/Sh1y4rmmTgCCKcT 1V26UX/+rxYsp9sD1G06XuseTFiVIBz8lFkNZBjzPXMkjLyI+tHjY9iQWyYcxSUgfrGP7xroFjX5 6e4uQFS0xqWw/e4Xvad7J4iflvL+o2BJ6iH90Tq+EgU04djHYXiBzk14Sbw7E6Hv3ij/fkDyACtx H+MbspE3f0WFHBiZLml70W1arNB7rZ9Y6gzbB6FqOhh0v4SabKxfoJq88ePLUuw5u5TaJhMw4tV7 zmQmlXnPIN+suzthBp6xvUTp7pNlXrILPwqU1ZLQv+MSVXHRl7pZhHr2GK+yhr0FEofrofpp4wLM 5c4vAmRKeHSZneVxlEOK05RaVgt41XSoJe6Add6IuUgD7gzCojdycOY0mJiyFArnYCnehl3kuiPa plu4mbhODvyWk5+o0RpnU7oASTVeBZ7MJ+oMLP1SrMo4cI2gUg2Whc0NQkPaTTmI0RlYfdHGlw/Z UPaQnB6tmKxgFqQo46zz2gEGP/YbA5dr4AvZwbOxkK2cAoPdPUV877kQ8lvzPtmgc713o0F3TOWD Mgpsq5sp7xfpq6EfWwf2ZeK6xy7AAJEZSS1QeW9/R7rLO362i4sLuVQ1NpO6hcd8bzRPyVcxlX3x 2zuwh94uOXuAr824MnMC4UuOOqv2LnkguJ8Ce7fC3KQsAtko6NvF8TKeBXTDPomAq2sLYU6o3e2y QXEmk9rxiCyUF+PORaILjv/nKOGA77fQ12FoJGHfYFeqgOQo/Q331QGtFs8g8J6LuibxBt+m5Jon RJAruV4gagLGex1f/A4+btosVAOznGaH5yl1vXptPtugFlnUecROp2huLFFQnRyBh/zJzIMT+wWX S+fmK15AJtMSalJL32+FQp8gpk0w41p5kY8mmzh+gt2iLt+ZBvOMDMUkm7X8HS9MSa64yOfj6WGT bMEXHDPU0CZojLPRUnT8wAOLs0GnKE5s2w+Yrfh6upCWnBR3aNRUU8D94P4fMlUYUGZhiAPPAbUf MmbldWN16ufowuYnmr3Pc3lrOHNj6TICWSVMeGjaKozYcvtGyCs0I+uFeGu6ORJ0KPHLfWJFZIQN WBRv/8HeSfMo0GDj9Ok0odqhIycMso6HM44hOTWZZawwvp5ZTcIakZDcYJCEuL85Rrlq3ghoOh6i 7p4iqdxPGplq9vLG68KxqQKHEI8Qj0NZu6Lx0EUHNTqFPTwnm1xKaYY+QOhm+pggPQtXOnXjc5j+ RjDWoVu5ltVjEap9fcJlhR5RzyVch+NzsiC5K+G+Nu7P8+gHCrP2iAfKng+xhkV1qvwY3h7K/uwV d1nlw3Bwl8bnP3GOtkO4dVit5T08j9fSWsE+RPhVsmrt0CuXVtywMztrrvE9mZ6QNJlLxkB3Zbn+ UmIq6pb0zZq/Ei4lxZuGkxa6SiVE85YGUdjuwJLQAaiKHx3QcdJEprRIWiv+kacaKCsZDUNdqyE5 /7X671NTSEEi2cGA4skzEWLJBELAQPz7m8o+Fe8kuNhiLeGmT1zRAQ+AQBUR7xFveSQrxZiQT+UB CP1XAc4q/pcNZrFeqPOTk4/Mqbi+LwzLQrJWFdT33tUFKXZNzKZBiHfBZM4GJMZs0FJ/yvTILI2A ilpYYJX8QnxzNfD2WDeN3FM5084rcIN9m8MYCoIs6QDYgy1L0/QO2SuiHz00rd8yP/MWiQtrXq2T Sb41wBufc0C6UokmS7Yu8nlh/J6Wrkr3dCRGXkk4oFTv0vIFaM+7CUrSMf9dysWdAUduCn9088qU sc4Sjjm2lquhP3+BkfIQQjb16AmypmZa1q+6hssXk8T0AfZBTnsEagLjOEs1GBhno86uwXjIkNGh Q+5SM3HdAR7Ig+mrfWc8HqHlqaFim29rt6YcRRQX9tEuecsj5eR4iVh/W62rKPmRzPZwzBT+xP0Q JgP+chp4XWBGBDPXedyMg/PKHZxbbDwbRd8f7bul4J0tQNdvPmdOca9fWVRjQs6BT2viNifdUxtz 4Zm0FgPEjayEU8CQ8mut9esUz1rohVKoPogG+Jm1Qav+ibny8jT7w955jWaDkN7FQMXHG2V/gy3d ea1AjvZnirTKN0M8Q5WtS1Q3zG+txOTrYIROF06WpHrA7QOyOaeFaq3SQK2aGOvR0+sfLtJiLiNy yCSosfBPw4w5fTCCAKkGc+TIZnIE7qOL4HTNa+89ypjw0gdKiWQgCROp+jIdFcnJ7wwPRZ+COi4b JnXwxsrMS9Gwe0nOX+X7s/UfKrrWwqBB16/ob0y+VGjsntjyX+g9YZHJCdtRRC+Rc5fTmk+PhXTr nNx52aI6SKrPoX5v71VBgNcLlS92KUiHbyiUqwWm4uBIn2afT2MTsAbnKUhUn3wq/L+a3dWP1TSh zVoqgv1pLCrQLEqxdBTL8jWR/r8O3SO6fRfOUDuqncBp3wC68H7qCcxOB7nRGh3s770Wfjasxrcq Xijv2M6TKVOMNMV3sLv7hNisNADyzVvyzkXSBcUbj8U6z1yOZnw9XwShwuHDMGNgJMNUY/4EOoKK vrDjnse3wUI5zmz6Cw+zLTnQeJYAPO6w7fe+E2V/y+KYitfRQa3vIbv93ONhGbJyX9UdqxaFuvGa j8hfhhNlSu8Ap322RW8uCBkURyA9ogx24IoXXWZeKulxono3JOB5JUctat/Il5Xrikd1bncDhN/w 9cZGP7Tkmh1iSE739uLA5o67RIbvmXA9dqt1rWSryjN4BVbWgm+Wu/4picibcZqPDNl+MdpC6rcG jND55lTgcTD91jVQeVNNMCx/3CGcC06TJfxWSzFaecXZ8fI/dvmpeKamY6minyWsRpP8ToIqlcjo PllxMIpDLnr0QMpu5k01uRMFGRhhwW81VK5lF4981paMF5Fr8NMLLCya3k+jCqjRDa6ncXamOeQR UnJg9p/wfOt5klG9fn/H6d7ImIwnRJhO6MjXq0ZmV5EcolVINkoZkcmEDT3zieZIiwYCwaQPqa/4 rnFf1GxVxMuVW/xB1KoDl8nC2SBgLRGi3s9FmQysnyP+PMH2V/KPcvHG/p3/Ah8QhAOzwRIIPyjw 04RSaLUEGkRcrK2Z3cKrbwA1EevILt88NJprwMB0P9EGvebt+/QaGjN1qewEFFM+Lu2ZB2QoRCdW 9VvwfJh7L+cgzWK6LLqdH1hyQ0VbcIW/MM74xjZ7KuRvlE4lHln05C+UIuu3aV/RL4TDHQxoK+vg 1dWt/ziYQJOvLh0+bGgZBc7Y8fbY8vR04wIoaRqQoZmh6p0J5I2/+chE5BlBbejzp3iqNMj1GZBr /n+Fn5BSxdWFdcJqmAV6wVT1a9aW57uqTlTCClWEECMSzs4Z/KDxwwf8JaYRye0lKUB+2R9izf6n 4ggVMgrtFmBlzqvY16FFQ1OV8IxB1eYz/4QRbKpI9n0t1TRPlMJa/IskADW4+1yRMOqmqj4e6AYb Ufp81bCB0kltCMQQze3u/h0Y+czscauErxCcBWG1orndSkDM/lpheCWDaQ4J5F8ynHtI0gYUd4x0 qu+jOf3L6pRlz13Tho0QKbtlDEIPXFc5mZbCLPjAzuXEV54A1N+5quW7ysaPbZJ8xGtLeP58eORF zGgVNapMX8s2F6JVSZ1AM1ev4cRUsFIedj4e9OgDXaO4agnyr11C50sgqtXeGn3EmSqAF3do3LcT su+sjuel8UZV2fuTCs0/97t6z5dsFIILp7DEsLMy54X0MYl9KJdWIlqnJIMrm2EQnH9TogP/yKGu GjHSf6vdYDPmhf2DgLiAKNkvTzSIiIAYknYhBI8aiwgIvuvawfDmo7Ak3MPoQgJAiu79PwSriguS dg62GNsCeA+yPuoyNrxcO6Gb+QdnP72CtOGXVhQL/kllUyPu6hfhVdo+urQ0q9ISsIwE1ZxrraqL teesyhvTJMmJI0CGFkndXV2+BlwsMb5MEUwnyEQF7rs4Y2zBKi9LzSY5yvpZlWqFSi1gvTWD9yXb kn8oejDKynroLTL5GzpAsE2AAg2jXn2Fv3O1TjNsYv8VAZVnibehC+Q9Oln9r5xu6/woSLhcvXrW JZMOF3CkLgmVHVFthZ7KF9bsQCF6QDBEDK5K9PGuRy4iw6e3jDIH9soIpPh8La9Ed7AM6EY2aSrR xuPZtEqp9OIkOQUyvsIoZPpbl8LfWxFiO6qA0WOVC3cjLziwWAr+11vuUvQCD9IV5S7xUapBEkbf Z8vorbEzX3L4YnPbpr5SPR0MeECwTtRcuAqibs0U+GPxlZNa3Ye3SG3YXmx/T+P97DKM/3kjeCRe q0DCI5NHc0iVWi8knpByH4k0EBHL0e2O+DQSFpuq5UVJ79z/8Xyl3ZCrEFk8b3DKsAfWaXaozKVT w95Vv14C0A49Fb6YsxlXhf5JP/eWog//7Z4iOiQEPGv5OmuolRV7NUSAR1Rznk/lsSxvNy9I/Zlp obeDI9qS34/cwN0VZuw1y11ZzVefBYRRPRiv/q0rum0dhpwjbRZz+oka0J0jtmWz9j0NKpvGUO03 G6XhpsHAHAiwL0ZWPNHCzGk+HHsVhdHGKVfdqoBgjj27ZKCpD5IqyBTurvkW1fFfRelrlpJKV3Tz p9rUHbjiro16TbTMIUuLaR1gdFtiRhWB6CIaBdwH+XdTWXQ1scNpImRheyFCxLzIbBf5wPrZoAXx LAOXkLfLGFuQFqmYBcWDWhQL1u7MQkpgigTQ1M0BZJmEZEQeBqnxse7qFXh22xoaOmVYq/Np8LgO vWQOgkeDAoBNIAPLNQSbxCWgxMMq6W0YdQYq2MIxemTf97NOJNe4iIQcTI9YF/L/Ma0gz6QRDLFd sEvZ1bIgWJzSJ5mqUWT9XD1uxuZPKL+XcPI9eGaahyfdgBA9CQdA10gqhvU07FoAEOcTCvc+lCc7 gd4O6xXfxAK2WgQ6wmh6eSdjc8+uurDMK9zBMlCg+CRCI2pR/1pa2jR+ToHDnTLFgVTibEwk8CWP OhXP6lGGtw72kBc3Qv/FO275Qy360NKle+9vyztshJaIma4NQ9L2JhtM75airb8kMLhwJHnFkifK VkkRaM7QkLUzigVyVPde4ympBRVkUaizAP/Ios66wGHXC1Hza09ahvQbbDGXoAjCDni/hNXCeK0y G1q65jDDf9RAYq/PqOxXOfhCbC7M2Lxiaf9U9sUVgPYljvZ13Nbnuoz/mR5nLVTdtR94cfcbv+Lk l6OOjIVDKmqv/bvMHnLFA+xlljxh8aWEYUgWWv9mZQcUPKWWUGJiHALr5RbSMDCjT3q5s5Ufox6m 3zvQ1rFwxvdxbpJSUN03IbDaqv0yVmholsOsRJGtbqY08ddhEVP0rD+Lyt3YXLjyvjH/dlEgM1jM 2pgYS2Xl1qqRlWX5yQquq1ngBYeUoC2GRds0tI6YlX6qsjRQ4rboHbL0TF+hJPpOgvosoPpdPGTv 0ma+SX772a/uRL+hyhgxcOAJgyOW7v7DLE14k43XXfPHYjY/ba0vXcUJWdIvEzxacKYBKLmvtd0X +CayGwHBjkF1LDArYoyCrikwzbliZ61po01ppmAItbLk8wW4yNoybcp64jgUF6LkIuGfFMP9vwlJ 3JHjESbaeSg3Feo3FnOpJytZcBJ9wXp7QFed4IjLmCqE5Cv0VqbLkU5+SMPrC2+JWNG5omuQ55SF pJY6usr9Q7Yv4rQVsCZ5ozxKuUM+MEI06roO7SvJtisXx+ZpTANHeS6SkpqoPH1iz6c+bxHKDo5u nNDas+pOMkrv8UDxy9DELZnD4lLsv/HQ2N2T4TfY1XqyiKA/I0oZ5p+576sb0K+sN1DO2FTc+U01 SRQD4xqdir3uRIGd/U7JJUCzdN3g2tLS1o2myEg/awBg08sccRGzzMzM8hAW4bjofihDOBo66M8u 4rP+1rKEIHdSgJMvznHPcUu+K6pandyLWsKaUcg8lwhBOv7AdUc3RJo3Bzz7cRzCCa9CwyOmhmB5 Gxi2UH+CuJAXo6jgwsrPBCTWmnKAzuyLbBYomp0Dr3SHUKT7rDVINLyrZZxJWe2k3pSbCOHVZjpW yN9WZsr+jlAHnF2Uauowen/D27AMJHFpjn8piP8OXQl3zt+6qwU6IANFgLCuICIjhqiNxtfaML3h VQfdPEFnVYZGLalX3j5gl5+byjx8+hcBXCZ8EH8170ue0NjwePDQ7N9vqiAFrb2YXO8OQqVtDu0G D6Q3tzgw8Ga0ath8UUOHSuaBAggprDElFFv+H+TCZgZ5AWjjJchcwIbyOPz/c4PTEZ9ZAFM8MFi7 exhl8LliRBW3fLBjcmDI4d6vb2bsLjK95kVefkSCy6b5niUIjvvOdUxSS3l9YtcVUloWEIBlpjmB zW89pJfTGBFtQKBv+n8ggUecGQnNplYTHnMeCOxkAOg7eLW5P6GoWlk5abkARKjJ15VaH47W7EIC 480tYfaejB6DNOaQDx/IeWcyI6bdzJoWguQzshbcS/Nknlp6UU/UR/8PCK6z1Ns4GljhS47kvmmJ o4dCFiNFevGUL433XOdHzadrUyxyLoFTVhLWyvHotULLGOaiiYGhWSgpuWpUqxU7D7UPg2JSWe8J LyKSmaZXlY4+pcQH8FhQffpeHu8nkywFju9VrK3QXaznx7OHdnbsDPFxlI5dwz3p1nCkQdspJWFK PoMXlTrc/Vm39IvGLTXY3ASClEYLDuizHKEE0bh1zNePABeq/lDv1W17QD/tPOiL5nQ3ib41f1t8 eVqa6Qqx+VMMB6XrWxdsRhptXeCbhfQA9wUEQUMsLSkZLnaTA7H+54U7uWZP3lqBfj1ytaO6naSL 6d7meA89s6gvZyLuPl6FcEuPZwD4y5IYlbdQtBqo8BPVBA72qfPyX3/6CNnqwVvJ1dRhFe/DboIy us7y8+RqR8+mR7j0N/+iQE1gsZWp3XrliENopUyZ/n3mGy7fadyDy2cBig3e/0e25PJkTCaqOp4L r9flTNJG+hiEVNdZTSl/B4HCZez8/9oEbQH912vWfXN9jpqdlZzSmXnootPrTmlslcEeVBaPqXRj BQalV91a8awcyVwKn6+UUbS6WwRX9ZprRcEXKxfhJgQ+PAb6cS7N+KBn7UDB/6T230ywpMgL+JBS mK/R9G0ixXOfg+k93Q27rcJXPf/bEJAiNEVglNqNVM4FswKNq+Yi97L/HzCrptAN9m1ISWy+qk0h E3EFPglRKKSD1alMQFqPblh3AmmbFs1Y8jaVFzA9tQNqfxnTXC5NqfllvOD7pbQtIBQXCkNMSBIv gcp2/gRpUBMscuUmAFa0Xxcn/9QOCvDd+wXMkqCYVACU9YHUOAaT36br1pTkd4Si479FV+DK3NJr n8NwCHdlmPxIIYNYbwKBQpj7s6Q1xWDBtvtPTZr/RXtZocyHHs7IEHsVMb3WuiKnui0TqSiwbkQZ 6MT5LjExhKnx+bImcdKFEO2HhtprEUErl/4n5Tgd5IpUHdgWo4s7POhrwvZ+8j6+coHEicqeKLby EJncbzliydmbA/r28wmQilcWkDqhSaNKeTaWXKDlbCUMGar2U7cQMQ9IG63RuxPuS4ZnfZ+5PLm4 AVQimv6Tj5qIbOc7uCEnXXBuzNFudj0Td8OlZIrlUt1K+jdyYlN8AvYPuAma5gozMmf9zQDoJYkG FV5qhOyQnVl62ZWAMBeaYlb1WcYnscMgfJ59THhu/UIcqmN+kevwDS/ITT5tTcrw6qA8jlFL3qNt +FpN0TFvhs/8q2Fk6E02lJRz9rAwZPdD+hUZO21loxAFck/bltJHiKWh7hmTqI1qXjPxn0BXI5WO LevIvttoZ9E4VN1lsJDybxTmH6Zd/7qTvk7e68mmFyHs4PnrzIPpJ2J75kjONrIAjG14hhQO9kfa DS8YdJb6OWpkQ9aQGX5j+Bn4co41Wfo2zvpg5fU/kEPrY1iTXAquN7Eb6JgfTa5PUJOJuji8L3Z2 ja0gIfY69s4fkHmJKLssTkO4dRGgTBgOz0iZnVVj83mWPZw49Bk8P9MgcOiIFNlcLkXpqK6lvhIC o6rcY7sWMaTKyFVqIF7wblhg2DtE6/mYR+dSxeRDsh+iEpPVQmqldst+UiM7PFRxGgeMEp+Vn0Yv 1XYIkJzAMTYXHM3g/hFHDTA4lDzTJ4GL1VqKO3CuqbnfLs4XbaZPtmpgiKAIllsMKUb3F6s+AvgR xggPghRTt1dQW3GmZyFbT8DCH/Awr04MyEIQravrZRGlf7sL+aDHuI2+p8MmBPTqIo7UYt1/H6B0 JbTqTaELZuy6uE2IppiNBfiGCA5jWDmDU3e+rJJwC1H4GEVX2qqCiOBlaYG7DTH41YU6XXmBJ38v X/m+Qngh7dylaq6rVsuk34GyNCI8h3MficBgFbNl1qoktd1eudBMUMDEpUg8lritrdVvySUZYCCq XA11muNcSWJeggTGawSNnfopHy6fFeZo2pWxju6xI6Kbf5WExR6M92vp5jchPD9+sAhcub7bgYlv bZpFhoJjxnmO4nbJW5sXX9w/45KCIZSoteuUFrGY3KE+iA0fMGQX5CS1eEYB70gZww7WFAr/Lo5e Eanl9U4q+IwcRsh79jeExCtnOJpa1BjmXW0VhR4LQlFAaw5WgLy0a1X99C7c+H8UY7p8sKZbbXo4 gDKNrR3FGBmDrp1Khb+eX4do4GZ/UwJ8tL4bZqherqFmJSd2rC1UpqGzoT+2KUmboa/9/y1a4vY/ d426dwJ1dPrAbHtFjKUxlAclNOkod7wKk8/AJtCpw04Q1YAQQsncShbdkXFIYxH+COy3LMPz62yd 7HlXaSd5oEHDY4tRrrAsLIoRriqMjzke97yyHVbaBt4Dvjv2fNZr7l4hEMN13TMe1miQSl2bPyN7 Kyr8kKwOFNQ2P/+wSz0TqA3416j00Q8lNqphStD0voKPCU6lud2kpCopPfNQJKRS6sUe85JwDi2S NTfo74gn6iKlo2m6fmDpRHn+LzrK7hTz2Fjky9N7YDIwvKZor1145pcjagAYhzPEJ+V0h3qfPFvA wsQrcFBSn+6IxpmOKCeeu1t+2g72z72BkgxHN/v7ZUha9wKKA+4R6/KpPp1V+JfIWpSUibjQxZ8o zKjPtoKxVR8Ne35IHiivJ3NKWfaUKK3qyRSqad9cEIqEqo6LLgiRJKQK9oXqnkAWv9G1CKD6WKoz zEq1oy9A/LNq5w1z1r6YROcynvM16bTE9txm1uDdRdOqL7NwZNXYpUy5lzggV4Ac7Zk9FxEG4iqR YjRWK6qmE4uhTxYYqLb+/pd1MDFBYa9Ua+RqKnLfkR40Lxcl4VjjEn6qB3eoUDXXjRvHhOgIvcos LZa1yDG3XDVUY20qWvhLyvrhFWz5f4B9GdPepZq+wB1VREOMsIvq9JKQCx6f8RzfFoc= `protect end_protected
apache-2.0
ba890bb7ba952f6e72bc03083417a8c3
0.94539
1.825171
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/metaheurísticas/mesahb_femo.vhd
1
1,966
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:16:46) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END mesahb_femo_entity; ARCHITECTURE mesahb_femo_description OF mesahb_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => output1 <= input1 + 1; register1 := input2 * 2; WHEN "00000010" => register2 := input3 * 3; register1 := register1 + 5; WHEN "00000011" => register1 := ((NOT register1) + 1) XOR register1; register2 := register2 + 9; WHEN "00000100" => register2 := register2 * 11; WHEN "00000101" => register3 := input4 * 12; register2 := register2 + 14; WHEN "00000110" => register2 := ((NOT register2) + 1) XOR register2; register1 := register3 * register1; WHEN "00000111" => register2 := register2 * 18; WHEN "00001000" => register1 := register2 + register1; register2 := input5 * 19; WHEN "00001001" => register2 := register2 + 21; WHEN "00001010" => register2 := register2 * 23; WHEN "00001011" => register2 := register2 + 25; WHEN "00001100" => output2 <= register1(0 TO 1) & register2(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_femo_description;
gpl-3.0
6757e37d64cf4453d249cc2f09d44d9f
0.651068
3.029276
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/zero_detect_gti.vhd
1
15,057
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block pfLfpVZib0uvXEWx8xrv3eiQoQcq/p1xxsb/CzyJZFvJBrbMNdL/Zgam6zLbFz0fRzF1zbRgSutk PFxJHM8J2w== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qq3ujAX97MLIXdy9KQtlslH20Dw2Ku/wI0j1wxHCq6YpK3sDr6eFw7ixdA56Of8FA0lzxJkChi8v AubCpwxrd5Z/OjpdFF4WODQgoaEDsYeLpEBIa2+s8Y6H88FXsHIo8aDyD86/+3xGrmVTa4AaeBUE v2OkAZescZbSd+em7Rk= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ds9RythAQtiu05tA/L1d3zesCcezm8roKniARBHqG6n7AG1eSoO7Sv0R1+u9XAi27plpNpBx0OB4 kAUx5dawLdUoIXEdvHHK/IviNe6Gwgt8SGCQbet46pE0ZJzw1w1+H1/oDwkuBKlzAiAfQvaCxRI9 wEU8yrzylcSv982L3ihI4yEKqdDuZFkQm1+8lJXPNRiAdtrCGI4zdxVJwuZmNizSrjn5nIUPOFoX oREHdYP+Wct2ynihp0fZfoCdua4vlUG2KcqGYjTSz+WX4x9LjvyXtVKJVAdWoheFev4BwkPGl0hP Ln/jb9FV2U2qv0J74mvXVnS1E5zPaYWoFUS8mA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block o2hOx74KCQekeH2uDx7DNtV+LvQSqOR9z1od5be958IV3AIa5BGjQKSTtQfUlJsQiGszjQ/LiKmK qbF6hFJhWFN4Iq6mNst8SXxTrkdG86XZxZoz9H9O4RsBHpU8Iy4S6tPYHojlMzXWlz3QiRwVkDGL 4II303Jcg2VIrtPB3io= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kvcXw1MHOQojh2GA2iAQTpVWSmBWZxuMzkskJ7464rK3BRxa/D8An7v3wCzgbx5tsIhyV/Uh9krf Nf9ZOnf5X9PULh9nf7F3MMhjg8WEHmFQpJNgIa7xmhGhopTr175Hr4Otgvx3olDU/MeALIt+vY2i jkQM3Qr0LciQjuDtw/BYEqzYmnZ4znBOkPbrGVxDTn2gMOtq4d6i7+HaNyQL3c9m3UyciNTcKAKX 6Ed51tJDe0zCmeo1bLD7ZTwT2njTE7f0c+rr63zYTF3/jtaQY2xC4FQz1D++HDvIi1OPIzyJSgO5 4/2fDRFX4T0QwZ/IPHvWy4hn4AKi6KouvxeTAw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9408) `protect data_block Ro3XEtTFc8bu5Ug2pvttfMUY1DcmDYrtaACZbEeHTDDZ3uTHSMZb4WjYsFu0zw5QluSVOlG1MAGA zfc+K65rysxzv3b6U45R8ULvTstpXW3RfdE+0ZsMWSHaMdtWmZ6GF0BQ/Yy1t7yRGKjAExea0wRr xFKjkFmChPNhZmHAz9AbMU+uUZv4QKEwITM9KiFpjB0HyQGghID+k5SAaKrLG/mBYonrKH1mMZJl sntXgR0Kqyko0r+j/LwHWIRnJFJbhhZFo0Kvc3PjJLduIcw6cWctwaeCBMjs5ok04TkSUHFppFtL fkLMp848GXbB7J5KczCi5mGnVMrYMMpy/O/0WjCuj96uylK09MrvTryL+BQ8CAVxNwaaIVftse97 F30N+YX5MOg2omk0wJ32pGXiYRjQBH8yAeiAsW8UeN12vBnWQQ6b2EMxAO4A+6oVH4DASzFUSNQO g/BBUAMNk3xfEP4dOGL/qjUfMj1H/oVWpoPZzLTQ6CjYx9bHcoh/fUbONGP/PPYFADJ6lZaUuqme C/8AJSdvTVhTyJMZZszhlkG/73Xgsb5K1nF/8ppLrlYxPFwAqgQ+iwvoGg48U29l+FuT1lWK9Avz /7+vTZFoXXc8GTBaY6KaLAX91fKGiyHN0tsip8qOvH30/f7LKLq8CfLrceyL8Ukosrqkb7p1hJkM uPx7XG+0wu7ZTBHlHw+8nAjR5zeO7xrXvlJemPnW5VxW0pKjVo2wBvSd9TgU22/pz4QdySijyZ6+ A7flmAS6rZcwvRSIfDVTNgLCfIbHYLDg/Kn9HmmouPY3d8YuL/pJFpLfKEbFqyWvI6jzN4FsJaiK SNSL8hd6vWM9+y8M/aBEo0JFvgcqQqm6FvvgkH1A7DoG4SbOahIdBZpmA5V+xIHcAZeInz63zp5+ XuDKSHy5rmgOX2s1P+Pn8HIBmU5e2LITnX+qn00u2GNxgbtxF+LPwF5YWJSUCZMGhCiygKkMQazn NmEAx5yMyD7e5gEuXt0Zpa8HvkqHlaTA8ETKMnroKGCcv5fhn14RK0RpVI/KZQePU+UXwyJCE/S4 1HVqyiWvr+uW8Qdsn+oelE6EciYXGgbPMmdUsGXNeE5RLRxKSVeIpmVi93E+Kzwo0/L1Attsi3IR UoijBf8Iuy1x4dfkdpM9SkuqxbfbY03kmWtAltuzb7tPNN0DR00n8irDHvmboxPhhyhkcMSYIA3Z AkMjPmBSEgQOXw3eRC3heaN8mBRH9Rv03Mm62ferV+7szfq61/uGAm5nNipC/5tFmMARo/xIrTfX TxjcGAUrN5gF8DGyJo8vqtsF5VgoNUC9nkSRnueV/BnGfDtc1Mdy8CpN7+3HB655+RngObMdWAOj 5egTo4djkTCzivirA4WAUnIbi36OcTp83GKDUO528ZoHOz4CjqMwRj75KiH2D7c0KXzSOLir0zCJ E9i49gdPQR6PWRA+WRnPYHNiHHD4HvHXDjE0E5eFKELMtoUQiSnJLZDd8jfUi6rEeW1jFfLqKwB2 rG4ZN4lmQZ11Kc+Cs3DBDYDFFoNvhMKR+ALJIrXlESxz/1IIYthoQralLKZww1lls7GmBMg29iZJ 6H/Vv8hDTUmVFidreKFUHUsyJp8Uq/oKCf+V93zKPddOsXyOnLFs7LYQqSC38B8CGn0xLd8gZ7Mv QbA9Qts78BVB99A3qyQFgj1RJQZbUSGtD4yr6K+rDlCJi+OnIs4G1J7xe+LqrZJVl/uAJZ0qMU0M fixtnfE0l2raZaUfJCDfgSlZj3xHSPAiTm0r7TB51g5XzRbwCcG/irddNLs1vyAMPsm2/PVOwR9h WcOXO1KJ6EgoOFSUrIXdSq9VXWC9zfAA0Bf+02FR1qjc6A/YISxJwaXHsXhdammV7EEtKCgrqbtD yApyTRtM7q1bscYvYTKeACaX3LoMwJZNUbVjVJ/8uXPEh4BaybmsmbjwpM4WFEp+M63DfmAjLDRL J3SNMXkt4ooior0ok8oDvpUgh1mVVEIk/AGfUObw4vh+W9YJJ2iVmWLqpfo05/wygQ9cXxwveXPl /mi0oJufJ3Y79/uEukmVmUnnvzj5QQJ3rhJdpMA7nE2rHmvO522FT+JGa54QNljpWX2VJsrycybR Nb6+BqlTAd2IbRJUfE5u4Lt12J3ttrQTCADDYvHgSRH8r7XW6uz6I8NVhp18DkRpYzRkWG5bvgwT ZA5FmQbWfcDDDo7krQzh8qx5pSi/4aDKa6XZ5lquWXQaJYVg/RKpeqgpNM0ESlJN/R1HivDbEhEc qTMztMTQxz7Nl8m5swKC8dfJ61hM3p+GjQasSO2jCr6+wJczg5XUmqV2/TJatJJqFJZlLqsu0eix a/qzmliQe70B7NkHIUReu0vr/VTaazOX5t1ca6iQwkcTDjzIcRdnGSlV728Xzp6k6oTnu2JTpUj5 oiV9/hUZP1adTuoIZ+3idsAbSV0tlv/TGhxIjnQMziKTmX7szMa+Q8/8SAKYPANKtqqqqQhl+5bR 5UCJ0kouV47XDJOTR5pIKCJo3OoVuTLRP6oMS9mRu+rMOoyPSQhoXXFVB6GzEFKAsyeXqRWD5NNA 2CceJ8xUGRQO0Ht1k1c/MiklJhD5fzuwJSTlZ0wXjHBflhiMu/n2KxcUI9iEFKtIbGo7hbRvgD3V 7teejiDR+HnB8eoLh8gtkW+5mCeHg6dyyPVnjrRVB5wXybHf2UPGr19QwPHPpKFM2KxeEpCbfwBF XTgbM6Lc6BNBsoxXP7EIj+BsKdYe2ykYd78uf06H0LwZvlyc3flvfdBPhy1QMxEH0ie6HZvL3a5v e8hnNAzTWHpzYduqEJCCZWcjVTQQ7Bw3L6F/IFITMVITB2S72J2vKx36053CQKZ9fgXaIjcPcTFZ YY0qAM8mAtabtT8c82ykQbJIZcdMMtCiZI9pEXiwidMbkU1nl17TYjkZPf4UdwyxAe5PkutysZMd zCeuSYRe6dT5C6CNkjLBAib5JNUb+/mOpJQzZWFfi92MVPqFFQ2DcrhZZcK6Iu9HdiQZsASu9uhf Knh2uXubs1pElb+yHopGAwLz34sxGP1MmRc6R2scv/sTbSnM6ehB09Atn4FbnFh4UlJ262bT2hPp 38PIU9kzwOyFoUQejtVwNjn6WJJfdGIBZMzhCHZkg+R1yG3EZcd5sWiAVRfODShzu4rERqBO64Da 6XN6a4SMyK1miD8fphxmmjAoLS3kbTlyjCnBafE3sElTbLM7T5/mJwTO+w/M6rtgzbZRb3Kk1pJV wCPj91eTnhyJVUHGf97PCVRJxT+YDH+0jpa5ScsmwTKcXuoCxvKf710hPJntKxC4BYHcdVmeUaOd NLI5N+ElRTKgo+OzMREtpx3OFqsIZHxmDU0Pwo2qCh2nibm8kDmPiAKdofs//2hTDXSsBs5GpATo WqdaM8Ws1p7+81x/hms09M3N/XkJnUJno9hw9+ined0TfIqjMU7hxUZ3OVEzatysmqA72KsTQzzR 2Rakifp3POESfm3HKrq2q86e3lCsfgR9m0DrmhR2t87hcJE72dhejmjxOisVXrGF2qRJts0PCIMv PPMewX2u2nGVhWnhB1xY7dLeEo6e/oHb3IJPjGQ3rpi3w30HrSPNfH5EQPbuCjNe04p3J/RW5f88 EqehL2WxE51CfnBA568UvaAITU6dsZ79yJxNlfWG2GEfcYFZx/+5wHevj9+Plr49Kyi7/mEr+wov W22JjeZ0ZkXVJ28NhvcUiWt2xEsNhnPj67qKzsl7SEtcd5xkANy/rBMbigONwPChCTAcg4ds7Kct q8EpH+zuMIvKeQhkcSzQ4MGV6UL0KnBGKGjwKnupTbhX85hmLP2CF61oIYti6e1hYb3UF24YJDPd 2s+4HxFKk8NHnvjNzmHSvl+py+H+GS3UeL7/sG+jWVxikSbRTbQw4VgFBpXmBqfZqh++kRSaHA7x bffQIxHdzOmm8ck0JAWtYRo3zDp2xV3ouTimmm4WcTmI/XHPX2fxXcFThAR0GGgXcXxApIQo3j4m K33YRDp1/tGPkNGc4F8RX/Fv8qgREWKdyZ79BBDIko+cR0LOLUcVXYZ0Reic6V/mblzMms6Vpf9e NoS/vcEMA8pi1w8h3E7l09BM1hkO2OcfLDbuXkKpfs6zJrbkSl0V24dEQGgUjrWjQqw0qkWv9XiQ MvN4H20A9jP2cnad7iKJ+F1uk1FexKLjxI8oubqHTHXl6eHXr9NA+yp4G8fr+/oTQHMO1YA5a51u og08pidd+RzcpDxkeDRF2tCQ/HUMvFgLpystr5cjyu3OGTpGIOMTwy5PENj1TV0OVvUbhrizrvOz dcAwS061/PdneIeZLSWrg/TuFH3W4DSAH4PQs8sz8CAmo/DIN7lgoY6IP2ZOZE9s0q6J71gAq4Zr lY9n3ZKs52+dTfB2/cai2jks7nzSj1zrSVdweIOF5WZi313nibjmJWNSKmu7oDAcUnwv6LNQYMPD F9gAE13ywnaQAEi+nA3+9LuY33C73n3C9mUNYxtomhi3DRJsave/vxAbua8xSaCRCXoysyXjI5Wt 315Z9zJafNGzgqnEHlwVS+5/j52eV4Lmei/Hs++LWxkfXGAdGmWVi4cjJqSt5KSc9idVcID45Qxh hveaTkOTnzE6I71ZhHHUIGeVenINxXMYAycum3BNqso+fLygryiKK445qIZDqX78v2B5YxnPc2ri e/Np7d3b9F/ae6lPYIOBLlUX57+TPE47wX4hPDhE0LKpJpH2vXYJQy6SZJq40luwX4QumaaL/7+h Y8PzJsiq8Bi83I2Qz8VLWquhF+oxDPp45bMgQzdlFpjNm7UmQWBFVa/fkqL3x2jkJH5TER9UvTiB n7HWuSOpfY4rx4oMDct+IQaX7WIEgnoDX/CcybD42DElUAkRpm3GjkVYGL6oHvJdaY9OiGdn0RhI qJTP/5Y7eLd1VJsNBSVSEIDFCSuVJ66hIZoETS2pkaITAVfDIOsbgwEB3yJNngFgBeddn2dB/N85 uY0+f3VctpeiPpSeWX7bopIv0tyzR/CYY0fMNqmc3idqUYRv15XBM9rfJEHUFoFBPOJx8s3q3xos 5yHne3AbmiqSGR4a930CiLC97sl3ra4tehBEAlNriKL2UcR9rxvxn7oF9jIdAXtzeb8ihk1oKtwE KTpFfcPkfG4yXtf3aDyZbx8ZtKe793pdPmstgttd+z7DvNWBL8gy49Q+Ow99wXvQfoiM8KY3D3YK 1182oa3RZGDZCznNT2165obqlCVDEKGNR5FvcSDZPVycVyAV7RCQmlrdRBb+JqlMfHQqSEq8C2Km injqBl/wV3yNPzSULOjmxSKTZvICTEfGH3rAf8gWqMc/h1Z2j+LgHLrRQBpTEXrkg+dLhyj2w9Wj 9yXSaWtWeIWkxwGu+ROmei1lqJCb6/MEtxSjfgMkIqB6iuFsBRe4OYS0P+6MO0l3xzz9qg4fUs+I e8gFyvZJxyzUjmfWu3MvGe1OHIgwjXxE4vc/s3P4BOhgyYYBGUBVfyZ9EQpgPPrC8tfM7tfLQ/BF i50aBX9ylLfk7l4aObXLs8nK2Y8KyYGHcQhJdybiqQ5KERZQHkmrTCG9XotYgZ2ZC1Xbvd8LuOzl TOUSqgtc1lY+lfbQbpmGKQj3P9kIbykhvuNnv96HQ7YHxVjeHUYhBZBrnAmytxvEr7E7pf9wdhVy DlcIaXLtIZxzfv/F/qNaU2OGb/8bwdzTWodQw1ffXMM0i0J0pifNzkXcLF2AGx0un6qwtQkk4CEp VAh1LwOuu6Q/n6wl+3d788B0JVTyy+P9usnVGsrmn7dH59xAdb/u6m13awxTCExonfVyqRc2sBTC vijXHk4jksTmcqZF/a9gYA2Jol0RPMPgKaQyxWXTNtL1XYlAfgmG+qGLPlMKhlUlQSGSmzwqaoH8 57E7njJzc7D24nnVhnb+E3KcfHKkoLxBCyA4/F0Z1R4i/7Latjxxa+LZ1sReppLt6OtZwM8g4yX5 RnJ9dJj1jLJy6XmiBbo/zauNgZor7sJ5n2KEc3VpocFGwcEREFKzqwVTIc3qffonilQxkN0/d2qi OxiJ91WXOcDJwa+4gxp3hsS+5/5nyvL0B/VR8271O+mL3lsxH83QZlD+EJ3O4hjC5Kn3pCg5dCBq UpoWDWxrLEr80SDuAq5r6p9JrIUKdNfn/WKxy6HxQtcJRVQv4ZZ9h7mcQ7/YXub8N1i8AXCJIcdc gKPv4SN1sYqB0Bh1NRJQQoxpk64BE2wNtBy+WmER0C2DoGgWn22lb/GlulPukbloD7boAPW+j4w6 cwTGgTRg0pNcGS1Ym8jHXM8+sFa1s5T8oWbowXRHFkBIjIefP7hMd6zMf/qFFGwcsohHUPv9ZymX ByvUh6i/tAnSOfy/aTmAmUDzO+D+sHMFCQVcnViYsmzPLVZnqRTrambMtN1d4tmZaZVRGgRL+GpD VMWHKDLbH+t1Yxz8pxLxdA3uN1PtEHuLACrhcuXVUNm2rCItW7HXvUEibW1vXJpMYDdq2fNoQVoq TrncQjR3fyKWigrvHsKBYa91ZNBmZiCckBX82oRA0YV0DrNDzS41sTES7HlRE9L1Emu520gXGv45 JeQe1ZU/f7J8f7X3gpQdS5wcEzoJPZm8Mpqne0I50yVkulKWV9mlt4zcvkepYnt4/0929qHVo7l6 IwQlBJvVI/xYktGaPL26yP7HpJsoNa2ctdv21kzKmbG3OInYcU1VfjvAlwCgkCwX8ETv4kvMF3LG 4ObVkMquC3IOwANK4/eG4emLwGQRbZdRbzY7n/bEcZpUOV3xTxlHo13C6XIqB6lAP7v+oquCr2NG EWut8LOCFfQMh1VJCr5ZY/ppizzGZMyDZ6f75Dsd8ItT9niFCXa2GJ1zzzIt/VQSuYhmlSwcKsqu R2T76vFcyVdZWJE0DixQ5aup0fj2IQNLteg1w+qyOzIPUcSaHlWXXftj9vSVx5DpFf2SrsgqFXs5 XpRgZPFwZaAvMohb2uT9KNZxS8KzNebjVN2aLhB2X1NnNU5U3s41+aK2yN740sht8GDB5zx9s/NH UemNlJnXb8XsUUdECmomd3KwU6HX5bOHd5jOmea01KUoX/lqVRoDhJFHf1FlyLl1rtYMlAi015M+ N9hB3pCoTJLSIMoBbcB28TNyNDqDOZXHIUKjVTsPh549P7oa2CIXT/to3Kwev1IwOIH8hwfJ038/ 3uwqLY+ycS4K9FaqiiJSTWbWoeWOw+820Qj3gIcW6U5xbQJZB/kS8LgU/6ws4Iw192pOhDQfPFda 5ifb0LtmyERetZhcY3MdZDU11LLDruiFKWOENtY7U4pL672JoqelIpq8AOdE9pyQ7ZfVFaPFh4ea sN6PfIWx41aw1q3qhTzAMC9/Kv0r3rUmRnZERnSHNPeIXcz8vlq1VxFcp0ChsZgfkDtJqQKAkIY8 EQ+BOVXYIcjHOLq5i7sufW706HLY9ZYUdEoXqOjfXkBDLI0B90aq8tupvvMF8iR36ifoJrmmmN8n t1Hjiw1GTDg+YQorjyjRrh5ZxyJZoC3/BmlRXMVrto2PWNC/OZuCubDzmFFyzFwRpQTN14yCal35 IQ/BwOncc5OhTv9YFle9kVL4a1+LlMZ7mnCzIynIn6Y7cgU5pPRTdOOd/g2cOjyaqd2RY3YiR4d0 CiWv1mhdbqWbWOISkKAjPGKGD1EkRqXaLMYCKPpdK6u5Gv3WWkhWQASoFHqF+81MTsb/oxM58/w9 DUw0deVFhSV9QTWkMexYrTwrWw8HpZ+4WpJrPxPfXZtS3QdoTLLLt9iITDCeHhmHa/Y4gspQzkmv zrGLqCpQyD1pVMY2n7sy9cMtUDSQrEEMPKk1j2T0KxW3OUrc0GmK7H5a7KUgy4oct7N5zZmZZL4s DF7DDtaa+1khjLA4mqTRDKeUgc4P0Er8PMAaWUdG8ZK7/12TrNmO7I/+V3fxTEgoe+pRWaG7hNVN PjnMaJKP/7X8UV/xO9zNSL4S4KbAVJLmomf5KB/3NXm50q2v5noOCfXPzDId1YVV8JQR1ZKk/klR oXz3wCfnct2vKidXoaNO1hsc4/ml7Z8vcMsMJtD/uKvccgyN0XNVRpPPy245t9pK5PB1NtFA+TR9 /o2Gjqr4kwQjhuw8v40xCc6xKw75e4hdisbAdlitXV7sA/R7h7mJMBYMCals6qYKV/yEVl8Oe1OK 8e24MSgz0/GmHOUrRB7al5aQyCs4j5ktmav9YrrC2G1Js2XSta3l5jlYAbUDv/IADHolK50wAopK zOWveCtKh3Opek9ULQSNFe3nIeWMZCRQqxTteyWsQNdKf4ibzoQ+B8LayR1yAB3MTpRuH0xYHqlK lpxP+4Nsd3ae74bBWBP9nVMQ0ku1xGjTjiGM3XSeYtqspOmCArrVDP5Hd0EPNFhbnQnubpz/IpOp GEta+z0DM4R/BMoEM19mSiwWE0ngdufQmoAGa/VphJz0N2bGzEWlfwfIqUQRb7s7+zwW81aiNHAN mYk87hGrDSWISNywn/aD2nF4fYxGq+rHIs7Tp28vgIg5kfEeKbthrhOX08RRTAJ9XQLvHSKdKvC7 jWHG0Xn8mFcjPlueCNpJoZsN8Jz3ejChNLWZg0fvLLGf40aQ324j/ZNy7+RFmVQaEduLStYs2H3o Mp1pXucmJlYNajEpzhhG9NN5iD1OqmWBidXNbwSMeZAqjV9fAqSJNmzJjUSGgdt2MCyvLGiANb2d jrjQ2blLQFkB3/Wl2WdsGu14LzKh5iPle8oAwVW9+NE/4sYfA1JvILM8e9p55n6p179JWqe5q7n3 GBLfihewx9Wn5kjtYT2rR36yacwy4f7eRrmtWRlGP0GE73D2TXCKTuci1qiNUqSBveyzoISU78GE glcIr6CB652KmZWgaz8n2lSdKZqKgjh+yBy+/WqSon43p6sXMrCv3f5QqLIAp/axkCSkU7R5TNIR HwRsgHKcn54W6TNlFROEpk3fjHYk2SpD0Tpw4HPqSjKo5XPKps68HK3K57DVNu38WqIie4Pjcimy Y1NF6P27SG2Ttokb2O8G6+kIEyayN61BamDqj0Qg7kDE+R5NwWh2Rk0e7ePW9AaavmB5GGX0rMh8 58YI9pgaVApPHZeuT0begp24gyCj5ACeNOdoyD1KDyJhs3V+l6c4C87FHugbu+TqfhQIHvtNHWJ2 MnTJ842r90B32EuIbyWHgzve9rIvFrz3U0oyB+Rc48IIuLwzZ2z23QZ3dY6rhh1vl3i6ue4mVtJ9 uds60xhf9/c6QRxduLxZIbZm2CIQ+A2vlSpEbTyZ+l9VXU6kwrcjz/om92gbwm6yKXVNKSQ8UFP4 0DqniMIkUGmJaD71/yxgaOhAtfxDskY+gtpIh5pPMPr7hYerV04D371MmFeDRUSzbrE4MOZdOkkJ o2GzzHiAHaSlbZI5UxmCquVe1Pv9rtAm0fMvUGwJN2ndkNVvrPvSUJ8sa1ovQDFJ/W/QZpTP3a2r qe9J54vTUb+HyeetbDcZtFrigSr7E2r7MHH2NPvI0krSIuiYo02sLX+rH/2SHBP8ELat01wgkkkj cfsPxmvBD+lxfOEHETE1mxos2J1hwpqFY9lQDc65/MTOeEoHFK4gV6PxFGmx67INO/N0Q72mc+e6 nA9a8brkuymkayxU3Reu05P46ZYiFlEv8FNb7nmaCaJhsn+TzGkBibuA7luKDGBk306PuhM8qQyv tAxTDq9EjHJ9MOAnbH7acAQhdzSLuT8dLXA/+q1urwLR06UUPsQ0wz6HvhVtdtRS9ml659qjibyb UbKsHegBaDw++UbjlwJCdJsUCZgAlKnE/5VtWzDs0oa2a9gCSH9rzM2Yxr2CorucM/MOB7gsbd4i DUor6H4gcL81pFe2aSax3EN7+vtggcUZmtPq9b+IahmZAXIL+C6RRtL6T6QBs9et0/bt6J7RzMl5 /x2ZH6kVW8KUVMnMZkF5t6neG1382bCd1HiY7gJz1CUyLD8ylHl/oRQxNqr+E5dtEH5iCDwt86JV e2A72Z8A+MWkRth9b7/uCqKnKP68ydOdTWqED6S827yhNN1RbBtxMBe65Cct2Ve4HJ6LMrfKXukU sMTsF0l3k2ijJfH4kWDZnQBmiQCCKumRZCYilnVjkrOhOpVV6m0nRIRi5CfyF4PYPfC2myDKoGsE zShwfQDG6GBD3TmzhMi65DdfQt5vxxGaHsQp07zS5jZdx6Mcysw4Yi7X8+lVDX6sc6cqmW3iQDOc Lx5F/q9ERtILnURXpGY37ucrxm+sTsUWZj4XDUMtTNRndNFzqYiuHHsShVVc4UKataXcPCq4uj1g 0+xtGQ1mRUccggAc7Wann1vikQPMEcj/8ySEfmV+Bs793aDJqCxhD3+sOn4DQBV9/8B/+MFVVwI4 CZ6bOnzT11I1OINef/jNI8JJ5evGL56mvq2vC8aJKSv414RgvpaCsGkgUCuDZTFG1kKNGIdeXyxx dz04wZjpfRLd9AjHSkQUdQWU/3dVUCNNdwv6G6UfNp7MlFPeKMUNKS6GRl0QOuB2O9XoJrIfEvka 5GCVh329DcH2FedDd5zo2Cm0k3VkgCEww4WxbsIPskhSBw/6jy8mOPU/bVtZni3IjipuZU2x77zQ vF2fEyvVAGDfGSo9fkTTCLI7j4WvLAanmyGof/nK8hKVRdihwofovXTXJevOp4p6jcpyjmTifPQo WMCFc4KoyU3vQnLhWt52P6czVYFRdI6aPh/1rgbH4qFCN+2XLUktsEP2lt839mPiMWxakZrXj3L7 h8mZhyGevDVZY+v/MuoX3A9t9JrqGDxJ2R8Y534Jy9HHkzhExDXJqYyCJ8oKAB/FQIMwCRkUBcAj V3Tq1o0J/KnPg34w6ZAW2QN6viY0sHHOK4+mGvpa78e7yUU/TKPiNhZiXrVWo4aC+8Qhh7X9IZ+Y 6NcA1P96dCtEBJ6CDiUJi0V/QyLrjouwX9/KTxvl3aFaqNx9ED1Btu8dsQw7zMzIBZp6fMT58r5r aGSqLNbfWQxsyDjMInSuhlEShx/M+1yc1KQqciAx+uvG1VUqfSyb1BTRJJuDGQQru31w9Rf6N8we PWYeSF99QDc7keQ0dCvQxgVaA2cUbKtI5StXvD1MxMOIGplpaWvEYykP0iILTd9gGekpStEm0tSx OpJ2m5677mmbLX4YfA1D6XlQHG5sQpOOKGgmglmQq7MbSVaQMIMjZaxZj2tTNIeufq8iSAiHztuT UmOu55Aq5Kz3ML5FPPMHfhAZSaqvfoIKjuDv3zSkcEtBl4YKLbIcy8ndg0MRA4HfODpRKzZjNnkE VYkWxei06745Fm++gVL1w4meqCUTxxSJ71JYNfbRspCDF9jASqHmGwj15xNR8NSguI6HYpoC5OfG vgfhRcGt399v0yxjVNtgyqnlgz9Poj/MpovF6xImshxi3AGPlTvLeI4xu2P7rmd/9/ET63JyjTxm z/L0917/7k6R0PmVQse2higBcR5+L0Rl6GwDn/b/6u+xd+jCgYIfyD8rVZwsB2e4FRTvsv5v869a DJjPiXA93lXh9t/X3X2pIFJMUCzfAj2N6jt16b19Cfr49dY9YQeSphndWlIDXCmPT3YQldOHlBuF 3LzT8iGgTodSbR181Oj4X683FlIYt0ZCJECgf7v1gxKoSAMhk+LLzBiLXcPhaJ82XNMY66F+yZrx DB6145PfC+bOVEIwbnYgg53fH5DNT9cP+TNOT77c0hRSPmzb2PZqNcmoyT9nHxnOu5M9ogkcN27K 4R0FIKqqpym7MhKWzQXKxlfRNRp2/L8ly/2+3q877vZn4JYdWF3ntK7NeEMkOI7lYHpCQ255zEnz rDmiZsGMjhXo/gc3HKxcSy9zMpPCNvl219R4MU9Oct3vvXHdvj8pjPx8ZlJnB4S6cfTFQyT0S1J1 IJeV7ypjecnQL59pCiatKm8mPrWtQas232ycb9YQsUFrfKxjdXMq99S+QdloVOwtaxMcQVa/xPKz jTzU41zwc7BEcbxCCqjDLkNblxZ5rdwTTuEAaxt5ejkH3gigNlozPNyS3nBPic4a+grjlxv2lBNU gr6JIbkWimhrlR31+8fNSKXQXTkfvzvImQmg500vQtPXlAW5nTWvhOANw4TkrMvM4EwEVXiEDkKu 912Sj1EE7jnx8T75DBzR+Ml3DyQA/Cb0ITU7pXg8++KakHeKuL0M704AQUoGrXVEhfRhhiViqAwK eCXjcl12IEaHYTLaeAZeAwuyT11s5A4szlHUKvx+msOTSQSKxQxTLQdTqO8CQSkZbWYXUbL9APrf Ljwzk8YAP32SGBCB3aDL1jGiymygOPXhV0u+ZNcXrmAdiLY2D9ufze4sixq8fTFLuCrKZQKEUyAm udIUoLe1AuV1w78oZ655K+taCYFpW+hI+p1tTjs1i/j47PezFN1v4O9Xx08N55wr6iJYdGKnf4QL JLhUxPrYa7Qz3YpOqi6afTI15/9JqJzKOGkyj4fH9rfyLNi6bmMBB1DDkYm2RPVR54WTdO6hKQn1 eD5N `protect end_protected
apache-2.0
1ae135353629cb18fb38296e4b222f36
0.935645
1.864644
false
false
false
false
sils1297/HWPrak14
task_4/project_1.srcs/sim_1/new/tb_hack.vhd
1
454
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity tb_hack is end tb_hack; architecture Behavioral of tb_hack is signal clock : std_ulogic := '0'; signal reset : std_ulogic := '0'; begin clock <= not clock after 7.57575757 ns; uut : entity work.Hack(Behavioral) port map ( clock => clock, reset => reset ); stimuli : process begin reset <= '1'; wait for 10 us; reset <= '0'; wait for 1000 ms; wait; end process; end Behavioral;
agpl-3.0
c141d37ddf0e1ede5d4763a7eab3a58f
0.660793
2.873418
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_rdmux.vhd
1
27,755
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst_rdmux.vhd -- -- Description: -- This file implements the AXi Master Burst Read Data Multiplexer. -- -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- axi_master_burst_rdmux.vhd -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.1.2.3 $ -- Date: $10/26/2009$ -- -- History: -- DET 1/19/2011 Initial -- ~~~~~~ -- - Adapted from AXI DataMover V2_00_a axi_datamover_rdmux.vhd -- ^^^^^^ -- -- DET 2/15/2011 Initial for EDk 13.2 -- ~~~~~~ -- -- Per CR593812 -- - Modifications to remove unused features to improve Code coverage. -- Used "-- coverage off" and "-- coverage on" strings. -- ^^^^^^ -- -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; ------------------------------------------------------------------------------- entity axi_master_burst_rdmux is generic ( C_SEL_ADDR_WIDTH : Integer range 1 to 8 := 5; C_MMAP_DWIDTH : Integer range 32 to 256 := 32; C_STREAM_DWIDTH : Integer range 8 to 256 := 32 ); port ( -- AXI MMap Data Channel Input ------------------------------- mmap_read_data_in : In std_logic_vector(C_MMAP_DWIDTH-1 downto 0); -- AXI Read data input -- AXI Master Stream ----------------------------------- mux_data_out : Out std_logic_vector(C_STREAM_DWIDTH-1 downto 0); --Mux data output -- Command Calculator Interface -------------------------- mstr2data_saddr_lsb : In std_logic_vector(C_SEL_ADDR_WIDTH-1 downto 0) -- The next command start address LSbs to use for the read data -- mux (only used if Stream data width is less than the MMap Data -- Width). ); end entity axi_master_burst_rdmux; architecture implementation of axi_master_burst_rdmux is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Function Decalarations ------------------------------------------------- ------------------------------------------------------------------- -- Function -- -- Function Name: func_mux_sel_width -- -- Function Description: -- Calculates the number of needed bits for the Mux Select control -- based on the number of input channels to the mux. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_mux_sel_width (num_channels : integer) return integer is Variable var_sel_width : integer := 0; begin case num_channels is when 2 => var_sel_width := 1; when 4 => var_sel_width := 2; when 8 => var_sel_width := 3; -- coverage off when 16 => var_sel_width := 4; when 32 => var_sel_width := 5; -- coverage on when others => var_sel_width := 0; end case; Return (var_sel_width); end function func_mux_sel_width; ------------------------------------------------------------------- -- Function -- -- Function Name: func_sel_ls_index -- -- Function Description: -- Calculates the LS index of the select field to rip from the -- input select bus. -- -- Note that the number of input mux channels are always a -- power of 2. -- ------------------------------------------------------------------- function func_sel_ls_index (channel_width : integer) return integer is Variable var_sel_ls_index : integer := 0; begin case channel_width is -- coverage off when 16 => var_sel_ls_index := 1; -- coverage on when 32 => var_sel_ls_index := 2; when 64 => var_sel_ls_index := 3; when 128 => var_sel_ls_index := 4; -- coverage off when 256 => var_sel_ls_index := 5; when others => -- 8-bit channel case var_sel_ls_index := 0; -- coverage on end case; Return (var_sel_ls_index); end function func_sel_ls_index; -- Constant Decalarations ------------------------------------------------- Constant CHANNEL_DWIDTH : integer := C_STREAM_DWIDTH; Constant NUM_MUX_CHANNELS : integer := C_MMAP_DWIDTH/CHANNEL_DWIDTH; Constant MUX_SEL_WIDTH : integer := func_mux_sel_width(NUM_MUX_CHANNELS); Constant MUX_SEL_LS_INDEX : integer := func_sel_ls_index(CHANNEL_DWIDTH); -- Signal Declarations -------------------------------------------- signal sig_rdmux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin --(architecture implementation) -- Assign the Output data port mux_data_out <= sig_rdmux_dout; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_STRM_EQ_MMAP -- -- If Generate Description: -- This IfGen implements the case where the Stream Data Width is -- the same as the Memory Map read Data width. -- -- ------------------------------------------------------------ GEN_STRM_EQ_MMAP : if (NUM_MUX_CHANNELS = 1) generate begin sig_rdmux_dout <= mmap_read_data_in; end generate GEN_STRM_EQ_MMAP; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_2XN -- -- If Generate Description: -- 2 channel input mux case -- -- ------------------------------------------------------------ GEN_2XN : if (NUM_MUX_CHANNELS = 2) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer range 0 to 31 := 0; signal sig_mux_sel_int_local : integer range 0 to 31 := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_2XN_NUX -- -- Process Description: -- Implement the 2XN Mux -- ------------------------------------------------------------- DO_2XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when others => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); end case; end process DO_2XN_NUX; end generate GEN_2XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_4XN -- -- If Generate Description: -- 4 channel input mux case -- -- ------------------------------------------------------------ GEN_4XN : if (NUM_MUX_CHANNELS = 4) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer range 0 to 31 := 0; signal sig_mux_sel_int_local : integer range 0 to 31 := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_4XN_NUX -- -- Process Description: -- Implement the 4XN Mux -- ------------------------------------------------------------- DO_4XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when others => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); end case; end process DO_4XN_NUX; end generate GEN_4XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_8XN -- -- If Generate Description: -- 8 channel input mux case -- -- ------------------------------------------------------------ GEN_8XN : if (NUM_MUX_CHANNELS = 8) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer range 0 to 31 := 0; signal sig_mux_sel_int_local : integer range 0 to 31 := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_8XN_NUX -- -- Process Description: -- Implement the 8XN Mux -- ------------------------------------------------------------- DO_8XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when others => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); end case; end process DO_8XN_NUX; end generate GEN_8XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_16XN -- -- If Generate Description: -- 16 channel input mux case -- -- ------------------------------------------------------------ GEN_16XN : if (NUM_MUX_CHANNELS = 16) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer range 0 to 31 := 0; signal sig_mux_sel_int_local : integer range 0 to 31 := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_16XN_NUX -- -- Process Description: -- Implement the 16XN Mux -- ------------------------------------------------------------- DO_16XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when others => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); end case; end process DO_16XN_NUX; end generate GEN_16XN; ------------------------------------------------------------ -- If Generate -- -- Label: GEN_32XN -- -- If Generate Description: -- 32 channel input mux case -- -- ------------------------------------------------------------ GEN_32XN : if (NUM_MUX_CHANNELS = 32) generate -- local signals signal sig_mux_sel_slice : std_logic_vector(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_unsgnd : unsigned(MUX_SEL_WIDTH-1 downto 0) := (others => '0'); signal sig_mux_sel_int : integer range 0 to 31 := 0; signal sig_mux_sel_int_local : integer range 0 to 31 := 0; signal sig_mux_dout : std_logic_vector(CHANNEL_DWIDTH-1 downto 0) := (others => '0'); begin -- Rip the Mux Select bits needed for the Mux case from the input select bus sig_mux_sel_slice <= mstr2data_saddr_lsb((MUX_SEL_LS_INDEX + MUX_SEL_WIDTH)-1 downto MUX_SEL_LS_INDEX); sig_mux_sel_unsgnd <= UNSIGNED(sig_mux_sel_slice); -- convert to unsigned sig_mux_sel_int <= TO_INTEGER(sig_mux_sel_unsgnd); -- convert to integer for MTI compile issue -- with locally static subtype error in each of the -- Mux IfGens sig_mux_sel_int_local <= sig_mux_sel_int; sig_rdmux_dout <= sig_mux_dout; ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_32XN_NUX -- -- Process Description: -- Implement the 32XN Mux -- ------------------------------------------------------------- DO_32XN_NUX : process (sig_mux_sel_int_local, mmap_read_data_in) begin case sig_mux_sel_int_local is when 1 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*2)-1 downto CHANNEL_DWIDTH*1); when 2 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*3)-1 downto CHANNEL_DWIDTH*2); when 3 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*4)-1 downto CHANNEL_DWIDTH*3); when 4 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*5)-1 downto CHANNEL_DWIDTH*4); when 5 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*6)-1 downto CHANNEL_DWIDTH*5); when 6 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*7)-1 downto CHANNEL_DWIDTH*6); when 7 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*8)-1 downto CHANNEL_DWIDTH*7); when 8 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*9)-1 downto CHANNEL_DWIDTH*8); when 9 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*10)-1 downto CHANNEL_DWIDTH*9); when 10 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*11)-1 downto CHANNEL_DWIDTH*10); when 11 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*12)-1 downto CHANNEL_DWIDTH*11); when 12 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*13)-1 downto CHANNEL_DWIDTH*12); when 13 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*14)-1 downto CHANNEL_DWIDTH*13); when 14 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*15)-1 downto CHANNEL_DWIDTH*14); when 15 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*16)-1 downto CHANNEL_DWIDTH*15); when 16 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*17)-1 downto CHANNEL_DWIDTH*16); when 17 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*18)-1 downto CHANNEL_DWIDTH*17); when 18 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*19)-1 downto CHANNEL_DWIDTH*18); when 19 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*20)-1 downto CHANNEL_DWIDTH*19); when 20 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*21)-1 downto CHANNEL_DWIDTH*20); when 21 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*22)-1 downto CHANNEL_DWIDTH*21); when 22 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*23)-1 downto CHANNEL_DWIDTH*22); when 23 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*24)-1 downto CHANNEL_DWIDTH*23); when 24 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*25)-1 downto CHANNEL_DWIDTH*24); when 25 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*26)-1 downto CHANNEL_DWIDTH*25); when 26 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*27)-1 downto CHANNEL_DWIDTH*26); when 27 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*28)-1 downto CHANNEL_DWIDTH*27); when 28 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*29)-1 downto CHANNEL_DWIDTH*28); when 29 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*30)-1 downto CHANNEL_DWIDTH*29); when 30 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*31)-1 downto CHANNEL_DWIDTH*30); when 31 => sig_mux_dout <= mmap_read_data_in((CHANNEL_DWIDTH*32)-1 downto CHANNEL_DWIDTH*31); when others => sig_mux_dout <= mmap_read_data_in(CHANNEL_DWIDTH-1 downto 0); end case; end process DO_32XN_NUX; end generate GEN_32XN; end implementation;
apache-2.0
198380ed832a4e94185409df1e4fe665
0.474581
4.451484
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/Tri_state_buf.vhd
1
478
Library ieee; use ieee.std_logic_1164.all; entity tri_state_buf is generic (width : integer := 4); port (input : std_logic_vector((width-1) downto 0); enable : std_logic; output : out std_logic_vector((width-1) downto 0)); end tri_state_buf; architecture behavior of tri_state_buf is begin process(input,enable) begin if (enable = '1') then output <= input; else output <= (others => 'Z'); end if; end process; end behavior;
mit
11f3b77b79dc09baf12288b658042682
0.631799
3.319444
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo_f.vhd
15
9,654
------------------------------------------------------------------------------- -- $Id: srl_fifo_f.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo_f.vhd -- -- Description: A small-to-medium depth FIFO. For -- data storage, the SRL elements native to the -- target FGPA family are used. If the FIFO depth -- exceeds the available depth of the SRL elements, -- then SRLs are cascaded and MUXFN elements are -- used to select the output of the appropriate SRL stage. -- -- Features: -- - Width and depth are arbitrary, but each doubling of -- depth, starting from the native SRL depth, adds -- a level of MUXFN. Generally, in performance-oriented -- applications, the fifo depth may need to be limited to -- not exceed the SRL cascade depth supported by local -- fast interconnect or the number of MUXFN levels. -- However, deeper fifos will correctly build. -- - Commands: read, write. -- - Flags: empty and full. -- - The Addr output is always one less than the current -- occupancy when the FIFO is non-empty, and is all ones -- otherwise. Therefore, the value <FIFO_Empty, Addr>-- -- i.e. FIFO_Empty concatenated on the left to Addr-- -- when taken as a signed value, is one less than the -- current occupancy. -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo_f.vhd -- srl_fifo_rbu_f.vhd -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/13/05 First Version. -- -- FLO 04/27/06 -- ^^^^^^ -- C_FAMILY made to default to "nofamily". -- ~~~~~~ -- FLO 2007-12-12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" library ieee; use ieee.std_logic_1164.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- entity srl_fifo_f is generic ( C_DWIDTH : natural; C_DEPTH : positive := 16; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Empty : out std_logic; FIFO_Full : out std_logic; Addr : out std_logic_vector(0 to clog2(C_DEPTH)-1) ); end entity srl_fifo_f; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; -- architecture imp of srl_fifo_f is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of imp : architecture is "yes"; constant ZEROES : std_logic_vector(0 to clog2(C_DEPTH)-1) := (others => '0'); begin I_SRL_FIFO_RBU_F : entity proc_common_v4_0.srl_fifo_rbu_f generic map ( C_DWIDTH => C_DWIDTH, C_DEPTH => C_DEPTH, C_FAMILY => C_FAMILY ) port map ( Clk => Clk, Reset => Reset, FIFO_Write => FIFO_Write, Data_In => Data_In, FIFO_Read => FIFO_Read, Data_Out => Data_Out, FIFO_Full => FIFO_Full, FIFO_Empty => FIFO_Empty, Addr => Addr, Num_To_Reread => ZEROES, Underflow => open, Overflow => open ); end architecture imp;
apache-2.0
ff8d2408d3eed33ea4162fd6dae8ef05
0.436607
5.089088
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/_example/disp7segx4.vhd
1
2,430
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:13:01 06/13/2012 -- Design Name: -- Module Name: disp7segx4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- LIBRARY ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_arith.all; USE ieee.numeric_std.ALL; entity disp7segx4 is Port ( entrada : in STD_LOGIC_VECTOR (15 downto 0); clock : in std_logic; clk_1k : out std_logic; reset : in std_logic; saida_8segmentos : out STD_LOGIC_VECTOR (7 downto 0); -- disp_sel_i : in STD_LOGIC_VECTOR (3 downto 0); disp_sel_o : out STD_LOGIC_VECTOR (3 downto 0)); end disp7segx4; architecture Behavioral of disp7segx4 is type vetor_de_std_logic_vector is array (3 downto 0) of std_logic_vector (7 downto 0); type vetor_de_10_std_logic_vector is array (9 downto 0) of std_logic_vector (7 downto 0); signal display : vetor_de_std_logic_vector; signal cont : integer range 0 to 3 := 0; signal disp_sel : std_logic_vector (3 downto 0) := "1110"; signal clk_1k_sgn : std_logic:='0'; signal clock_1k : integer range 0 to 26000 :=0; begin clock_div : process (reset, clock) begin if reset = '1' then clock_1k <= 0; elsif clock'event and clock ='1' then if(clock_1k > 25000) then clk_1k_sgn <= not clk_1k_sgn; clock_1k <= 0; else clock_1k <= clock_1k +1; end if; else clock_1k <= clock_1k; end if; end process; process (clk_1k_sgn, reset) begin if reset = '1' then disp_sel <= "1110"; cont <= 0; elsif clk_1k_sgn'event and clk_1k_sgn = '1' then disp_sel(3 downto 1) <= disp_sel(2 downto 0); disp_sel(0) <= disp_sel(3); cont <= cont +1; else disp_sel <= disp_sel; cont <= cont; end if; end process; disp_sel_o <= disp_sel; laco_for : for i in 0 to 3 generate display1 : entity work.disp7seg port map ( entrada => entrada((i+1)*4 -1 downto i*4), clock => clock, reset => reset, saida_8segmentos => display(i)); saida_8segmentos <= display(cont REM 4); end generate; clk_1k <= clk_1k_sgn; end Behavioral;
mit
11d8177616587caf3a9dd6249ce654f0
0.567901
2.87574
false
false
false
false
marceloboeira/vhdl-examples
005-button-ledbar/test-bench.vhd
1
885
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY TB_exe2a4 IS END TB_exe2a4; ARCHITECTURE behavior OF TB_btn_led IS COMPONENT btn_led PORT( btn : IN std_logic; reset : IN std_logic; ledA : OUT std_logic_vector(7 downto 0) ); END COMPONENT; --Inputs signal btn : std_logic := '0'; signal reset : std_logic := '1'; --Outputs signal ledA : std_logic_vector(7 downto 0); constant btn_period : time := 1 ms; BEGIN uut: TB_btn_led PORT MAP ( btn => btn, reset => reset, ledA => ledA ); btn_process :process begin btn <= '0'; wait for btn_period/2; btn <= '1'; wait for btn_period/2; end process; stim_proc: process begin wait for 100 ns; reset <= '0'; -- insert stimulus here wait; end process; END;
mit
2041b24dad03a9719f9e35d4bdf8e115
0.543503
3.430233
false
false
false
false
rcls/sdr
vhdl/audio.vhd
1
2,179
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; -- Sample rate is 250MHz / 6400 = 39062.5Hz. -- sample rate * 256 is 250MHz / 25 = 10M. -- sample rate * 128 is 5M. -- Let's extend samples to 32 bits. -- Bck = 250MHz / 100 = lrck * 64, sck = 250Mhz / 50 = lrck * 128 -- The incoming sample rate is one every 400 cycles, each channel has one -- every 1600 cycles. entity audio is -- So lrck is bit/(2*lcrk_divider). generic (bits_per_sample : integer); port (left, right : in signed(bits_per_sample-1 downto 0); channel : in unsigned2; last : in std_logic; scki, lrck, data, bck : out std_logic; clk : in std_logic); end audio; architecture audio of audio is signal divider : unsigned (12 downto 0); signal sample_hold : signed(63 downto 0); signal shift_reg : signed(63 downto 0); signal sample_shift, sample_load, shift_load : boolean; signal prev_last : std_logic; constant repeat_end : integer := 2 * bits_per_sample - 32; begin data <= shift_reg(63); process begin wait until rising_edge(clk); prev_last <= last; -- In the bottom 5 bits, do /25 instead of /31. We maintain phase with -- last by slipping a cycle if shift/load is asserted incorrectly. if sample_shift and shift_load and not (prev_last='1' and last='0') then else if divider(4 downto 3) = "11" then divider <= divider + 8; else divider <= divider + 1; end if; end if; lrck <= divider(12); bck <= divider(6); scki <= divider(4); sample_shift <= divider(6 downto 3) = "1111"; shift_load <= divider(12 downto 7) = "111111"; sample_load <= divider(12 downto 7) = "11" & (channel - "01") & "11"; if sample_shift then if shift_load then --shift_reg <= left & left(bits_per_sample - 1 downto repeat_end) -- & right & right(bits_per_sample - 1 downto repeat_end); shift_reg <= sample_hold; else shift_reg <= shift_reg sll 1; end if; if sample_load then sample_hold <= left & right; end if; end if; end process; end audio;
gpl-3.0
e6e6d87d9768c1069a615d30a31e0124
0.61542
3.399376
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/fifo_generator_v12_0_comps_builtin.vhd
5
32,006
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CiYAnmWljK7dHHQsOvXS6S8XIz6XwCHFYinpyaUmoCpzAsKAFqBN/qZVqKCRHZX8Hqm8tc7DywZ1 ox5JUUKzHA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Z9ePc5Q/axeopWzIcCyKCPUXrX4vhCC+NFGRmOLux04EqGnA/XM9qN32D1Gm5a8/VvuqBln//Jg+ CoOaX4hz48TTNVP7sPf9Iswz6zMyxIzS95DDjwKmIJUDF6tGqLdC2N0GFsVZhrFYK6wBoay/xLLi 8QdyG+52y+v4Z4n70Yc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block Qb4A/hbXFPzj9QjPSpEzbFfhyBouJqVf+e0j7E5Sa+lK787Uij4YrZp4/dcJEV5iyQ+J+gXciwDZ OzcqWFn4ccNlSfXS/osTSATrtK3osZO7SW32W2w9TF6i7uRjDg2/iupgMWVF0LLfZCft0hJR04hP mDWr2+USyLO89UbpuKDV7e2IfzZnbVBexE/L7sRTbUuQrsx3NtjkLU4cUf+PqOA/ZFSUI2el0l/9 ksLezi819FVnoA1tDLGmd8328QU22PgGWT6qZMRnDIlAVOg938oQFF/qpQeRnPKjtXubOLmvUe46 JFByAroZyXFjyMjNFy5iRY4yfj/4ukdytmhCzA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block 4j2biwb0C/4gt8wSc6PIUJd06XYG/m+QG0l5JFievxCaATunlHItAqHfWYu3fuPetom57QD1Z4xC U+EjjX9xjyoQBBIoAgqSPMFz3WiyrAmtAE9zcSlDECCsnHTxG7o5FINwmVWODNt+d4FUHCvJDPLw bRYDKhKiuUGO0y7PgKg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block cPpNCCUFAqecRr6OUzt7mK0aYGDZrottoqMYdYssAH8CFVyxHvfm/n+1ujHo702nrCjtlyT3wDIZ vx/sn6cul7isqd+Fmzz3HTUThG75F8bX1xm+tCbHEJdskGJcH95P7lKi+QBQ5DvOSZHxrXNck43J Vl2n3dtW4bioSF/xhilDVsepTCbiyYDXGcCNr1DL6hmqUzAb+PbNy9S4h5h/oN49zcqdHKT6XEqX yxXV9Pg02oAdWu1SCdEpN1xz1hIm8d6kzq91Cc+dGc5w4zbXpJrIElwywbTf0CF0eC36oFIRovIy Fx0x8vUSSx57GDBJP3+61YziNrql9THWn5zsQA== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21952) `protect data_block rvbAR8vzyr/XJAr4kEBuC2Yc25IT07JZE0jRQDfypIEQxwaIabNA+mTuonUE45UPX/hXPksDTFzd Iz0TxvHozbfDFD+iinZWnhkZOsrE9QOYILL9yK3u9k2vDsDyX01S+j0vR17Bke+ggMVAdkzK4m+r 8jUX0TH0TH59YIAwI1FftN8vA85qIF/qNL44I/rFnlt8rm64q5sTmHs8S/mFsqQFevYLY0Tg0htj DBi73BvJNMYpPKrfemXKMnS1Z5nkH/s2+fjlceKUXHstOdxWf0438NaojbWi5nX+deJHoZoF8APF 8get1bHaJfP/VRbgG02C35TMjUx1qslQviQeNd/vYzM98D37yRGbdgZVBISpqLsrnMEYxmCzdUXO 3S0xKvLPSJ8MmDbN2j1pUitzR1x7yTng/qUyF82nx6P52aYwK70Kij9/0f8533EXGqoxZuuDKXZb EAjSC7sV/6wO1LDPHeLDGa/1MY/lEnCfRJdosuxKPoWEXNxVBTEYGH5+ySsH7HSQ3Inv5FWymRv4 u1izjfjbMIZUz3y9SXvp7OM2Moh2QJL53TXEUkSMWPsWYgBJ3LmFq8FfwOJ4ru0gaJ0dyw8RBGs2 lFhT00vsI2Qy/gRy5WqhPW8yQZDQdS79w76VBAfH6o8D6UfNIP8G5jqCcpFLtY8+hUG1+gM0jIwg dGNlJJVF/PBVIZN2VC0RwTD1+JvD6pPsLrsdWgTopFVzVtxFNUvKMyJaYlYNPNy1spppiAqF0Km1 NuhaCMGy/Wlc+W3lMLN6FgPCFo1zRmVs8gZGCqIyghv9ZjJjW3Vwtv7qYPXq2Pr7HckCCzaMA1lr RQLyKlfEcnN0U44hAZb+eqY8lsolgvaBFMg2np/mxaQIV9lC7b9iqXOMZQn/ygGWpx5bNePrIw3q SePYJMfc66qpS7tZdW4mO6F8cgs+FvxlMfLDkWEQK7yGLXVxQKQpS2PotAGa3/IsNIEmo3TcN8RQ Em4s2Yb3lxR+tzWIlw4ByoIevVE/E4TrxTushxySMGx5tyiOaYX4G1OsBUdeWKVuvaigY+vwENVo g4x3p3OUw/h/GT/PSqB1/jgkWVMQJ6iLqljnxLO6ZLtFmtNCx7p0lREnaLSyV54vd9AjbEWW+WW9 aD6sShLQUrQTlDRFX8xeRTVhhps/uqeDQsstSD0r4Fs9BX2uW+Neapc2O1Rj/LL4hA9tsX3KjtcJ M3N6tiLl4sVu7KOK2dm7MWWQ9eB0ALVH5GFk+u2IcjFBiRVLWYkM05tKbCYmDpsczHHqurklPEsh mo6jKCTuKY0MCoCdTKw3SbZLOu16eIKL5At0b7aaaeYhElf9XukPEdhifMH6ragSA71N0Lms+9Xh QiWLo3qxX0nX0nuQHX9hbdT1hOKC1MBUBoWkFhDvF8eXYJ+rCFXsUoheIzRU6da2+5GZh5oQ6yxy 4M4Xx5t5YASNNBML+x/2OLUIL52Y9THlBQ5aECfR++KdWv3aG4EJpMdXtol/q6Ko5+4QGGMOD9qd xEKoADaF4MV/VxWV+MB+kuLtf24e2lz/PkOb5gcTHdyaJAm3K/ujDJ6EwErI2KjGWudAe/b1/9wt yJ9f3MGWJ7yvBAJrqvsq0npUOKUZiwGgu8WbRuXWEwz2DvAaPT/0t/TNcglLQ8nknDutYb7ctw8O ASa76o5UCkeubeIRE7XM7EMUcoKDOnM3pFned+QHdfsiPP2d1anQuMOTN/bUg7AICjr9iA3DuqW+ qa/uM2nfxE3vc9cvH70oOL2yS5BrUtJa8b7x72NXA5gdmefXLu0ZSsfw0RVyk2zy0w07E9LCEzkD 5iQa0h/dEvzCBF/uaCAkd8tVAWPKsdNrhSZSEM6Ss6cDdtMtMYgCb7ns+j41jRclLphlPS1n5AC2 sBagZ0MSZ+VKjY29H/qs+Af9DriR0kvfR/NKrbbGTWf4ES3E2yV+79rAwumuFo9nnfXTt2wMDyEK WmkFTnC4ucZT+hWfny2/jWmoEtF1VAYgx1MopDBIAyJ3iIzwaQTKocSgtGdSHFAd/AUHI1CuAq4n eHSgUNm8kzMHXjzTBkRkupV7PSTB9hns4gTXV0t4rHHXMUibtEmXmgyZ5XbnQfba/k/QgFww9U6c 4jKJoFcJbHKeDTb3wf31tpever02+ey45hXTFC3MwWYGhuqPE0+kngpu/yOqgYB+wKn2Nvfb/XYI g8FMIZku6f3SWh7mu5WWe7+8+l2sk6ugIG4srVFmPvaEykAWz/OaXygNUQkadMg8OgRmGy+LJqiG nUHVqmeOnaAdnOwIKOTTuwZ2yzAZvZyy2iZORCzuWNkGOgj/tVqsubvvayrEmg6ss5UgFpT8LHlE OBIU7mFdEV0j3QJwza/JRmOMV/5XPh9uQAy2Ot6BnQT8PpawuE33o31iTyINTrc6drkJJVfr0Wpk EIW/dTbXpCxXJJOH7T56j5vlM2rXLfk8aw8ACgAkqLP+wMCr/rUvRKorwYQT1a8IoA/lW69xZXz9 Togy4CD7uOOYtTHsMLKhG+kQ41S1bzlNqATA6kWkA2KejvDaB6ODp96e7GEer3WofjhrzZ0PUNAD +SFXMECA88lCmvbUjbjPlq1O6Fk6VZdevj85rAJ1KYWJs4Egh/7jujIwtfNmA72kv0YcR7IXWP4y hlhH32jbRjLIE8SG3HzZ7Y4OzlvsdsnCVcd4DVsKxzuk+gFXlUt8k9DMNCWtsGJMxeKjhhvoMty7 cd5tRJ1zY6BGm6LpxzfXcSHlB4w4g225LUjbMSV5WtypfCKi0RNOi95CknIxtMig9sh2W79lNtRe KmX9w3UH5dplKYhkoaItTlNEAGMlhhxEC2GPWLh22zcoPOE/ejRExxVULx18zYPJPxrA2aIb9bf1 7tiMfjdDM2AjHWKyT7JAwj33L19r1e1h/pTejdNAMzWkvlykvOZpShvIVsC1nFlhrptPUui4zMwC 6ewo03L66W3rKiLmbK6Km38LGG2lXdR9tXssUADLnHgH5DPYqFGO8AFixYBEEyUvZCswvH7CIFHr EQddYpVBrRXBSerqD6yS4lDugTStt6Fw0VGhYhijjDSeCGlTkxU+tEBxxBJQhdT5uzdQtzYAsXMv pc7+27htM5XlwUDqcxAQlcW49on64QqobOQW5AvsrzjVoBKMcf9bf+kFfNSdW10pnwsOpZK5EykL /kztIz5/Kv8/z1ISUsFcoIOQlU3D6vMzwUYdVdG+jHgv3oZnuDpvNiUa9+uq3CKRBLOT4O7YRtAf 1/csSgr/mXQJGI/WuVRn9+I86H4BFvGPZZm4YqEEvgvSw7TBx6TDEy9H30V4IUbg5lx5C4edoXoN zVtnL0kDiOVK88k+GwVQHqe3qmFP87Gw4QN157GZmZHf6ApeR+iIlDCS8iEmL748NOqVswi9oP8q tFJD58VR1gK0aYLt2GZcrF1jysfpHP87Vl1j93L2i88haTLgxnUHghvYsSO1gFfaNCwmbdCqAcxZ KaMK+PxrSjfg2WnLqKCZ5LtEu63FreUBchSO0/6HNgthJy0SeGpUlM2JOM4X6YIVj5kW7A7cNIGd uIqb49nRXahqpHU2GtZiKr+ObvGwpJCr1FiwtJ3z6GOV2iMpQlRT+0hLHOQ0y3ucaKnYpxDTJQye xwFWdXrDkm4/nhqdPNM1BOcum62C3mQe5WvjeNPYEl4k+nkyTPZjxXUB0afeJgCUa8Xe1O5haBiy AcmFo1C/JKDc0zBrsOSKkv5+VZdIwfVNK0+NrD5Ln1mwva4e2+BboXkOUKb++QkUvvU0nWDmARXN CetByYwqQT5eTnpt9YyUujs/4pN6X7ESe+irurqqXGvY4jFQaxEQvh2zxCk6zWDzOfUDRUvcZB2x qq0iVFyMZM43aDTq3ygsLEToFyTyO7h6Mr/0s9HtdKYB6V2vSlKamK63gGvURQAjrNETO8BTp9x0 X3VLtPpL/AkPBrB7WKD7Vw9UmmZ+cTkJ5g3Tis/zD9Z5gWfF8hxWvGoHkN2bMspFlxIXLTK8C2ff 2oIFODkHoAogDRGBBOXz2rpBFefq7vCkR8uZJSCz7UYCIxSJmOMkXXgmHZMTMlxJBs8wig3rF2/f t4oyQcALqbdUuZHPI41kjjnC6NpK6Ja++lt57ZE2grCzHG4LN84/OPVM3JM8LVeY6e7mbmwvHoA7 FGfruGyP6PmXb+KRdjE9YpIXkbmNAdIPK5E+MpTHEJUIitUsNlwabj9wEDP18xcPkj3FGr2bpj+f FIsUGw5vsBUGihzjkXwQwh3MukWf39z6OKJEaDVnhIe88+rhyaIk479Gg1fHG3SPUsjK/KzczVCN WtAsDbM/ARofOwWhPZxt0kRgvViGipq3l+bMGcp+J4Q4NXAcbqM6rvnzkZuXDv53u9Qz0DbVn+rk HEjZF3BdFDa/hVcBCp0wEIfI6ZX+IrQTcW6HnJVg92CfRqnB2V0Xo1jYtlAD12KFN3yA5EExjfyC MW+/oo0pesqSAxre1kNRanjKOKwELg3n59sooDJOHKwLypuATtm+cTOkCJ+0bEBoDtAnSvDp49Q6 qeQWeBq9LnHW2xhw64s7iSSTO8ZVp7clkD3e2P8WTnZ2veInbADbSg6BIQpIfr39zDDza2CPaApr QZC5T3d8qKrtYrhoOSkYCR6Hw4p2bQWYagEZtCfbBuuCp9RDDHlLtp8zQ62/e0qzDQ1jbPQ+4lX7 BTRe5IODajcF6KcHNJk0O13Xf0XENwHFRSfpFc+Bm0KjO1bvO2He2aEaJnIKiWSBwZsFcNAZ3k/g ffLFKlIU/S4vDSXYw2MCFurL8mk28wjQbJ5PH5V66Xoo8ItxToOHfExAnjmPBvSoLittgPSWIYft rOr3rs1IcBya1D57QLOcdNQ4vywT9BTup3Ezo20nDZIyMWyuIFJejGIeTLcqmjhVyOSUAC75f6ER 4m4yZ0rWt7HFSrUBYxzVtFhSi5qFH/nyIV3RIQJX/se468Z/0WMWsnIvP7R79iICt4/tD8NrsnGi 2ciiLTb8n4XjgKP5wV3Ice5bsS06wJeOYBKl5ImnNelVHtb6SiLHszexro8IRKtm5tScaN0DVO2D 2Z8XTGXBDr+QJUL06ypEsfLEc07I09jyXu8AON+XiOsshG3QQ0edySGv9L/K+w6YuxiC37j8gr2W IOZA9RxCarAW1GIDmARLB9N7PZityqTgLRU/tnmeHwtWixJyup+9XKZfjHdIE4zZAurjJ4ke2L0/ I8oQUqdnH83dHOEH5kFGHuk5NcSCYABqmCABx3wXl5Zu0kWAQd6I8TA0wavKYzO4n8X4RANimC/x RYcauI/AaTFWhv2Gi7clrjdx4xoHF6LLrB8UIZhqSLf8XSTonhpFR+JASvx/BLnA1f0SrplYyQb8 tc61i68fjGeYyVLwIgmbrknH279ahlevyYcXjIu6/4gDQe3pgxs9VlkEmoBfdDSyDOwWDFgzZALC 1a8gA4YoLrza1zhz4p+v4JNBs2DQ+YyLOK2kmuSWMHZUmVzdmpM0wyBnR/N+MD7HNhFgNY7AtAJD Sb1Z+qUP21hfxpJ+ikaY1BYNlNHM9TqaAohSICyRa5nmpivaXMnrDagmswpDX9BMll277/BEDzGS zF0xgndX8kBdECmrlAdL4P7FQp/vXZ/iV+ssy0AjQ4UDFbLXi2Fo0DG7fnaqyhsVONYiNfaNCBRY GPrH7U7vGGr34b1METsPKvJSef7MCKIQmgcLvBYyUz157yJ9fmY9Y910ywyeo5f9Sc+kkR0uACq8 aI26yvf+dVwaB36UhlBTeUghwtdHqW4fOxxQaZBGiYdSGjbn9nlb47ROGWd/FW/+bHlqKt45QPRP GQKHPY72P1rc8N0sjfI+IE3c7gatPpMRggy3kG84tQV603V5Hog7E1Ovd4of/vGMLfpm8rf8WvG4 FX2uAsT/7uCTph/vJeQOJ3+f6OKxNP5v9i0usSMi2talg3F5Cddw1KwC0ohjN8VKhyEF1dZErssG b+SeYQ9QbbaQjygVvnslOvyQB5lS0giJStxncrs4SWh6LzE0ak+I8CPIwIj3ew2DujvpwGZNyONX cyv3FDB7wcI3Anyxo+zTnazDLc27yKrAyYhNwt9SwvHR7vaVO9M40cGmf4hw5PPm9H+aCnEVA+/+ 0Gi7aOp++nk+AXLj0CO8FMTupsca61CM3FY93e0Li7zv4xqVek1UeuJZUH/f3F60hi5uwUwnHOfN JwrmTDQ2yDlOhDuXHSyar8uAH21exPNgAZYmNz4/K7V9fojXJ4lugIbQifMvjbEAWwZqxyNTeEc/ p4O7EoZxmtKIrK6Bc8NYRdrS2LXCweHEG/DW4wfFiqtzCREiMokff9oClS3+b2hSo5wX/yrpF2LY 1SpToEnj+/t+0bL76MVHASWfxNOBvfUh3spS06s1r6U2Lovnn/RtPsmcV5yB/s72CSC7hcoLbTbM yYow7EcHvdr8xYIy0QtTNr0jC/B1IemV59FJBWu+naKEY3noZlU08IX+Z2abBXFSLo2OhER52QHz jAbCA43kBPSfykyhCZJM36fZIMxViriD2uhBhH+q2/gA9nnvYCDieLg600jTNoSFXADfhkp1bB58 92xRp3surlPZmRWdYRzstmpPXLWag7Mt7AiJ9ttc88QMZQbSt2rufBhZt3nGq55mz/Hty5WCJiiO vF5U7aaAr1OKIttOxe5B/UmNt20tNz9DG2knSBXdt1wmR5D1upwmmhND18TUnkvwmEVeTrnDvRV6 jVk8VUoV534YJKeX/HOhJc196Y8Nz7X6V5pe+VulRJE8MAcsXEXFF4QiEYxOhfOR7MY86LBgUNiq YVHcXaTuEe2DIT79tD8K5idn3s7jsynetd6DY2mn4cnnO8xAgu5RvKvOpDbD2MsAZPVGRMDAN+Ow SaNVsXuLTqpJr9vGF9nk6OJN2n53NoIuGNw1h8wSY60u5NPyrxVZzfIj3+40eiGjLD0j7x7785p+ 21sktQJbEyXl3VUBuzJV908rWoRHr3uZEcpwzrqNOjXR+l2nqXNPA9i2t1f5oKTTSPi8Pam/8sIA hgHHNgCf7kb0ymBULRR959Lk6rqlHlXjphlZMSn2+uCiSGMcGTTC2KfZQUPXUk1ojsxxvXCtT3Jh apWZbc7YfP9G5GKQzJtUHMZPjs983mbBpcdZPDBjtYtdMSk6bSV90ARaNzM5Bw2MSQfM1Z2WLXGL qkcdWae4nULvCOnlcskz9cRWZj8FQUXe4O0LTbzkQ2D2apVh1xm9KtdHbZScPrRbukASV7QjuBly F076aZ6izjVlDGQ+5fk3/uHk+DHnEIhDmkZjYNvzHMxrqa5WFHJ6N9iQpSKgAPpOMOOuMfTxYLwo uyfUFOX10ykNQO2npa/qB0IDt5BvQxbvAE4OxxC/s/YCUcoFhYmJe/glPGMuaAZY9gzZiuZnhUuy ZTP9Nqm62bs/W0fK+2D9X19dp4Yl5BFL8xvXFPLBGT3yOmM6waEjqgpMPUeud2Vq3pPOSUuxhfMN fyxbsWpPdhIEy09YHstivhT9rjUmOl3ss2MGKtdCbqey5YPFALKC/Ps7l102xWvbh9q7odl9Vx9B oMn2XdmVNiNlIktUR70DyryRZdCQdFTtQyHLd+krAWeQ5wmdI5rYYv5PYTQoBOdWLtIsv5mbxB83 AD7RLqTli0nHqilzUOG3XtsWWCbNiMDLlABJa4LhCOLpvlkCQttodsYf5JwP0wZtOtXPFRm+us21 Ampak3LmMyD6mOYzswzHkJgoq05kDLOv26pCTY+44C8uaD/i5Ns799r9E0vbLTuvuDouEhOn72Gu uqZAtuaj7y52dM1S0TaGpSR7DveF3a7znN7mtfGGqrrQYF6aTPRxLf038zmoH6rxsrsOTYk5qXuJ XamBuVi66sdX5M/fINyxBX1OdhycWw57TiFD0aAFd2C3ATUUbAi61+l9nE6I62OIgivfzPWz8S1t u8dOjiP7BwAJg8NZ4g5/Ya2vZRs5iNJWp2SSp53Wtxrakj0FiqVTL2Ol4H2XNSOyQTGcRmtOWD3k Nx6hnb//AIirGWchtxWmc7mah7YH0d+sVhvhM6SfkoaztvD/dZ13zc7IJ5CDKFbWN2U9CONV0S6b 692iXVQ9UHKAdsss50e9m/y9e7HFXAJM+F//AICZtUfDzzZd2PTw7NhQ1oc5g7gvYWblUTjZAXm3 T3Ab2jHkITybeh/j5jhfA6pAqGEYAtHDopNB5swv5EU3+cCCm7qLyLfAM2d5ujilEQoIRxmoYyE+ 7HFoaXqkvqL+9BqbKXeCJyz6Sxt7x2Q6ryq6yYmdwVUIfPcYFEk0ghGkRZvKmo8QYPTAqKLdOO5u aJenw9rpjgIR5dhCbD3ZOwfj9qwFokbb2qy+uswWMcIGnHL98p3DwLzBQmzzLztZXcR9w2eSPqmu kswNMDU3mzDyEGriIMKLFSXTXphx+ti6wA6Z0YQswhHc1OSm6yU+zwHtiQHAdwpvSyBPah8ui7Lf uQlIuUJ0+u0g04VLjCUSfGCZFO/KTINvf6xDORPIztej9NMMuOmZUxOfBLuRGJCLGzJUALs1jFIS BrKbebsoTDq0WUtPHSrW5BB3jQO4L4esmHGi+bSMI8OXKe7IlEuUSFnn8/f7ELitDW8t7quOJsAQ ++jeMyjwtp9mEDkXkP/dDdU0Z/UtCHM91D14EnIVl1ixGupXFtD+qeRmtAzBPOqubwSmAilZkfY/ Wfvihp+9fMzDG/rdEya8lBR82jr76Q0oeGKaAcmGeZPU+UgLDJV/gYFyNbJOYT2fySTaAtJgORF/ /rgGonF6n9H8+2vEIN6BhBqjcnGSjWZJVW9tYvVS7BqdhFSXLToe5BTlIgbFTaZQ98LPkYt8f8cf FzyUy2c8Otz+VX5Zu+Rca0EjGxhjGrCQBwSasczBOsRdHzCu8GXjUaMAp6coNx08UIFK4BA5lgMb kxkjl4VR//dsYH92c/ao39iFewqN1vjECeCEPRLp+GgU97GwIOQaTBJ+la1TcFPXJPu4spqK8Q1v 7fIpuaeCdTl6QZSkk29EWo1CGADRa6bjpe+OOU+8UC+wDMsbMHAVzZYICcAMqGGzToNQfaQF0R76 DLNYtkbPzBMqpSMd4o4gki7zGIGqvGTMrPTXhSGUsCbdNoZl+jAuw2j5RmTwHXjh3WU4tvNq+pie GwFvI6W2yFfyuTdRc3P8DyTTBuyTc2KZSrn+Y3ActAHYD2Hry6KwiUjTH6AvsiR8U8Fmw0Tuqc3k DxRbr7Pbjt/aSxKeUXsxtNmeLMBO9Z1YOZascuGWx/XesgksRfcVeDnK4kApsABNuDnw0LSCajSu q8mlclxPKZmH3d5YpoOk48VyGP/touw8XddF8LmtqNF2WnAPaF/YVaS6f4OO2CScfZ6eg0xcLtu7 SKYaxmGRM17SHvUskuOouQllEB1rYlE7ZMxnVnK49fjjqiUss1UqpUPvsxXzK7FbZ9IFxuyKj4wp G4rvR+y6TZYBMLBvuMtq+ZSWByDBZd6ZJ6pbiTU6KNiNx0TzlfANzJlKNHjkIJC9B2Fqb39R7GHp 6Mgqya2WZCbWJMnU4ush+cC0cwvNxCnYWiD+WZceCJZF3LuHlbfG6aAXnMbczh7MZLS7MkcXIutu Neizn3ehF9Gk8kT0hFjL10eGxFiMTPFt8H8QW2zNlKitZtGaM9/2OXjsrXwZ/j7hkPA/MHwcvAAb XOYKzE2ke+MkTVcCROJVA3sZhPn1dw3LqzjgYvt6i5PE1sFmja3xVFu84ZmV+hntgISuR2blmnYm /Zij70925lMAcKrExFlz5Z+rdyAH77e6TF9dKOsFz92ATjXlBtaGyXGF9+mEecV4XIDJnzuLG9le 8X/IrwfTjtkJ9eHWT7EefeTR1Gcll/BQTu4xs4CZYqUfe1S6tzELsQI9D83ogrChT5krXEYvFLI5 AN/XwvPEgoJ7W1JmlSA/XYEeW8ZwG+XKreP8QY/KV5SrYxYRYVA0+iV6kUojGPVhae3AEKqI/lrN Sjs3bw4kwriH3Z5jp6+0EFHqLoNe1dz65bEVHgEa+Ri6O/0KGb/6bMXK+jYo3s9YhHAWvI3cyzo9 i+Y0LUCOmVnveodBxZLlx3cqkCxiNglbEbk7IQ54OSouhJ92c3CrN9BT8RqRluHRzTORCfJNTW5R tB2bTP3McwBd+pxEqf1PJvCba4ZMNgLSOh2iKTUsW8ICzlUXnX0GLoxpPucsK9X6vrThmfQxzVpu vT+pBim1Jtf15haEO8e+eHWaaMXqI0XcDuWxPa+Djz5nUfQLTdU8lJqLIxeeCezOhsE2HcebFDuX bihSZUez6hYuX3C2TSefWHNn7L7AEuo6ZX91z7yODr+vLgZdxNCqnJIHVTkvZ6TIH/+ccqqvUihD ajf2hNDyfGd6zX92dz5/N4avhHoknjZbUZsoxrZT7cpUxrWqONOeHpaTlus51wbEcb23DptaoLcU Z+h9bft1FrpSZz9m9lPgnIv0Tt/pfGhvlQDHDjKXzwJ/BkcAfD7vVZUXTJ89DrGBklaxUwk8HikF ufp2CsR5Mk+Gz4zLJvaVWrnsu7bzETXQ76SC7Nn3oAZmlJQV8XzveJmjjVhuZO/IIPABI0fCUtqf jp8e1cyQQ4mX9ZsM84u1TnL4eOXVkNGmwzGNfAV35yqZ2IVcU3uSmBhEC2a06nwYPT/C2aMbrE+3 ftoyppZJ2esrH8uBzJeH9H0+iSmBckxjrxdxn1ZZwyp9xn3gaREoDlwpQJYX7qZnrvwxcUt3kj/b mvn68KGUrAsWabDNrRqTVqYe8+gtv8qr12sGmLdCIOewNYNDcv+xfB4RBlyxGJ1k2Ubdi1Z9/VdZ 7kLv3VtfBpNwO7fiYIdXtOW7/m8bjdnvzd892B9R9D+Bm2IhrentHqYWeXsGuhBPfPKGkohvRRGw ZSr/ZEyCWTJxEBd00VCJuB4ju3Lq7TM+69rncvb3tSvRr9h7/B2olLff+PNhLAHorcLsIceSXlPD xg5SdYCzYcoJeCSvq/1dpomWaRvgZbwGMB+OeC3o8kgYRL7UdpsqzVTN4LWrJeoeFyqWgWlwh+9j j4Ij5DXOjE3OyVdG56ty0Xqb1hLfSKU6dGjeQKUhKFoyBGnQzCpV5mk4MvnoZmTs+8BphtBHrOVO 9CgNNWI/LRh8Or8PFZgsXEfidU4PHovqbwfC4/b+RRd/5RsNsQBPAsnkvcuwjJEoM0reb4/dS7JW nJ0HxQXUjVI2pfMa42UkkQSk27bZqGk/+ZGbgl2jKqgT9tOHziUmJXm4ftM+OqNexUTquFY+SJnZ Oro2pBA7mwz561XlC281oNYUZmYw1/ugiWx4knSfU2DnTsjGNfK/S1AHTYl54sPEfwWkyq+WmkST cBBXyf6F6b97Zcyx4sggK2r8VKBWb66nOFbMOB/yEw60cWFE3UjhDCX0C28oGHTLadSOd549inQd hfxF4RTgLqNFG6qkrYZWbVLVBYqAGZ5995nKVU8QAg1YPzMMpqk+Zzc9BQiGjkeLh+8s923a6Fvi AxXrCHqGbTVovQQcJilDY5RNwBeaiQUYoHqFLMrEwQvXg6IqhozYhikGL2V+jEAh78Qs3iPGTHbw eY4K9n7FF0d+PvDmMO1w3M8MvvqYLOeMBkgerwmWeP0CPzEcZ6Llyxh49m25d8C5+g9voKA3AIhI vrMGkmpLwiDA2rcchF3kY0mPwNhuqJHs85P0aajphBAO/2B0NjB+F/O06PirwoSFnh11Do5ueuJ+ VgaCU8PMZR4kFyBqIjHIRvZdupMve3oe/ldp0ZTQ0EfQhdevIT1JNJL+MDUdeoYbJiJ26wyT7/fP 1WB/8UIzFm+C+HZnuorTxbFXay5exq+qXyJtaLo3YCjIcVYsAjBEecYKpODeqqs+GJTJd2s3y5WW qFW/gvFZapGqT0XPePD99ciN4dfqM7hNGdaZkEFIdcrDQk+18cEjbuwyjG1PmNwQl+9eHcmnR4Qe FORa0z9WBt/oN1TefiuxJ+susDZcZfRjN1WAwYRIDqwa+lWH9s2tH+jzPrcLATOKmjxsSPg7EXkg SiBspFgaQoIujvz6tmkyp+ekgv4mLHb6l1vQ+AAYc5Pzx3YwHE4hs4LSVT0UHoWOLMRGeUODbgAV uOHx5wAgftcMHfR9XMk8cs06moUwYCxnH9/dllso/uBrUyP6p6TSKPoAQ7igdU8vQYxBtZRquFD7 5pfyXEU9sHEmmPDQyEbB+us+HCT94bLjFq+Yc8hWaDRa9bUR8JHnz0fxL2pHjexK7L0Cd0SVBicG uySis3eYAGC/xoNZ6jc3sETAzhFiIK0vPBl0o45g21mw4gEV56OzWBneS95r9vwztr30gCYnLCuN dievFyjhXSExGFJeqsws5MlkKi+XQCvP61SMJpookU7aadlZKUqPq+fZ9qbJ1TauD09IKIaT9vIv 5ipqGaT2Ef24O3kZ+SYXJlqi4Vw7Oa0TKJIf3tME9Bz05eRwB/BxADZhEiSCYcCk7iZreuuA4dL5 m6YxXIo+z7JYYKoE7WSErNR1ArID+VHcssczjZT8UfupHYbio+zLSamHcYyi3GVT/DpYmZQaphuj vcMqoYy8aUGm42ygZTsSQMtoO96/42g2ftDzLBbmPVkXy/8RPaMC22CcoQxLqImJcG5JbvMUHf1r s+TeYhU8GduxreBu7RGaMk+UP79cr/KQbLP8VmJBnMgC323gR5S1Vbos66zeOlyhyHMENTW8spRk uOUnARXHxkZ4Y/x1PJRwzMdnHFeRfxpx9gzBjMlwgTpmJ3cmp1KxUtRiHoa0/KgvYgnRkCLG7YHA +J7z5cl48uRkG6iPaopzoKPSiDROhh5Rk+4alT6E0LWILMVf2UpsoFOtjEJxdmRw3Jy/alLku99R /xSAT2nGzOb4AN6LiKqmTw1PshHaOXAgO1cc8iBVINr9tHi0tdiiJ6eiFkBpg3Jy9vt1336XhB6x ItoHBoi7/ZeKTG+ooyrP2Ig9PAz4SDxHcT9kovGNRkh/XHoqRRs8qYbgwGTrAtVudHpW0cfumMCn S6v4j7EZMmFnjzvcn6QmG1imnRmLeOutoe2SNyKQ6gomnSOfa/sYF4Sz4x+TlqJ/tytgsFV+vhiP qGYilszR138cIUJV+JKdWPKQ8UO4qU9kmvOi6kc/o8THYsdCMFlvdkE66Dg1EUc/YePxfvKsojHx BDVHW40JBv9T4jnUICSA41WFlc/Tkf7qm+IblF+67+RnLmhe6saq+/6teFMGcw8xy65xlhe1r/UB PxPQUf2pvOpiNtRZahoQoT2VGUxW/SO+zTccsk7j3IWNdF7xVx5M5bkc4DFjFrldeX1jUj2aUXmQ 4luKkIz3RAINQWM8/TAVvvOykcdu3rwHDnVsi0u/k/UC9k6h/tGY/gOyPNEMwUmILSxcD3dXqIxU inlqXD6CsKyDvk/g37a7ui7XUGJwsff86RxKXH55bquvorczCP+2p3eubXWbd78gL55uC2idSDsE 6UlRXf3lW/0rkXoumuLHnwerQmjr49pFqhUvYb8LorVeeZbGesCg3wOqnEunTRg9m77YERVnQ+YE ya7PngtSxXqB358j8RomlaCbHcsNG/dgNypzCqoNNKoVYTFYDWG+kW8Cg3Gi83hmUsr7G3AhNvOs VQJH2aza7/tYHcRgesgbNPVCdC77cI1QsESfNbnbJV2f3yP2hUKb6MZrjF/Lx75/9jpyr/VOTEaE nMe1qI/wevrTDWMWW+MPMOqtP+jVkWAt3o3LVVpB2Y8gA8DeiwHaWc4VG41MaOFF83Kw+CNCkhqe 3eTZMTdT1F1uPpYmMDtHinr90QmwrdMsomrPmFpHwL36+M5kTr+o8x+tIl50mbFLIiMivRvABhE9 46tUkYSPl6bNzBiFS5YeL9NefjpyB2G/F2iglW3T4DYaoKoKPcaM2EnVVxpVK4ZEAoHsIoE4XVo6 emh9z5kKNL1REwesQn4/aeJoCEqp+rap0GUM759rDCuYKc/b0cK0n4B9nmqV7XJaAu2SPStP+VWs eR6nXXe/zX+V49Jm9Epkrj/4zamzfhYAebObkeTF6wZK1uSMzne0z0/s73jlR0PM9AICxIo8liVJ +rbm77I5NefE2YllLeXWqmetgyxttIkTtnT1KY921Jw8wKgefNShug+19iUjnUypC4t3pRWjue+g WrveQS6v0zdDbLvaPMPNsrpRcuARYf8M7bvb0JG6KtaVooaD2RCAI1ti6wmALkYNEErDOIckTojV Jj9Ji3b8fHtp2JraJmJfU4I3pWoNq7MdLE1aefCzk9jvsIN2HsTqmehaPHWQlokF5HZAlP5WD2OF dI0JNiRZksw+6yzsCNTRd5Ju0/gJdqHc3Okwjc5t6dWMlTkCB303QtIcxLF3kC5NoHUkl5tvVHOn zL0J6zXz0yfDXGkAIbNhq1o1Xpa+Kx9CywVi0Czo9QhnJlac74i3GtkqJBidxiBFjEn0n5UZKCaQ rEq/sgGD+nLUtEQYyVPdnwqluRfEd4gSR5TMcum1NisCjne5QeesO0gVCc42FbcouJawNVAmKMqT eKN2hvfZR/z1QPUx1ff3Cxkuo/vEq2F4OgSzEOM9KSv4KoSpLoioCc2gMY0KBqvvmWY2SbgLNYi4 ZHdfAFIBJIlA7eedUTU7wsbVJEDI2qzwrSnySA1tfR91VEvnK7bBqMgmYtMoAfzx5o1XlmFDq8Qy 0p+FrnqbLg/I2AMO9JVfE2k5giNA3Hlw172LJxiQfdFvMXDzahv4cSAmGcjaDQluCp9FpiYnVA+Q gapB7zXI+CPrx6XYHMLIEMosjxorKY2HLKhGEsTVyLM2iZJCbKkU0TVymt4qmdzmOlK1bNeMD0em 0i6kDHWWbwoABlNAaAfvFfoHdPe4KL/dWwF4/Agtm69Fp/vdV7ygYj6bdPnw0JOB/tj+O4Tf+RT1 Q0ojgj5glZCA9Oj5+h/dSqNspSx2C4F+k1NeFhzWB0743nqVRMYGfzRRj/T5sA9Db8d7a8ZB725W acJ++XkGLAawSu2aDJJxzOZPNY1unF3wqxS1eQ1iMyRnfSS4IfPQgckNp2CqWzB7BSGyjGUO1ASr LFBlqh8pYF5d1kcTyB1WcVrrEHJsEccPPHnjMOYs51rTTtgFOCWYykyLJScVt+8NgncOF9f+iBda zkcr1lMuolS6xzF0WacAKgKcMt+tHKvrqisPpcQsnpltDpsDiUl+H9po2V10kLkbJ/EXPUYe5IOP 88sUhJxYNIKuhLAHSZbSve9qMcHvkuMzfIlYTTuFsUsM4C0TRzyvnRdJByNB83/+3p5wnDlSk2iE DXVWyEIE+ZSnQqULLqTDdlONUE1ve2YHiy8EeKK2AR+uNSZUARtZvToql9hqbV6uci5EgyQwkQBb C80Re0/hPAfPOF9TLi9rKRAPH+lwjhUMbTypi1zp/MJkH/aFySwa2qZC5tGKBbxZ/KRpej+L3ymy jUfLOXMhvVW3nnUzBVwcYlRvVTqEFxzyBs3heOhq9GKH4iNyCVRZfYxSpQPDByxy3g2v1qAHp1jU SAJbSf0rzvwiifJxlkN8mj2DaVPHe4Sak0ZWhTZ2SiaOrW95HKYzbRxhvaoHFUcwjlt3u1bIibh0 2DqISRmy/Dicnwn7Vyz6BQ+BVTgw07hRHabQwB1KrMzfiR6fi7EVBlBVGkquUn16ReNj1Bfk0BRQ 6EBdSH7oU97G1zNGV9x8fwQwyK19VlTN6R+EGJgekHdcTJJd3JM62fRyVrlWMim7jZUqqM/RtV3a XB1Cu3k9oco0Kl3vgxRpOuU0+6T4nno0OSfwYz5e8bFxd0BlaEphHIxI9VXShPtEWbdHgIDGWXCE naSkmbivo7vMR6AP0DKRwQ3jYX0SLB/K7msrV4b85lecmv8/oPMpZy4fuAsFKIYbZNniuBvNBdJR aUr/fdu/TE33UU73i4gUl6eazMDNwld3qZceXIizo833rwqf5o+oJ1yW3oH4VE0LnMKH2/sL7775 TIculyLKepr0qTRTxSys9axj1dUij7OhW5wW4JjFtGl6vCQeocnSP4WwP9wHdyWwffClfomoq54u NrfY+Xcf8EeeK4T2ZUpDPBeAZ5EOEVn9/8mTVQxkKLU3LMO09vlcmZa/PQSOa8HlRq85kb3dxKVC gh0gIKluEK2YA3lLhHkqXhGJjPvXqT/LVpR6WBXRCnzFmAx+oRMVYqZsZZhjMrDyoIYc6U2xZK9R 7+hGjZJ3AYDjM2osJTrvSgnwxpPqkEQR6ELNB+axW+6fCSHT6u+HEZ+INWlIECrP1MrQjixM8WxK 3tmp3AEaZkj0DoKECJr7+2+ozzoF2U0Kt8PsFJnmuFsfjh50iC1negoYGjnZ5AelCwc1k+gze8Q+ GZdGU+AwHCQZLMuctuXZ0RSY8zYCar5p5Im2Abp59s4Vyzr65xAsS0VlUlyeFVfnG3RM0DvT8kAh rK4rLBtbcujnotqrtddlDyqgQJO5gJn8kZWbf8qUS1rDHNqNJvIlc3g5iHUO17TCtS9I8RWnEGt1 laS8TtliWqvpKYsNt/kV6uBK18Oujr8AhRI9Vdw9JifwN4qoWBV4xQff+RT4B+6CiNBUWB8ftI+C XMrLsEQDmVOte8+8ZZv29xFpBzvMs9J14l/NScodmCdol/zynTIxerThF7gov2DBqd7YA9XjrmlZ BSuBeRhG8KPzLhqxLLzuDcrY57SzLk5oiFi1/bIOTBMSPyvmlJwoi5PJeq3itEaT2kHX/iiFNH+I kuhtZilQ7sE1YanjH9sz0krMBFzU+cwh50bIasSeiFG4erypeXvHPCkfQs4jIBnhIYL7Qzd7NSLk za8jRSr2cb6y07kYSc6DqNvl2xdOzv/xwcxo3ajJ6Kd1i8jS6jjKkKL6hYNjmXHKOHWjbntZl2os wsce9KHUtRLN5xd7k3aUj9FLKy9SC5w1nUM21ax0GFZthWTN2KwmhPyiK8rls5uWfuzRNQUkGm9e QEKJyOGi3gI8/ZPE17bzG2tqzebnXypO27GKtR8itrZq8XsUVViWTLVsMriH95PsVByndDYz1/EM SauP6sSo1K4Z3OEGMmGNeI+rV8Mj77wPdwApaTp40cuJPOEwwZ8EwwDk2quXzcxGBLG4QPg+egpi hJrPpihzJX7lzu//CV2WlTGt+rCwQUui7o0Lt7Dt2sN5l5pl8d1jo4bb+rBksWkZCo5Z1ghPHyGb wBg8Piz5+L/6fPYUmqIoVdoyasCgJMh1tX9NEwl97nPyIBGmRPa4M5rORtcPOLFOzXTmfItxX28i oiWgVj3Ua7B49eUorvLmeTIvNJtpQ6UgRnhfHtCb39Av3Eeeo49EtAj/HD3XxO3RAuhKSMUrezGw dYLrlB/zphG27VYI9BX6ogDMR1SwI0Q6g7g9N14yt0CcWIcmfwjg5P7oWL82f5cqN+cHK61vSuwc x0xc03//jNGUVscvabaaOpibPW78cK82CXBa4WB1zr/5/95x96EQhFYJZmkDCIMWv0h3Q5fkdVXE dTAmOza+SopkHRsuZwR0J4T1NLN5helAVMU8IjDQxcVrvKhTWII/YE3HltuX7SeVc6nfxPJOG/G1 /2UDIJ1A7Ltyhk/2yUtt0hRr0HfVx30a2MFCzz8EpL5jYxOtJ5u4E/8nW02HvitNM/0T56JnRxEJ Sd8zeLDPwCslqfVLoYzoOYt0vvFB4DSd199kxpwDlyQCDZzPZs6+hQNjViwQ3fsc4JgLzLe+7t2q ilZ/eVoTNcYRUGwttfz0uIrAZmfln+Q63Fg/x918U/KV/V0jFHxI2Z3lIVQc3A970QKsxaQ8SXWW fOxLm+dxJ8+3T2G7eucOaUj1jKGKtRtOZkqzqs4KkrePy9oVHfAuyehytNYjdPgMdOc2bcoqswzi x9BqP4ftrQurYzQhWQDwuSnuolJJhWZBLN01uLoY3iAG9MpQoGBqKYbZ9GJ7q9tOmu5/dpGsPhTb xT0kNr/8ZukZ0hmlv9IKFSS0mGrmzMXzKrsgsZZ/6ZsI50QV0ql3IJCaJbFCY9j7eEP/Huqfz1j+ NowYZY7VR3nFb9ecl93ulQV0D+c/z5BvRtmzWIKmX1o3DZlbF1tHXeAEAIcRcFk8ZoobVsi/j3E/ S9P+zaqSILkQQGiAxw8ZMx2cUfcNY/BEi+Q9x4E3z0yoznJbqxWP7jwK4F3HgN0osqVwEavFmQhZ ew96q+WRyLJ9551HepD2PGxJUYQcsa6Z+MbIBJD1liJz/mX8SC0gpGn7cAoELPKxi39x/gSTTOBF ZztI61ozAOcH56cM7Ku1QCPbkjr1NJwy7MZBH882OnJoohm4gDtBs+bKykeUeLJVmpcb1zkn67Xi ogBuEIm8mzJ38u64ZH7DAqbu5yvojsrPPXJkhWGyml4SKp1EGnt6f8vIp3K3tCABBbZEoiiOfhkV 2xk/Z3SekKhQjzB3rxVAa247Y1OcQ99uCrgYTWC13VBgr9AbqzByU/2LT4gPwgeYIC8ZMG1AAbea 7EHIdywl/Z+tkmPkjWMykxC6g00JT/hjBuPIUBkZglXSqy7slfvtqDl6c1pD+/X1opisFtMc/6h5 Pzb1HTacYWHN5pydtEAcqdC9uxvsGI+9Aqf5ts+WWXgtfJ81NvNqUtT2/2/Pa9O2w67CrnZ96g0g 4bRTT4D7dLKP4Kfd8TCRclfmRwYYhZ9ZbeYOy3COGfl2SQMUxg6JlfWVI1y2F6pdlUVkmNutUGlP IlTGQ43MSNtE36UyICgOxbuwrWL61nzPBdHr3eoqrgge4ZZuSMtKnX2YZS+7GTmQckqAJi4KnSkJ jt2cVnb8C3Br/vRAMKu+fDoGc0aPTS8FOuV03ZOSvlww1ntVzi6RBNREl/8gBXQgchTBHURODYxj VL/WBVjYvRZ05pAtAiSan7KtSpOZK8zMWVgawxFJB0lLVtf2eTss9Prwcqjyvf2aSY7SdHNt07Km 8T81fuHr1oOQP4EiUXugIB8Ux8QqwcyQon2GBhBvF2NUgceCcwmUeXV9mzDF4iF781FH4vixJDTH yywpLoEvASzo/s5D5LUYY8E1jvkirCSSidQGi/rQ/+/0qOI5CFLL30dYNFm6z2WPTVjdJNsQjyAn 7e61LliSRDQif3IvGHgi1g2oJVjNLIi4OCuAg4ljPTo5woEOnDTTs7ilfvxGI//YTS3Iexdi07An Gs78v+Cn7MbJ8Omgh+TvB2RAjYiWh1O04korfO1X5x0CKxRY+y9e4dC6oChWcNxCQQ/pE3z6AoeN I5/vQ6myl+zwrnv32qlqRMnyTzv1eMj1Ox0FwSChiDYIubnSP917VrFM9wWRXljXBksxVKFaCOp7 h7Rj2hVkm3xi11WwpO81r1tn776N7Z1lzWujkGuVlpfQSfLl7MLkk8EDnE+dp66r32SOXcQG5E4j kqEcS6FIF8L3J3KLYVBTdTU+oack3GsjH4Fb39cKzoVoBC14k9VRyLfD5bumgfMvrXi/1NYaSc3S LwXHGzMVJciP58Dlb2owNTerRGhiVrL4vzxvA9CwzNTPCsjpPs5KK2WUn3XXH1hk9fKYm51JwqZC YqZB79uKYL0DKUMsFdEU15VuoMgxvEbNz18pZgbALLueiE/FIVypNC06B6c6x5oDdOYUByj38qtF wi/SUIdKs9Ra/vI0fLmLiDpAPn1peOIqeA89LJWxaAAEVraLO81NHFHuL1jQ7Rm/WfnGaiUEOuGD 3RFdfyjKwKkbiM4Z7++cWJw/zFRiVfhWkMtbu84YOScX+94yqR1UxIYI5tTym080m31V5K+jVBGi yePtjrGgwGITfl9tCykfpJ4tGdPfdZCSNYmSuP4xJ6GxwmWVQ0+ReQVbgoAIzfkeeYO9JhtwobAO m+zwQZY/e9h3CixVO6Vw071TX3CVThTCODr652qbXkcz/AjX7E3t5it9nBd9RcWleFiYGNrmKSIz 0EoGw+cmNqg+WPcTEKIDo5GvHzt51tDGbbP24/oZ/Pdas88DMFqz/77AorzSk9zOGV0M9attsVyg 1jtLQsSR+GmYK2/wNMV4KWpz+5mpEFYqjuDXqk0Kg6NZ2RX0sa7Eu9lWWwFqH2sByXdSc5pdvys0 kx3BYOI/BH3V27nQIh7vGQABiZXZomoDQnhikx7ibNQbA+3jPYg2tU9X4n4KwmIo0f069K0tp17W /RQ4ZQN3EyKThdaxQlqQV4yRmWwmzVizwLMSbVwwcT+HCk8wJ2w9XAq0MNAhhfdJE7MRmycj8zY1 lOYBM1Py7Hhbbs5M2RheDtfaFcocfDn49ZnjZy5hTyDa4A6AtoLzbPsYf1tqmCqIwqvTuawfWZLE jfI5l/XE3sL13ooXXk6RATP5ysDkWG9y72KY1PbafJb/pEwey7Uqec0iubNJsocCy/QkavuEjjkt YDLr7Tm2XPOT8WeUgLqwTpxftqOx6MkxZ+zCP15JBPLcsQ9HsM6BbEnbi8YnjNDB8CMlDOzdLKOv gvxSkQRHPPkiTiXPRFPGADFuxADWYoZ0YUxP+IlXKnQVNvli5beinBfKJZ79uNNcZARuRyU0m/fh Bz91i5MGZ4BO5xl9ZD20YgHN4gt349AzqYeeQgRiKudSluk7v88r/mav4N1kMe+nAmUKsCvsCRyt cvuqRZMQRx7asNgrbVI4XbYNtDau/UkGGiuUZmNwo5L4elMOo0/Hnm5u5LLtEJjrSrZ9v+cfyIFV X3NLV93qsbLLm7gTKd14aGfPtbHEDKTXtLGNYqIiSYQj/7eFw69qblGPPME5K4ygszgfr0sW1YIP 5ivB0UnNxVQqDyr3Zu9tFN/sr7AqOuJzC3u+4yYHb2CpM/vhXgSCdySBrUmA/vi0CdsmjVq6gU3l vdkybTuDbzrk5BwB+GKmDwYatRvV545ZkDiI/EuB+Bzqdann4wohwMdKtmTgS+d7f9Ytmuch1rJ4 J3GO+RXbd/rGXKedOrIVLeJD3/KQnlZ6/QBlKX+xMLyeY6rSfs/OqMHHpSxkgoMJe7bdNBsm8NAe 7AoMslDxUOqJMydKun4GZHbODU9weoeYdFN2s5fvEs/jgO1muryGzliuj+X796txA4uazYkRmc8M ve/cxfSgL2KTGxmp1YE6C2sEBPMzdlg8LxZxaXLtjaFP4SNJVekgbvNXpCgVb9vGTQ0h3MKL1uWO nL8hKpKs9GuFxwZNU/7Va12hJUhJduks/1/C9/GcDxFFc4/PnVXRljMpqLIkDZm13C1kAayk9FHk tWif/Xwa6N12xsLp2kqHZ2JpTaRIljs5cD+aRtPmE308yj3UqrGuQJ2BpmC3ieBupx5vozEzvw3o Pi8uTD3maSvAO4sxhgO5/EZ69mMcRuN5432hYiLXskBvPrCXSRQq42Wb2HRkP2FXuIkYxwyYR66N GK+jdPOoW3QcOFkqwc+fixdh2acJsFULXrJW1KNI+mmvmAsdBY0TCU8uVnpkzYXCK7/Zg3Ru5Rs0 5EXsTPHYYjJDByD2uV6Z5xd6CVFos+oZrU1sezZ8pofcGGoI8/+GXXb6kx+crGCSZO0S2P4bhRN7 U5Cy2+ZzLPw7+avEuHzXaDboIPFTuoqN+I1VRKRcw9qdCaqh1d7b+ZKS09IinNDoFYsmPEK0s+ct LTW/KTuKmMY5gnyVXSTk+aD4nbYLG42d3X6HeBYcz3uijQ5v1bPCEZ4bHNRbJBv8sCwAvoR1wRAx E9OvcrhkF+Vit8amjCuIJaeaGL0qMmJqd5cUbBuETc6HgXUrAmkY04zhXuxz0nAXyfhnTzHCXHxf MUF5laR0GWTVy6ioFKQX4tOvAcInBprd9/r1Ts+qe9f9aQI6a5ry09XToECmDedFjcSNSvVaEtFl Z0UaTBa3vMVo6/AK5qZFiCdDouZTQYV5EfXWlJFFmNhs05eQ6wrxj9zOjCWevLtAzC2rA0Yn56yR HCkwTXCXRtDFMKQZzBTAGO09PwDRec2kMIkHM7dW3SXIf54uOir7gPR2KNWRgCZCnRTPSoqSV4gE jJQtsVvK6cmFg8gDStyz6vP1tM7xYzQGJk6Ofw/Is+ROBWJ5HpFKftvpUfthUlvKGlJBsoe2Szi3 y3t4SxmSGPvLI3i7grFsPOXMvftjzX0MFUthCd3OSWX4hrN8nEewlIESbqj+sstR8JYeHib+VSPz 5QxVmIh2/gPSyR4dn/G59zWes76VC0w5puRWmAjxxj8FNVedxdawOk+N9dwoIY0cEh4U9ZuKuBo/ dX6rUScHtoNXuOl67XgU9ryrU9HZuvo5O37oxbmLQ6sAqowX6fRePiL53iaIKbR0Z2Jbbi2pOc4g v18dZpSomtcI0d6bp+YnS578PA7VzcqP9etYmjP0Sk+C60+F3w2OvCEhW/lcKYcrIGCuLJVipWph AkMKkmfd7N5bnBoNnytf3BvRxDD35bP1AiIQWZXyimT4918+29TH2ACwBZwNnu8xnNj9+3448qDB S+/L6Fy+jaunoXU0CQH/6IuZG66dnRuGCHnACPMCmSUB8b7uspdJKWhLBPaBfBZm1MG3o7poqniU /AuPldfgxTPWrvZ1ctftFYTKqvQBvDMb1houW2pktWv0GZzk+FYq35c4IKon7iRZezVymL6ipTPw N5KGGB0RX+u1IBhrfCUM9zEBgK3JLTuE2f7coMsmO0GtoyLQZgjdM8do8SR0Kv2xbWPVtUNvTtEU XV2/i7StZeyucP9VYVVvBZY0dgTm4mT5vHyG1qys1jdXTAZct9homQLgsHQ0+135Otguj11/t1k+ rfiWnJN//mJYb+k4Y6G+MJLvZDfjWf/+b6YZ8/aegR9nz3Zhg8ecsmUaapnfZaiPy+F3B8I8+2iC MdjVBu9uGmKPp/07jRvFFhgQio8Ny1ePbqvBmjeN4K2wOWs5EuywTCXuNmZxd6zdiyCaPXfwV9u0 UhgZZI/LBaVDxGE+4anpLb4D9DJlwUvJt7jCxJbcnVyrPY5qIy/AxgT/VvXJWYYCNsM+hiiSJ7qg oY4KqctBnRy0grfJiZTRDUD0UXGGyZ1f9zKzfF9SLajfjO3iR1CP0ThtCFjJ17mPpCZEtgygk/KS 7/96w2yw+EjSuI8TNeIBW0m0o1NYPQ88rP94iSnizn81IE5Afdrcys1JXMu9N/ueIa29nfSeo2pA Gz4iq3lP7hmZ37XeL+vG9KzNZiyoOIhh4lBTWTNDTNCy4JrEOpTeKuNI0H1K/fK5D7vx/SeHgewL cy4F3u6tbJWO0mNtvql4GpRhqSQurh858JCmkU1+ls915n59w/wJWYq2ncuZM6/gqwtWhXNV0+Lq lUC33OGJJo/7e6gHRbz0quOnAyVpSFEqwOvvcRadMHQtyi3gmpa/2F32pdF+cfLGcPyzNn1H1z3A pvBSf0dkA623g88oU7Xy+wEkh9v5P/YJGetsw5V/DnWADsUsDxrGtU9J+fY/CN2X9Uh7xcQ0E+Hk l+dusVWbJPX4Ao5l3Vimi7Vsvuf+9kz0HCFOGfM9cU9JaErcFxSM+aUF6OoEXguRYBqmriRsgrjW y8qjD0YuD0r2DErad7lnmaZZ7pIdyeGPNjhlRAaoUXUmZL/tt2GIVTSQXCNOYNGyhMC4FTr3HU86 EM7+lTjeoEHVQujwuRw07Tw87ymjnfF7Ew9PtYSpa+XmHoe1IvkglkO4KOxMpRFP1G/MjDrt2Now ETTI/F4NqIPZpsyfBUi0kuKVCPSY6XgmuaEfbEo84hRYJZ3eUJgvCbgMt/BpHmMIbWiiPhiG2Gie FlFH4+ZBvnjOTDN4/bADi/CI0ZqwjvC/5m5VXY7juwOH8kW2jjL5oOip/AbcaahCOrVMsea1AzBM iAw0jI9RsxxvmDs+s5iTt5floANGKvELbg+lAXO97uylTjduWSWHfUCsekF/iSyR7IManEEiQVOS qp7oZUdUWqd42YAFaltswRG01kKmtBDjbWdx7w4y3wdWfab1w3cV/9a5CYYJyj6NL1LtMcr7Ehf/ Ls0Z6v6Ax6QjDpeTFwXjUfD4R4e9WXFUl8ZRZCHUYRvW+kTonZLIplBTn1VJl5UQgyUwSPgqb+LK 5V3bsREOfprX7aQsT8UEdc/xXHeRFF2o/SNCgHD1oJwPndMKu3jPzoepqx+Qh1aBq14Op5j1KsQj w6YuxShoFBurnbhihSfKMZgRWS8NgL/lty9Tun+H+JGNeoEpivwobN88KdU2kMkB2GbWDA5VxDHh cw/nt1v4JkGJMCnqkeHY1OXYb9vfeHiJEKB75r3IQ7/BQOIg0KBK1q9hRZY70HnA8y64vQkkCIeR lFOm3Dgvv/1ZfeywRDmYaxycEFY/z2uBPgTOEJ87i80RIO3cVkaU6g/dDTnJk0sc2Fz/rNKX4Mr7 BP0TpULNZ0rRXvxXgMHgpD1w4Fq2MzJ4/BkXGw06wcxI/j8bJGi4OUKP7/wTHXDJdFq6eO2LOAS3 TnHp2xrJwvWBJzoI9+mtvUPTaDzoNej8eROjQkHEDwIa4gOPVcXwAwEJoxLj+4V2BGH86NOCqQZ2 5RlGV3FtiIrKu78bY8Thgbs5ihPDvzl8jOJI9zUac2EZfhUaqVpUD6hiYBfdRorkoXAmUrZ2tnEj j54yR3RLPsXI12Xr+euM5zsZTaNfuGykEALTSiNqxSaIHEnBxjX2b9pAtoUe9nhDOPLxUX5L8MlO GwbcNqGopdxmlZWIY/gP+8x5s3PugyxW+6ZD9T1OGsfgoZfFUPxRbFlu6GPcsBE5BnG/UcIM22I8 leike4WtY1LaiPf92v4dFBD0zMlSDvwkyxkMGOeOyl9GACcaBuWDOgFzJclgHUMexjCMGi2B3TTQ /v7xYNFjLKF38/cMJr4otTSk9Bynxu2ynkL3wDZBLBqH1pSE039wLppEGTo0WrQ5vAhyJPy/gKAx 9Ly//LHhWs4Yj3/cbYFXFF/9mC77ecnPjbK9P0p/E3LG4iQzHzmpEWighMiFLsXyXzsjdwnuW+iI WzvXlAW2nIhvI307HJaANzgtmcKr6C5LDcFB2zX21rBy1oQjxHAUyzGjfUJjIYXvA4hcMC7at0CB N2wkqkBKh5Qc2hnvo5c3kpcmPF9msqIvIEgYiJ25U7XxomjualGbKSE0tj42TIYAPduTsqChCVSs AMQRwJIqPwx0djDSey7VNa8JamPu7iwV397q5fyr9jZ7wM3QfgCDbHZkZoLHVjZC61+YJDQ9v6Gx NgQZbcA/cTyc//szo3y8SS8SqybpSDX6290KcirTp8CY00T/M2pm28wAG7GJdLJ+iVWpNVElza8E QyNgqcASvGMI9TUQPIQfNitriyS14nmXBEKhKyCpS9O01BmKw5o/eAPcuf8ZuLIfRF1cvkoQVodK elf6OPa3yGXSpnfTdXLqYDoK8b8Ncs4sXntaKXsjfRUU0sQ/4nmpkwRPpaiw42gKAst1ietNILkn Eanzh/qs/0djLs5Ec1/fPen+3Bl4o6qJxAy/ajy55nYEI6Db2j6XuxQCq3NlWU3ugXK5Wyb2t4RP u7g718mtHOaOkEOQQJ4VU1+xXRNMw3DezxvNlkMMHc1jqkMojxqhu4jDnpLZeVrPxjwrEOWTHkAQ KaJX7KQqDBZSpKeAkdYsEGkytZbEpnxLAN00sAarFLVKZQlr7DTQ3hAvuwNduMbNQB6uCtcF1bWw FYWeUmZhua8lQnzIStU/i+9Kj3VS3ioj5TRUK6BbGZWqASLnIzlodHYMd8jXcm0UQ2Bl4QzVWZdh +t2DSiUYrsgP4vnNPFzzs0/keRxXLGaxi8AHqPzqUChrQTXDAMjdYwzeTSqEnekBoOhxSF/z0UyX GqtE0F06oBA6Amu9XFsGhOkRcZty/gAhI34/IyXNh2PDjAHFqIFdPkaYZCAivhanR/wYcW6ePwUY d0vrBQCiZqcex6OyQ2BdYr+LtGRz+N4enX0BpOFUnm9FAybj4gEEqe92c/IWsdhlgpgWz22I4Ujl 47ylJPNKnOen9i8MzK3EmPIbK886tvdJ5jZ9cCL2xbhhjreXV3pvs9zyBxZB//FgCeci0CNCeqfQ 9VghODr4nN9G6l6gMJe/hQr/zVJ7uOXOySAIUWKMfolGGf1RUpycC4AHiQ4kZVfi1qPKa2vD3Stj /HNgvl/h5U8MYEyONwj0kbLvCc0FIk52CLAeq38tCFetMZACR2/8pEUbSM/Rja2l/ERuhHymczZs bHNb3IzrO8j7IAvbuifZBVOgPdUc7LQpTsAgctNTSqMlEer4DTuySQG2ByJjeBW7H5sU0SM/OfN4 LqfxMx8bFXbM3jM9mVQga6DJqtOY7cX6y3n/8+YyUU/KQdc0COVkL3X4aNHtvshKR/EPatVk7YOv bBXUcWKg2WyOZQbsPl0FpcCShgqlbtOXjin3zEMS7nFoEXSp9kevX35Pv5rLjn4Ro1a1f07Wgo2F jf4sm/6s7GFTR27kcf///o4Lktr7Flsi5xj+VX0tVwFAFqq0NThU0gdm4wB+bExccKgMWqA5rO+a qadDNyQVW9YGm2Gqr3Pkiwx7tUBxjmud6d0RoV1Mrmtpi7wKdYqSLdwmyjawPmIkdbdKjhxdcocK xO6z97MvywbmLEhU0hJ2xXdtSWJHUCG+lYYw/9L7RENA2LGT+FEvmxKTqpUetn6Px7/z4NKdmCdG k568e8p2FwL0SClfNTKhcX8v/wYPVfX0zVfdDmwotx/FQj8Qd1yeBH4BGrRILeQRDvYOGAkxTlZL AxVg1BJn3oc9j5GQVItRLvIm6G4G7tOm6hMqsU/3VoAeO1g+EaVBXJKVj/zAhcJhK8Z9STO/ZfJx evU4b3+k2+wQVoV5AQR2OqCHY74DxLmKvvoicuOqWXRuaJmQ7RfyYdhYQlJOJxwRTsMITJVpePb5 jXfgnZ0MpTvDLWuvL1+4sja3Dl84ZQB7Lhbv9sE30W1dbuIWFnJM5p7YTbgIxl7lB+RVq/jXAJa6 ItF2CM5Lu2zzxYedJohBnWjKntaaswpIeR/Jnjj5QEmLlH6B63AJUCD4XAzrtdtyJ8qZdI9fhap6 4Le1e1TTDBJZaGyfu6R7zpRMTZhLPX8buQLEnq3PcyXPIoQ5GytEGy204YzBT1SpNuYq9OYz6FJX 0DD/+SH/8M5IB++zp5ZqTOeaMsC2v559RYdaDll0FqgsKfaFEWWS3VTKosDKWb6IQ/Fk2XOGayea vvCaJ+iRjXH2z/6u+bMeNQ948iqRgkyNOm/XAfPXFh6NUTR+mdy3heexirEcMhk/1VM2KDfy8Lvv UUQ7NgNXxvvA0CQXf0C6H/bY+oBMYFa9+zryDKHIYx+/870NWkG0XEGgmK8pF14Fhj6fHjaaQjsp rdm4rksMABU0E76vcgLI6J8UO9nk2h7+srjTvLRlHwv7L8txysBw3Tn1V58AG6ssFhvDL4QuBSuj PvUHnS1OJmjyBFuXR3bgI/KPusKiidznfaIQIGht/tcbXbfrtq8+F+iDOXowST1jOwBQnugGza+z YO5h3r5mAfJrkEFxUw5+kFw9r4HPXwQwE7uwbTidHGtRosWCTpcJoiSgj3Ml0NNlefnq7IjDBP5t GBT5TX684Nw/LZ7/rn+3qdEVHnv/jWqhws0Bhar3hcnlaRxufhzKkUh17uOGTRNWemiOp1Ppq3w5 xeFDI+4SYIJ3FZAwcwcNRPsbckygbA7iMElfuEgwAnr4eZPZanWPXO1UtDuVEkja6sHZhjkJaThq Ezs+yMoW8OMO7zJdt8/RgFXxB0V+oYF648okWYAddS89dLzq/VVkknx+6S7Bi/mTnnKKJrp1u9bR sbK4PsgKOsnJ4HRnAYR9XQypdiIDRah9ABi/d7dkAH49yaZMBC6SBaLGcq5M7cBz9IV+B6NnaFI/ /TcjdwcwogcQOcTiVYmEfJ1H/t+Wz1GTQ3jlMCSojrD1fFBkHb9e3e6w0woGr6MUUXWJOuWTTqbU V7uBJV/mtvwhRV83Rj/xi3Mq4KhPt96uifTzYrJVQuzpDsuNZmAZp4OjbpgRJRYxz1YLbq6rcINL 75zrhjAUyGTYlqSu2kRgU8rEDQDSN4VcCo5lQE+vLgox5M+CPqmUKsu3Uo52alet17RMp+F59EAp CkFdBzZ0c9bdOd9HPq9mVCsem5Izy4xjTIIUNOxcZP9nWGpS6KoJzZ42r92Rec5aE+StDfu8mBt3 Gxwxy15vRnWWluglLUx+JGVNPiQpOe47M0GEO5HzvGJ/aMccZ2oLVDCyR88MTs72AM0DK8zzjRY/ ByMX4IhPlLthMkJEPm4FXhxsbaOlteCW2RrgBDoZ3tqsqwCiNCdl0ymRP41J+O4Uwevu1774sxKv FHb9HqQGV5eL0xO8H/xhb0V2rq2vR6reYlDuCdrLSicOjwFZVgxLhN/9fpaw8aOzxxVFOpo52F0E yVlEV09PAvStVTc06NTbHM7TKTzVStz1yuq2ECi/6MaBHXzDQ3XmzXAjmOKnntwS+OWOb+zP75Qq hUutvnZUgqI5PO7UBT5w3ArO+8Y91UuoC9CAlP5LWj2boPWtjeYhIvVzwRSdcJtEfCUU+APajIRb RToNOt9tWM0krgs1a7ZdB65SjvJ/XzLiXRj2b39NCCEZ6QlBMAJloydBXZkm5xkRMZTwHpHq7MFY 1rGP4q50iKtgnOMjcRBzKjk42ZGbBjsjdIa6STMoaCNitZ9GgHL4l9W/JC6mfieJnB+XZoCMUjEZ oRrBJ4XQWTi/l5q1/sL3kT7s0QAE7+tPLL7pSCtX/IaTsegNER7ibq0COMLp0bpvoiSPSHZvroqK cBKGupNUZXVJ6c+X+ojRo1owzgdmzwbO3UkebKr4X+O/k/F88s44KQGpQ/bTYnEZbRW/6eRVWa9V aJPpTDu5w3BCrageEIWHWCKIhD+i/LO6FSrvPftHSjv/ohY2TrWn5Bas+A0bAex5UrJTyjvNjbRc B5sHVRqepXGkixiqv2OLB46Kgg+wrXJlUGl0pQe/sVOV0bANd9sjvo4l3h+bbZn/8uCQzjnhLwD8 /BEe+8R46+KhnXaAbo5HHgjmxp80ZxT5RgH/DUC1P+SLZES8r64Tn+kzGsJKXteS9jtTGLPV/YnH GHM51Da4nWqSWN9JLDBC309dbKlyHxr72QNEeOI8mSsOZ3K+OvDVUpxwzxhxTjH8zlXcmaWtuEbq GFc/jhxEH44JBX5UaHFbvnpnpcTyjCPs8sr4DUZIgpoXsd7MRHCTvN0tOKBTtEd1lkDplU138q0L fr6FKzf1Gg== `protect end_protected
apache-2.0
ce7223c5a3efd9ca0e82036aaad04a6e
0.94426
1.837419
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_logic_pkt_fifo.vhd
5
31,831
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dyILawmwGQGZDshK9S3HVDgrSwUXT05tSn5ITcYw+qwXE+4CrGOq8xbVwSvnRZENpO4OzRp6EHXi PfB1Euv1xg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HgIT/ngeSihqgRJg1VNwSlPB50chggUJQ2QTlvivNihdQY2HpQ2MjhkvbG8+LdSbh+H6knY3GYBw vME7JcszbgHVrKupBJHQ/nhQWtAgvqGB75DPHb7nW2rGhCrlKgI5LUzpvkHDFvp3sUMJTO8EBc/g y9tCU3aGuNPmoW/s3ZQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eSsClp+UQlXlPRbk3/c9zIEuaJzSsXYAOp+6j4FaJKFBVavMrz0lOYeXkqm3vbWfXKvjZ+94cSJZ 9TDsUvjDMKZXHwFAzwK7nhOuXuTH+9d58FhOD5eiVNh+fK9CcgBjyukEFmzHscjyruXtnkLTXsuT oijpJmjuN70xBn9+2BV5irkU/OuKWDWlMB2RfgaHapnxSyo7zRVxxis49ukpuLNJ1s7ji77L/dTE mTrjcY5HXt4vDeB7oS5i+fEe2a6LfcqvEqSmt8XCWDroZ/bkupJ72Pr2eCByTkKXOQpIsYHF8tAr tk7zknMoCXuaTXBLhBUxs2NpN9M5JjwR4Z7XRg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block NKEjLZYiJaqqJwb18oJboUejfSUhI+6vzir2f5HId9W1ziOyN9LZR++H42q6BiYK2U428nLl5Lky 4TLGC9M0JZ0xEDxJ9SKHUJw2Gl/Zu5LEFsCCJZg+i8VSJAyK4PAVmrnAmI8EYKR3vznPP4B3bUlv reDyy+qk1hRxNWOJInk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ONTtN+n3/ERcBK1z2CmF6C7QoGfPZH1LcV7U8HP1kejEhHEyxDRl/n2mwutGMCSU8evlK2qZJZi+ 7T5De2aIA8zfIHudu6wFZ2AIjayHKK1aSg/b6QPhGTXJKd4Z8eEYnoMvtKYHxd9MsBJAEQREQU5h +teTx+TPlHpYJcXT9IehrikiRdS+M5CDb4Q8TsD4pP8KQ8SDZQ2J0W0HqcXoL8lD8SmfanXdNfxu Q+zoQAU5Lk3LEvylNsCshNmPvidYUoMpp4FZFXMTMCm0LNfPskPP1cj9a84KHC0HR/TsCCrEisVQ XBAv1zV2H94s6E9WOcmk66JGszufyVHAWJaaHQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 21824) `protect data_block 6LFSsers+VcL6egmrABxNRjB0HEtDqTi5R2mViMNUn4FK38Yr2IpKupfUiNI2CIOY8Wce0JeGLnm ckeskYHhbc/tGquZaAHF53F6gDhcVrof0Th680/oLFkgmsb/OdXcccxbn17mluXCBhB0a2UkBiKB aPRkTWt28XQQCsu5B0wdgf35lji6gRJvEEHAinVjG5Z/+w/5chdJ/vpcbC8i7FcGX6dDaJX7Tlov 24Clu32jl7KIj2s0f1M79IWCLBcMng8z5+dqXMT6ckP8c4LQwk1bBHN/Q/knCOqMob9iXT2qVaii RZg9y29/MGWcC9GznElcHO30/5Q149IBFzFn2qAfrGXhU5EhSvmzSiW0KpKYzc3oe1nKqUfq6Wbp vZxnlpFX4B5Grqgn/jAUH0c7nslKUHVElLLRRaFa8u63oW2AtoTgC4zj7CMi0hPkMWLYBYjGrSRL xf7yEB17n0kqel23UGR7++NG4PhKPFMicVY+Gmfi9OqrJfc8ZbebeG7/QlzzszknmrsTfNPPuI/J WpadpWVZE7mBgObOQcGoI9178SN8rinp4FYhQFt5wf9ouLiOryLOOf46rm0/267ysnGFCOfpdBBc ft8Y4SqJrfvDhNkfFCXV5uu8nOuiFfAkWf9D0QZcYRat3BzbH3OztUeieV8jiPJ50D31F9LumCz0 zgEFcgW+aoEVy4fNu4n7j1vFQOyy5DdyI/W3Ny8YWQoasxDO/hLd64n0GHZQVVDilydJtDX7GkPm MjIXSkzde47MxbeozgvPUDQPR5tZXntXvxyoo9GIjLREV0e0TdzpTWcd0fVOukcrZ2ta15us+L0P Tjd9SD+nzgRj+7Ru2+Hp7Nk1PMbDFKVFw3OS2cINa3V/fXNcnLrQEVfx6jeKi7vn2M06bNbZ/VKv UuB20V8TM2f+bZDwotA7Ndf79eBa50uc1iaH2KvS1+P25WYchl0eftG7WzSqQz/0lmA7Y2ZX+3WF TZEokt+tCDiQZdnN2HYVyZ9lNlui1P1QY1vgITFRlvhyL17NW0QaSOl/mwxno6KQYeOrFgiugoI2 kznySSGGlESE0/tY2rg+82mpk89AzPADK/hNysnXE3/3wIiShs45qRz+OoTx75YOsrYCXRe6ACZd rPeRyJAEJsqnMtCdqEOMdpufCH1kBBaX++oiiAhkR0LI69UPafvKmXIRk4MhOqlxi2jaFKVyEe+s 17ohuQZmCHl090PWKox3HbX3Vp1RljWAA5XDgnfNebZeymJpvQ/6mL1Dy9Lf2PF7/lwyL2G1Ml+h gPE099HwpA/VqrbkW8XyJ6lpt0VFuClckCpj1ZsF5p61p6exrMnD1/5bbjpyczQT5SSqiVTVI2Mh WIIsTBh37yn+h0hMtida0LnyB9hm5L8+v85MyLMBHqZiFP1fcpuJpNoHJWDWtnhpcHXOXcuLjwUR 4IyXPlLig20v/ijL+G4stJ0WVH9UGTpXyJUSSxP3WnDU7/zCeEymzGMDUk/26+cwOlAqz6lazlbw cozkSB/+sIzObdNvx62trCl++b1YZsS1gA6RKwKeSvUZQF1ItksaP9jmO1BvYBY6rj6ILEh3Vv01 g0n5219TVzqECs0F3r0wcuyNw0EfD597dUvqp8SSDft9Ak5po+x6G6lBq+Xxt6yfkyc/spolb/zy xt3Vv0SMPPVrBhWz+Idy3eTuqUWHRJpp+i7VVE/8LE0Q/KDRn9VKsANSpK37e7QPsfiGXQAfydsi m4McKLjGOkJks8SoPwhvAkpcgNE38SPau2d2YKHpnfqcE4c1znjzNqLXgK77Lv1vOO2RceaKWbMU XZtLf1KqHmj98Sj4TB1F2jWPVgmXIHF4PrsguaCBfR49KfeqeBKVVl5yz5rp4a0iaNwyahce+42W ZSrHpe2VMh4sKZJ1k9Wa0r1LW/mpb4tiRFeKQHu4bsHQM1eRksOs4vVe4KF7Y1uPMGmWOg3j9FHh ez3/RwArWZnTtkZMhD3ojYuPlsuHVqZKwWiKrbq0/1wQO9OwuOb2WbXLpzG5wwvqnUykE0vCbYLb csbr8EoYbKVZPjL/a2ckZw5sYWIgl4dbudGmoP+rSq1GiKOLp1rr4jLRiXU77wjNUVJR5OdNPsz9 LLYikWK004Qi6+Kmpbxr9f20XuN2TRUrtwwbv0hH+5C7trgmpVI4A58ZS/2b713Y6+6ctL3nX/Db nbLHUDCpE9NLHcnhKDlGutNPLugt1V0h78gd9XXkZ1fasIwjYBR3zPjo1vA+Z9Ug5NFpQ/PZGV8k r63ZundjefB8JAF28S0Z12lawKQbgxT+W3/cSHFJYMgjGaWMqnUrnSgvrAyAefzU1x4YrNg+PyUD NHLlQTFwFk/ndaGj0AR4+CQXs/FNSh5G8Qx8aiWgPUEmhCbK99cdHO75G5woR/kntKtPRhglg6wI M/t7JmG7EvIkyfbyJc1HrU2C6ZaVbNObbYMDjG7bna0uT/6/EUY7WhiP9lkYwKMSFLxlfVaWnP7p eel5T34Ib0gD9rBHIuVThiFbJDD0RcLRxgW8ocZRtoh/fI9jdT7Ow5lFkkLKpfQ3aWP4LUKT4stO F7W8S8dcRMGmqNNorLfEIXs/kqqXDCP1gdkrv//EKNJwt9SoHrbORWUQ65J/Tfwo34jwzl1+YF19 TBjD8nebIVGlfBnJkFtzMj2fynT8NQ55PildvXHsSOMzYPEBZXrVk67DP+mnv/LYlSoCjNZ0cAUz Py1FroTqIoiZv31GkOKEZuy9iNhkBqoXSgK5E1NP1sQv/KaflTh2k+pKUxxeWDpgAQbCh1cS7ZWZ yV+8XIKItpf0C2eQT0CPpd52yMg7/owkoaa4/9xToSxfjzda0qQ/w5v6zWrE/pCTdohVTjroQyjK AEHRDz9Iq8CnnAsK0Tbev/Y5tHjsWg/q/4IPNrNIG+c8qQZ4QcDje1N/cSghFfNMjIon5IXh6dZe L3k3RhxQWsHD1Qaq/u9jxVG4hlkDge+xJPrxK+srHB268R9YJ8q6D8pHhrB4jn3ck192qcEd4Ic8 +7OIUepiSVC+bxKng1UIlr9fVotDE8UMvcS1SQKfGsCCnc8HJENLH92G9HzGFE3eJ+N/dGyoD6KE d82FDWQQpCZmC+v7qKXMCXE2hUxOpzVofkfLhvfnsuax5WDP+/IMkONLbx1fq2TTrLDJyzbejmGy vY4IB0E9RYQwRtiSfETI4WSMYAbiPzQNfKALKwsSBEVUSVAuIZWGL4aSoPJeDaWbUH9CUYAm1kWj RKSaMRLWvm9OKJoAlbt7VwHxe/Ke/1S6jtZdaaWLoukxjTMszl998K+DgbaCAqLJIpqRFhEgdkjI c/PDEECpEE8OEQEJ8ULHGA+pEXLshtuI2jBHGtSZwDyshM/pB7kIol1Xozlz8Hrp0u8SpPs3R8FT bptXSMrQRqvoGUra9/XcV89iaC/tEA3EeGb+1QulBPylvNFNqLVOXIDtwmcQAn864dltloJEFncd GyQonIDUYVOq9ufuxS55GptwUHo/Qzhn8hYhrOWrk82ZbBKmDlRz9POgFv6CGDu8Ld+JIxOUSa1W /ex/mWEXlnY+rZnCGHbZG6XW8484U+ryCAYEVGyBTBGfjcINmjL3C4aWjLPE9cQpGxgNZwHWa5zV Gq5JRsQxrumDfYFaSpqEfSPW0EzbhddUzY9ebTjAHbcbFhFvgKlltTX5QLRHF4sGPrPXsTHySKxs IMwal9p5aDpb8sSZChNY/F/Ce/C1GPy7YSxN5oPV63i3UvxIpYLs90+bTx0Dkx3pWTmRM+pa8f+l kQ73QQ1IMg1AAk2ept16AUf/sP33c9h2HNLFrc+zNl9CuCccZv7nRJ9MrkzlYOvs7R6f071sbKm7 C9FgdhvgZsIn5HJWVSog6p338VLgYM2/Q97Xy1gNRn3vhXZ/ApJODsO4VhHU5jQztLlSkAQ0Q2WC rp0zmEiXGo09qxkDW87/rQh5KcD5/voURz0ZAbZp7qOn4lnBicrGMkV75hXv0Cgc9eGUdRMzTDRk qu8JGVuWLk7EbrxT7eH8qw/S30fVnEhm947QdlmAkQL9ZwfTvjGHEU1ia1/3tA3R7rZFJvCQ2gFg HqQ6hz7dvkbe3i9YFroJ+AthQUjytY44DMl73TWo0YiW7b1m9pAwldxzBtCt+cv9uSUNNUu74kqI 5+JKCNaPye3g6t+KKZo1NDj3VXtudlw0cOjp9frx95IAewf6bEVsTuiN+Umr7dnWTzDjo6rvl3gg uSiIo34CJUzBZX8gcpADKN0D0EExDbDyZ4z2ve11rwGM+hYb7t4fEH1jm74TyxGcQQ3Fn2JCZ4UV m4KetWI4R94z/ctOw3co6Dy0h5iEaw5wcnukp3krpcfh1kqt/D76gOtxacLPZ3I6nYtI6+9SLsF0 rcq4tjLfecvLDjH6uc3vJ7nEbUZB9PGnUBb0blYgRWcEEIx2abbrYkHloRTZACvwkXaTmnvxftOu 1sbXMx7yQs2GMkvigvxBdTSppdwBcohvGKm5Nfm4FSxJGWAOuhEfvXLGCgi9bIYmvx+SuAM4V5Cm RvnewSnnZcfpv8CTGE1IJKaGpLpje4T8I2n3vNbelEyPhNOxnomTzhxb4GgvqYI2GDlH1qkz3DNE KzFShO8kU2vYqjHYcwybHMA+vXnI9Vx8VIi+6lGRYe4EqOO/CM+le4z9B4Z5UB5cPRUBkj4n9ZhB K7Sc00nELdt/8ntbkE9YwLRHQ5IVCuX9ZJdPedgkhJQrqrDm7XvVuT+YqkQm62Lp6ei/5ICGC66Y N6z56uSAJWgTd8lfx2wPY9vHXLftp5oJE94mxaNoTkoEkOB5KaJxf9yJgdMRcIWASJAIal9ELxD/ KHyd/KGwwzACQiCr348jjAKQIr3dqquJzz0mni12tCFYvS6mpNhmelWo5E0LuCHfZxk44wjHpBFf JVMLMmAV7NLy+geUJ+PZ/INOjzOd9uE62DOQVRmnf1yqOGlxscIKlrYpfncSvHnc807R5JM4yZad XG2y+ylIeub5PuC8zMFF/PoKiErrERQZZA7Ol/XyDezAqmFVvb3f5uE+KykSbzgHiFmICwqrNOn8 lQF0Bg+zUE4fbxBxQ/X4SDjnExs0gvxitfvz1AGBE0Ou1wXxlMTLX4JT/c+9ZfAyqM0yhzZGIjwB d82KpbVmvPx18b0dhi2B05DFED12E8AObYeml4encFcFBlyqCnA/TuH59uqYkEEdm3bBvmCh/cTE 4bnGZ+S3D5+GyYygzx/B3uuOV1upu/BOk4s5XajOhNIBUISa7D6J8wbINLCpbZBHqICeOQCFA2QE vgrVqrbfLexdj4LVFC4oxkUy3hHp5DXyorF0AC2k5iyRg8Ca1YIqm0fuICuB4e/SUdpcpjQe46bH xrOTwNijVZnU3mWzstStoE7RFSAluQMr7Vy+nATpghF9VW663gJYEKyalOzY3aOIuDxk8hKtdL+C DnH01j3C4JPGTGxo7FTPpe+lFDIJ/wvfrAJJL30S51c/Kzc30X0YXDGujr4/4ZuZoBN1T7VGT/lK XsVlo9fdmXg4n64A23q4rSd+hm9qAQrKxrtIdtm88Nc793QcJ2Al54try11irfuxJFkyOck4FsUn cTRl5AFO1lC2DQGvCc/O9KMkULxgFQDmXeIfYjmjvrY9a5POZVs0GMl8reKxHAW1R6Ajmpuge+fz xqFPdqfcSlQyWeklVvyFi4w0F4lAwbIuB5pwaeSGg00bLQMns/QWXj54MUd+/PHvXRYbF0tPXG/P 1mT3B3tQtgSSRVJSey4tcSsBp2pEbN3UjRHlAfKJQs/Ib/lCNk5fBmWQn10G0mSDWFy7H59aFBZ4 HyoygbFX9D1tbScIMKtrFP41cN3iTWTrsLh0rnvT58hsq1WfCuj5jWVe0DhzJWtvX2hxlmDWrvLl UDD8iAAYMo4InIkKpxHIOas4Gfvwj4ogIodTtf0qXJpW9ufWH10dbOFL/E9syiuRyQ2cznYCHX9S wRmpsze6R+zS4vZx9kRGbRNtK95uIIelmekMup8xmyDvltQEmSF3stjMQnjd0HvbanVTFwdJxnfh 3wBTt7CTeVZ1y23EtydxkqQsKWme+te4Vj6pPYaM4/z7WFBDBMai6nxoSE1b+iLlt/CgqSS/LUfU +5+y7t2Qrk8IZaH3GUaopxH1TT03JpgepHSvrUwLUlmjyoj5xGvYWt3XKzABd37oQ9ihbuXV5ve7 t5iHiKsHc+WmQNB3XNwqBwHuKciu5AxQn5u2zZMd5eWtEy9m9e4Ec/Ib1bOOq5B7ratdo4a6zX+C 3nj9/p+9Mv/y+MPyTrI1h3qj7FuZmTqgLxylRZaZOoVkfSmrTglR1bRR109MwR6R1C/t3l5RxL3E pC3K9usB4bNrWElX+Ccvs/w67h/VPmEBi6i1SfiYQEE2dIjeEEDCaUXCtPttHmG0EYHPWVHGtoR/ kYH2mQRNUBIccNy9wpghll8xhMedIrPelnwUSV7aDaTVEnLuewkMykEHnhwUg5bo0WBSNYmEc6Ne ccPc3fs2DLO8nfyf0wO0LZBtF6hbTJVN9fmMfJdW9tM59kVysfNNgBuUQsQi9r+P2CVteXAKhnPM R4CKIczK+FSzeFwoB8NlAYJt6o/M7O3Wskpg9RJnzmpfd1c2vorvV3NSVXtR3uJ+LiNezm4BU6HM RjGUb/f5eratJ6K+tZH/nynQsRu+7azQIWZ47evSJqEWO0aNUv2mMFx6QvZvZRry0+hLJA9NyFnr vU27X4GdV8V4Kxwkx/YLMyFXjOgMUb9YZp1Shf2FyczSWnTyUxXRUkeG0aZZz4PxhAvHqdGqWfHw xfsP31KrPME85eZMJROVPnVZ7pM6ZQrDnPJYbXprHfwppTYJkxP+GAu4E3foO+rYRUluJIDIes6o x74k7acLaBjamgUrPqBTh5JABKRPH4wcwFmZ0dgxQf0EZA7X6iNI67qyIcWShkuJg/ACxvQ6v7Gi 4tB+hhWgvcnP7IVBGlBxSTpSwf7qe2UAJ9rUqXy6hg8fHUK/Ii2kii1Q66kSbTzb9EKydyHTE3CJ LxCzXhPMh9O6+qbGX0ktOVy221hakXTaP2LAxfwFFaoP54aUyyEHqaPtLaKr7+sUdBpDzl1EURUa 67oPASqf3A4VzG3K29fHidRsJePzmEPJRehndMsStNEiiN7UxTOjlBvBs8UAZ8kgfiXsJDXvNXIe Ep9I0mX/EISHSeDJ5A6yVg1Om+xOJGTKHiGmbJeFVIUDwkc40l8TyKgYcCgeCly/m1wtIZYdcAxO qW2pE9SHrljvYrhg1BqhS1MAPu4S7oElmxhRHBCN4zk8JnUNaO8mcFOhHxr+wy9HI9bqNBO4Ckdu ZEailD/91CtUPa5E4eAjmkMVkGEIQBfpOhafei5HKN6M6Rw7nMn8XNXZOmdUJY5BLjMhaL75kTqm TIYUgaa3nWGzPDwg1PKqKXgSutxbJ6wnryJjYYVfMnGSucf1euzIoqO0Kp3vCPgBTDEtDJqvQtxZ KMSn3C1T3IbyoClF7X0gcyzSUIgmdn4+fU3WVhzOhkvLqOTJZF99hc9/X0R/DblEGDcFfNh+z6Zr 53D4ggxFv4VotrG8CdXP2O+w0msdMOkD6v18rfLyy16WwicH2JGSZAHPKypr6O/OQGwK820ePmun N7JNwf0HbmMq0uCqKTNoohgAj+rYkXtKWhWMlNadNOS5vmjfNpnfJLJoRFBBwFLyAMuIvoT8bsig 8WVSWSZIwlv0drBjAK36nNPz3R2OZoLvE7GcR9KP9icDBjE4pTk/NsSoIgoJ1w3OGPZ8CLCqquHT yIFfTDVsKtpofGtoUl1mkQhuaMW9cXiutV8D34WxqQStxGokYZW73UBGITEytefsdLzxwX9A5O5Z WlMZDzDCWJ3WBuRVyMAYws1+jOD0/xPj9O76XH3IWJyVSTaq9kedQyMeqeB65CIDGTWqQXUy9CbA XnHHPnytPYPn5n9nymCh/Y6A9863WsR4sJ54lCi1NVRp23ogtmvFQobpDzwUCiaY60yfQIufUhvQ cKlcLsniBpXf7WrLbqmvfwBTSb8pD5vUu3QHVt0bv6P+u1QwskUIIEVROs7Eklhif6xuvQpstZD4 hurPouTQfXEWhLVgma2CKdIDxJ69Z5zaucMZG4Z021ChWzxpBzUH7uZVwcU1DPSJXH2vlC1zczMF MMCgvF01pFBZ7j+jLIJnyZlaeZM5WZsh/o+p7+xSOamHL8Gl6RDlHyVE6XtPCWf1q+ubFDZoxVFh SszxCfAv0dTpscQvDLqk9Fg2tgwL9iZDZ/wSlnr+7CovQqYgjuX9+r6mqnQZqIdmMPXbMG6w1pHs bDu9KFLaHm/He0uAuOaDfWJkrrh9+G7fMSKIipyxUHlmK/9xwZyXH27NEcKOcZjzGz7AsKLh2hXo DB68KRymQgx6XQWBVwwc1eftypa8L95T10X8bjxTKjJwSnSae+AO7UpIgBXD5Ma0FFaMQ7EyBCzV 5/NPehGvLZ1HWn7m2+wn6OHcgRF8Ju16Q/AGVz8S1sHxqrWiBQG1aX6FTH8GZbZmLCYp/ktXCuBI ivK8oQAwP8slWeu0CmRtfQHcORU5SpYoJtFB31xVNj0RztZ7cAzdWcT1wgjJ1NnjfOLEAduJlXlc 9JfFKrhwmh6GsKe8k9pGtjfupX42q/dE0waJGZ4BHmxpRnxyby0T0LYvixtahRHQoPcTmV1wGGvd uW+gjlA76IMHYNpLa1qpxrhve6YBzXwZ/fZoAUrH+nPU1dQvR+uPGa0umywkM0BdjJS1Y2cSDcIm TjVj/fQQ2Z9RDYYCYlLnFsTSssMj2wHuFLaJUKOeXz6PXuZispAEGQgoqn3jl/iQZsJA2DDUVMHZ DO9G58zKWcGj6XR3mnrH4H7/tpdiXu0MkPcaZRkErQ+ExThw7fiJq/20JSzrvpt4LH53K6epEfwf P34M3ZhngBPsi0BYZWnvfkxlEjgBkeE9lf3OJhH6ZF0Qo9mia1oYoRIEefWMgO/pt+mBevDWb0It PLbGe0SmEawzfESF2PqBK72GGKj3N5n89+gbWqKoOA0vOW1IzXETiE4Oa3rlEGW1WjgvrfkpXStf /QGO4uFS8eLxRJCpGP4g5zw73YhqJ0D8PSZMpNN4XJDdi7wwFteLbL44/zHTM31VrJRxCIVC+dk4 S1pY0C9ZanAoHMUpGyxxVghMMFCRrhpy8ut6cc1WfEptUWR7imL/7nlo8RgvRRZpQ7B633a+WipO apKynDO/JO6LFiJYH7AUSi9z60hwqk8riB7PGyVcUZdtLfPXJngUaU+AtcW4Wg6ZVWzcSeQKZDOk QBoggDdWCU3Km2BBE3KKbKJbFr8O6ccZFwHHVoohHVU2V89WImZZM6sSDQUbZhMVE0N5+mbksc5u oDfjUVXPZRKAN+5Gh916Stdlcxm06rJxOlbJfGvUVexWUt6445jxATF3GGVtuywvgqF2HtzyYFwA wE2P/6etvd3nh+CfZaMUlrZQ8Q5iHG1hIpS45wZVkwBdC4fXXOWg0/VOXY89eqsNuwYLgoQL9RWK WdB74t1OglR8NAfzWs2MOcmlSmoRaAIpaKQF7c1d1vKw27R+VjvDJ5aKwfYgIeu1atq7pzcdyhii yASMOykMwsP04FXNPnF4A+aUC5gLjM7028zXIS8fQo5R1cFUvTQrIIsmYVK3tgr5Xz6NAf3p5lJh 0QqApubaXCDKl/LKL8Oj56Fy++WM1cfoYobM4TpcpUUTmSnxdZqownW//Ecx3jcQp5KpL8WZgQWt Q/1hCMrYfim66r3ZxjuPaGkDobJpKNiSsCm/pIPqddu9FEElDbPpA5FFG+JmBp+8sifW8K1aYMVw bY12ugryA/Zi6D4Dbj0eeWUXHQKA1UEA7tVVTOxDPnjRTT/sVrRCqLn7tr+N30fHHE6/yYEHYLzs yLh6a3ET1jfuEmWSyXbMpvG87ShRw/zOFjSh+pQD97rCHpwHjlwms0TZh3VhjiukLIhVWHASfuZz 6DHA1ie97W9+Wxv80CY2DpAbYKD51oalWm6xHuVY3qS5fOWBUFn3HAR2GlJ2QVtfXGm3wZNSQDZz P/AYCLI3k4ofLYE2WVl0RfnXDYCA2+UgtGuTzIrtAK6erp22HzvjWtjCaUmyW7Zxa6nIRT2b8lUf SlCzbT00rSBSN4AmohtJorEVowLR9glmP5WUM0dWBtFNNpcTNwUq/0C75uJsXi3kWLEeU7epYsYp +cDLdpMf2+Pr7w71hlYRh9xtST/fySHajdNVGwZNjdhLzrssxYcNMX9XUUz40h4uduvFaKUy88C6 FM93M1KdgDm9t9d8F7T0D2v3Y9RMGdiOSS1SVWbbEOQ8hLaybmhxbjWtNhlN4QDn+j30SqwtzGpK UNv7ZZsxLgqyG/Ypdzdkgu5UGSEwfZs9ECrIhP16DdZ+S4dm+Ih77PEHRHhxWA2IqgNjVasfE1/n VUdkMYeb6tpp/C3Rf2mioqIMZzfcczXSP65Nh4a7iqXFiTHd6JBDRCusYGetBFjA8+ojWMOmSZA2 bPDGLUlEqPtUUycYI4juaBmXtmRtJ+UWmbELU8wIrBPuH2VurHSGScqn0NPEyAiRQMKhQXnWtPPK YgmKTnwrL350pL04kGJ7DOJrVozifj8u4LnzUvXNBBUvIcf28b+CtIhXuFPm6MT8N7G7ZqidafzK ZDXr2/K5dBcdGL+EUeK5+DFSQL4DsmuEx9aD4qtbawP46K+08WaQbQxQQmb6J+S3KvZjmjoenEVG 7rB6TRiSOC7yIo/w5ZQ6ozYHi/P0xQkaKWnv7LGsngGfd5m6TciRY477x+mfhfMsWY9q11XuYNtJ VeqoU+93xS76nWH39p7RaatLTvj0HSMiO6fjfg5IWLFK50LQH/LXW30bAjmHMeNd1Wf+5YYmxc1D VVyuAbfrlYu06rqGWhhUbWDjQPSYuuY5OX1mQLkC6yDi746514DZPJCJJA5Pp6ylMEAhji9fDTHl +6HsmGwxyZP2OCYiiQBCWFCWfkBDavcjvxPG8cQ0DoN6bwyFJycmy4xtDMJISLjgHB6Ql9IAkRuy 8qwo9OgdmcGj776K7t1k+SJ5FXbyBToavoLP898qd3q6HSDN71F5fBUSQAI12RYNTFp3Gp86UkM0 lG2QcF8aEYipmuFEi2aZv17NzDFPUkgc4v4LMyQnJnrsZTbetB+/LSQj9WB58NNrbAKJquhNNJRJ +vZD2fDTOJNIN8GchwLBaSpnNZsnXPMEDUaH0zVJHpb2C9jGxoIpCKKLG27VojxduR0aectIFPHi c85ET+z4QC47b0nsPZJ5DjhXTnZbP3UJ1FSabedzpVYMznWUU5xNQARtlJy+puh8Sl1jbekYhWJg YM0VJV18MunMYcJQoV5L43Dj3kdNV6mtd62QNyPy+tD2Sq0x9Bl9+28tWmkdE/RUYFX3UTq2PeVL NNCKc96agDZbnGP9H/NUyWBilqT8MdEDex45wHwdbv22NsTKdv8W/sJa/6sJ6pI4J/ClUKDo+2VE FutNZpz1c2yiv6miSfUCluJoV1ywOOFZ2ECP8mMGIBkFEbn4GAV5r8uqnZUsWR5KTBxcQ0S5Fojv Ro+fKC5h27JTL3TEwZDs7BJIjIKPGOJgraOm/wQONVryKWOIYJQbw7vVF6rQL/L8K5El+ERMz+tM WS0ARRRKlOG6pSEslFQBKZV3L0IHUn1RYwAyW8t6vVmYc+kwwstdJbtDiM8yDsG6yPSEMIor4tis EBgNeUXSfD/oUru+7bXWsNrm79+6t25teO4QRGMaPiKUBIi5/0+GG3DXa0FanH+VNVl4vEotbaFV CgdmwthNkqrll4XRvuw1xPVnNTFiLzTOpEU0oL7ZXjgFqhf3ibpi3Au2LsaZgQcJ1V5C5wntIc+9 ZUunJR4GVZGbl2NkWW6S14gkXSJrZTbFMWeUzEMN/pZFNG9KWI5L1Ni3qEA162sOJCDrefPWTmWx GgwmIiOxBaOEKIu3Bu4R+dBwFyy4MXvmJAh84qfMKqHrAJ/oFjR1FmCZrDawLvyu6lraIsomzufp H/qZHgUVVeS1sONTtpvykx0ZFx4N+1Ga7x+UwCLPhtRzKVdR02u1WZMN3Svj1dUvGtEfVrbmI2DB oWQL+5D/Ij5ucgaq+tPXcgPFHZYTWCHiQC5BDxrjp0xb1F+0zxr46FrGKuEcLLP1j4heQfQCQOV5 igbQCPAKhu9XhGjXlt2sT8bpY2KGHfaTovM5L0WtNmdcf3XZw/hgiYz/lKtg9iAqHjbmvxa19WZS 00kxHEWHr1/vzP+iB4zrA2WNrHnPq3cagdc/YNZPZ8Ve8/Lui/Ske6albV4lRwfqwDHCaoEE+ykj E8hEqDkp/yHf080TeenbTPDD4Tfj08hCl0qmX6a654pJl0zi261KxGMzMWRUs8EQJNLlel8OY49E +OhayI1RpLBOYH2Shpq6ZPiztkhookGhG5t959U/6x8Lv1WmC6zBDPoY4Em24rU7i/OYVB6rK2fi 8wlm4d/gX4H6aW1wa5H/MoLAQt+4iNa515d4WmuMiZfNt7n8hGb/Df3YfBcWqvLjhQIGN+KXM5FP 70Q1A/TedAxpKAESnF4+RHcjZ5ghVxkIAz55NtHTM2MkkIhBbEsKVjOst4oPxb0FaVrUK5EthBzg aVpaiP/UHA++A+kwDyA+hjnNJlEZBUrvPgnhbEgjisj//jkB276Kagd28A8rHlWkltTkFWmvt/7M T4ZwLZc5ARjAqHV25deiXveWzHM0a5e7Y1CczIrrMrXn6QtSxesNu52pbl4wvs0oAXwreeYqeRlf 0TnUC+dWoeCRixPQqxlQhAL8zsJZ2vS2x+VmY+5RwV+3+VwSqykO2pZ/ydbjEL0FlJ57nyuc8WKW pc8fwvY2qkb84Ct9Sf4zW7hDCfLRe1SXhsPWpStPyiNMOYvU+P9mGlZImaFYXyBrOfUCM2FWtD8t Bc9/jIOOPeMEGtvuauAJHrdyR8JXgWWEviIayYfayZYwi2AsS1dmhadRQGX1TmH3z6wzQud7swNV wAd/01+jfsUZzbHmBVnhePL0U+xHMae9uw9PmHb9bfkwVJGtkb94l5LbFWXrYwjrBhlmsYQZu5BE ZXdXP/adFdtx243mQSZhhT7297nuTHWvWVQo20W1dEynvrNGyPr2KITRLPuKMoBCERRYLx47xlQA 6ZFoF8Ot/zZhtxhWYj+itgyojBEV3BHVXPf5daDdFzzyS+HUOQ8EccRQvOWSwEjDreIwQv1d69S+ RhcSZH/3x0gOwcTRxKD+BovW2iRSwXf3rTRzzFk0ygJ+J5n17GB3unwaPzaOCWbt3q3BuqGD0Wl3 QnnMepbuk+AqbvRPD+T4cGr2tRpOAqaOLGUolD1JjjiF8YLlCnXT5MXZRexzetcLojixuL6bA3i3 VyXR+7eipWfJ2vJadjTHzLi+UeHJzZ/ZgwN4E7X/+YDlYMT6FocMltePc23jt5ToOQNvrYrB9M6k aGUfFeHh0Epwjn6rzh5Zveadf2LS1vYKPaJNS+KsF8zwiZHBTa/ufiQnBJ8Xihwbu9H/XKiCJmWc JF9Kp3/g8BH6w0rRJmSErCB6aA0G6TNoi96mLXUPhBOBJLNjqc7gEho+nbEaYUdGknf5IlmJ83m4 xm9EnM0VwZlQqUN4Ntyi14oU2a0A/wwggcmZIlaWIYDe27Hyv0h0b5keFy6T76UsW7/hq66pAXCB NM1aFFUX+iR0nYaAFyXqQw5e23+OiI534EzrI9qbR2EjYbjeJ6eG/5GGbsPFPrUpNiFRqmfey837 5AUqWOnLDpP4lwJHsqHLyqblwFgplo2NCGJiqv0C7k/xAOb9K0EWM+8GeyFWOfxpo5frdol+0/yO U/I6YYXstTVrQ50UVcC1zP5sOuLuC9TnY0n2AQ39ZKAK8ZKxro7cVE9+RmosQTKOWEV0LZdS0GuA 62RDTz7MfkQWXGa3ffUeFgBf0tVDwnsdiGhIFRVtHjz34/MZhZNKUNKa6Oc5ybbM7DkrGMtzfY/v HglqWrNyTHAeHcY7XzEmGxQLRH11v6FWqx1RCxeyNx4rqnpU+MJ2w6Ia9XZ6zo2WXzHmfmX1Rg+e ZlX/lFUlX7KplXCzhNvub0L0c5vPoK29hjI4KqMqYuMyGd97NymCjEWKVjbY67bpKdAYIB7gcbrV bQm1uT7piFkOBvSEmkKT8ppuL4+qFj2zfcetMIHF4YGWY0sei5snFg46s1cIUHbLuW4TInFDN6gy QJriwym/98sZVjW3JUlUUdm67phQCKDNDdCtnmZdyW5OunpuFWi2v7WrNSdN+B3M26UQtJDsk+Ar FudsXmrtBcS5qgAf6BY1fAKAkDb8WIrec80cVfeuCbuEcinnw9aMf6kPu2R3/ZqNQOtr2Oyg1Pw+ liDD5L7I5Pza7UYLA3Pk201WvOxhVAqzLox28OjsdbRIz12u773TDdynkch2pk+WWSd/vOE3KXlR mPJHnyAlFlyPKWoIF+Cs331oQnJ9jmNDsCpEGSCUIZLx0KCP1qDTYM5hzv8cU6oN0brWnZW0yc5e 0irYclicVH6vEviw/xavi/n15Tu+oDYrewkqGGxXspYVXlsPfUQwaaKVWYLSmL4PBl+gxy7hSNVK 0QhZaICh4hZ8KIAm2h8qLBnx+XYvNziJVrOkRGtZBvU1QVvZIX4AjvviFIvWrOko/wFH9rda/Fts WuHS5AVLiu/mQ1DnTXTPQB3WEZY/bBtsAFQ/Wg390QdmTnefw9dPXzn1EiwvexPAhJEwjreOD0UG WrEa/BbCrYNwcudPtykq4SuvE/unz+WLamMgIRtL3FXO63D3E/AQCfLc250+vhOIuzRdQLPE9ayQ +NpK/hSkdMJIeoiKk6Mne7DOaABsabonXSxdteQznK9wHcNvZbjqvkfOl0DWG2DBcIdjyQEbtszw 0ZFQLXNWOfU2CoQQG1Cphzf6skFdqM8wNJXbYS/u/+d0XVgT1LCfR6mWcDYelQ+5LQyR//xais9r zmAVWzaNYY0gMUIItrgvWG3IS9Q5l5Q2IxvanRP2NJSB8qJ9TFR6nja6Hj3W6hbJpearoMroTpvR b7sI6HcAl8GpmTCP9YLH+CuGVJn0561CO5zgO2IiOK0hVSAjl65WYowNZpNmFIwaxqeJcWcbX+vH KXfpp1pMmpVP3QsjVFum9WA0PcCzPJ0jFFvwUiSX7/6mTO4YJ1b6M1qzeCIAtcShtoq34todwhTV lChlM/MUydfsCt360LTLojqJsRWiHzCDkLx+ENZZ4VCSu7urJDCsWTeJnApMBvFjJ2o86c8kmuOi dU95HHZSNrRHeegtivpgA4qRDBhasygGtfu28QtGl5OWZHfaD8wbBq9HJdiCKMejmAzltfaUUQ0f 1jVwqIDinK98wwpuWNkJSS/MrqMzffUBdFbskW9VIK+GJKqrQb+ZbFMbJsUWvuymiuePFJM3B+iG 9r+KOi1T05jJO3IhvlT1uP4TgNSWkwHZ2DJmdczGnl4Xb5Mxrz0Q6jbYV1hRbPNyV5K3nUDEhvH2 nkxifjuJ/s4P4aQ9iEJlvp9mE8yI7VOE6v1/yyzHOOuMFbOoRtpeHcUZRzrCM58QMDWHy4uzMBFq 54UJUvdXeHqcSqGH+5Bbnwtv+eTny/dYiOAXFvN+NG4/CW9cJhBU07M1H+BB0TyV0b3Mv2x26Syo A2QlXXHBqAuTVy1XDvLauO2sHVG73xJTVmtmHsnWOH/yIdTWcrweq5pfcZ1+dCpWNjb7bDJRG9Oc Ewsgx6vOKgApGDtnRek/Id0MPWsQBxpnMYRD6SOv6UTug9i3VyyYfQY3H7t3ymgIxu/W87HJBjxG io4I2CrVQgliPbnX4BASQTjcj6zEz2QqTkv5Hmk+EAMm4vvir/Gwk55tqVApqDRWbFRbU8Xx5NqQ Iq533IsRyY3ig4yxlbLilTDNJEVU9SSoDd77cLsocOJiYu8jxuUZj6so19qsheRKS2JbfWrYlztd HTH+0/vz9JPuuvvk8JcqV06Vri0q1jUXdWmuHqUgPttHQt8x6wE7NDTSHigev9FELDkptOmtLrml KMsaKl0d/v70EeGWl4j5pP6s+PWaiQTSmhFFMf0CoDIr1q2EDolxc+6nCvm619t52Se6cIBzfBmo ht3SAg9pDEht0xGagi+GtxnMzlDlBqXx4+y2ciZ38FVtqbWOn8drQAqFujPMzb0OaBzg0VFLJDI/ AlHB5uc+iG/oPAImAJc1KHDtjhPogH31UArmsTWt6fd+665G0rPSM8pASMDC1iVQJ4t9MN670sEn ZnAueWM7bgbd25nuub6S26Less+pBQVwVPoxj3Gsy0Uan2tzW3MPR7IRHEW5yP0Rb5RZ91Kffg8T iH+qHNcZkEc5JS5xiLVuv4fE38Z0oND6L1E5qfrxZ1UE0GMZADYdPDZx9lmF1fVYEsUtmWO1+dy8 BBWAmFFV5AQmdNtuoWogFzh+TTlbmR0kEvkbox0HE7oo+8VNuhF74gxn1Ea3/yTVJVpAGwmF8hiK IuEbSJGq1HnlI4JMwwQ6digebOAii2xQxSHqu2sCVQ/09yMxoUS4m9NjGyWT3d9JDJLPgEL2tRen o9szRKsU1GS2ooqX9En92BC3dGb0Wx4yirMNYbut3aWUYSzxrsvwTZyfM5DHJvZSK9EsYjnbokKk LFtgQlTL+W0x/kswEb11olZz8AOO69DcAWEDd6efO8SxrPsnVFsVhjnzEwA3tKW761DpfuF74sMT jnA/FYX6lAl6+/iSft0CagDBisOQA+HRo0z9zKcW3+DLgou4586UHbGxT3k/puoF4W3N6E0FJOo/ SdQzDwbvaxtpkbqa1vY9MrE5+zLrkg3bWCq1Vnwe4EDpmzwTJb4flTh4ZYZZtFbSgzGExdC4EwTH oCg2jGrH+vvJEcfX7JxOVFovTNS81hFwD3qnwilJ/jWeUwcffWMyutReEN1oNCJcXejUomIskbhw LP4zUXSjEI1EQw/PSsxpE8zkpVK8nLTJvv+DFsPddG64RRRL6uycH6mYbf/0LsaKvpQENNbRM9SA UXl12aiClxxudf10FED2t61u4SE1oYFL39aJOO8WQv0NkBH/tnNSEm+Q7wo3Lv9lv0NR/MZDhJgw rAg7RZVGQcVd+vvHW44Jw38jtlKw9JR/z2z4y+y1+Y/lVyTBIY60DsxSwH0EPLg+HjmGIZy58s8X JRDWoLfmyHnXeZ/XHSRrlask8LfXytGiuYbHtEE6W9s/HyQCj3R7tRuFLxH90m4P0X8cjsGCpeYX ToMw+3//iy2b+qQ2q6uHkzUcWrVPjlhksx4RCqOP83fUR+Xm5vIQA0OhxQ3tJJsvfanqLkW1bUT1 1QI+O37h1JZQPojJWD1LIQUSx8mTE4AMNzv7fP3/YAgiWheWyVEZzxXP3vrkU/w4Qm+Re8UjBTrp 0Z7WiJhzsrULH00LxhXDRyrmI3q25UZDxTxfCQsKDxsV9aacnY/lvofk5j4KIA9GcZhoGPYZIWHx 8+6mFyc9kVhYkKVjtY/QVl21OVkcTpj9BehIXGmOvH+Qo8Q8MdV/tgxoXTZHJ7r3ugEz38dtZOkj H0hpSN841K1MYU3vmtjoiNG3XKCeFcBW6pVOGweWlRcDMtVm4F7HQeSKzPSiUfnhJHKthRgZCko5 g5cihkGOyW/ewDpi2OI147eUXj8sy5V54jFujQG8H2C3h21MA8TssQW7EbDCG1SFt+J+rmEqUMoL T2cCUOXEjGKj0yAPLhCn9iupFUKEuM2ofA6AayhR6RxvNs9epOETdkddpUWo8+rXwzI8bZ6Rdrf0 MjSfFdN9HDfyLYUV1l6KjX1R2eUoBIvW5u9v/THViWW6hOnDzT0BnrKfA0SFeHxnFu8R6zuiO2Vu 5UvzC6QXpJ+pvBiCGuh74Q6ohOP7YPX+2kBgh3C0+kw4e7sj0TLKueVw3jwz+g4JPsIIJWYRyoEI oG0keq6lhHQgJ8OsGQ9riHyn7I8CPvN6mNwt7pPgPpNUYpuP4cwT4oa4eMx/B0acctEcjHHWZs75 Ajz+C0QlcwsJLqnoeXWW3W7maAgBGonGRIfdP/cjNoItQmkA+eQNclDaIIl4fx0ouyPAOhFVuDNe SZorhvvA5r4BSWubOE4h9gOCH5LsT7AlhBw1nqfe/MhTD73sKilhJizt1ZZPmbiub3MbnaGlQHs3 1olhMtFx+lgGkLseqd3+3Pvj9TK0TSnRQSRFWqg37alUjaq4ENwKfQrghGVnyM723DZwB+2GmccE 7LgG+g9mBwHzquU8IyR0qG8XOqDq3XhHgSffygf/ymBoMbXP5mqpe2ICTuMnm6RT6O1NROD6ujV2 mHcHfqBHOWc3WfaQtIGQZdq2hwpP+AhZij1kx4aC0qd+cJuj0ooGmvF1dPNYc8x9U7N0J85TgnLm OetgpQvWpmpir1LA+iGxszpN9zXW/0m1HJfCvoYSqQPCMxXwBoQl9FRK7lqdPEaNe4e/lPLqiVuP Vz3E51lNvGZWFhWcD9IqjYpT2moPq3cwk90lfKoS2e9rvBSjAnMxYIvBhrcDiuJgsiolE+iQ08A+ n98tGymaVmyN87PRTZlSg/k+3Sl4FFmoponmIzAggau31aIbk6M7juZs3fOFHRv5d9OkeehpozK5 9FYJzGytg7igoDe3SNqe20mXNiPl+DpKyyXBZoRvKcJFjB2STWHMHonutpQO4SbK+btLEx53b5EW aQl/33GdidN6TJp2SBJnNa1CSDZG47cvQgZGtPZZhYpwLjq0iznTv5mUfOGGmp7Rqt73kleMERnU zvXFgvZCx0DynIjmnitV+4xrut27CGxyq1hKeiWEkNR1QUHe1HglTRjXpzjAsz5/q+TS+VB6JT0P jgnNjAuR8af3ng7G14n6/1WQiwD1ncyEyecr1XKdi0JXjGGYiPynJnYyOwO2aNUu7DTxIM7t2N/a TB2awrL4u/AZMHIWIRNDCswgDdO/qla6r2xuvHasQ7c4jTg4u7oVgkZTZGnSNd2qa7TQ2QUY9F81 +DWCQP0OTEV/HtUESmkFaazQuWaeyiVibNw0oOB6rM0fwbaOLSwUAzMyNjBTwasD3DovgJd+i86K UDqU1rurfrrdEPC0ekeIV6qEEmKWzQ0xeNBpCWEpkObm+GkkL+ME9usfr9LpRTDPNuAAUePxqFL6 fxyjWU4BHqYbU8wgTlaPG9AV3YqmTYJNIUYlUPKkH9RR5GnqMmOeZbePsw6pFO0aAcadNFg2yMh3 hgNK8w8NSYS5n0VNDIRlBpgzayaEIB7p6ZnccivtZD5NRn8dgIFBao+0bRXENLnRn81+R35DGZGR z6+D+qcUFE92/RFiS5ainiIFMTDEVJBIcZqBJz0VM4hrXZE7fbry0OOlaCo7H9csdBTd8vlO4wuk I+VVaeEbBExr3fLWaFgi7BJzEHFKROVoaWZah9i8gdrbHiqwMgn4NK+ff2GNdiYDgf1yDz58OjuR 3YDHWMlvnMJ4EdTAHS6duDx/VZaRBNtt5cGJRKVH/FpnPwV6AElEwgI5E7/qaDX8XEzl5kjXq9tw wwISYcTtG+fuGf7SIh00/p9jZ66onh2enL4TLd6BuCd9icdPEeHgeaPRRfQqiY68y5K74phIUa+P +7CG1tzTI+ANmWHPza6MsIAmtfWiOy+ibR0uVMs4Iz8Nd9o+cMZt1nhKnFrk59dLZy1b9ics0M8J JRe8A6tT4zRd52ybpEX/MapvJKLjPiHcqwsrMndw1Ozg5OGLpDgix8v/4QoM3LvYS5Z38Tqs3ig4 JNqR7TvRTcaCLTSYtlCo27mwYTgKn//S+6w2w3wO2XfTLswLxyNwSAShAExHJ0FpeXsibvzHFy9s OXwni9ohqnezj1LBhfdBPKboON0seuLLxV0kW0QOz5tU6k55ghgy2d9lCyN1Yiqta3O40QP6xI1o +xuQVYurDL4j47FuoIq30ZQ/OCh7OmK32kX6yVZ3SHn2+tzVIKykj+mMgQYiezQnvPSiHhEIV6E7 yVAQ9Gzn8ld2owbDE/wwL9vpL5UV4KZMP6JnMbt9wDgQthS9NN+GcHEShhRbHlDoCf6H4oPw8t1W iMOFFwqPxgLlvh27aQuaksny+ZvcxBQEAUwqyfFSfVrr4OK/1qXom1Yhzz6ODnl1BtPvPYBjaT4z 9S4PJOWouSJbNy6+oj/a0b44sNvDPV68vtaam9UAFvk8mjNXLIernYGKoJjdXyZXIICwmXt4HUMk ceoAuLT2vFrtGSiB2fZM3XNzJh7NWAZyF+Wpl28IWGHoFdCYSU3sLduq/5BqQnOx5lOoRP3sTsHv Ndyy38NML0AE/wDYOfF2ggzir5kT5wQ8AW2Kh+6wFbZF9e3lzMUnKXbH5G8Qh+oEogDdnLQpJAkl vyAW82ZDmzm/x8VbKos3yjsnK17rNQBrUqQAYsQ2ZCjZobkprZkAWPy0myIu0HuBkqBssWZE42il 1iKMsOa49ZSlkPuV1oUke9jVtYgKTMgHDveVThy+GmLDC1NIKslyCpuP8ivA7io+DD/mbBQsAXtv rCOCjUb7QlcrrrHZrdae1GOj54wOmJsCDTT9KWAUMbB1fEvVGbCHt3s+0k8+yxCyrEjegmxCwuCw iyb8jLnT312pCj2afm9iqybGYRmcIp1kREHGVkv3TmqWTAE3TmgPraQbHHtDAA3XqXsCbe7GClR5 nv5GQ0gHKQ5JIEcdIMNPCuuIneJf0xZbxTzxHfnsItQgWCvfeDPERiNhagf/wuFlK4j5aUI0/gnZ xKx4oqoXAlast0x9g57pJ3olPZT36IiYAfCulmrKptdlJysIldbrPAFVT1zybIDw3qoNa2LKPjBe JJcUdqYajKi4tz7MC0sOIlBFpxBTL/2EyutQ8rh1LD8bC2xpRJSoKmjfD7kzRPxomIQPT+wRFIkm vDp8e/AEqQqvOJnZety7iKB8zfUI3oGE/Y7+d9260LzIXBBd9BmE2G3Glo3k7SKh4fI65IYPseR1 PDpqU2gePFC+SXr6pmHIss3rPJUOBpuZMNVaWFu6Oe7Hgj5Bko5WiaNUgNKw9zr0yS8ZOGY9WhvF Z1KOKRqx06ZNrO1mQMKCBeI2ZAFUJ82vudJ+KSShVza2DLM4hfccS98sWFOpXTobcl+iVKX4NJCa CHVPIPWSDvoTa4GefvbkEeUGpBjc5jHR4a4d5jDt/e8Yll/kXEEVF3CU+x87F6w+DD8Ng/c3vFAy EafZzgZchSt5stk8EDnLPjZIhwRZeoXXLzPHuz30cSU0/G9f2+swVb/9FVRLoaztq4jdc5IMGAtd qZwbrDZE2j/LdRhyaExlfn2+duwsvbU4N6maTteppBy+I5yGBVPxtB7LSD1LlDFNwqgjTttHIfWM RtfVCPalnH++IUf33tGXZ2eFLsxYpgZbIQd3B5jdWZZuuU1gdt4Tjtvka9D5C6T6OlptyfY0oPH3 Cf25qGM2dAfJXezMUBdl6lwZVmTALn7i2XMy8vIyDQUAPywL1tPbDzzVfvEp7B23Poc2kd0BGiHy FeuRnPyB9YNJ5rHnoK/IUZsmlUovcYOm/qtqiccMIMjm068+itUMTvBF7GKfmPv4bnW1JOnwJmPf 00Y/E9xTGIkC5lw3x7ptNFA6DOYmAFX24HHKQFU6NxsILC5zs4MoxcOk2FdpwhyXFt2gIfUx5nMD Fwjt9bGE1v9gX6RII62nfftiGt78qWw0LSLLbIm85WDi+E6E6oyP+uh2XhfWNxwzP0+PW1HTb073 jBtAPVnRz6OTXSGsvoJ+IKGVrt7o7e1MAhjajbixk9uiJn1NULm9puK33gIiLAyhwOd7fDDRdPUP ttnHJJz4jvr7T47y8TGzH5hMct75lygd/RBDXHAiRNhIFsP1uW8qg9cR/WaYtMmBNaSpGGJsjvJv PLMLEPnKCHxHAKCGO0jengKbVFaM8WpAo8AaXgdQux/cvScTTWVHGXR88yGErefm0aYcMgQUptDx 2dB05JGujxiAGU4wT0KH7dFgtxEFT/7MxFn3k8ZBc1HqB2PQfy2t7a4/uo7hJgXVvjfn4FqfZ67i OwVEtJT5B76wgDAf43COEyG2Tq6ikNWZk4HfWSHtLS2arYzbNYKRYNMIGwUDev1P1iklS7Rsh2OP 1qJLGpemYrOWqH59nwJ6Vt1WtBQLah1cwTT0MyREM/JP7MsEWanOhCqy/JCF+GU1hy5DhfCK7qqb IbEoEkzIsYBaKxxXryQjjvVrm2GfznJQotLuwDUtqsD3DrDdck69uq3/HmIBB3M3M3ZGAdbVGN8u 5/1hh7RGR+SJT5YIYxFQxPG+YDziCIea2WmcZ6voh3tnesjPOxBwmE1gdt/8xwm5lGtHjpTXWQXy /YNQHcM6PAt1oKMDjVrPYpyrMLpi78S/FdiVknOv4BpmUBI3jQxycPs9wiZN2eERaw2nO2pgvy26 AJUPzEM5GIb8N70Rt0ku3n9X/e95I1gtfXvnVRlxpSvLTQIjmNGXGJsebtwms2EccuaRWlwHynKT wcDbKVHIdH2EGnUD/C2vHT3ehJzyT1e5C9rOm1cO7Uido6MKNASh02As3p7N02N02VtIHrAFDjxH woTmigisKxWJmaIWqnwEw/9ig4LDIVyITki7dOjBiWuxNEOGxoyiUV2b/XWpNIsTzz9bKQF/lsUo ao6AgMhSMbEzVURNGui7xOSIWPUwIQ6elCuirULcHVtjllVnt1LnmdkvHCDI71OQXIoUeDEZOXhy OqDc7RvRlR3wTkcHdgmn/2qrgyyFoh9U+DfFgE9SuxjIcLlvumDMGpABOvXd0lpnw27s+zNXXMGz vHP/I7NAY/ainodPtLUOuP753uH0KOY14ftZP10pTyO9z1zhR5UEk9aiW+Hm31Wwle9YyfZstTyh LiohRpnU6DqbrDOfvUUbgI3vnXMQU0snDIYJHbXwiV7fhtbgo6ipiatTEL8l0Eg+VVhRQ5/srmWQ J8OPbESzN10Pmgx2xx4/UYfWypIKDXZxbT3Tg10ftjNr4dcAQ8EUyxgJxHaLuCHSwD6Aibcm2vxX AQTAEAa4jO39Ca4Pqs2mEonBkZppWnzYyEDJOhFoNqzjXbd2K+EGbiUcgvjSgoHoNlWHnRWfB6Or rFxu57rvnqdLu8SkyoDG65BvMocEdHI/P1w9+FH7+K/W9G5ruSSV6zXxLXb0dgM7dsqzbgsCY9o8 uJkBkco06ls8hlvzJ0DNtVTtotmc3TXOxibVt5rDC3r58plDNx6dZOX4mWvPIruhv0Xj5jL+yvEy V2335n8TjIt5WqoWT9f2wx8H8DcIkEiEvpmTskHXj4wtsEycN/px8E2t/BZ0yRJdV0zCPWEfy06t 6PR2vSORwtJHJWRFUG5/K6svkMMdlfhr+l/KE4pmjbDtksEiIokuk0fsPoSIsLbBId0PnV3rGOVw 9NQiQuxsW2pdj3xEPP3P27oIQ0v3Cvjn8y7zWzTNI2i5/gcveZRQ2BY1YAWlNBE+B2X2Gk85BppH zk7Xutnr39pGyyWWxcEVf51CJnVUK1qblkbBsGQuzIEmzPbccsBVJleKiBFh212hx61vAkw6uK1I BTOvZqvUpA7AZp5FubbAkzwvMpvSzFUtb6iNeBCjqojCGPngyCItKzkn/EddPTPYDQkhTlAjFX8t /GkK8chp0kLU9zuwwAvlCbqiw7bjY+zHMguDYLtUvobbKoIIEilPg3+mR7/UNUEzvPRbwz0Fb1ig 60W7b6qm8f6uyNF5NfAdx9TlboQMB9fF+7L6gxvYer2p8N8HnLsnTI0qA58YqN2x/uhdli+tiXE6 /7HmqrmuHYvVKqCwliQju3zHN6dQrxlfc3Xz3wLxdkd3FgGNRMuDv7MJYzH9xwF22Np4bs8Vh+pt F4k2X2Vp8WT0/l8J6Zx7nKdYZK9JwOnuoxroGbLqBY3jQNZHCDjGpYapgfld8REDTpl/FJGyy72J usQBvm6ZP6SQTGXcyI3NItxYShx5NC/67uSWM3GdcknbcoAcbgLfzRPU6nnqcz3QBWyXuP8/Ti2p pk/P8D8zB6ZF9Ge8FCxTF3NmjXhGCYKMovtPYnKGa2wUZqYv/c3zDtpZcPnoqyUtd//0rNpiEetR G4gpFaWsWULPZeKQyC+5NPavTn8fIaLBI4T+7elM5obJCcDks1cfbHoFdupH28WPo8JrBH+IgeOc B2UcYVhGGgHgguMr+iU+NxEJXMtRCfpf8zpFuj+CQ1+wOW+F2YjArkpa8MfDI9X8wZ6r7z9P3S/D SCj3qZpCEfCZ1fWubA7Rpm1wRkM/pQrCe2b5yMN8mw+2YYMpKNgV6HQkAcJ7jrCiGnFy2PwMiCmB kVMno1nqfrnIDajYooNYhBbbJ2jnufMjeQia4hfWPxVjioxltQd7gxhCO2nh2TcmRXOap4WrFYZK stNTQm095vJeoVBJTE+GpqIvUVP6hJlfwmiOASMZon+SsmVjun4VBERmIzrHrxwqRsjHOYS64cW/ LhZAdg5rx3s98qjN+5tAdVY7kj8AkwWMWxzWDY2yyHNo4WoEUOLmSXtYZdpWgmIOVWoiYVd81JQ0 CYYE7vt6+P6g0egeosYiYDhSPgwyi1siTIfd4CyVO6hNTstDIlRCKkfdhSj9fAEWFAYfayZPUURT 4A6UWLayXu9ixtRL54nn0b/stYIqg1zQtCrhazRCcxxs0yWLG/oRRItQN1IEKidyVcaOfiiFEllc WC/qkn+3laCRcz0BsfrIIsip8EyV33TjLOriah/6z3llgyrwS5Nxs9EYUY9t0wvcc/hw4JEZNxtp 3eB4LfXfipHKz2mrBXmR1fayElE7SAClkcZ/wp/n76bCf3pdBRr5WwwNUSbjrrd9DKQYYKDXj3i2 Tlbyi8sd6TJdlB9hK/vKQkUqbd2zf3MEdPoV8LcBGDGVqiMyuwPyUVWDsDjaY/IQ8FEyBUTs2eRn JhG8K7oGSXk1oR6RfZyQY7OELezOCnivQpTukkuMDhhd4fl2gW1Y0KWxxR+H5CdvJ38bZMCdHnJy 3qlqVz13rACUhvuo7lb1H100YgieXvDM1EWiCBmy15E2idCg+NIsn54bLozVVm+4VeGh3Efr/Zl1 mKDwdketSdxjtQskR3yN6eYW9rJFND6qdzelC3vDqkOZo/2xT4FSmGKrVu++e5kaCUR8Vo1G4xGL +YjH9MPeohsdYbU83GE0wuKYyQukqHXNQiGnM4e8oOpmDk5dw8+FeoHyVpi1gaHJoSa3OjLC9OqB Zc47MS2/PtDqXqAFMzzQn7Y4phl/SaDAZiZORKOYJu8G0D9nR+qRWB8ZCCn22i6LBKx/wx9UQIzx u2Vugxbl6+ooPsH+fesMI8dPpP4tQhJrx5ZQusdQH/rp0A+11ZIrxRcwnukmEgbKFZviev8Vn90E OrG8Tll6Tu0Rg3KET3tpRNSIn4aoeN9BJo5jygYgBbwRaY77wqS0k0neOb0Ct8ZT941u0+mxzIFB keVBBVY0I5kopJnVTF44mtt9aFqC1hPb/O9dS9PAH3DVWur0gy2sr9wRb561LoU1n36PwQXuOHrm UWF+jYZkFSGKBc8M7gJgyVyxUdta0yWSCcZrtAA8FZ6SgheA3nXbeUbcjr0ca3U5+mcGw2sOdDiE PBcU/2WCnhF3V0NFyetXUCNje8n4XNBuAW2BIXzxbh9RUUQbtQXycdbKFg8iHvsO9vFNk6c1DR0K u/ezmqQDdt51vp1+F+4w9ImoZ5dwzg6HHDOJHFoijNbp9/A1zr3loeObffi8DBCvTqq4ojg3F1q7 sg7DC3eNtagnp2/OfGFc66EGsF5FR56oCglKe9bWZubU0BNj4cJPGweT/7asH9tDFyxzux5Zf0g2 afW0R36NKQCrn/6WNQG7FcDOrZwbrXlUqxqH2rtgILojeCyxObFBd0ooXTfZRdNl067NerjQhPet AQaD1X5zHhOY/p3EFhx5wOwX6HiX/osyyW5+DypErVR15On5u3KZTlyjaYb0n2yz+gq+VfbEifRF 8Q3VtlFRHtm8bVrNjNLAn2lr1SPgS81E4iUr+DydlZtPHQdKruDaOOql8vHEpPsK5CN+zVNZv0Gs WyJQi4H9jPj58aNyPzf4Myk2nSiRZujK9ZDMMCPm74TaQZ3/mDBSB21RPmZ7sVMO8Jj/Usw2LzXH 6/2vt3T2FkPg77+DRgWV9zv/jq9DOPDfvOI8tpeH94LwnU0VXyFYZyJ+3WOlkFgwbdJpO9ZcrBgu U7S2pi7jIAWWWtpB69n5ozUi5cxxPVrsbwypZFD410zBw5HGrzLIhvGFNI7ER59JWwG5PTKs9MzI siGnRJjfSrNu4UtEILueGwbSsvQxDRvayiZyDXrGTknsg8wvRad30ae520o/0Pgg+Sjx/SYe7up0 W7zGUQlqLQVzwG60cP7I4lTkhSjT3lo5FAm3R9MljiEZKEtj/jPVqIwfp0lk5vHB2lNlyn7KIXQL fI6eEh/Z74llYjP96oAOHDtygeyLuF7XVQkfOwyG2UZh5FU/NvMmHrz4MmXgxQmrfwHjiA2spWKb DcWT3j/MtnePCD5sr8+QKnTN5hW9xvP5illuipbQrP+mxnctK9t9p+ahLFCYrs0M0+qvM/dIBm1L zhI7Gu/MAR4ZdRI/uRM5lFHb0biYfPgY+qVBxUA06DWZTzY99KelKGuKugFo2RgCuYjnGUujRKN/ WKyRStAbBKTM7ABQfntEJYrGl38UD1tDr7770HFvf8saW9/RfosBw2tqWIFsamTLgc2LjyfljXgh 3DRrBAmeJWqG1bvwz8ozz2TQPpk03Sxn/6oRgOYfkbdvJ5yZNlQMiSGmbln5/DYdhlNTGDXuTsf3 6Y9VHE10h2hzMttFXxI+ezr3t9Cfwui+rKhB4HWCzA+f5smFailOPE+gJZAUFFusQE3PShdyta+Z GZoP53Vt2I1sFdrK8UoqqzUq5iNs4GdVoUkmQ2f3YszcuJy3dRBWEjxOkvRSOO/029Luh7ThX4b9 4qsnCTygK3tKjOYm2PPVAATysH0QE+f7KKkiWSiChLB8Dvp6QDdJ6Mc5ZnHddv0QlIKM5MWNvJjy hOurqz0SuGxfA8kwCQGWOPdcFL0NXKkncDTXeND8zyi2TkDxQwESydtoS6ZKt6ObX6byLvDH+sbl bj4xjGEopPJeaBfVbVu1zVyzThHCHWmE9pOe38mOKVJ7RC3VeKV2Uh+T1/iJB5VJ36x8ICWzqvdy QPPODfel9MgalIXOaomuPMKZrOz6PF6N01+RaWsV4e/aU0DOdVftjHJsEhw2XgnLx938glz3jKDH YgFBivUgZRuUZm1qP/mFukJSjWFpLSxrVuMnFbOd6oZ7krkl5tXTJ+a7fX7IWlZSFipjtSaYbXE8 AEpdixPqYU8RfJV4oy5omNI92w7e+pz6Fd5Y/xooG/WaWtVU3uZOjMPYNVlE+UbEmHMCADcyKFCU SB2eRN1MlDMEVZ1rXPpJc3JlCZSYy5ibUXsWrmUgyysmgVfOt1NL1uru1iJduD3cPUJMQzCHTBM7 zPfunmv04ToECeZCNrkf9tScwKDCcOZh9Puds3uR8WwDWKhB65LN1219wjHhBLP7qd+3oNDeUluK 1/ACfJZNcyI7S/tyWHXfCodLX9TMOIgIlmfAenTWOy7SxsGNS6mfQ+5rK7sU8+yNS9ElmKOIjCrM zywdbnsKGByN2e86Jlx5pZZgQJT8DKKF0+hr4Dk067GZDGIpZNe8iPlFKzYMPSlNxuDKXc+U3Hs4 tKgrnU7RNUYjhzlMNb96TL9glpmxIvHYqEivaY7qNY0/i3tsPSBprXzdr5O1dRx/pUxHkki5Gqi+ OJuW5xE4vfkgPJ5xUlJiKDDElsYUU60FnyZA5Y4cBgCLj+3GNopfE6qPDTh0S2IPRfMNIPy5UlpE ZoyMmFGxsKSMGOcB60vJs8sYN+h8qzhFweBOuYN04mEH/7PREztqNrCgu0oPHZnIqkrE8UxC1zDy ETT9hs+czXEhuu+pKqMuLET+D/Q28AFNfnJCElUTpeZRkF5LiaYFQzIKgaBybGWzWnQpMN9SGFb2 rMKQTg7MPsOaTY9K+ztmn2OHuerjziVkbLO6rTMLffHs3NF032B8ui9aE38Ci//73AFWUOCndQE/ lLeAO7/LyKiXcpdC7C1IvqUy25nHVy3VDazVK/t9UM2QdAIn3n4A8eYV8KKgGTIfp+T2PfRVPJi9 VInceHFsoSipVdVUrpV4Eda6D2B2/QXYDIiRnYgpzz3ARjz81R+7xBYMo/pfC02oddqpqTAX3ZHL e9k7VD8+3HxTYY/4APuA5siTDBtUJsxWV4UtMwudzGfOkEPKtnCLjqpE/7O0U22qCdxsdvGUKh4r soRuqQLPpXO2OvXcj6cj0zpgSrB4QR4HtVG3Hln3f/mO2rDVPd+jQBDwsfWnbE6qR1qcSfhGcbAH ElIqLOxeyOXqToVc2+tYS8m00HlkcIlGgRL+6oxIGKafYtYEa0+5VIhzWsGX3eui81hMflfPL6mA Bc/iQgLcCUaaJATEjusT7dS7N15QNPt7vJJcEzo3ipJ+jcc8knmTXn9xoY4h9+q5+J0J5j6bTxvK vfKelm1GqSklRfMLI5WPJJzdN3VtVlq1uxWTpLSk8BBHSSwaO5tCHcmpRKPkKZ7uXUN1JDv+qlGW KEXHC43qXNTTfqpYt0CgSe4xVJKbqcgeodwsECqR0VL3a0nvAP09BKL5c6Ms3ecd84z4p9UsZovp fAnFUz4/3k1c532K+8WAv2u2m+y1Pk3kowWMbYM8GuZVIB3G2dmjNKOHZaD0YBLDsigMpeCLTzBT RVax8heofH8C9UreS8UESwGXD/tiPUkhbSRBryEwWd5+PKOdIPrLqxPrcvi7WsigF+KG96h9oBOk MeBj9AoVlcTtoScEly8gBaHTDJKywRjHBmVuk4LjJgfYJ8dx5i0dL8JvBpcfRKs3o5jO/Kpx8shp ooERzJdXt/uPHsr/b+GknOoHeU84ukXlaEYNpysMDVzsoLQHwQZ4viT5naQTgONy3K0= `protect end_protected
apache-2.0
45d4abd1f208521cb879a8f185fba7f7
0.94653
1.837499
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/cntr_incr_decr_addn_f.vhd
15
11,429
------------------------------------------------------------------------------- -- $Id: cntr_incr_decr_addn_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- cntr_incr_decr_addn_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005 - 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: cntr_incr_decr_addn_f.vhd -- -- Description: This counter can increment, decrement or skip ahead -- by an arbitrary amount. -- -- If Reset is active, the value Cnt synchronously resets -- to all ones. (This reset value, different than the -- customary reset value of zero, caters to the original -- application of cntr_incr_decr_addn_f as the address -- counter for srl_fifo_rbu_f.) -- -- Otherwise, on each Clk, one is added to Cnt if Incr is -- asserted and one is subtracted if Decr is asserted. (If -- both are asserted, then there is no change to Cnt.) -- -- If Decr is not asserted, then the input value, -- Nm_to_add, is added. (Simultaneous assertion of Incr -- would add one more.) If Decr is asserted, then -- N_to_add, is ignored, i.e., it is possible to decrement -- by one or add N, but not both, and Decr overrides. -- -- The value that Cnt will take on at the next clock -- is available as Cnt_p1. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- cntr_incr_decr_addn_f.vhd -- ------------------------------------------------------------------------------- -- -- History: -- FLO 12/30/05 First Version. -- -- ~~~~~~ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- predecessor value by # clks: "*_p#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity cntr_incr_decr_addn_f is generic ( C_SIZE : natural; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Reset : in std_logic; -- Note: the counter resets to all ones! Incr : in std_logic; Decr : in std_logic; N_to_add : in std_logic_vector(C_SIZE-1 downto 0); Cnt : out std_logic_vector(C_SIZE-1 downto 0); Cnt_p1 : out std_logic_vector(C_SIZE-1 downto 0) ); end entity cntr_incr_decr_addn_f; ---( library proc_common_v4_0; library ieee; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std."+"; library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture imp of cntr_incr_decr_addn_f is use proc_common_v4_0.family_support; use family_support.all; -- primitives_type, primitive_array_type, supported constant COUNTER_PRIMS_AVAIL : boolean := supported(C_FAMILY, (u_MUXCY_L, u_XORCY, u_FDS)); signal cnt_i : std_logic_vector(Cnt'range); signal cnt_i_p1 : std_logic_vector(Cnt'range); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY_L port ( LO : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; component XORCY port ( O : out std_ulogic; CI : in std_ulogic; LI : in std_ulogic ); end component; component FDS generic ( INIT : bit := '1' ); port ( Q : out std_ulogic; C : in std_ulogic; D : in std_ulogic; S : in std_ulogic ); end component; begin -- architecture imp ---( STRUCTURAL_A_GEN : if COUNTER_PRIMS_AVAIL = true generate signal hsum_A : std_logic_vector(Cnt'range); signal cry : std_logic_vector(Cnt'length downto 0); begin ---( cry(0) <= Incr; Addr_Counters : for I in cnt_i'range generate hsum_A(I) <= ((Decr or N_to_add(i)) xor cnt_i(I)); MUXCY_L_I : component MUXCY_L port map ( DI => cnt_i(I), CI => cry(I), S => hsum_A(I), LO => cry(I+1)); XORCY_I : component XORCY port map ( LI => hsum_A(I), CI => cry(I), O => cnt_i_p1(I)); FDS_I : component FDS port map ( Q => cnt_i(I), C => Clk, D => cnt_i_p1(I), S => Reset); end generate Addr_Counters; ---) end generate STRUCTURAL_A_GEN; ---) ---( INFERRED_GEN : if COUNTER_PRIMS_AVAIL = false generate -- CNT_I_P1_PROC : process( cnt_i, N_to_add, Decr, Incr ) is -- function qual_n_to_add(N_to_add : std_logic_vector; Decr : std_logic ) return UNSIGNED is variable r: UNSIGNED(N_to_add'range); begin for i in r'range loop r(i) := N_to_add(i) or Decr; end loop; return r; end; -- function to_singleton_unsigned(s : std_logic) return unsigned is variable r : unsigned(0 to 0) := (others => s); begin return r; end; -- begin cnt_i_p1 <= std_logic_vector( UNSIGNED(cnt_i) + qual_n_to_add(N_to_add, Decr) + to_singleton_unsigned(Incr) ); end process; -- CNT_I_PROC : process(Clk) is begin if Clk'event and Clk = '1' then if Reset = '1' then cnt_i <= (others => '1'); else cnt_i <= cnt_i_p1; end if; end if; end process; -- end generate INFERRED_GEN; ---) Cnt <= cnt_i; Cnt_p1 <= cnt_i_p1; end architecture imp; ---)
apache-2.0
5da3cfaa6e0c7186172442eebd58c72c
0.436959
4.748234
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mux4_8.vhd
1
14,692
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Y2W5VaU14m9gh9Sb3a1Uscb77msIEsGYtjFatp4m3H4QN/hekv+GyvWEc5pH5+f/Ln0dYzGPpza4 XReFS62SDg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DkOJQJ9qGCxKvw1od412loPTUns0yboySLZ4raqcSuy/L6mUAjvxrUNAxTCF2vGn4gOCXr8HsehK ANXE1CVr2ZYxsJdaR5qGYgeMUbJbmLWicVEGQTgaAP+FqPrw7N6n1Db2QTRJCmZxacx5Y8JcY8lq 45pWenxLYtqd8rZgLhA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block qVd+Q376u0PhGq+dpd8eWDXEcRnOXaR0/CDYPKBkCEkfKEt6P4jsQ6m82xFSn8/MeBR36PKkU/MG 6BtXXHtaDCNDX3sopgG1VA8P13nKXtNONbfmkhZH+YP6kWRa7n6z2pQTk624Bn3VAexfupOF/cWo kUJNcjKfkV0pOuOMupEpREvsW9p5JF07ZKc5zHcASve8MB30sv2/Yn2sZD26AY8VXl9jesUmDFIO OyRzw75kpvS8+CAMh6PVZzB5DXmA3SYS5DhdcfBkGrUI5Cx1F6laKEbJsbPXetyXMTvO8yellf4b d2qjRZMWntKNYjajLWFfrNAKMuOeM5fpJHufnQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zwwL+rkgCMHgDpbAnSbxL0/K0Gr10vYX4MZbiDASWoHmu6CsebHadkhP8mkMZaRhxGXPagXTBBKN nkyhnGB9X7PLV30WBxDzgWCuqARZUSAdFpbFkNrGJXTUd+bLkKU77uHu5NIuRODrZhp1D3fYXAla INsyPWDBIVFdL61rVtw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block eBgdcHTMnEdfY+wzS88qVwjGH3DoUufMi2levtqGJPvpWZsDFgv753eEJsnLm7/8PUQwfDJrEjiD BhltYHFIQDEdp4jo9tfLiinei9W9VAIWYJ03YxOTjOCopk41d9aWpI8LKStlJsJlOp8kE0PB7yLS z+zUi7B0lEdw+xE188RcbaIEKiz2zxR2cl8jJjlpleNzoR5CTglNTdXNUXJv5OUMlBjrq8bxmypo PAQ7M3+FLOLcAbWsxPD2vopa0xs/URHHo29HfC+c3sJQsX/j7xyeeWWxOSiOqShGw4qZAcUrKAsM Fz9VZszXKRIntCp1yekT2PS4oKF7AX3TRBTnRg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9136) `protect data_block U5zRoGqludVuTGggichS0HWAeNDOtwE6y4iMZ01NxR+FlbbxAbh8ia8p0T5XcvZg2uI0vYhpC1JL kw0qXCKU839TJRfMeiHnXF6/LKpKIGnWpR5xbGiMFqyh3pPMvF+q9b4lZkAPficDsBOnPiWW28Ul j40SmbkqvLnURPyDjPKljB/OjoTe/EWOausullrbDvSb+WFstlndbAwKZiS0GbjYqwmgHROm9EHP EWwyMitNSHOWaT1QAC3bPzTM8JdOn22GTcLaJyUO2YIzlocn+g6os/7gTVqLnLO52+y3jAbzQqxz EZ//DzkilkiH6HU8QWiqgONOUeh/+ScgByOuNgeNNTpXWx56bhI7o2AOYudWQZeT+NSO71ijEec2 FwAclpQm9ozozhye+VqsH1hn/VusYaL+dx87TVNUAuaWK/d0XE4iFtU5N/u70p6Pww56Zfh24boG scIjf1wwz1TpCOgxtwDGfD7hRTWWEk6O/9WzTWVQsbAlfUP5iaVNQF3BBEAO1FUYDc5Fm+O8xc3+ Svr4wGqq8isgSMxrx89cm/TtfltXn2QuXnbHQyCrr/o4oCkRz14PEpuvYN/pID9IZXQrS0Fo+cUZ w+yngQL3Qm2WQ2lpuHunyYUbgzRe1m7YDE65wNyWvmrK4mKuEzqair0KITe81bnCsa+76pzmz8ZQ JNMaHFJ/1zYXiPfemRAIpL1xhw3aE1CB0ifBoY5kW2Mbdj5bVHFrywlQpl8gWzxUKpDg301JKML9 zd7TcohwMc8UYWUjMup0B0J76mT/J/1aK8C/x1hljb6to/TwSZKIGturANtm26CdYDoLv75W8bQd R+j+y9f2gkRwrXw73N5SRiC6lCEb7OsWRLtRVKszUchnSicH/LW2kEu6NK8kveFxSnZiPbXZRCp6 gsaYDSjRz1WuDhA/9haIduAmvCOlY0QnT2Kt3NSPKLPpjVHrX9DPcb7OdBpDaX28ozgnePIJr74L 02XHof2CePY3aiB7r4E3Z0yeWVNMy7gSnnMapmEz8bM6deCn9wJliWdEC7i2fRyQh963xWmhONn2 4fHmrcxxV9Q8FwBbv3PJtJQFODm7pF76ujb488r5nXZZ8I0qcZmkgjlDYzXq1CG4MPldJXwPwDzh eZAczwTBXbYUxbMq+adVHww2MT1NlD/Hql+k4xQbBL3LBzrisN3oYkD4pdI1UMQzUpr4QBxxGv+t Gv4tRttStEAm8TuxtF9Iyyeh4ZPyoaAFUNcIwHe7CTRadFKwWQujGRQ8GxCrE/7LBRappDZco+9t xVFk0D+ejldMlgjhl3eT5WeBQwA0B8LN7XrfKkY6j3e11jUYUKTAUFRgMLEBrwgAWdb6H4UoVkvU 6lgC+mUwblLBsi3e4zgzm8zZ8WqAOMm6ihuHY+Z0lSMRLxWAderEUiyYkdr9W8q99E1fChi/OROb vvCSk7OadR0xh3TfS4TqAg9fjyKftQODBGkSjJv4VrIV8gBkfQmTL2XO8rX6onjr8c32QHGftTt0 U4OgYyQoPXB2cMG/cMlicrX6q0S4xe6Uv84sOdQmUB1dUCoT0Z+ysUXsMv8QAQoLvoiqioefwNyj dzceSN9Kolv0aI3xyoeIjUHRsyRgEAgM3BkN9jpfiF0QwFeV37prCDYZbcOUaQs022VX6bVYna08 5E1u2M6ST7+rSLlHC1QeyEgtkQJI/rguvLBXYHxmzFV2k+TFWVy0AFkLYptCExpe6SCNpwXmtE/S dpINHf5YUzcI1f9AOovupiCwCrDzJOyyXcgLkJpp1ukIAQ0215HpFXQCg9cC/t4YNslU6Qq8v0HW TtfCmkRMnaAzpiXK0P5h2Gml58lfCSDgPYOr6/Ci9Ew4tHZ1kvnYT/Laeh8tuUNUIby+LM7MKUYN ep6EofT9a9JGbtc1VjZvwx3Ofl7yINV8mSJTQnRtKdMGkNbbePo/1aDw9RDO/ewOxNnS7/nznJe4 zmrqCxzQewU9iycwpbKaunkzBqYG1B5ATZ86Ae5+XPILYY03cbLCMbV4YzTB51QaCQ1rnxrx6yGD JDbB8z1QMImaOLX4Zf5yZ8BnZhwRqysmh6lo8ewvZUYbpR1HJNtRqpjSZyP6JUGhiFlVt3Fl4+Go cXXmW61OABA/OaiZDsoANDB7WtiDOvWKzherxaTW988YPrYlau/gPKqn4wJndvQ5ahQsxR+BFWoM cb8F8XGiHzjCHCEQR5o4YjXGUMuzWmAbH9o5B4bUQ5bv7Z1ont9CVMyTefbyuEfEaVALFg/d3Udy VU776TZizSpxda8cEF7XDJPEI0Z21sJaoe1B11nP+Hx0zXXoA8eZPyiTZLF7V+k9cAjLHlLI3S2e YhQHhXSXaW9cov+fpjW7wG4EZWdEDLv6nrGVIUU+pcLTDJ4+dPeA9i4kNErL57Nps1D76l2JhfeI rBJRczueL/LxStR6lTyDlFj/6XLIrbEFZKSQP1ohAO7XXtCBCoiLpT3970u1KkGiQEnQZaoynP/w /paC1BEjKF/FvzAjVbrlibAywqggxTunUvzLoBGO41o8iXXDMoR9y/dhdKIeKrgPH0dm60Q9g21M G1vU8S6NptnY083f24JLXHvD2ye3qTND10ZNyy0NXWgDtfWlJQQSiGz8S4yu6Pv6XF4o13yGh7Xc +8sJy42PN1Y5V8kqnCNYW1d0giAFjCW1BnirGgsPUeTxF9Xnr8R3NkRreFgJJvBrWor28Gzl88T5 8j5kiNVhcE+SzKapV3ZSuUcMfiq5IiHZI3/uzoE1bwTvvZpzZdKRpxa7EQTzoHMU4fOaKOT5LKO6 N6/D0xOJGJ+Rr3ByJqfPBnwiEq2d6m1i55ew945b9ofwKPiPKtSS/iRrgKpP7SNxnKg72yWl5lo0 pAyhYrH8lNuJrlSxHziyURYC2Qo7XHsfQ5lPwNgZ5gC4KJkA5vBhAzyI1mVa87n9eb1JL3UTzqoT IynU7m5P24u0BNvt59qZG8kAfZTCHsXsJMaIx9gKTMLLcC0NFaqKlZizFpALnMBrs6KP0QtMU250 JFKRcz1LkRfoClYUS8I6Y36uindqdkRtbBY7Yu9+M21aa/2VX427FKO8R5Q4xM393ruPMcvr+APl bkwTelEAKWkrW/zxB9HWFkuIfjg+Hz26orQ0dArS5ahpDNXdPrjhe2t/D2ybnn1ZdV0/OPFlzgiE clBHFDJQaSBvJ76ac0wKLCmdz7cAsDT94F3FVMErf1K7OK5aZM9Iv+mfZiWntQqHeD29cndg0h2R Aly3QizKVuHOHFKfYHdAQ8kTCoPIs8H85fyHJOvf+Nto3jJ4dL37TnSxDgQSzzsjcs0ntZukvK5B GC+kAX+/wffg/yLKaj7rchrm9f6Xf0og4WvDsjU8T3z29Jo8vRNGwRsTSaSMze2sLGC9lTo4rCfc ZjRxn5BpY+7Nq2pKo8St4VWECRq1gdrdmvbpU+sT86p7wNNdKtXQTXFtNMgVBNkcYBnB02Gy/+F5 UwB2DLz/mJGluGLhLypG16a0iiSagjmiYhn048yidzON/Ykj32OABNwjXYgxsljl7XZFpEc6CkAT K5MWsJp5Z9IlRIrcTwGqbpifspgLtkiydT8HBM4EGZLlEQmacSJr64XlLYhfwf9rwvgGtenBfspU IjzCvWGR2qNlBJYdmr4wavCq07zEL6LuDBjnxueYVgpOU/iUIQco1hHArl4YgeMcdlKx0SsxRL2o JpWfK8Xj515zqU4GfCjZiehG3RJhTZYCeaCsOfDSIM/eXKwD5dTp+RBWi69zSH/VJJB3pbPP0Su5 4w4zwan8V1t3YLcmE+jUE2wBLCYcY1xHUMP0+mWkBN1lbC/TcuLHMkLt+G15RuboStFth6k0xQYj zo7hUp1xMclnxhrfSN/iBoIrxBx1e4DAYBtrDdzRbW3indJul474A5KA/lOJROSLHsrZ2x3uFCS8 OQ9fbDgW3TwBgbQ9qT5oucNpE/HYEmaLUsHOLW3XjX9Wd+eLSrzLmiaQmqZXA0OUv3mwQb8aVVQ5 JIfX8wTmQ37/elOsPZ+7xTq9tBS9ldADVjI9g26pQgKZmsYZ6LAt7vMSPq5r39bhgA/9EhV+Z+DU f8w3QA+snoYcOTXnHNfIKBx8lo1hwlxB5zSTe8scC3UHatVO/P3yAP4ce2k2WfKRH+eYTdZIUrQX reaSwxeaYkRrPJOHEBCXkl+Z9VrJNCdwI96uFfWiW7QeOiiuehpiSjOJtfqJ312yVlRIY/t3HfCW 595ZCW14KNQrnNuSZj25iez7UbEQJSALwMOQFVnJrJXlkEvuLM0FmdNS4z3xL44Lef/4AM4c1F8Z CxXO3cHAdUzQ7cjArJqH6AFfAzhkMf7cIJmTkuGcmAESxt6PSDcxzHwW14lOCQDF8adZpopDRbII qntKZzJSStGvnjvi4khZxadR5WP3gKGtE9Bm+wULUZBfCDis0zg1+bsSDz7ekeYLjMxmaGNK1LBU JDFe8QLNFQ1S3h505tDYoo9ixLC9zIqfnkJBhtuKfx6+LExCaebV7yNeQtkIDNsLbIEDuZYuFP/y n4vWhgjI0T6k7P4S9Zu5/HF/ueHvxR+LCHv33vIbj5VD1vZ63HAJ97cnOTOZzEKfKJsFfOcqS0A9 wvj//e2g9yI5x77/gba1RRaL/9IwRtnmRVj9UYwEnBCLyOA3imxxZ0Q2DAE9SvVFjUM6w9X39pGQ QrcXI8PazfGANm3WUqSJPLyAAcwWMva6FC+wf4ELeibXVYy++MwpHCtiZ5j8paO8cwklTAfDXDNA xwtfJtT9fpPacItr2wxt/Nf4O/L+KGjZaNR0VxKoUJQtXBioF1xl1bKbM81g08Qs1q5TAj+Y2hIG bsL6mmfKJ96blBs4qx7/MsjkGHTiKtykjhcB1nVa8+E+6fJb6ZBOOBS1WEN/EdqwaoFmChhN9Nga zmc9g69nBgpmTlMvMfvtl+wfvBodBL0M4soqZMxynRffKAEtyH0VrM2u757TLUM67NP+U3+PhuNT xHwix/gFsgKvrhF3a1Vcoc4I7PaglhMN3wx33eG3GTUhXF7eVIIwAAwFRxLFM6airFRZMf3qpYqo SHclAF3G+RJ4dp1JgaOGTNeWbxUvr0dSjsQpJ+avWKzTWyWRQjAukMeuABzqWkEmrwt/u6PMGoQL T/ihZ2WLTOYynd1aHz9yzalebT5nN7GpB/ynu26/co/8YUQKPZ5j/1THdLhD0ZnP+FSjFzeFTOlU KgLr8cDe2v0Rbt+LmP2Cc3SvoWxziUTlkSOsTmholXL12WuaaajjjeZQmvO2j+3512X2cqJxyKct uQkGJ55qav8KErhlVyLZzCyeHVwMqf33TAqUmhk9EVlR8UufGEbHZXTvJhc1zL3JS747OK3ejE2V u+ZyXYLwCK6CVTw0qfFYEhlS97cDDTNKmmVUAgfQGMRicvmKh0rmuQfmEkKPXExkhtsZS4uaskwS M8sojMyPGhgOxZ1cqTiluXvPX8dMCT32pNHQ6BOesNrHKfx2NDxQoQhpWeIRmdd+j/fRGEa7kHeL mnHNg9aFPLiNJYuIUpTVUNP+tGB3jxozbxP6fdrQIi+va2WUSEBBnZbqQKDxSfHipZxd3qmy43wf 0ABsVr3uC5Nw9CsiLlQC4/2lRMXiXIeE4ecKVB0Iv+ZLaUS01lE/AIl1k/oW6fQjsBD2Z0YXnvqb VdbMtnNJ2cfImwXoFJs2a3mFvhb+6WVDtOUDk79tU8juyukWROC1D7CuyK8yWU5c3zWiLBiiKqhc lxNxkDdfKeIbiSQyPuA6n0BDGNJxC6uYWYGTJOV24sttXRFGV07M7GB111dwV0JPJuc4CE2KN4rc ILixANQOYXTRgyWA3sbhyA9MT9FTIMPXvJUfftqXXGtD8FCAQ7awB3DDSW6fH2bjEY5o4AMuoQP/ LPB3NL7sjG9qE9FI/hFBdvgpy2zcxTwwH4viMpJtXsXGUhRplY+hUWZRGGMgQxpl8HzeyY+Oesmr UPxaIr672ESCGbuIpkVzMYCqcqi4UOkR1VcVNP4h0Y7YFtT+IgUovzw0uwEcKr9Z4zIxDQX3BOtf FFu+4ZZdtzMaBagW2DS+ZQKvr3iTFJi7+y309NzHqsflttybWcpLhhEhApY6tkoBqEHjIeiHISs5 8gjqjIBwrmM0qF1B1f7ArlvZ71z1u1LTFO3rBPwyjkXXcQ9is7vHrXA2QVekjW/EzrPal7qlV92c zGgV58wx3Lhgm4z+Bye1bdkY2iC/rMjNMKVA5f0EjBsibYdAigY1vzsR04VhS9xUlN9sNdx+WMEZ 9mm8ib3ePgwIehwEYWf5TzBZCvPOgLca69IcbX60Si+ak5uAzd+ccIA2MVof/D9rlt+3P1z6Ur+T GstDBOtJ2qADr8unAW1fV1yR7k0xPU80PqJ4+1YoyQNRg7JoM1Q9UCeHPawOQEgkRcIVsdsZwY1p ProbYq+k30QeF31jdfCvFbNLpg+8fmDo+bNTABEvLsjQvxrMFgW+tKSWBj23XAg4lhqo3AdDfvoH orFC18r5tfvlhds6dX2nTAtuTtuhEZ91Ar2kyM7zmx+fEhIDEGgwxBsBF+XQv9In5VbVCB17f/yZ Q3ezk+FFR2gvSLevQKPgLfZeozSHORHB5/Z1V34oMcJ9d6LErfM1pn1KgLDzn8Njwq5TiFkaWDI5 E6l26ljuF03nklk9wRCbZAOlhHCAT/SWWpxKYqcX0lcoLe5MrBtorv60Q1Oj+lWe/20RoCe1qb8l V85WfwwKcqy6HZNCkDI4tifMU8bYsDQeYbiZ3TJjWYw0+kuvTDilaIL6c7LH3i+DzAn9/Pg4RojE 4i+Q7sH7qkmXRB1owtmdQuW+iC/70dVw+tzwbZ2NWDKP4+VXIKmBgHBV35E6aaDIPIdej/mczFI+ QLC3xXY0wA3R74LNSGewY9vIlEE4/rTGmNW+Ydmcv3tT29pleq5YRI60If0ZrodGGBtWRlTe0WYy 0nhkThx97vPYKVQ0HSdUxOVMVG4Fwwp6PDioDvk7YyGFWy+YuoBi6sMJ2hAeFNB/DcKYLktggN/z 2qMBnrzgEeS+PBB+kEGa6I056zmSU2SGdmyro1E8zK6nFoBkOgs60YxydkuWLr1xiRJoCbdENdTZ ahYugHDen1uWUq+/8aW7hsabw9duu+0TqrSsYhDFKb4aZZw/Xba/j/ax+nuOWBfNE6+LugXf0UT1 Xf63OEDTdNSwSp32x2OBF18TH6DhC0ScZTalDG9GE1ae1kTUJUcAaOCCLTmEh16BoCITLurDsyw0 HbDCLxz4imudzs/Jg7Nn2tT4nLzLnyLA4ZNIgXGnPiIG2dTD+e5SrP4dyFoWCcYqLhdO0M15/8vv 5kZ1ISEYlpDdHL96ksWYj0nXcU8zmMwXxbjTl7+jf4IU4o5YCXmDxx42FO4XfzmsvwM0rZMZSFPT GP+jzLh1xhN8BxoOepjCwkxvtbPGtLxlTxof6EbZDR11Nfygj55KP10J9DdPWrMt9Pmsb4loJ/LA x3nyX6BrLhGkxcg2Oo5xSpNuwVA36h+SzYqmXAtBa6E7CuQ94Hfur8E824xdyZHBGLMrFx4HwlGK lRy5tlNIIAuGanb3mKcX3F6QYVq0ABtVzSkuhxAUWL/eF2GatbhWqYzRpstzHia5eeYFaulZe92y W4fogCros2AepSrZrcxxmrUznVJayiMzvZeayynvHfru5/GXWT9++nBd+GkvdAOFk/ERZs27etDx OZGqdgyJJEt0nGQ6SFVuV00Sx55lBR1xMxolXNf9osEYikRDdit1B0TZHVh0Qp7YUvhqaJff+ybS VFG+NPbhFKRtcAOJDCGMylNNcrNwADwxpq1oVl6ljU+334hXEw0tlPrtwloIIIeHSXqFRGgWrJaq tV0rKJ4Sktnky8yk01ykbIYZED7VZJJd1F6Dt9jsryEXS6SQ4jUxnD68lBG7DRxWS+KMlm4NgySb NeGzJgsBy/Cai+jnfPQhJj8kIjUzbGEroWOktHb4AOA6aHtc7GPEj8Gda71dVBcxmG9rtRNZS9L9 S+gjd0kkf7oJzKuJ/ihqvyrT0k2xxGsqQLIIS9M2Sd1CTAHAgT5LSEYNcC0wYxLfjsWtkoJR+IP5 uG6wpxM4PX1lLggT5jqaaRpbessp7MaPbgdChFWf4NXFAqDMj8uNbb97sKv86+4hbzkYI/OswFG8 e+SfGOutE1uXPTjoSwXkoaigsjyGQ+mc7pDEzLxsGGHIMW6snnzcymAI10UH0u26Lc3FJtcyrVzJ miAFtGX/NUpgfBxxVLh1Meccpg12kO9idnP5Tkm8zGS1aO+3iL7edD3NfQVsR6AuhUqDS2lxKd5z 4YE5UcKTA83SoTPNhz6a95f74ShBLfJTiB1b0itdx8OEi0H0sT0SN7ADvzSHQ3AvYKEewprLkwac tObcnkbM3pp9qrWFtKhfHSVy3VZoI0+4iAc++p3703Nd65u4EWNQn9Et2X9GCVtLdxPJef/UtCII UDGLwjhk217Aw2TrCyhha67q01aFkVkisYDlhxvd24/FPOnDAFrDt5t3qSJBB5X6Y0wgml4i3r49 VcJxpGZ5lD1P8ILLv6RFT4fVY884DWr+mstL2sqwUxFCtjyTdSiB61PPzchnmnTjENFvTxYQ2KNd xNkHB9v3KywRMWB4EwNLe9pm4T2r3ZhYxyhwFdkAwwN2kJmbgwTHnYWV7/IsbKZryAXSKuZCBqUa SEhX2NNk68omi1xQMyoDP40soSl3+vkb4ghS5lseya43fbMYBn3+rLvmSCn9XGqvymdUqh3RNJ8S 3D2Gh8MNF0vzu7jRmxfaIqWPO+ywXo+HIuO8jdrnLfMFtFp4z+wpys92VgGtekxni9p1IyM9bCT2 3Pw1rzkNgJ1lzkmXBbaRrXOXhSyMDJO3o3P0lRxQVmlyOPHCBB0Z0W3Qjh6TKaWgOGLrvTemY7Jx MjggtUikxbMkb+qhTBJWMzKS38JrVdiIAy2E/R689j0X6CzSvKgaaivcaDCSqaaK1DvxGUnPV7kQ Wjez7Y5jundSDrk9SOKonjS3e/VEYjeJUxuNTeudfTENqLJpzwu+ilvJDSolzTCtmJRD9jO/Aqat Gqrwh1VX0I729XYxOStbsu1ORjQmi2Nx+NryUC+09NIPu2fJ7NYquT5OTCi6T1vT2r4ygAe8CpSH EvSOA7ZrZKpUevjNJensSJto8KPxOs4KlzOFN74TB/sHV+21tEwOcRrrdA2AuRs4j8AMZ9bF7sb1 sgDEgOUse0fOihMznHGT/4VDaDCr4cWg2WG0yB/AQsvnnr4fnpt/HIKDok7E4fOPPCFvLssdu/di GEWh+EpngEkC0wBHsgoSJ7wMzLFykrIE1xwRy2/ylDO9qTAayYkzmvOjKeOXc7lrDldo6Ss/nVPE r6A+DMNh17kapK1wkML2oAT6h23I1AICBfKy8RVagAX1QEL+YTMREA8oZ1wTLpBQ117Z9npCKMIn cqX3YrtkXCtA1ahlixImCmrP/iKACm9Fyzhv5MRl50YAmfpXcXSNZX5WrDMOh+2Kpz7CZT+T2zl9 +9nAH3XT+H3mRYNH/DRFxPVRZiJnzoMcd52NXdHveeRuPcmR8Nt9Cw7hzMSLxqurRdQnOoxNZFbr Y2O+oQuN8lhOo79vVEbdmUWWnffxTVUC5d70fliCB6+8T3y0VWhqgAVXmMS4T8cj6tOjZ7/Pj7s8 M9S5MbXfX+h89vHuJBjsUklxJWtbCcDn8KI7NQEnhmbJuQcBtFQGBrjlsTBhH6wd7rodfdM7+5SD Iv8Za/vF/gt7Q2EM7PT7VueaLaREMftcQXDhPRJQc6nF1G4lgAhEXpaVNiBL1BU9aYLh6Tijj5sP gK9zCXe9mfYuKWlhh03+VMQW78fJX258+3mnob9jWx2UwstAZouwFz3wM7xIDSRakmdd6PiUoMed NTGwUsgf47LdloVfqvZxYnKb5dMxlBQZN2dgK8S2Dt/1db8FLVHGRlU7azfFx07tL5P3ZlHLGUh4 LgPzq+0mPuKK6KeF5BvmZ9fp4yeXDoLyagCXaOdPpfKdLnhlIqxpREbHObKuCIL7+Q05puVamYbH alKn84vg+hpMCaJzQ8mF//1WlHV1cZWkihD0r2+YfEeVM9ZniEvo9qGK0t675Tsjtl+0FOMr9QBJ p2zHUJ9/Gh3GGBXLMz3Vaecq63HQGF2AsbMAImm5IQLSqGPOzBH7q1GZhGbpnE70lTfG60f9A54d STIzk31x8PaLoatRxHQpOuHxxZcs2mi8U5U2YC7B592VWBtFIY0iuC51xu7KST5LFRBp0X7UbZOR Y6YobXqYSG97BW8Cv4EBXjMDOB+ngkCmTEcwESQh2//wJ0VnuWUiQ3vqR3obVGU14AGpNfKjgFba +GG2WUoHOQ/LV3tE9zJS9T7kybv3x3xg41cuA3V6FJos8gJhwHVz+EstF3zxWIrzt/tlpDGvZWOh KdDQpUz9+lbfHal2EaobwNkg2I01QBKDEMwbpuo/s55R5PDUi4UUbLq85dxasCNhezSWw0gm5Wqc WUi8p/MbzLQXfN/bBOp25EVQATZMcbvxg7ndyYPqPn0SK6Qmrr/k24gMFqfe+IZBIzVBF1HWhfkD cHJtgufqFNu3QcT8bkMyNjiUixzyevhRPg6cTXZDdtqHyaHYfqLfPKgPmYe37iGujD0FcTSjV4s/ IZLGWwBdC91CUUaMkURDxYPufoJqIbyDIbNTZOVAUwFZrZbPFLtAWnFqL89OJjLmTr3DenQUUALh 3MDM267zWKe5xf8GICvs2TaBzPJzWuJKJXiq0PB3/1oQTNkRNVCAKDcE6kGfd1x5FdT1rToLApi2 AXq5iVr+U3XI8PXqNp8+i4a36rGdmUCki8d9h4CMuGyyX+7kFf1XkHWNd0tPS2uYcZsFsJ2tkIEt X2rsf2q4vZm1RE6ebFiUVqKSNvdD8LWNxuC/JGZrtUwR9hEhU/WRZlkjtz2k+3RCTgpk5MlvMJF6 Y/3/JyiMwhNMuYSEV/UmZDTCIVH2g5KUIvgnL3v1MEfY73IGmWQi/OsAJ+371+gJhihGuX7SHdWd IoQqf2w5B+uSWe+Wh388krl02MXm615X7hbPB+4gZbSfb0NM2xAoB62AZyVPg0928CeHgsQT6lB7 mgHNSS2560F0a2yGOzQ6nSp3iOIpG8MBjBRxuQrN5uEgR3Tx26O+yRf+BoOOWkvHJfmeMBAIQGne RfuzbkwouMLR0tMtPJI5yTBZC2r6BcezWAXEIBSRFUbGmqfvNbyiahF+N8k9JPiVh2cs/37OoQAF QCtO/iPwgcAoaEoTLxcPRhi6ihtN1VPimDXaNyFYdB1Lob+YZ2WYZebgyufTme/aRTnWcxasVikE DG682kylc7BPKvr6BjaAF3Ro34LYPKiOwOzRyOXkUiewSz3/F6eDXy7djujlvbBlUtVCtK3Tc1De bfWMqN5oNx9ZoYruwFCfo+BtzA8N1w3zWhrVroR1SJ7gtPy+dZRmaC0ttQJE5tfAVhBXv1BB8IJ+ fu9kDVCYy/mC66fMQZbdiUbIhPHouw58j2A0+tJpt2NSJQY+pn9dDPKm0WqZu1miuKFoiHSG+szA l/1JEpE480o7Mnf7BraYSRIOEhu/xpcSRhsiWSfSnC34JE5mNLstEBZUMIYeBfKmxjQRG9GK/h8q vVBmdqpTFHTXrdMzFEhvjpZZIkIvrF3D2ZM3pTwFKsqZGtVf3wHxBaZkajXeHwPMqNiibhepwu5w hrHFkH15vFCrYq3wi3WB+9tUa3UpHQPxwylalle7OPJOLuxGM4WlFYc/YAlWotVDaGNRJqVjETA5 QV8wCoGh3J7HCKb3ePGt2NbDKdJ0Nk1dAmg4+NM1RHcQJzHorn0auHwER6jvfruKanK3Ar/bGlfR CFbYxNtg2swb9IMCxQHQ468B55tPXysmqnTWzOGK4EnM66Nm8FTfoIL56k/HMMDu0zkUQkvTkBIm ITZQwrtvJWLk47FRVVjJwNTG0zmsV81jOcXkez0pzPHjBGbvBfDN9I8V6sN0ZWln4EpPvQbn2DTr qrjhxva7NFmEJhh4+9fv+0gE3zqUWbqANFqNVVjjycodPyOcvHkJNSUtD+l5bmpi3/e1ahhkaGJB 3jQtZKsvwLBkXcmru+tYlQ== `protect end_protected
apache-2.0
c92a6db39648135176f966962c327d8a
0.936156
1.881419
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/parity.vhd
1
20,484
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Yd2WkZ4sMl5ie7mH0Ydu5PTXVpUPAt2In3oQCLXnfWaqwlFKLYyY8i+GSFQF1eU7/cLozTmfnXn9 lSUAGJLFAA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jb3DdLUKI+H6XhzhqalfwV8F5+po8eARBgXBY+kagfqGFMcI2qxGm+Lm+dIRQ9KWx66kEaL42MC9 CVzN+MUDMrHasItF30ZsfT+AcBXN+cQwx+mODBv3XesuY3lmFbEC7BoPTPHV2wAH/VCMkDqHxP2D qyYfnkhLKdTfm4+1hGI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ppOt/dGEsP2jTbOgIZNbyz4T0Kun/qyLlBD+dm4jRiCFXCZcuDurTTLTuw2QMXiewTPRphtRgFD9 jUY83asPmBkH3GGg2NJEOaNI6kY6VXbNUCP2xub0KRKOLM8Q68/vUzYssb8K5PM3I/uKFyzY8MeM KvoNmhQtOQl9fGeCM+kQUrWQZjiQCnE3OF4tzGHe7+a7Gb1V4FMJQsttxQuLXJtMJJJWesNlVC+Q 22rZkVIluLKrjOExOu9bAuz4c0O7+lhAHp3QKNVKf8lZBNFl/zm9KLb4PaA3isqhUVNH975uMbYw 9tJH2W+YxNrmwXJrgRJOgmD+pUE/veHf7VeM3w== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block ciNC0oV5FYPbstCtUV2uPKuIY9qjKW2jNACV9PV7GacptfMI/O95z0/C/kdVIMCvkeya+mkNq836 LqsFiKXHx1f9RYAAxmmNeprNA0WCYRVx2W4feMS3hlakqqpXHPKTZLgumNt1Uiuunz4a7RwSYuJ3 EtYaXbHwc6n13nOpe7U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gbF3y0j/ww1vakzM9hxQXtFp9EqA6YZFfr0mvo2tGuBPbsGhpWcRULoJcAZkHvXZGD9Y3ch9gEDG bgjeTq6Cw00mEeRbqKxodMdUXlFD2K8jHJ5xlJkUB5tEanyUevnd9siV38a8rxCcgDueeG1pgLy+ ST99W3Iqc5zxwDyIVNg4f8Dnqe6MyYrsdzfJcJMR8KPkiggqTf68kEETn7HgjtKidgSCCi/bxE2r SVT9ej81isizslVXJvrRL5j6+UOZa0pwoFzENt5MJTl7Xr/jT8UuLHRvXQ4UyMFMpzCFqmGjFasy nlp2Hk2XqwwJzJU/NiwEdw0ckqnXltETg2ZGtw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424) `protect data_block /wiJI19+T8pe9uEtUMjWnVkVyn3BD1u19uOupFYhDQZAiHzT7aHwgmQ2MhbK8dQf9OV4GbSE2yXD c2PY+vMS0Da27VgZrMONNajo9FhCLwsIG7bxcNQzUUURy7/+ehmeSEyMUqtSwsOvdW3vi6btOrr7 UL16xbNL/RkZf9NXmv0TFwc1x44Ts0AWqhobnrAKysBPqH+x2fOnlksSEa6bigEWqwsRHyB+n1Lo NcoGygOhPtz84KOgzkrlu6xwvzE/+kES4KKvwM8jlwupgFu3G+X2Ylbx3HVGWAWty5aERRi1VOxM biVvWuasQLHnh/nx63lO4RT0Z4XXHFTubR+bJP2OxE3q+woudg5EI1fYLwXAtNg/IJTSOERYLCxT DecCdrlaBQ+MHZbP0AYn0OOjreHZtshwvp7e9QiE/nlQY9/2iei2MyqilTnqIuTipQtajsoUZBZi 0tptWyVfGs7+ZGjcbzL8tEETS2Qkz4wNafwSZ8jQ283uVgHTJW3pi4c2bUxOKH2f8z83tX+5kBK6 RX/oXrGfZabYAHZsQe0Tz7dEu+jea6aYFw7QKq94FgdIQTzpj/6wgD3M61D1U3iNhtqWEhxC7GR6 RLeMMyLvtpbKm4xz4rZMhz08QZ/ImVAWIwyaXZz6jsxJ3H+E0l48DH6g7ET/nRXzha32KkbbY0uu f0k7QwX5s/9TAUQWjZ8CmOsYEsSnU9VxKB1LqZHRatdRhYS+QsZ9XzEA+icFL/pjGp85dtBwCV5B jfOLlDufPg6Lq0sKGR4CPb1zjqK8Gc2Uulv9BaF33VkO6n+B50WHp9Mm/9TrN2kzDWnlee8ULsdO ZuULgpl2WHInxAt1VCOcNtDEdpDLMk3BZ350lshKGqrK0IfEOHE7oczG7l9GNUeSoOXhWossAGnH /atJZpxxTi9t0I54hIMkTfoVG8nj9++UB7WWDVc0146DZoSOIOBKfm2VeEAx2cKAjicm5yD4x/yh GBXtZh4HM2/+X1kdTTLwdVCkxqcGIgGqh1xtHvYrMIn54O41GPGXtS5Qmk3MYBhhHJyh8oRBn3Q6 Y/oIt8JX3uagBVOf1m7G3X92kjdLjtugpnCbizrwDMwCUmfixnt1mhLpfiSd+WFSC8OP7tGIP+TD sqbpIi6xwEMcwt8IhFulMVtgWR5MQM1CLmdabt7j1in4Z8Bsj2fb2XfgdwWhHxC9YxOyFFRkAjFp 6twlyGBqzoMczLVrv1vUaABWk9x1sP6JvVxisHdxkCDH+lfFdSMk2e/c0dxiEjGMM3N3j/i2FlDi 2OtyaFlpOaoSQ9YfIcVbrDtEbrLUyH5cnQ4oAZFIwOm1rtiDWwQcqI6DfufKI1iwLkkcElr92q+D NmDehHrrWy2j41Oj8hweWfpm+892pRNVQFu/rc5lihAf9AwF2NtLhd89UOGIWm/RaQ/e/YV4BB3t mMrkbx4hduNaOY4nyqqC7X5eCpbSsLFsmYo4fZpw3C+O0tE1m5s6i7m53sNisWngMjD1+zOkczK9 LEe7aBlhfKkNwgV0eJLtPRcvR2T+4uju4OVpmULF+La6IuKChlWo85o53Gq2rAahkmvLJSxd5kX2 AHUYWfAQwj0xhT2QN6EuyHLsgqmVtf547p3kMLkiOqR+5rvHPFfLDFDXdc5ogO0HFtoSQ5C8rXej Ezj9XlaJLkZ21RQGVyDHNPeykxpYynf1Z7PdK+t+qxy7viUNCKkhMVYXkLk5/5svGGsNQ10tGdZJ k+6vACC/ffPRekBd76McFYXh2gFR/EDRNYAUaECBetj00RaJOIJwTqhPKioO6QjKEzQOjhpgJCyS UASXNcwzhss1GySWZV8CQFQJW2v5Jlo5Ots4X+jH5JcMLBpQdE0NBlQjPKVk5tN3kdo7u8ezaYFJ dBYpOAFk64Uwm2/RMtRtQfbc8a8Qt1ael2CcBUNST1/TgHGEhPf6QlWvMhDO69ViBRinAPLr64n0 xHVeC8zSZCVWO1Y2zKo95s1wgKihmF/KH+TItwJYtCeN5D0oiB6IbvblPsZ/pLuOE0YetUDYdBEk tqxL4shRqyWMLGIFAhIXXqorIwRDo+YNOHjIvz9sre7JUMkwfYRrtEhFrqx34epZwd+uhRBtAUsp foVbrsAQV40qBZVM87A1FIlbRRm/GFclaw1sgynXPD/OGvxDUEmnVrU6uLkuD7nYUTkqk+zVBIjf dJ/qDI+bzlhh57eV/MfOsFbT3MLsZ1zHExFZO7QCaU6q+Z78A76Jb2d6JPsAy477j7hAgccMmTnP q2mUot4ieScXDRNj/3TYidB5aIXMaf8KzO1oLk6GjivRGwSesiLjq93J6qY0hMaO7eKS9Zbn3Yw3 vVdrhyP2RmlN5d/9pf2GEC11I2u+EVjcJl9SqDEBsbSNFv7pABq+kJJ3XLz74NopdtNrviKCvyep a6IpBLokYfm560GTTl9mDp1mWHmYuWGPtxMc6/axxmBqTo7cc71/Z5tztBuAz3UkxOyddatvc1m1 4mSLRFs26OD0MELgLjLlkpjIxjPfGQM783MX+q8xaEy3crQWx10uZ0mnh6q4T0tCjvbllFyIrx4t Z5VoOxIIBzIqlxPtLYW1BtXIMtsu6bS4J74QxE1yWIU/8HlHoJqdtFzwAkKk3KBWm6MttuJzehuX tFpoGmbZ2grl0WgBhRNwmyj+AfkCivAhuTXbYgaOLRNNY5TqRBEDuyaipTtUJrhY+3JlLuKgm/Ui w3C671hPsdiJj2z6ESo0OxQ10VOFDVxmzzhA8BL5rONFANEVPAXytNvkEE7OK+El74kxybcAbppA GMS80F2Im/dY3m+SRHgl0PMuv+XhBh/QDa/KzRdlTgCVUz99Vv8g/Gf90t4XDGlxIH0IHQZeME+q F5HcBwjJgxnj3ZvEaYTSbZ/cQ5Qy8M2QayNdXGq++dN3NNLdPqFitgqI6ILRAuUKIP6o0a3SzGVt OcgMiasmz8V3vhKI/6g9ofKq4jc6zhd3t7FE9qjbVCjzD25sAm9Rup+Z3g7QQxgc7xibjLMFIQvZ 6aeKSvaXBaoXTeZPAoDvrc3FD1/PRFbmSuu2Euc7vj9LMZLzizYzaQ4yvkAAEoV+VSEgvdzV5xXd WHO20onABbODEU+uChhRGdEUKXkAAiW7OxROGI1CEw9yviPLTRRUP/eTY+M47MD/AsoBRW3EV/Xh Li1u8+WZdMRIDn6O4pAjGrmliT9KC1szGwS/aPsKLifXFL8eDEBd+ns2BMmlkZIZevgoX/yJM6MA k/vbp34DzGJMIkY4x8Bjhy178ldfoztDLysMcaXCUM7IpmBz4V98WqSlUfaPcajPX2fSn9kcVrah ijpVDC5NK6Ls0FUnTD8W1UAIuiOdOnb+8U6/3PYD67AfVLbWc2M6XMchzIeDqdyJ52QZN33brHb4 6QojnJGOm/MHDYPs2Y4l/9NisNgV+wM8Yo1C+82Jo7NPc7kAQFJfc0tAXzXZkoOVqFbnCu9LAZlW vJV/WgDI5pTx9by3Pnl48kIicwdl29EjMVdNuZRQb28644z7cuegJ9uS1K1gbNwbYg3nQBxoP0vH 65HvlmJtF4Q6UMBt5vHjlIbtPOQrdLMAZp1NJOgEOR6ZEse6QmzAl/cphdrS0cL5gXZpETBHTw+5 yni1WDxD0Kv7uLGATEnm3Rg4+Q7L3V/EJsFOXwUZnvzCdqmqxRKY713eKrSby3SzlUxr79PXDkJR TBBNXE/yi3AOX1GqppgGCu9H7+s78qyZd17bAuo7muvGyIgFfwG0hlC9bZLIhyqWMEG1sCftbt0H u64nCOvYJNMcryu+KjicJiqFjlZ2tg9mrLQkYDT2x9h46QNRuEtr1/Fx2v4yA84eWbv8/DZ1B39r 1xLP+do/BhHk1rRLdR1XyTMpA1H5Eq/++KVH6+DxoOYHL/wXx8v1N5RJ+QSf24DVANjm21zhCqyl 3GBo45fHw69cD6m8iJA531qOgIkUtTEMIHP5pX8AT0vv9kP2Nr2p5OliXBxG89qvirCDnaqZvhqb R8c7bRK9T8AaCWVSJh0Aeu+wkXerRnqV9sdcw8QcKRTTkswBko/v9vi2kWfweTzXjeSBdioMsaHw BDN8vrXW7zatc3qz1dpYAmuYbSgsfb4tbXTCOUPKggHPUFe9hqU0Dw6pd0jX1FAH16DNUp7nKYhx N3f4yDR4CGFBaqfcLgPAaliJHLJ+0r3dfzx1mItndt54SQ9E3d5VFrSC0sHmycvoQ1ys5nEDA6rf ukh5sdBt6suyDSDPMN3AqZ2/OyRVNug8jMd5VHoPb3HtOUWb5OggYrKStCvA8Y2Z3aUpv6J445P/ kLEyHcHqsqAW8mYEXXVu1l4YSAWm9Az601AdQS+vNe4Bf9XXFWfY6gC9ubK6j/MLZUKpB2YdywPd sVXX26aqbQoh+5kzWDgf5TwN2DJ4F9cu0MyAY51DfTVWkQekVul9iTMUmCXW2v4wgh1nguKz9T1K Gv0oulIEwLAGwG7NYKlvkdkkGyzJmxS4pnA/9FRKpSc5kBDIYwPKuHi4LX25T1ShhvZa+u5VsOlY stwzbq0/muWB+Zl2xJWyk19jHb1Ye1pWr3aC9LNpGAVmXx3uRUQKZwnFoWShuLYAwt8v9FLkO8Z8 AKXr2zU40vex9fzbQIResb2dA3u5uLoswqEae3RSmsBGwz2jajKr311q7c2v7s/BTA2rFGD8DJv2 MmixMKXPWJBnodcrDOc2KVNSpl+Ia75cYj4XFwr6xbch7y6rFwgiwO3xPALmo/QxZqY3kE/5Az0K NZ4rBHCFM/S9ufJ5BgqZ6z9rwpzUacod3RBj6BklzPGtiJx7LvN8mdU4r7k3LH0HGU+er/tt6InO p2aH9oZ6NhK4oy0bYe48Yxr1lK008rnCl4cTQgDc+3jqR9XTaiGwFH06cE178nYXkiGxJKVTzEhL 82egBrastkTQGPQPdNZcDXrAGNZerT1uTFaPS5QHbFMBOuzbDRyJRUNjNqRfB8lfW1HdCloIYA+E kpgssvfa45Ld+lPPXk5lFV//OeBWvEUDiJjnnno7iW61w5RJxwu/o+9OoKxVlJLAEPdhEusIjw1s vw82DcceLDRlyvnqmX7cRrbKslyds48MrabZcahfuRxIMCDcDc2sis9c6uB+K00HdFM/D+RO+qwj I2nUmA6SQ8I+UOkRFOEDl9UkkF8bKLZh8ycRDA/lLRMz3XfP83JBEPfTTB5zYilr19O+3cCBnrm1 n4xYN4QWQFVK3AnBccGc8HIqGsOGJV74wyWT4VzsPOc6s8Y1ya1ff1W4fuIproRGufNlpQ+MAKja IFaEY3Q04/wquOJoSl0QzMkUPmo6961KQQAuyAQ6uld45OG8u6s9/ZlnP2YgBp3QWgZLUx+6tYEq 1p7w39Lgk/gGJ88qgu4AmlvIMw931YYmeagIYF7si8VW6mf3EqIlYXGRrrpt6Dc0P313mgxv8TJ4 x8KwbAjFUt2RcNEwlLJ4x3B7pxSg9FB2Gql3Bt9KvqHIEzntAWB4eHJUbrIQ3B8ZmWu7jpaGu812 4JnwZeIS6uh0+wkIi3/AasAmfHlOGGDlIus4+N9du09MwLkZtgfft/CwGrQFdl6/6h81Lk6bLnDQ doSsX3D/q1N7cpDbyNmxsqmmCebC87txZ+xf0nZynFdbu2adStLUh2E2RRTI5OIqotBTCJCfvZ9N EoW8mPlffpA+hi+FihmrgzSwCB8Qr/vUA4LoWg51yzZLTiCYGFahTjuV1Xrr0LDz27+GodEhmVQ8 ptn6cwtD7wZllcuKnmOI0TI6xSFGXP9HT1kxRSGfhxYsRSqobllx/ZRKZaxBEIcDVSoGzPmnwklG NTj4bxSupOVOC42jwV9KFHRfIDIiH+iLS+tNndOCad8Ei0i+Qqgri+pQCQntFmvW2Nodn40EUe3J lIAxDSPvceMTdlIVxGkiSy2bChK+9kwG+hkL3jcu8osmizJ/8AIMQcGc5jBnLKCbXUCQQ9o+PJ4f inj7Csnz9oRodoY32mMxzTxueDr/X3vhVphE2/nToEzZysIPp5bJid7TBSPFqYH5Bq5bSQH5k/Al +anLImrby5Vmj1i7ZouF4KY/iQ/XQ9mE4nAuk/7sP1/E8kMYtjWI4IBKFzS2CxCo5jBo7HoE3UG3 1JsPbEq7yq/ZJeAMw6B/nYPTmxhFcKSKIm+EgNZ9m/ak0APf8FfJDy4Rg8+DpWYSFEt2hXSojwCm cj5zkNOFFq2J1FNSypp8JBM73HiekmQmtuPL22mzw2ApaOpiEMdaIZfQvtj5iDtUKWSzu8p5Rr0B cceRJ6YrdkKm3xNQHXEQgC6sDOc9RMKTeNDAUZlJPHuijERsTfcdPx69wA6hrmVOMMh7+HAWPBOX aDlPV9GZCzTsTy9BxC9/cmCU0QzFiC8kkGfCg0vk8ezebXFX8zfWDT+QuovZ+WcmCkA1DWK8AfzT yvLdqaoyzrt0+je4I8WqQrbB2XiiT8zFtuZEn6IIe3cNJEF/clWU6jM7F9HFYVBT3tJbVIL8jbs+ L3vDTL/f62nrKiADjbjxmf8er8poTXbxqCPxqQ0bZG1BwvOCSYWkk/Hjwz2ILF/Fjh2mjKaxCIRb cNIjpE8xrR8301mama8n/0O2C9Dls52GlpY8HMm3iLSt9aDkmAE0AAOUIw3NaazFKIiXEcK9QmRR If+ZcAVAkcicFQ/wsax5f6WQh5+6olIicKzwi8vAt91uEAMulh5mOTQOnW6vnSHjqEeeKzEFiRCI hi8wEczz/XNGXjGR/5W2urtqX1HIwNW97ua2KJ8qhVoDbhsofEky3+8irFbCjVcsd1aSEBf3Frfc RejFLEDfTyv6znS0BYeCu3FQv1CPEfIb72BoEKVNLHqG+PfUE4CpLjYd5NlA3rDod/dOL+3EfeYx 8UT86t4eg+2Y6wlq6WeaFI4JZelgB9VLiFWYcWA2t+7Mg3knHST4yckUPDQmOjiCw2L5Dmz+BJOD rWTeNz9zVM1xA0wpPpnnF77wzvi5hpCdPIa+Bo8NQ1PT5AeFzPGBpCO3b5pTAtp6CL3VVIAFVUB5 d2YSEOVxZp+ppc0vI7/jXRub2UbMwsz845TkKCY+S/7yUp+aACX0KXZVYF9xYI8EsEI1Fsug9KOH gqeByp3iB+AA1ad+rBNUd4NJ8Xd9r5wdmTDZExW2Yzdr1EF2muwkOe9a1GlawTJst0DgPNEQi6H2 6e7OsfE0zjeNgXmBM1vHn1pXYsMMIcwV7e/wmba6drKUS3WRx7acRNPm7EG/2yDnAUNHp7PJ6K5X 4K3j5HOsyoCFfpmRtDXdtI6EgyTgrCRi5s7g4CTgv8WcZ7U1LYeuMGNb24pg3OdiHvk0q22yo6Fh ybmNvQJZN0s+gS10a3mzxL6n5v9f7X2sL95tvEAEKc2wXCtoiesTadh37PioOcQG2WLrprKUOtnO MB+XL//Gamzr0kB/EeHXN03YhSDURdfNtpQkmjB17GrEYpAiUVN0KsiTlA24ehyDYgXaEB6t61fX vgOx+O0v2AbJ5SaDgnwIccP+I91bff24r0sFEB8Nh+ST0/Lj+UZ+A6N8XDyxJqu9RlQllUMtqbrJ 0urwwsTtHBhoNaRDgx3n3Acqhq0QzLxHnxXZv/BXLipyxoQeXOitb2r4l2aVYtVpqPPcPszGOdEf 3KWG2mUxUZQmN1Ico+btSSpbkQQUvNm45bb31jH0kf5Z0o8Zjxifjhx2XeRYZFHOrTWEehcto6Qh 3lACFhE8BNmfJ5sKAyodzPUExGOdXaM6NXxPajkTUtlFepbzz0WCT7SIRaaJQukbI8+Q1HcPtWns cSd1f4DXFgCnztgnLf1YR2p8TXd9zq9+foFDbr3elW9+xLEx/Xqf6cOTpbrwIkq+9jMG59gEaysW 3Keqqd3Ryz8TdIpj+y3BPRTQrRry+FNHMi1FD4KCM5ptACQ6QtInDwm6HfhyESwl7UzKDSeebMxe 9jtD21kZumFF2dZJ6YnvVcR6xkDLi72EwnCQD7ttOm5QepOMTzw5esXxfGANtegJwW4kKBx7wBC8 U0/jFgKzD8jtIFWKLC7LxYT3qvgO8rquXFTPm7IO7J5wYTHjAZVwOvTo6R/EXeliE+ug1uuknvhm Awroff6/GCJ9enjylPfePDNccN096BLYICtvkGbKVpjT9EF8az4Bu+N0v/btZGrKDiGzCafHNWEx 5cuLrIqiu95eMHNYaSB3Hpd0Ol0h6yz/CrEOf1bnnLq9HHHV5633bk2pyR8D5SVtbBEnhr4XHXQc DrTSZ4oSGQru58vRCKdvrpzyc7ldS/zeA68k09EgQNB60LU2EbJpUTTkozveMRkiuIBurTDJdF4t KzyHPeWlM/yM/8PxGvjB4PNni4jnmRyjpyc9TBdjukAx1pLVaO5iUxpMbTBbmPB3DGbwjI1/cVn5 ef+8+/lOnhFX8xdV2FGkkPUGGqTTK+FqqA3pEy6pYF7H6CFv/H1c8Yw9G25NwBaLWUQJ8dRqD1iK 6ST2mYb6A8itzjueMfeGT3qcWPells9RaSMdECtFo1BI3GLgjG0zzWj2I1tkFLsbX/5A40m6DrFa BlEvF81V9dny1WK/g1AI83gzd/UKH5aprCo77djNi0kBoN3k3P6BWfL37BFNrdPnZjlEsODSJTBL B5p0qjkHXTYWB+u/n5Xr/hs+g+LjxdaDD+paLOzZTKfw5XpLPcUE25C2lq5L2IBn8xmBkT5Q7701 /CLO3MQfoiC1yiF7yd3LY06yg8T6I5N3ZosSM4oCknbXuPNJDGJFXbI522xeIVF2Mz3NIUNPmMuQ yj38ak+p/sTbUenbyP13jMQGUdfYUu++1CFK9APre0HsLRxYWi7+sa+/6b8Q1GATv3jTBPv3iylv 5oeR04qdvm6DXdNM6D/l9ejY6ScJtcvHq5rofvjPQHqsvvQJpfNM7T0QflwKsG0fbankuQoMY8ck pFxSXZTK2C/KYsLkxq7AYBQQFKYzWiKEp0jLmj7bOURdkCjPLQ65+c51XhF8uqiQn25J5D+JQx5M rFhWV1Nn1VhF3w/SIcp2Wm8YEcolhE64BKGDiO/IsmK63UVipXP4167pj3MumW22ubAHnOG4Zyq4 62+M/LXNCEgIQBo8wsIgCMwRCpX10cNcLQMmbnT/r8iCv/jI57QSo5MzdQRTq13SwRKyo8QxSSH7 +0XODf9E71QybvPgR1bxiZ/y10OFYaQXYpYXonAQLwiHDbzBNvPMJ2JMVa10EMzjaJL0qrmBFLRE ogCayMZ8nnDS5Ss3QYllHu8CdPTZzZnK+Sea4u3FyJ9aPd+cwtPS0yD8nZ9TRBG8EC9Qh3ufVKI6 cYYEJt80MGF8ItWEkd9Dmr99bGir1gElS6oIN5jnzbUfarduffWgLOHyfZR8o3IQbVf1yZsq10q9 AnQjb4pyEJ4kVgMhjmSWehtK1iel7lfJD+TgKj3HHHbj3A6WHADIXhTiHjuvH9sxiRv+GgZ71DkR T6mN2eBlS6ILP8UDnnSiuWc/ckdehXb3BV6HdjuwkhLcOXR2msCSBAGg3ReWmbfk5T4wDzu8i1Kg NeZKQTS7VJuoibySvqzR4V9QIMPjrkI34ZZ2zVYD1HaXjWQUQHyr2PUUElVxaispMhBZA5yXM3xk HGASdGuaj0CTbTVSw7J18flnoucx9idVqL01+DnfMzefcY2xHsU+6LiXfpt3lHlBFdN3kWq8rwDx tyGkec36Y5rkfROfjhtZlvV5DBxGdGZRRNY2Gk99p03hAtN6QsrzGj6bqFOMCvgmO/OgPFxzaV7u cmbhXEeIJdniCuiTKxLX9XO86cLwcDf7bciUBfy9p11WOkl8vcBhv1EyM9S2w9E4/F/6d9PctZTa BGJLNt02X+5oNY82oCThxSrt8Fw1c3BQHBqkf3xS/HCndkAFur834QlJPmpGVubE05KfVyQTsODu H0RIiadQl9ficG9QGWFxF+Xbu8JIy9S2v8FCGyQi9xW72ZU0/4msjGu9OBrN6WZ8IlRTGmSIyyop yTtVHVFwn8WonOOO1mJLbMURpwCzFuP64rW0yUe75EqUlAM8rjUs/POLJyBhft8ZZt2vcvC4nKpQ 7as1LiGnvyLm3Yp7S0sFF+jTrUDv0yaXG1dakWNWexVSYvgg/4Bm2om3Omn9ULC0WAHIXzSwwfJX jUqxenho811bD81Ks4FDJGwO1n7v9xUD67yz7b9OyCObJ95MM7E83waLrr4RXd9epMpO4W0K07pO /m6QEIEVTAr/OcPFrVVwnKHtyerBsHI9f/Mtv6elpgDREvBaXfpUar9ESKVl/wjzv5QL1Lxq75tC YcP2g2jCFuLLj84yaFLWz3x/Pg6CnUE6opnxcni2j7HzFtx1YG+g1OaHtZoZs2vDFAZ6lUje7cEe W9OXwharOFtk3/KUzLz72glAhU2P58imJ8Ct7HbzrEc1a2z1WD9KZjAnf2hTvQSfAPc5oLfhECsb 7M/65+eiQXzB5uQRC0s0aOxlHnW3YOcKbESumy0Dh0GzieNfP688JwH3lwXKOx+1InIdYMAvgvWx ox8sgJIBK4jcCKC+G8lkiZwx+IeDGRdBaRjZS7UzLv9+BnVOcZe8iai6/1ShJ8Mt2PI589LCMxR/ UcGjuXXttVKWPYQD1uCWdr6aU6DBWHBCRpj/SuRARON1GKQzO26Pw3ZvZXr223mR5IngBa4U0U1R JWihz3sKa5I5JKLYeMIBU1LvNxlkWjGYItHdmGmhR7QkR2IMojYFkyCAzJa41YdWIDHoN4vxqAvD PsKa96ddbUUF1Gdl8KZlng5VV7tI7irefZGdQF3fF21f68w8HoJBG1IsBdRNHZVQuW0m9rZXoCm6 FFguy2QeK2ZsQVi+kmQ0tbDvVI4S56CAxRS86tt6L0KERpVYFESVWiO1C2H9lU4j9nyWF58+gy+r sv7PnTgmUV1mLs7BiGLaXVk3v0ztx7WYmMiNbQpDemjmoR/TZC8kG/OFGaUYjfWb6dVz9M+xbsLG LqKqGqu54m2kUr4w/MYFcy1c4hts63yqVHc/U5SLAuu8nr23TfFvJe3iXGDEzg5Z4GID/lnm0UfP NHd4QOYs9rOrgC8Pa46QdaRjIt3ZiGAHH1PG5Bs14RalPJVWnPRNEeuG07mtJFpWXmLPn/Ljs18D Xt83uxJYwCT7hz0RS1u5aHym7aBEfPnwIPNJWAJF/FEs8zFNakRDZZl9j9qRG0ylqLig2czORK/t +Kn07PgvKUt4PNhGitnGaqo6TRzJpyYA5NkhuWEK/B9uDEvPpcNJDF6Tu4speOTQ5sV2JYMcnHoq 4CG7PI6XzPNH4jd0NkBNn7WgCzD75+OOJbjPXoplqhqaAVdS1K3JxuX/BQDt730nAqSIn07/JjrP OHn1qb4fUFQQdZL05KyXgN1LBfx97uYS4DACFONF52+OwEp988byAxQfXgar8aG1HmYk1VsFI61N cu6xnVG6UGyfIv2vQD1b+LL69r2KsD3HWE3yoPi8Z3mnr6Diy+8U+7ELb4HTpUXsRzCWrDX+4eo4 OzFIBOq3+u14QFir0ij4vmJWLOswljzona7N7uVK0jFFvrA1PBzGDNCiVE1XuarYRZhQSY6k0yUk V4dAsNGUx1K4QVcmx2gBAsqmZm+dvYMc9uny4YpFsxAOz9PQeVgcGkX9GA1Qc++kC8n3rqHAVeLL mz1W9djyDVDsukIfkGXRPiHrQIxrTkLcRfiUFKmjm16hIAD4Nl12q0sRsG/VF/nfqGdesg8wcWil HS8fusC+sKl49hVtfIAJB4gkMGt+catlGOa3LRjNY8Yw+mJK4b0rQ/WH3rm0rkaZAmZ6D3UL68b8 h8fUSnhiqysZV5a1hFjKY+IsgRuyICZxhAqOFlg+sALXa23S3+NKpGyYT9Rnap8+Zfz0h8GqbZl6 8kfErBeSXi79AMhgTVuA4lCO90tSgjaG3TDrzofn35aliq9Fsq3yqw++TS16SAMFzhcspbqrdiTA BeAKxSrE5fhcMyuqou+cF1Ik0dt8oWAn8zeKzliMA7Y8SiD60cmoe3iXz2uK31dckXROeaf3LCde j6EKzH3VbQaVXormam7m5qdCTVDC2Y+/wfRZl+oEXalPRsAFfKbMn9EqfWCqJmBXzm+qq5Mrvr71 lCAlIxnW0kzO6MjUf+OSMsG+B/vSpNm2QfdDA8hAz9SDrXFgTsvGqC25LB4XAIPfFJ1XT4upqruQ 4vv1tQuP3V5MoXnUiTpczppcuyfLn66pTBDD2DtmmjZzW2p3hHdvnquO02cSSesn5i04R/AqITkt ou1XwmVg/xyiLQN7RFR8S8nq8Y8ttfta9WSKT7NUcEVhAiWvgnp3ia2uh4qpFpcqj5fpZ2kf4W7w Lgzcq1TrIFe474bnYqmtMHjJjgeRe1l7g6uZGZHCRtT2MoP61kGJRScVRECRZBtpQnEXMlMRyWuC 0omrna2JmCR/YiQhauXfNSUplV0AGqC+B4N8BWs0OrBfmCQzGgEvRLzIp+VxslvXgb153x/sqEez uV5gAP3hkwek9smz3vAK7rtPL7tf0VSzAOcYnfEjzGuyPMuIeYa4jnbdr0PPCczhLie31iEU+Hx9 tKqfl9/BdeTfH+QagHZkpMj3gcBhnmJT+egPx3neDbfd94d5p/usWHMSsj8ZcNMjiXTcEEH9wHHH UzY8C5yn1BaX1JszYri55fPQDpdKdoYanM6z/hj8TM6DmgD1+ieuTpUfXwQogD5mcxA2ztxt1TYU UI/EOKJp9iwV1uV+rYZGXUH7zmUaMbU4LeQmILqRRck+s/NdYKX3M5Voea4HMPp83XoZPz3EfD/9 YnInnxzZFJBBm9Q//Wu28SBDh8LLDmwG0VvaK9qPcnSYSJ57e03GiYAz6l03G7KCOkAXyIImytCK k3PkCiTj97K511aDv8dUq2R1Zcq4J1g9EPSyllQCipIwwt5T+WKRUivNubsW9WqFHDjtCRFlyLl9 f/lT1XFSbw5CVQ6I1B6ThhSJK+49BomwIGPV01s9TpJoIy5EsGFh2jmtWVIrXVinc4Ltl+KNAlJT ndkYekYX/AqvpcFg6gOxWWPysqN8SW3M5hCPeOJIFMCzoWZldz/ohw3qvcQIxYc5gdpIgiDdlo/H b6scXhD/APsEmf7iolyjJ+FHtWp3ZL8zwXg9sH+tuVKdSTFXcXGS3LWehEi8jkp12u3Q5bdzWdOj GWylh/2czYFr2orC6zGCSPi6k/zQTGrm5Z8dA2sTraMCCqTnx8tfz6PoW/5An8oQfpz22p6nHHG3 OnKltsyUMsGCY6wdY8UtazQ3W3TVf90nIZ9d92EeFJiJ3wSLcrSeC4YyxILehTd1RoiIdL7+qMJF nb0mKNTTQYL1qQQLJgZ1m8bTzhDBoCtOCl9cRqyPNdtwG8yJksgXHTQG+oEGBYxn14aQzdHqE+kQ Hjn8NXB/11P8pSF1wt+a0a4GQ5ElLnLUdMV+NQCfH2oLcdx+OQcU88te2pBWn5iGXHWdmFU3Dia0 X7zZUBef1WmJPQFT2FObcoNI3ymYMLFtHyAXs9ckKwoxpr5EsYkp6rKpaaMaC3C2CQyUU7QM45d1 czVZTIE5K8sijt8pQNjTgp4XlGaIx5Wi6jgrJEsan7LLENovDkJAlkZCrcXmw+Jya7EHDPOT9Vs0 o7h2tnfrJNP73aRjx50qKun+nvAe5Xvl6Y+s4ZjrkMQiHITZYMXcOq57lBMFrp7ABkEsndAfJ1Xh 7IaIPDdUJEjX3jnSKnvqHKk+JyYAZxcUe4qn4TWtR5heKB/MZdPG01j6gsHenwxL8BcMFlxVoTH7 rwcDlAn467Rw31dhl2hz3nUCiPeSrxWUt7StfYvhg90uaOOHxZOPLYNjRrvYD+riX95vLB4yMxTb uUtai+15M+EITxtWnJEeEIYXuv62+Ojkq9zI7EocpQGYXcq1juCMR2t6CKyvtuc1IifxgZStZung 70bmfi1Ps25ZdtmUs6vEyB/oLjxIdaGIS/KBDxsSuotN3ZWlmxcw02jPzJH1A6cQ4ZxwoU4RHWCX U7PfiLA6HLWk4Z9i57KwIB8PzyF/8kLGRJO0+Wg+vrbOH3MDD2zI/p/CEJyNt+c9l2UHrM243eKD DDCRls6f5zV8UAdPW0VG5WNjsPjhFuLX4XgKCGAeCKQvH0KmxNjS1yUCuoDxhOS2ffpSoCeCVy41 m7K35BudnaR5fCURDFz3IFuiQ3u4olXZvxavo6NKCc0cwMPb9+WCYhi6hVxJegQEMC8Yoa3qtk7s VwW6e1sdMxgvcq/+wkSy1XB14J1Xu++zAjmG7Y7Gn+AFu+IlYr1sEkFCS48bON3GH9rsbTrOpcxs xBHAHDQ9n04HFXgqHeOtqu2dCjuF4UPnAT9QUPUmodYxk0RNMTGYBzd02DVGPyS7JX6ECH4K41XJ HuFB2ovmrVoosgJLyJkUOj3CuluyJKS5KETZ2kjJ7UnYKSQ2PeDXepgK9P3AlbjF+RyvcjA+YvSc stCjDfVJwX8ULE70a8W0sSeI8AI6Y9SMjfbzz12gX+VlStKrDLu7lPXkJ/8us16a+7Fq6FbXbVFd mjXKBoHtPs0z9oJq9bIju8hYcnQwiMQ+UKcqOSFsBYN2OXk8xljgqm9tbk++A+4d8++1WPYrAvB3 vRG2+hTSwxYMeZnnQ9MDfxLs/6WcSXk5VsF2wXQg2FosScqnX+uALD2ZOls4HXOeU8+O4fH5rSDK Wy+nLUHqZpoGxgbVIcSgxJZ9cXapdZz+Xez7ToxOzCs1vrZDlX8nwUPYLrh9iDJmFVaQIpkoaiqZ dWPx629Hv3clPLUzhuh7PrQCZILCJDclpn4gtErFj2ZGTGdtoONpRRh3BwPtoogtqY3Fu92S3CEn C2X9gQQ3cQPg9xcW/xPwLDaeXvquBlDyMBWXEOxD75K1GFWKdtLnpoGG0cByDbsXrv8QI4thJ1zA 1QOTmTDCmK9gqUnbxFFMbMY48K/fToWZgkgjT1cwJxHfurhxewBkmXqk6tqnOFNjnOBIpuNTjsiz xxjYRyK1NpyVm4Q0glUNe0x9/jgxKSAv757hyJr04PzHo33bQ1km+6n4OrLhmz/ZYKLkGQQyi+xF XW6gxiEWiF9Wi0e6j7J1W7HeImGSpY97jbNx0deC/DzNwhsCWVpRWouYpVIeDY0psk7EFkCjAYyC iu3YBYO+tMnEziEYb55sPjfaMAIe7r9NEip/jmU0REnut0jxCnbX85VWPOBi3z/Lwg7DCv5QInox tBa+/LoJKvKBv39wzUCXjQVw1IVLqtvcdYmW04kAdGg9T4PkR/Hb+jAlZ5dIednsFHepfWV6vNJH E1HD2fX20vDMN+SMncqBA75/d26GBPazhI51ZKNkZmC/2AQUTxv0yiD9ZFpho0unsbHFWS9RIpF2 a9gXMgUSesFEQe844qNi55dTs491qIFhCGZw9JNBH6FdvAUuq5VYSwOU+WBOJeeJD+4N3migUahl VCrgPOkDK3M39eaiQneDIvVmf0gSK9UFomnD7A5B2F78+4QQMXrZm5QaBYyLHJEJFBWpIKyzfEsl jea3HJqBZ3rvp1ePJLZmGm9fa9RzwAx+IYhUmzmHgXOwho2y+R8FdlQT/c45aTAL1VM2OrDZOKur 8ZPm+ry1p9aDuHSIDLUQ9fm0VSRUNNnzgOqFzR5lYi5mshYg92c62NoJyBK0DCDvbGt3AtLpNdIL TtgAn5RcM0I/SdWTqecjpKtoANcAZ5loCrkcQWjBm+UiE5G9/V73gnxmc2peVbq2/oO3rOfWvw2B 0W1rKdTonqjmnTKqyyzluLMpSvt0NaXS9p4jMy2cBCRQ9ySgH4RTCYie4E/r/kHLnPl1hFrULy5q KuN6PpFz0mrjkpvmOcgBid6rH6MpwrTgRMlPMb8mjnotp/gUtLFSBqkzM/6kOlv4PvmzYo6Y1CzE ExTwvF6wzInx2yY5rbLi5H3+A/6sxqqkDyM8ZJbJ1hgiHzdFFs7EQ3E7SFscH15sEvV4elz1b8HM dzrX2U7RsXjxwLur0CTdAJKEaKoSbwH2/HzpmXU3pU4XWAqwrAU2GKZpJK5SgBDOdjKQugytCMPj TT/vwQgrtPJlRqOB1rTiei1dbchH7cfrjIYJ86HiP/pwDjTxFMNVDxJKdkOjRONB1Q3zlb0cVyf7 vA/62mMZQ/IHq28Kr42FZ0HvrpFvbQRELDnmBSKqFkloTjHv3kIHaZsB1NDp5qbaDT+EW9Gd/ZoP l5Bm9DjRYKZBhv0BHCTAqoB0+aBX1LufmATu8MfebzslBPAEMErgSSe0mPjfvdEXTTLZYXGSWdNp qxdqdv/W46k4KRlElGh9JSdl0TGu1aIsEHQ1pIwx9OOwhwoAFryIPlQgzcz1TJd0aruBrhj8XJvQ IRYZOArEakkuM7QJvCct+F0x9C+LZLzg5sbIxohUkeSjG94H/D9xC1QCO5StPDyXDPzUcWOfJ+Kv BkDLdONCZMIJfsMXkM7VsfpqtjCfhXvaKZitof6nJOuPD0nXENgoDy/YpT1Z2t9jTa8H3yD3UljT pJvQhWyhRmAG1vLz7AfcrzpBTC/AXRErgQksexHJQ8lu5KjcOA0poKW7nwLo6EfVvkVFkIUPSGux jsGtOstixQBJo6SW842unPIQG9RibSxsWmKothFSwGMnA1R2JLNXPTHOHrQE0792eXQB8p4fJhOC Gjuy3e8EXbxv5Ve8KRpdEM+fWqXSWhAj1R+ugQNV443Y2+8fQdOdLXwd/1Ph7hh5SEduc6M5MwCT uix3GtUu4HoDiDXM3zpX/7taedmwZyeUMVzenGhQRdIOEpOw/9/E5pHVG2Ug+I3HlRUIq2gxN9NZ 1JK7S4XKfNdG5sqwZCkAibcvpwQ2tJiXE6FlanVnUtdbvSvKlZYVKe2rp1rp13OAd9cFYN2LHXG/ SaDnejjvXXt/5H7XADkz27xyiEdOazENOPv+63Z/Ivc9sQReL3UaI9jBqZoPqraToQ4n0LyNdbWu MS08VE35usLChBOa7h2oOIdBSCEkZGBw4xiTXM68yBVJDEd9EkO1u9Lb8BtGBkM1TihwFsZnQjkv Ria/cvvfBcqJDIPCJvVsu4veMLapjaHJwHx2CX+YXF1TR2IRcgA1UqnUq0q7J8EKeAjs3Cn+UB7p fLTbbxbvFMGN7AfljPNp44wn6lDWwz42M4c1/OEi4zgBAurF3ICDaS08uzFqoz/j93wZpnsqF+az k0yJVZ9gioFr1hc0kRYDiJDodHD7i8gg8kDeMpbRBm9xfzJK5WN96+0zmTMtoRRh6m4Emo7DQFST HQXO6ilXjLA66mk24UKgkyRvqv1aGC5I/sT+w1udqrkinPDjwM2OLS/m3v/Mkps8u0qTPqIOv8Ek /P6NJFUpW08k8ZwlPb++NQyKbBV17m74AqNin57p8bqVPQF5cGkVHHKysWDMElSZUPAXczGlFlO2 nwVTTyujCW5nfH6Romen1mRD8r55YGS2i1FtX9O80E9Ol4b2XpipKPC+WvD19CHfQCTySwBBWQ6i 2iuTVcSul6Pu/lUGrXTbcEtni7IwgBO4yrjIHvxVDisEF5IJvU+M3v2u+pKPwaA6YO1IFUSLH351 6bJDvy8ztYESlaKYqGcPHd3i39NgQi5pbEg+9ymWdd2r2jWBKjHezb7kjOreY4iqR8++Z6mUIuiz bPBpYQ7G2UBMFWusIZn6oGn08jP3YB5KE/YtoQ8bDZbzaZsy+qVBkD41LCiF/p7l/lSlspsJgC+Z 2BGFueYjqo2CArm4E5WpzHFHE/EUzm5UTYTaZQQ8+2/tENHtaHM/LbMzQGKQL5Wf5RF7UF7VdTko N1WsAQhfGSmPvUjICHTbDs9cWQ7o8QkXtNuvWIA= `protect end_protected
apache-2.0
5b9337e58c7e214f0686f01ffb780c71
0.940881
1.846237
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/register_file_gti.vhd
1
16,140
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block RD2RJt65YNZmZQinXKNvYPBFyqqyeyzOzMWn/xTMTDa5y1mC8USrZ/t8bLsNEZ2eRXgTbs9sy3TG 3w54vGSJMA== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block L4SOiZPWFbBCPNISi4SInJcekiEXg/HvyNGpm8hTNSpJbw8O6anIxBCNGuw848GAM+9o91mPzbUV tv7VVl9R8bmZXJO+gA9lVr2UmSB0F9gPfKV3VxkcFrXb8YMnV+VNywqjFbdiBUwXmYFE+TkYD/8r ZGSzU5FHc20yBTtq7p8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block NDJnlzrApAkkbGU5Ht1KAroC1YNSptRdhPnStwwecA9IaV8OdRkpq3wUl08P7LdRHRAzRsTeQKrV i/CYcg5x2aRCkmgoZ/iepIob2Xt9V5D9PkPgjfuIXvYtMOFpsHfOGl7NMI4WMguO8fG3KDBB0OOl fcZJMQVugRiBfFgeaGidcZ033pEC0mowzrwpyG1Ga0nINcOVUgGRAshXEHb5HnpC+iBHHBHj3RWS F2grD7jaF5bF6vNBAWS1fjOkNGQL3YPWic7j8fJu3tK/5lJBMXZxmM3Ed3moygQEH7lBpByaVFsj Ez7jwg36JIU6+TiUvyg9+fhfeJUyKi4eaFZ5rg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wVavWFAQcYQypQBl89XJiDELcTDlSlp1J5LIMV6SA1tX44DQ2uKeHMqg4Z4B+JgFMj+ILrDC28el B6bynVu78z25eR08LHQQxM0q/y1RfxnEUei0WfuNkRlU+/fTZHVH4Hj0jMCfEeKvwgIh+gkQrlyE ogVxIUblneBn/d6xQpY= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block kuXH+wZ6su5EOHZUHYOn4mLsLpIeswWWqwh0dr3w9U9QGSGcnKIfzHUPcpr8f3XE5LAJCkWlUD+s 2Jn4w0YNil1SeoTzZIIFGBf2cBNZyIeyDd7q6cIp8eyoJMz52zzAVd6ECUzon9OAb9kcQ0fwtotu /oMIgn5s96ZCcEcXJPRzLqbG7ONOpUMWv9I05tqZJajGtBddAtZXeWbtPZ8RxwfS5AHSnE6GJaxK Z31zaD+glkvXdHOIocEEF/eL/l+UNaqGwf0o5DPqtcGdpuWidhHFTLczg4Mmbrh77eGDNReADFaA R4T4AwmmJiW8ZPg5oz2HBDTQK9Kw3yxLyyc2BQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10208) `protect data_block H/B0R/xc/qo/GaNijErKn4h/dHpx6kikH2kJ8IdFc+iQzByKrsOGhZfEQtAGb9vJ74OkUPAPuqd6 Cl6d3Jf/c/5gpi2ErgtRYvnU1o+d672zE/rFQxnCMasC8TuNpXEjOT+ivfb7V0ItMKyovOQcby8x gZU7Hb1NYdT/DHf6DRkrwNGlTWkM3Cz5YnTbTePotmWMaCSTrT6Pv7mTCimlV9EAfXK9iLtoWCar j24qi9K1IrmwU0lx9RNHTCPV6qRdcWmxb7IchD+mBPg8OGcFwuFwfh0MFzllENiqm685296jEArE IuAJNTa3aEwsTh8YjFZewDsdkgID/yb3+TovBGUNkmN1nsOCtpsxZ68haGzql5Szb7/pCd8oLlMj KBcIAKrxrtY110LeqaZxH1gSZFPYbUW1Bb5opAkA1S7qeMlmYmb5PShaKkXQTdwGtS1ewcyyKqKa s3kxXDJ23B/HIgyvjntOJbLVb1c6p7r8T60MXzYIYIH6TKNkOFDCKPoebsPNssV/LiX5UOAekeS8 dECSP+2llzF17o+cmr1QZTOh+9F2csvnH27z7u3JMO/hFkVNgFn5/UGWafMllbYD8yiYAH00ygKV iAHeqXFdirKeQ124SQXD7brl2vkIwireC8j0a8u/LynYr9ZNd1qP/8Rr4xe/St+YWBWAYr2hk3Wy rN5g9Jjiy971Fh2hfqS/n++0CErCStrsekVTG8Qf3jcIWrx+SWsKQur8CkV6C3ctDTn7kgZjNqnz AJ+/R864ZewexhAAuTNwuEIflnFChBiSwrrYXqOZeErCa8vZVIK6dCyw4c7AC8SnJg6iM6jEOiRr 56szWarG0Fl/F9sGAja/e/1ADJysqv3FieXsrpSNLc9+wnrX2UZm2vkwniKczbBHFMES6wSfRO+k qGzGaNsVNGY/ZYtAtrSIWK7MpWSvIZKAcpN69rltsWYMLUHN72O/Cv0N/5wAlxquqw7eJ5+fqNWg JBCJxyij2M/q9wxCxZSd28D9V2MLF3bUEPWkPVPNVhOqMApSDWYYUI2y4aMnMKmIuhoeJp5lNDXm 2v3KMuRGCmtmsbQSY/2M8zqpBZwCfnGCZ4gKmEouL5hxjY2rjCrf0/9PEdfsZ7D89dI4TEiIj1SZ Xjo0hDb1eaph6/Up9DbhbdW6+bGVKUR2emkiTEp7X5zfS0XNrvkkJ7WZ71WQ1ztJ9bfRrtUIbhDs NvAJIyvaWZRiSFxzvsKX1kfx+P7q98roRxiBG8B9qzrrK2ZJFqwABOTYWWp6WPM8ijfYTRyLQTIj INHQIgqX2nwuZJhEGXGrsaFboxblrmIa4YJMpvqk/yvignSoT0VvvbM99hjv0AWsChV17agSpQ6z F98f0GZ9x5XZ6Rs4Z3gb3rUd0r0G/z/xsaebzFglxzjzsw0WFtXAVvuG/p7fgrOnuKchPA5BR875 i76+q0FCdYRJj+2UWmJwKKt51jN4ioS+VIxmJXfPznnU0zajBS+/b/dvNYwIRyd8g/TbEvmDAZ3p NH6eqTaADuI7yMu3b53gAJWq3prIzWRzRi6VTq45oR5yEYHL4/xPheA1B6NuThn5q6KPjFjQoMDh VtuuRzPqoC/UGG7m99pB8BPBB4+ES1ilkS7GxaJb6M78izkmMrN7qWHuXWp/nlFD0MLKUTVMLLvM J8/F7wo5PS+mjhHGYI12Z9uHBVRM3CiHqBq68/xZJ5iFQr38Bm3+MK0QgkhqICnebX0IVQKa7Xe9 aiEyOC9HFtpvwigMIjKc5I9dV05TKtSzYzEZJsJiz71JhgkB7Ql+XVHz6CZb4SkXhRVaUct5nven K8MBG5hEjd6X6GXSyPg726lS8t+KbhDQuJqs89TjeBX/soB5oGF5cu7a5d1AqXuTOZ6P0UgNtiAq sm+leL3PXO0pIrMyGldURRUbcKhFPECkYuSzt4/L3F2Y49+XtKStBwBEadxQBNs6JFCd0dHR2Q6K T8nBCkA3u6t30mlbLxMLD16/+okBWoHHjG+fH2x8VR4AsqCrqQ5JOmwTOLD+EU8TTX+VwZSWkVeB 1M1ASWQ/yxhciKBPZDGnXLF4Pb8UR/G9o2z6uKx7eKJ892FJN//DJD4thFKy8MB5rz8Amg9k2Jou zj5ayKUklNBD9/Wld24xFjb7QDNDPLyQd+SeD7Yi1kZ4uWnmw4QlpkGqrLhOqMHw78atBuh+pTc9 y77mrHBTav1qQ0KHvuvnP6XBcnjsj8eAUlLVC71hlpMwU0tkrrjUhMRAF8Ot5dwbvfu6JveM1hQa PXVFkQHtrFpXT+lgHCD76r010UE37HwOhBuuAOABcWjm9l3MXtjwVfo9YI2BvQJ5RC1vpJALWDbo gDPDcPj4Af0mq5rQ1SWSc4mwM8yXUpaMuBkQveDSHrwjQcEvDJScZtwlopgM2YmCauL+WZdp1Fxl A6aa7jgtm24NkdCyj58aDkDRSOnKUxOB/5RLUFJZDyzrMrg/a8+NUHek5NdohrUV4D1bi8xOtca7 ULfHOMF5LGu9AmaOssW95YBAkeiTBC/aZbg621pjDqiao9A/1Mwyu3a7iT0Ehu16Wm3PjMe+C9s8 Gy3B0qb1RNnasiuvP0hlPuQA+cpRY7B+cMHgLH8YJ8XDEOP+7uNdIcAqebOzxdkUflEWDIK/nmNb Q1X0i+nkkgmiCjVX/xPFWol8L5MNaOv9V9mISZYD5pXgUN8eQmsdC3E/0Rru6nK/nEzpzAFnhn4C YrWMeQOqA40a0dfOJ1SxqcZoSLULSDmvXtK5Ul9h/MSRdiE8B1eYK2eWlPq2rY46X3FBj0yYQiox pAdPczKZb4jicLN5BeNEQJlhlBaR0Yi9t2hOi6ksJrpU7Jt5XTp4Q9mYlnpVcNbzooBdghHLbSTU Ob2RiUX2aaLfFdQl64oRBXbHUrMQ83VlTYHU0uCx+BXnkbz5xUODJsveE9GdH3fm+OBn3/rHs//i frqcyYyPlgcyuMkPBSwxYO3D8jjidZaOuYCBgbpMGHXQoz5qVulpoXm75jeMP9AKunSIpLWaYumF smRpGD3RMd1eTNCmWBvTeeojOXiAJJY9wndhWepBj+HjUBZWVIpASvNryOC9XGnVbcxKYRl7WwYC ykgK/rb6GZA6f2nka7R3a37d3p00qB8KIjLpxV4DAeafjr3ha3e/hL2Icxmno9muufkTOmtH6cpg HcYI19PmHCjxRicVmvQhe/kxurtUB0zX0nracIPNWPp2xGcQY1p9UDqEetlPLjWystXu0Nw9KPFv /94J4fMLNt7FPZVR+hPJ9e3PYdWs3kn2tYX3bofabE5Zgxp+QofnQEbz+f3ak/OQkBwBB2O8OCOg d5uoTkvCQYZ5P/oEms05mR2Gjj9P5frx/FMpHgCBydktUx6qk1Gpogva9cwGRMxgAm32p6+w+f1U daiWkBNnxnj9dyv52aiDNwUuOHvfY2uv+wpUUG4wHN4CIXUvGF8kqzzs5q5xVeM857ASLl1DcMIb 5psaYOMzg6WhVN/vL5rs6bufPl3dDjGrnsSdq084EONfYXWnnHoXvokDaW+IYgWUA8zzodo6cOfF R3UUMXRdu3iXciFfl3i3tWG3GKdeDuQEuQB5fMRyXakRbvcNr9+lyt2zQxwyy416FFNd2VS3BwrR QSa47XFYEumQKY5gkJnp+7oF7rBy4zNbYnsgOfhYFdFFVMVTRDUnBAMblN8g8u65M15qCedptXm4 X4bXd2ldWjspH2MgeSl2g8iuEPILVND2/ehDe6OuR2Wpcaz/Xl4oAorcCxQnljl5qXBpWP4UcrHm +PvCtPaewc6X3rffolCcfOCU01dkVl1WJpps51oX3XFPL33BZ5W9Tshwej0TE7qK2hyOeYBBsuZL DCD1n5nM8uxajVogPlUt5KzYd9tOZ3wnldJh8QsYZkP/aJ4XwRCR6dtSHcqb6Dn5cH1CgTRlIFNd VXb/jp6nVXbWfRUEMMO0gjrbDq4xbAlp81GMbjGMFvfpkEvXnhiA05Z0yXpw1iVfNFBbAAOic5+K wnT7W1Qpu881JZzN6lLT7bCmD5eJ13JtiQrss9jjJu0XvAVrZMqfIHJxlefVxEJqloRRrDT1F9JI xBZ4YSUiVFQMd9LSq+bL/F52QEcgaj25t+1aBjxQxAC5dQBP4lG9zlwXgVn+VHiNDLTuQOcQw2d2 1Pba7uYN3LLytsfuQA9TzV0yWvjbf3rJVCZs+A8JglIssVfRh8vqYBQzSOgrtwFojwZrc3k4wbcI RHyZZcub6bw+4ekgoVOYNW2GK3FQOh+i+dERylQY/lZbqK9FUS0edepE0fcYnayvsbEX8prN8o/p 8F8YxcNBrvDoWw/BPE7hP81+8yUqN07vaahMMIDVUSpgcADGjJ8GFC6Saq1iuIjitavvT1HD82pr UPI5guINa7Jud7Y+Pcj2IZxxjHo5mHIw3NNrzWAosWuwJffZnYoQ9bxLKVr3kM0AVFrsbL8gCJMd YJkCxBMDNDvH3qMAptVLF7VlG7zQosmoR/E7QIkbkdjmNIm28qH5IuXf6uIbY3JuKeZhSjikFwB+ wy8GDoIJc5KtuA9bj5uvWDa0nTvBkMYawDe7oyPKiBEPcjl9DCh6nCoP7HcQqAv+Wpl9yiBHcl+7 8yw767569iHrGxML7LIqZ6KQNAa7NkU79Q+WXzk4bscRlBd89lJDqXsCn0GwrugDjRiZZjDgP0gV BBjQuQqvp4jyI1b5H2tfE7wARp0HNa4JJ9tUlgoy7ZaZonyNf3FeOVzO/yFw9vzHTj4zMx/B5kbE N0Hj0i3qk8uAGM9yrNm2Pq8NyjMreVogc6aQrKVFHRt8iUkAXEHHhab9kl64B2Vis0k1bcocNLNp Gph2yVSp3NBVQb9htlFg1eSWTj/VRVIIkqqpUDK6nIJ8evioo9RL4hfkajct3cRwxh40bSOYYAwK nkxCnIAULWViQk754u66g0F4Vr/0izuaLoJlInAXI3ykn/ZWTuFQ7rinW9YJ5fCSjioz3mheuZtc 01cF9JkzrLet00uBdwPvQlnUxksVTQe18kaZFEeLt3sUlYWDiGTHukjYfcE9I61bWV9QoLbfqKs7 1YT9pXm89+KtCTHvFb+dU9GNcpaYQCry4zfCCI/sAlZkEhU1GwWQCLq/96gmYDWygX+857zuIj4i 0A7DZ0/OYBSBns7i3Hsob8HTIKypI4+4MXni7Et4EgN4tSBk227ur2A70vBGLJepQkSnVQxveX/1 DgiTUHkCLUJjWyfXqfcToay96XnTYQyqYCFHdyfp738sXfm9yELeU5A0DYDAAtoKJnyqZMuxTrJK qgH7VyICxhDBOyz3Db4CsX52QvrW/iDBxQR4Wwex/gdEMvIjlJqvO27Tv7OIsRMQE3vYW+sltN/t PYs+VatUcpVWVfo+yVIOMXOTC+yGCKyGCi2wxfnH1UAzewvK4I4LwCd9swYRGIn8ujd2O8oaUZVg W7hyZcpDvmTbTf8P10WT8kAj8eE0bscghtQeIufs1Nw5fkyusqgNMYtW3YDyNslFl96iIh3nuy7y pIjTrVmy3PTyOE0lTtoiPF+HiEYpWtDv7A79NYfiLV0hstN2o9lf8WsGnTUEsT7F6NPg6Bn6e1fG j7yjfxHB3yOhgAEpuS5HcmYMvQoClY+aZ90LUges6/MyHRrrV9HF7FZqwyxUu7rLJb4GoAiN9HoC y5I+HHg1JNdMNRRuMQP4Y41IhmPWtrKkpqHuCJU1VShw+U3L32+DdCX5iAyPClDbjuVZJqQsFmWh 1TBbORn9NRY1TUB6WFHkVwSuPArb8DzWM4AiN7qPZl/IS3pRdHiKHMsWe14MmOuk3v2UV6mjslmh 4zJDHt8qVd9u3x23fJquFiOCbtdusumsSy9Ee7uzZTfa2498Q9OgAOH6DfCzOGJfpL/rCIJL4ZWQ xRIQ+Yr2zUnyy7zKHFTcixUqnSjxg4f2XvP6mbgYBybxePs0MoiX5IeBWddF24l+oc6qpxhwqTgI 5PSF2w09njSgw/G5a0cLTWbNLTWAfGYx4DmTPFcNT2v43uKbGiMeIMVhVm/MOuHCNyBQpeJ6v8HR xFdm6HJy4hVZ8irb0Knu6mzUmE1SGlLC3b37ajRJ36WbtraB4jzsiA+7fv+6NGYJyVl0xA7N3SB1 kqIY7R6Bii0wKDl0UAbfHRipcAl29jlzWaOCVu0jjpFyHDR3CtiQ+GSut+AHYu90Pbn92KQaoCVf PMQvNvHiBbHWA/N/YrS0/MJurdlqkZVV4JiTPwzSrg+AzKsr/ZxCd0808FWtzyRebhXE50QxbI0Y lf9Vk9ZSWPJeTT6LpBypErjqsiRJnnPjHOYgevSVqwZ5Ji1pCaGbzCgXxXofLjo11KJKyfIM2bws rA9JKUnO7WFa/hp6x8oVBOH9KdIr9EU73qhSdf61KVgQsvDywxx14Qtbbv3G2SHHlMcPQf1bpLBn Gg8ToVzczYFnLUYfEWpvglMNvMGYzxZetO+CeB/PUrpRINeB2l3ugfOBLQ0qns5OZz6qBO8mxJt2 CGI68QMsRfHWFrYBsI/Pqz4eRladAuKP4vWKyIWPLkar4myENK9ameeANNqZQGcGa6mYNpGv3hNe Oz1RRd/2kpXhdyowoaT93GhDIFgF1fDYW4s53dAJBV8a38AIZbuIwpCQ0tRFiMn/yn2F8+XhhgD3 L748q4ce65SBgn87D7WMeSzT90Acl5D16A4550VLBH8g8fVm9dsjhyiiE8xc5xuWh8/Ysm/reTtB LFKbTPBDwZBwDDFl/RCZON4APadUNiKJkcmLXj3UpkXWg2yXfv3zJSr/wxSBz0w4pR9ieEcR0oo0 h8Z+K+jCswt2wuwdNcrK7/5dqXAdPlJFK7h7RjzrybWdqTBxEwSvDe0HC+HyFkzQAelAl1Cqpc4Z 2SV8xbN9nRqV9jxCW146XTD/Ie9vX3ROnca97r93z15HnpyYo/+jDF1J+oJBCV7F6XkOwmm98ier 2DWlBDlKIpCNv7n4TXGgj0WxhVAewteKc4U4x+0ZaGJ5EaRrQiVl1zIBeiAB4CzaBqxSBJ8jS8bH +MxGe/wkei051Z4/UlwAQsXZG9YPHKMT7Ha13cFFcsmemhhLOHF2pzUniKmOkNgNn4GWouKvl3cN vBGgBBDRvgkb+8J+kdA22Eh5+Am81SnXj8q/gz8wrrFeRMx4r8Bsiozxs6wD4LAJmY/WzQZT8bcm NwCPhs7f6IRhSUl0J4vIyqaVX+dcjA7GSzcRJ/uB6ROFMBw6Cgz2YZfX5/3ga5p1R9l/AaEG2yIh S3pnSq06SPnlgRweU548uqfcCpATYK6znupK/Z5UJVNzVDB49MTlbvqOh1RHBJx6dJIfORGVO7qN /X9B4cjnFx8SgAvjYUddqDneNSfw5IgDvSZ2cctmlTRVDJpNzqFRQ7R5k4BQ9fR44awqiJKwUC0T VpqoeNrt40URmInQkc7qkym7Zfa1H78cQbA4XQE7lDDUiqSgwDKUgnT374or1RcGFwV3G4BO51cx /mBUwuiiHAnZwdmVCLeBcwSTMdS2vFgPdq67WtGRe0RZ2LBgJwrOw1L6znXst4jy8l6K2tiHmZUu FPWfKPRIkVIiStOxYoeN4mxOPhHjCCZoz8bW6pG2P94ZX6iMtqsmeoW/1jzAco8vveMBfYxu7v55 xmMoaGFQn4qwrocgapb4z+cvCejnDayYxfkv0HBNDhfX8U8LypA2IcrZcLWMUqYseT4ILnLG9KxS gzi+scURIqjgMQ8oT7Y2pPAc6apN/LwPl5Sovhwgfq0M/cExSb/oZ0aqpYKytUCpt+HjG774uT84 n1p5YgMHJdxKZc+mc5mG5sddHBeed+4zMCkahENqREHpqXns37O+YFBIk8mgCP0XBcbZLr9N22RT UdK0Gcug603bGcla50tB5yjbbFnRtlOBK87KuG0w8rbPbGSUXACuBoY+NXbC8FH38nMrgOr2bZVM L/30a4LqByNAbwvb9J1T8TtT1Qf4vWNOkaLlPfAXZjOA1Mn4NeqxEVTj8iCVgtBuBf2CuF4H5hZT sGqnPcf7r87q5gUAYRdfXco9PeeRrYUzZOMbMdtFqEucPml0E7EW0W+Ha+xyIYB3QpnSmO9weHD+ yMOSw2frLoSNLHCGU1j5ksxuEtwDUwFwHQ6AVUGCQsgqS82hsAN2+rJFWX+71c8ZbljnzKmoEmrn SBtZR1xTJA0k6r7dEEq6CkEA2x9dPB4p1HG7K7yeiyTC2kqPPzedksZkyUMfBUYbTaKg+OqrqtMU A+q0+ASo2ngyLdLyFGQ9It/MfQpXdeX2CVa+dvgL26b0PN3X4t6wffatltqn84lpT6yH+wIHLk06 GKV4uoHqZTxvGC6qDti6N0CUpEdtFH/MBmpC0CjH8ZylYu/J1Apx8dGYnoZ+oG1p4XmdMrSi5vPL pnklM8IdbBnRWQ6+rfG1de1YMmgtkfJAh3F005/rmJeOzUS0/SSjmrncZtiiRWoUWcIu3daFlGX+ 2TDQ9ty/Shk+q2uXqO3kV4a6p5aeo78Qd5QoLIHb1eooTbD3t966l9mK5eDszey+rWceLPkrd01Q LOXxQF4lxNLOHGDInWDy/IuFAArB9EiwXPQoodZVQUveqt24OOTSXPwkWHYpG5CP/zPlYpzzlfwl 1D+oc0k9ZkO5m+cYFMPfhsXFu9T0yws5Zt1DpVzQjGuC0UFwyEQNjzaCsxZGP34RVetfNvq/MKPC /i7W/8vrhouugd5YQHgLLoDx0XWoYVJ3krXxC1bbvXxg3a4J2ja/5w9UFZTRzgK7QTmZgn2lE/Ab LcipvwNgtK2xDScGnPH4IP/0sW9AKQD9H86JXjtAEL2DG2fXx3M9qzu/lpEYyyqIiiQ1lQXkkgxI muVXuzYDRhxLii/INyI00SPN6V+i8/uK3i1J5tdIlCFhvNvC9BvParMl488KflER4bf6Whk6GALD dY87LDnpE1u0026KvPYIqlI1YuCIzydmmak47SFNtfBQTu28gVv4dcIkT+b+ZG6fim3GA6U/fOCf Jf4wTBt9GEKgNraztndqcYkCPtuXRUJPNIatyYnGGMTPdBBs22QF0bDPGR/8+ZTBgAUPzS23lpOD OfZbzTqYHMiMWGLc1OpPXhexYMn52s9vO8HOQdMAkFnRjzWtZSQQ/xKBtLY0crLXPRAtvDQB9e7p pyxETIKFOuJIU4EhqDEUzdQwO7tZ0TvWtxN19zJITAp1QGAuyCejoFwIZG7pM3Qe/V3Jv3rsb1Yb WSmS8kSe2W2B+/x5uc1UhAIudy4a7DJk+TylQbCFjcz4b8pM5VvrkOreDkcQ5z2JnKX9e+4X4D7o ZCuuQIk4TY7zzDTNkwUbP00zftWC58kdTpQD5jXNe8vslTyuxvCslBkHzcdxf+iSNUVyNTaqtsVu SFos9CqNcoZwkJycqjiC0kvfm0IuJ1leueglR45EU8VAJLsbg5M1Rl7jQVKaczDVLTLz70mIDZ1r w6sRc2oPhi2MTgYdnfth6BITuVPKevS4r8yG+aq77WOaMdDxm1AI2yRe/KbhBf41+M2lin3Ostu0 yu9Ia6+kh8SCZxBFQfU+VlSl5qtcbMf4inygMbP408COKsD0jRUTnmJ5mgt/3wpniZZV++6WdSLZ yL0v5JfPl0vdHrqn9qZgdaz4GvTnDUMITOB8O1q3Ohq5pCB6Wnz+ICfgx3MT2BwijiXai/CaiX7L 9Oogmm5YIoieJCYn9fewkbMNNjPkVI6L7sD165MlWv8mjZI9/IBKsgzO4C6heizDG77RfrYfcWEd eyAgafEqG3Pzy00MkwgTaNl1wFkl3yyQyq1XZCCPm/WUZAHYhNRnsqtKEcwaczM6TtzWhJy95KCm jpi3myN08EsGh/YZ6fp4LRRf/HnUii0K3D95YN0PUwkUsXVoZWO3CGpkjRpIfbhZZ9KzcjbHS3p2 2qDa1gywnQTHNDxUuvOR4dVVCiUiuvdoUaS6A+lDAQ/EYpSh2qmCTY+mKOVBG/4UggikZYLDWq3M Sj0cYzoDJyPsBwmFBPp+cPLIZ7LvPGpXPWsQ5AdY17+n3WYEqMYPe0x4AN6OsKRIuo6b8r0Y7Nz/ K7O3rQFl7G0P4f5/bdX7M3f810Y4qwCocnuO6AbSAvWhNT5K4Jgt/ACa6bJi7PgvFtNGBBUtwDoQ DWpGJeqy+eRl7ZzIngcie4aOiqEHNU6/vnFNwDbyBZE98bl5PEyD/BXKUiKIMFTO00MREFWQMm0U oSOU9hc4ubTRrpQQkt183LkQNe83g5FlEOPQiev5/zQI9r4b8j7tRWEj8iwpQWK26B+I9EtZVIbw UnlGxQp697j/Jj+tmCNY6nzAylpGcs7M0NZI44BLpmUaS0r15fzVI6xCjPzvk1K3VxFyaeMCgON7 p9TFgCyOpe1v+tMLm/GEfTkf7faR+vy9sQoh5z8gXBfiwSPDzQXEmtne2R58IjMP9onHDursDUED xNLTgY9my89/Kvb1nzEa4twtrbFZxOL8NzdggFyot+/dNevwlJyHme1G5k3ACX7/J+dHJtvSS9M6 zvny19QHm20riMR70vevhN+ECVOrkVTVmyEdbkl1JhY/1Eu4NMIEhNuSwp/icR14A9CcOoQYaasr Vk6kp13j4wM+X6FCYVw+qClTyPAki0P1bpwVdcKKopnb4XDVlRttYmWQAd6y3tFGfxHDLzSshAPf BU8S7nrxA2GwsClWjW3x4jjBMXaR9j+X1zV6cIkfjblARwym0RLJSNVOxA2ib4hm8wuv5OFQS/Dq KQS1sJHjFLPPXCI7e4qMN5lINEfHOWsB0smWcxe2ytYLMG5yOZF1H89thlcECLrtKehcaQxMxxLt 9PFIPEpJIBYx1qF4pX4AzKjoWXyhT6vGF3+qHKfuUgJfvwG98b6EpQLH6PO7+QJKLfDqdG4xnprf JKwl63AGAfkg3GhdfW/nKimdmSTPmS5TL6+uEXvExzEnBl0jJE4PXRShlQW7cFvrFsmova8v9/gK LWnryHnv8yKcaxgNVaiLjCevVY6gtrCt6uUpS1IhKpci/3DBQKOvUCVK25HbnCFQgDnu/2z73syT UvNKN2KUPhS4dKdM2GyamRpLbjzw5MUAySrjnlmxLhMBSLS4ougxnn0SXDFl3mdIi7khz4iWPg6f n/lQu2xMlQlofujVDsyXwbr+Zh7bFVnIf0MY5o4BKENTvvw1TJKsmtEXbr6O+qJL3jEDH3v7rFiD pTztollp16yeLVBmvrs5bjub5j2hocsatnTtACWlulGYy0K1CjOjFXq6aWluo5pquUtAUA9zJbA5 zTB36fimqAJy+b2vl8OZ46h+VXRVA4zsTmddRNLTsj9U8SjpLq57pTCWS/1OCpVexb3d1o80oTrD /twHaNakXTMpqEo6K/hMFuCNYXWglG7PHjaKV8iV+UyHBNALvD47yHYqidPUxFKiRjXdtykKDuAI lqVk+JQmaJUYGsL7FQdOk5KmarGAgvjDXk91uVdyzbj3fTQKEeujnD/3qQhVIwg2xHZLmbuaMsLY htrMEJQHceF1WY410DM7plK0oWL+vjjx4ugwJ3dwbHZoPp4ZRch07yEsMb/6EclJ7EbBPFQ4KtZ3 vvreCYXeXcXlN2bGpxLcqZRzciJptf1ko0eaLetuMXKT9ZT9sG39+K5V7Nst6ueZRwSCZXOrzmtE fgfWM+VjsN6qnfqseHNj++ZGiteAwPxH072Lmh4+JY90ltmHJMnkJ5fisRB16NpFaL4QjuGN1vC7 ZVOPxnMr8ptOKU83rLVaCrV1YLzuMGcsttFcjsXV7WZFvidHSz7AdXSP3+4011BytzKllocDR46R fc1HwMT+xNp2mISEC6gqWYzdIpSlwm6NiURANsxfPoUzALaIUYtw+BKyBRI+9NL9uZs6kWABwy+P R/7gGkDplQxiSRc4MEl7pK10kiKXkdsuMaR6dYvUcREci1janzs4ORn8GAK81onJkCEqaGeVr5EI 4J1bK2gT1eKErQ3z8eVOkgeI7r0jHXTw9+VKHwfCTmYGbvFlgYdZb/tmhkjFu8adNv3h7VhZStSO fHmhueUUJBq8/lB+igYRzbcbNd9xo9owm2+kGij4GpG2BpR3M5ejeZoheNWEc8nr57t3qITcTzvL J0mA8UlyXfBqiBOZcjgFG7xjBZ+FUrfFcp0/JTPlmt96MWqlUwep/zFgSLPiFtd3cS42b0a+/NyG ZTACSUBsRQIAX6pqUvgbidywARqV0s9QlGo0Zd6COaBXROYaSPqz+zTYD3mTBC7kxlZ/ih7WHZ5h tuaUsYf40lGU954YzUHt4/sPXnBYRXu9/02GTEZI5yAy26xb6wQnmwGdXVA+MXpC8ghvjGrFjO9J W3w+ZT4SnR3EQNovCUbxq8CvdqNMMyItSmiQ2VeQUt/O3WfZzvfOAgGTKinx9GXvjW6whUVico/m Dm1l4HHYgK/Nx9FNlpk/9oUfXTIbamxu7nrxwUGn/oZLhsyy6AfkT1AnvRKgu2QBjA22YpXiNQ2n gZ5epRNOCMF4VZf5yDc09aKzqAKHCDEJGcCZBLl96Oqih49d4Hzjacu2mzpAXZR+liRiaoaouTE8 DnotsUDzCFE0dDYXedJrG2uVAJ9YK2mkuHoTgXw6sTy+K1RKVnSYyJyWeOp7r0yzvTuiaHOvKb4d 0hg5qpgpfEyMRrodnQax0Ik8+BnSvFo4PzlF5SLYUYxHHCL66uqZqNt27AJYZrLKE9sszvBS+6De zCQ+rCh0JfVv7ryfDb6WOU1N9cQoyKdyLwr0Ekh3vOewNVkPAD2FvnvGID2t6omtnN29t0zdVoEu 02HFxOBvFgy7kZv69oCuxn6eC4TVkIJd5Q1mAMlCnM+sZieVcxixqODWOnzoWeKmaknqeoa8OZen rosBQCCXWjmLi6+jruzbqXrc25X5Ujr1JPmycO0FOt0iaJOkbK3PR6NZ0qaZ+MT1Q3eq0Wiwh6k7 xVeKlt+bgC45/Y/gvwAzEyPecgEK7mNcoQMhjzLDQLz7In81DozSj+OTPlFw/4PYjCzYC3uCIeBd JNlmV1R0fMjLPhtFjD39gfCcQ3Z5r1J+oSvKBu6njWuu/Y0/a9VuWQFk1RSpuDsqrPOEP5aHV3Vq u2QKx6BEw0wW9gkEcmRA2Dezrkl9WdSbdirDm1G6lEQHFEfYOFkZpi9AAkHtd4ajFkH6kY6YEn3p vNTEMjT6VLI1b56WiTYbA0RWzqCmtxtD6ugTB42Vc6QS2S0RfuItXUpoOci06ydMnJ4Off8DFOor c7CbZM0TzHUFQuHGpQRh2CQaEuGdTGOFk4aW67TVhrPSusAkakaD1u9gAz01x310uyNvSJMXn31j 35LLqA1/tm6Rdy+mvQwF4cW81wPkRkvwj9EvVrOKZlKdoAJ5t3lDKyFzY0Z3WJpZBTsLjupotGmw qIR33ICTxW9gpMlGzX/8/cF9pbIrL94WJ04dDeANWnDFpUdAZ71lh3xdu5AUSKeQ1IaXxxTs5vpL qWpZpsgjYH4aDrUyeatd6/mzX//lmzuNvtm1Li7IvYsVJy6Ku24CO/iIqwkeOH0gSctVcB/g80Ci GuKrFGs= `protect end_protected
apache-2.0
140d817b2055e2967dda47d9fd16f8e9
0.938228
1.867191
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_13.vhd
1
57,555
Library IEEE; use IEEE.std_logic_1164.all; entity x25_13x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A74: buffer std_logic ); end x25_13x; architecture x25_13x_behav of x25_13x is signal a1a,a2a,a3a,a4a,a5a,a6a,a7a,a8a,a9a,a10a,a11a,a12a,a13a,a14a,a15a,a16a,a17a,a18a,a19a,a20a,a21a,a22a,a23a,a24a,a25a,a26a,a27a,a28a,a29a,a30a,a31a,a32a,a33a,a34a,a35a,a36a,a37a,a38a,a39a,a40a,a41a,a42a,a43a,a44a,a45a,a46a,a47a,a48a,a49a,a50a,a51a,a52a,a53a,a54a,a55a,a56a,a57a,a58a,a59a,a60a,a61a,a62a,a63a,a64a,a65a,a66a,a67a,a68a,a69a,a70a,a71a,a72a,a73a,a74a,a75a,a76a,a77a,a78a,a79a,a80a,a81a,a82a,a83a,a84a,a85a,a86a,a87a,a88a,a89a,a90a,a91a,a92a,a93a,a94a,a95a,a96a,a97a,a98a,a99a,a100a,a101a,a102a,a103a,a104a,a105a,a106a,a107a,a108a,a109a,a110a,a111a,a112a,a113a,a114a,a115a,a116a,a117a,a118a,a119a,a120a,a121a,a122a,a123a,a124a,a125a,a126a,a127a,a128a,a129a,a130a,a131a,a132a,a133a,a134a,a135a,a136a,a137a,a138a,a139a,a140a,a141a,a142a,a143a,a144a,a145a,a146a,a147a,a148a,a149a,a150a,a151a,a152a,a153a,a154a,a155a,a156a,a157a,a158a,a159a,a160a,a161a,a162a,a163a,a164a,a165a,a166a,a167a,a168a,a169a,a170a,a171a,a172a,a173a,a174a,a175a,a176a,a177a,a178a,a179a,a180a,a181a,a182a,a183a,a184a,a185a,a186a,a187a,a188a,a189a,a190a,a191a,a192a,a193a,a194a,a195a,a196a,a197a,a198a,a199a,a200a,a201a,a202a,a203a,a204a,a209a,a210a,a214a,a215a,a216a,a220a,a221a,a225a,a226a,a227a,a228a,a232a,a233a,a237a,a238a,a239a,a243a,a244a,a247a,a250a,a251a,a252a,a253a,a254a,a258a,a259a,a263a,a264a,a265a,a269a,a270a,a273a,a276a,a277a,a278a,a279a,a283a,a284a,a288a,a289a,a290a,a294a,a295a,a298a,a301a,a302a,a303a,a304a,a305a,a306a,a310a,a311a,a315a,a316a,a317a,a321a,a322a,a326a,a327a,a328a,a329a,a333a,a334a,a338a,a339a,a340a,a344a,a345a,a348a,a351a,a352a,a353a,a354a,a355a,a359a,a360a,a364a,a365a,a366a,a370a,a371a,a374a,a377a,a378a,a379a,a380a,a384a,a385a,a389a,a390a,a391a,a395a,a396a,a399a,a402a,a403a,a404a,a405a,a406a,a407a,a408a,a412a,a413a,a417a,a418a,a419a,a423a,a424a,a428a,a429a,a430a,a431a,a435a,a436a,a440a,a441a,a442a,a446a,a447a,a450a,a453a,a454a,a455a,a456a,a457a,a461a,a462a,a466a,a467a,a468a,a472a,a473a,a476a,a479a,a480a,a481a,a482a,a486a,a487a,a491a,a492a,a493a,a497a,a498a,a501a,a504a,a505a,a506a,a507a,a508a,a509a,a513a,a514a,a518a,a519a,a520a,a524a,a525a,a528a,a531a,a532a,a533a,a534a,a538a,a539a,a543a,a544a,a545a,a549a,a550a,a553a,a556a,a557a,a558a,a559a,a560a,a564a,a565a,a569a,a570a,a571a,a575a,a576a,a579a,a582a,a583a,a584a,a585a,a589a,a590a,a594a,a595a,a596a,a600a,a601a,a604a,a607a,a608a,a609a,a610a,a611a,a612a,a613a,a625a,a629a,a633a,a637a,a641a,a645a,a649a,a653a,a657a,a661a,a664a,a667a,a670a,a673a,a676a,a679a,a682a,a685a,a688a,a691a,a694a,a697a,a700a,a703a,a706a,a709a,a712a,a715a,a718a,a721a,a724a,a727a,a730a,a733a,a736a,a739a,a742a,a745a,a748a,a751a,a754a,a757a,a760a,a764a,a765a,a768a,a772a,a773a,a776a,a780a,a781a,a784a,a788a,a789a,a792a,a796a,a797a,a800a,a804a,a805a,a808a,a812a,a813a,a816a,a820a,a821a,a824a,a828a,a829a,a832a,a836a,a837a,a840a,a844a,a845a,a848a,a852a,a853a,a856a,a860a,a861a,a864a,a868a,a869a,a872a,a876a,a877a,a880a,a884a,a885a,a888a,a892a,a893a,a896a,a900a,a901a,a904a,a908a,a909a,a912a,a916a,a917a,a921a,a922a,a926a,a927a,a931a,a932a,a936a,a937a,a941a,a942a,a946a,a947a,a951a,a952a,a956a,a957a,a961a,a962a,a966a,a967a,a971a,a972a,a976a,a977a,a981a,a982a,a986a,a987a,a991a,a992a,a996a,a997a,a1001a,a1002a,a1006a,a1007a,a1011a,a1012a,a1016a,a1017a,a1021a,a1022a,a1026a,a1027a,a1031a,a1032a,a1036a,a1037a,a1041a,a1042a,a1046a,a1047a,a1051a,a1052a,a1056a,a1057a,a1061a,a1062a,a1066a,a1067a,a1071a,a1072a,a1076a,a1077a,a1081a,a1082a,a1086a,a1087a,a1091a,a1092a,a1096a,a1097a,a1101a,a1102a,a1106a,a1107a,a1111a,a1112a,a1116a,a1117a,a1121a,a1122a,a1126a,a1127a,a1131a,a1132a,a1136a,a1137a,a1141a,a1142a,a1146a,a1147a,a1151a,a1152a,a1156a,a1157a,a1161a,a1162a,a1166a,a1167a,a1171a,a1172a,a1176a,a1177a,a1181a,a1182a,a1185a,a1188a,a1189a,a1193a,a1194a,a1197a,a1200a,a1201a,a1205a,a1206a,a1209a,a1212a,a1213a,a1217a,a1218a,a1221a,a1224a,a1225a,a1229a,a1230a,a1233a,a1236a,a1237a,a1241a,a1242a,a1245a,a1248a,a1249a,a1253a,a1254a,a1257a,a1260a,a1261a,a1265a,a1266a,a1269a,a1272a,a1273a,a1277a,a1278a,a1281a,a1284a,a1285a,a1289a,a1290a,a1293a,a1296a,a1297a,a1301a,a1302a,a1305a,a1308a,a1309a,a1313a,a1314a,a1317a,a1320a,a1321a,a1325a,a1326a,a1329a,a1332a,a1333a,a1337a,a1338a,a1341a,a1344a,a1345a,a1349a,a1350a,a1353a,a1356a,a1357a,a1361a,a1362a,a1365a,a1368a,a1369a,a1373a,a1374a,a1377a,a1380a,a1381a,a1385a,a1386a,a1389a,a1392a,a1393a,a1397a,a1398a,a1401a,a1404a,a1405a,a1409a,a1410a,a1413a,a1416a,a1417a,a1421a,a1422a,a1425a,a1428a,a1429a,a1433a,a1434a,a1437a,a1440a,a1441a,a1445a,a1446a,a1449a,a1452a,a1453a,a1457a,a1458a,a1461a,a1464a,a1465a,a1469a,a1470a,a1473a,a1476a,a1477a,a1481a,a1482a,a1485a,a1488a,a1489a,a1493a,a1494a,a1497a,a1500a,a1501a,a1505a,a1506a,a1509a,a1512a,a1513a,a1517a,a1518a,a1521a,a1524a,a1525a,a1529a,a1530a,a1533a,a1536a,a1537a,a1541a,a1542a,a1545a,a1548a,a1549a,a1553a,a1554a,a1557a,a1560a,a1561a,a1565a,a1566a,a1569a,a1572a,a1573a,a1577a,a1578a,a1581a,a1584a,a1585a,a1589a,a1590a,a1593a,a1596a,a1597a,a1601a,a1602a,a1605a,a1608a,a1609a,a1613a,a1614a,a1617a,a1620a,a1621a,a1625a,a1626a,a1629a,a1632a,a1633a,a1637a,a1638a,a1641a,a1644a,a1645a,a1649a,a1650a,a1653a,a1656a,a1657a,a1660a,a1663a,a1664a,a1667a,a1670a,a1671a,a1674a,a1677a,a1678a,a1681a,a1684a,a1685a,a1688a,a1691a,a1692a,a1695a,a1698a,a1699a,a1702a,a1705a,a1706a,a1709a,a1712a,a1713a,a1716a,a1719a,a1720a,a1723a,a1726a,a1727a,a1730a,a1733a,a1734a,a1737a,a1740a,a1741a,a1744a,a1747a,a1748a,a1751a,a1754a,a1755a,a1758a,a1761a,a1762a,a1765a,a1768a,a1769a,a1772a,a1775a,a1776a,a1779a,a1782a,a1783a,a1786a,a1789a,a1790a,a1793a,a1796a,a1797a,a1800a,a1803a,a1804a,a1807a,a1810a,a1811a,a1814a,a1817a,a1818a,a1821a,a1824a,a1825a,a1828a,a1831a,a1832a,a1835a,a1838a,a1839a,a1842a,a1845a,a1846a,a1849a,a1852a,a1853a,a1856a,a1859a,a1860a,a1863a,a1866a,a1867a,a1870a,a1873a,a1874a,a1877a,a1880a,a1881a,a1884a,a1887a,a1888a,a1891a,a1894a,a1895a,a1898a,a1901a,a1902a,a1905a,a1908a,a1909a,a1912a,a1915a,a1916a,a1919a,a1922a,a1923a,a1926a,a1929a,a1930a,a1933a,a1936a,a1937a,a1940a,a1943a,a1944a,a1947a,a1950a,a1951a,a1954a,a1957a,a1958a,a1961a,a1964a,a1965a,a1968a,a1971a,a1972a,a1975a,a1978a,a1979a,a1982a,a1985a,a1986a,a1989a,a1992a,a1993a,a1996a,a1999a,a2000a,a2003a,a2006a,a2007a,a2010a,a2013a,a2014a,a2017a,a2020a,a2021a,a2024a,a2027a,a2028a,a2031a,a2034a,a2035a,a2038a,a2041a,a2042a,a2045a,a2048a,a2049a,a2052a,a2055a,a2056a,a2059a,a2062a,a2063a,a2066a,a2069a,a2070a,a2073a,a2076a,a2077a,a2080a,a2083a,a2084a,a2087a,a2090a,a2091a,a2094a,a2097a,a2098a,a2101a,a2104a,a2105a,a2108a,a2111a,a2112a,a2115a,a2118a,a2119a,a2122a,a2125a,a2126a,a2129a,a2132a,a2133a,a2136a,a2139a,a2140a,a2143a,a2146a,a2147a,a2150a,a2153a,a2154a,a2157a,a2160a,a2161a,a2164a,a2167a,a2168a,a2171a,a2174a,a2175a,a2178a,a2181a,a2182a,a2185a,a2188a,a2189a,a2192a,a2195a,a2196a,a2199a,a2202a,a2203a,a2206a,a2209a,a2210a,a2213a,a2216a,a2217a,a2220a,a2223a,a2224a,a2227a,a2230a,a2231a,a2234a,a2237a,a2238a,a2241a,a2244a,a2245a,a2248a,a2251a,a2252a,a2255a,a2258a,a2259a,a2262a,a2265a,a2266a,a2269a,a2272a,a2273a,a2276a,a2279a,a2280a,a2283a,a2286a,a2287a,a2290a,a2293a,a2294a,a2297a,a2300a,a2301a,a2304a,a2307a,a2308a,a2311a,a2314a,a2315a,a2318a,a2321a,a2322a,a2325a,a2328a,a2329a,a2332a,a2335a,a2336a,a2339a,a2343a,a2344a,a2345a,a2348a,a2351a,a2352a,a2355a,a2359a,a2360a,a2361a,a2364a,a2367a,a2368a,a2371a,a2375a,a2376a,a2377a,a2380a,a2383a,a2384a,a2387a,a2391a,a2392a,a2393a,a2396a,a2399a,a2400a,a2403a,a2407a,a2408a,a2409a,a2412a,a2415a,a2416a,a2419a,a2423a,a2424a,a2425a,a2428a,a2431a,a2432a,a2435a,a2439a,a2440a,a2441a,a2444a,a2447a,a2448a,a2451a,a2455a,a2456a,a2457a,a2460a,a2463a,a2464a,a2467a,a2471a,a2472a,a2473a,a2476a,a2479a,a2480a,a2483a,a2487a,a2488a,a2489a,a2492a,a2495a,a2496a,a2499a,a2503a,a2504a,a2505a,a2508a,a2511a,a2512a,a2515a,a2519a,a2520a,a2521a,a2524a,a2527a,a2528a,a2531a,a2535a,a2536a,a2537a,a2540a,a2543a,a2544a,a2547a,a2551a,a2552a,a2553a,a2556a,a2559a,a2560a,a2563a,a2567a,a2568a,a2569a,a2572a,a2575a,a2576a,a2579a,a2583a,a2584a,a2585a,a2588a,a2591a,a2592a,a2595a,a2599a,a2600a,a2601a,a2604a,a2607a,a2608a,a2611a,a2615a,a2616a,a2617a,a2620a,a2623a,a2624a,a2627a,a2631a,a2632a,a2633a,a2636a,a2639a,a2640a,a2643a,a2647a,a2648a,a2649a,a2652a,a2655a,a2656a,a2659a,a2663a,a2664a,a2665a,a2668a,a2671a,a2672a,a2675a,a2679a,a2680a,a2681a,a2684a,a2687a,a2688a,a2691a,a2695a,a2696a,a2697a,a2700a,a2703a,a2704a,a2707a,a2711a,a2712a,a2713a,a2716a,a2719a,a2720a,a2723a,a2727a,a2728a,a2729a,a2732a,a2735a,a2736a,a2739a,a2743a,a2744a,a2745a,a2748a,a2751a,a2752a,a2755a,a2759a,a2760a,a2761a,a2764a,a2767a,a2768a,a2771a,a2775a,a2776a,a2777a,a2780a,a2783a,a2784a,a2787a,a2791a,a2792a,a2793a,a2796a,a2799a,a2800a,a2803a,a2807a,a2808a,a2809a,a2812a,a2815a,a2816a,a2819a,a2823a,a2824a,a2825a,a2828a,a2831a,a2832a,a2835a,a2839a,a2840a,a2841a,a2844a,a2848a,a2849a,a2850a,a2853a,a2857a,a2858a,a2859a,a2862a,a2866a,a2867a,a2868a,a2871a,a2875a,a2876a,a2877a,a2880a,a2884a,a2885a,a2886a,a2889a,a2893a,a2894a,a2895a,a2898a,a2902a,a2903a,a2904a,a2907a,a2911a,a2912a,a2913a,a2916a,a2920a,a2921a,a2922a,a2925a,a2929a,a2930a,a2931a,a2934a,a2938a,a2939a,a2940a,a2943a,a2947a,a2948a,a2949a,a2952a,a2956a,a2957a,a2958a,a2961a,a2965a,a2966a,a2967a,a2970a,a2974a,a2975a,a2976a,a2979a,a2983a,a2984a,a2985a: std_logic; begin A74 <=( a613a ) or ( a408a ); a1a <=( a2985a and a2976a ); a2a <=( a2967a and a2958a ); a3a <=( a2949a and a2940a ); a4a <=( a2931a and a2922a ); a5a <=( a2913a and a2904a ); a6a <=( a2895a and a2886a ); a7a <=( a2877a and a2868a ); a8a <=( a2859a and a2850a ); a9a <=( a2841a and a2832a ); a10a <=( a2825a and a2816a ); a11a <=( a2809a and a2800a ); a12a <=( a2793a and a2784a ); a13a <=( a2777a and a2768a ); a14a <=( a2761a and a2752a ); a15a <=( a2745a and a2736a ); a16a <=( a2729a and a2720a ); a17a <=( a2713a and a2704a ); a18a <=( a2697a and a2688a ); a19a <=( a2681a and a2672a ); a20a <=( a2665a and a2656a ); a21a <=( a2649a and a2640a ); a22a <=( a2633a and a2624a ); a23a <=( a2617a and a2608a ); a24a <=( a2601a and a2592a ); a25a <=( a2585a and a2576a ); a26a <=( a2569a and a2560a ); a27a <=( a2553a and a2544a ); a28a <=( a2537a and a2528a ); a29a <=( a2521a and a2512a ); a30a <=( a2505a and a2496a ); a31a <=( a2489a and a2480a ); a32a <=( a2473a and a2464a ); a33a <=( a2457a and a2448a ); a34a <=( a2441a and a2432a ); a35a <=( a2425a and a2416a ); a36a <=( a2409a and a2400a ); a37a <=( a2393a and a2384a ); a38a <=( a2377a and a2368a ); a39a <=( a2361a and a2352a ); a40a <=( a2345a and a2336a ); a41a <=( a2329a and a2322a ); a42a <=( a2315a and a2308a ); a43a <=( a2301a and a2294a ); a44a <=( a2287a and a2280a ); a45a <=( a2273a and a2266a ); a46a <=( a2259a and a2252a ); a47a <=( a2245a and a2238a ); a48a <=( a2231a and a2224a ); a49a <=( a2217a and a2210a ); a50a <=( a2203a and a2196a ); a51a <=( a2189a and a2182a ); a52a <=( a2175a and a2168a ); a53a <=( a2161a and a2154a ); a54a <=( a2147a and a2140a ); a55a <=( a2133a and a2126a ); a56a <=( a2119a and a2112a ); a57a <=( a2105a and a2098a ); a58a <=( a2091a and a2084a ); a59a <=( a2077a and a2070a ); a60a <=( a2063a and a2056a ); a61a <=( a2049a and a2042a ); a62a <=( a2035a and a2028a ); a63a <=( a2021a and a2014a ); a64a <=( a2007a and a2000a ); a65a <=( a1993a and a1986a ); a66a <=( a1979a and a1972a ); a67a <=( a1965a and a1958a ); a68a <=( a1951a and a1944a ); a69a <=( a1937a and a1930a ); a70a <=( a1923a and a1916a ); a71a <=( a1909a and a1902a ); a72a <=( a1895a and a1888a ); a73a <=( a1881a and a1874a ); a74a <=( a1867a and a1860a ); a75a <=( a1853a and a1846a ); a76a <=( a1839a and a1832a ); a77a <=( a1825a and a1818a ); a78a <=( a1811a and a1804a ); a79a <=( a1797a and a1790a ); a80a <=( a1783a and a1776a ); a81a <=( a1769a and a1762a ); a82a <=( a1755a and a1748a ); a83a <=( a1741a and a1734a ); a84a <=( a1727a and a1720a ); a85a <=( a1713a and a1706a ); a86a <=( a1699a and a1692a ); a87a <=( a1685a and a1678a ); a88a <=( a1671a and a1664a ); a89a <=( a1657a and a1650a ); a90a <=( a1645a and a1638a ); a91a <=( a1633a and a1626a ); a92a <=( a1621a and a1614a ); a93a <=( a1609a and a1602a ); a94a <=( a1597a and a1590a ); a95a <=( a1585a and a1578a ); a96a <=( a1573a and a1566a ); a97a <=( a1561a and a1554a ); a98a <=( a1549a and a1542a ); a99a <=( a1537a and a1530a ); a100a <=( a1525a and a1518a ); a101a <=( a1513a and a1506a ); a102a <=( a1501a and a1494a ); a103a <=( a1489a and a1482a ); a104a <=( a1477a and a1470a ); a105a <=( a1465a and a1458a ); a106a <=( a1453a and a1446a ); a107a <=( a1441a and a1434a ); a108a <=( a1429a and a1422a ); a109a <=( a1417a and a1410a ); a110a <=( a1405a and a1398a ); a111a <=( a1393a and a1386a ); a112a <=( a1381a and a1374a ); a113a <=( a1369a and a1362a ); a114a <=( a1357a and a1350a ); a115a <=( a1345a and a1338a ); a116a <=( a1333a and a1326a ); a117a <=( a1321a and a1314a ); a118a <=( a1309a and a1302a ); a119a <=( a1297a and a1290a ); a120a <=( a1285a and a1278a ); a121a <=( a1273a and a1266a ); a122a <=( a1261a and a1254a ); a123a <=( a1249a and a1242a ); a124a <=( a1237a and a1230a ); a125a <=( a1225a and a1218a ); a126a <=( a1213a and a1206a ); a127a <=( a1201a and a1194a ); a128a <=( a1189a and a1182a ); a129a <=( a1177a and a1172a ); a130a <=( a1167a and a1162a ); a131a <=( a1157a and a1152a ); a132a <=( a1147a and a1142a ); a133a <=( a1137a and a1132a ); a134a <=( a1127a and a1122a ); a135a <=( a1117a and a1112a ); a136a <=( a1107a and a1102a ); a137a <=( a1097a and a1092a ); a138a <=( a1087a and a1082a ); a139a <=( a1077a and a1072a ); a140a <=( a1067a and a1062a ); a141a <=( a1057a and a1052a ); a142a <=( a1047a and a1042a ); a143a <=( a1037a and a1032a ); a144a <=( a1027a and a1022a ); a145a <=( a1017a and a1012a ); a146a <=( a1007a and a1002a ); a147a <=( a997a and a992a ); a148a <=( a987a and a982a ); a149a <=( a977a and a972a ); a150a <=( a967a and a962a ); a151a <=( a957a and a952a ); a152a <=( a947a and a942a ); a153a <=( a937a and a932a ); a154a <=( a927a and a922a ); a155a <=( a917a and a912a ); a156a <=( a909a and a904a ); a157a <=( a901a and a896a ); a158a <=( a893a and a888a ); a159a <=( a885a and a880a ); a160a <=( a877a and a872a ); a161a <=( a869a and a864a ); a162a <=( a861a and a856a ); a163a <=( a853a and a848a ); a164a <=( a845a and a840a ); a165a <=( a837a and a832a ); a166a <=( a829a and a824a ); a167a <=( a821a and a816a ); a168a <=( a813a and a808a ); a169a <=( a805a and a800a ); a170a <=( a797a and a792a ); a171a <=( a789a and a784a ); a172a <=( a781a and a776a ); a173a <=( a773a and a768a ); a174a <=( a765a and a760a ); a175a <=( a757a and a754a ); a176a <=( a751a and a748a ); a177a <=( a745a and a742a ); a178a <=( a739a and a736a ); a179a <=( a733a and a730a ); a180a <=( a727a and a724a ); a181a <=( a721a and a718a ); a182a <=( a715a and a712a ); a183a <=( a709a and a706a ); a184a <=( a703a and a700a ); a185a <=( a697a and a694a ); a186a <=( a691a and a688a ); a187a <=( a685a and a682a ); a188a <=( a679a and a676a ); a189a <=( a673a and a670a ); a190a <=( a667a and a664a ); a191a <=( A169 and a661a ); a192a <=( A169 and a657a ); a193a <=( A168 and a653a ); a194a <=( A168 and a649a ); a195a <=( A200 and a645a ); a196a <=( A199 and a641a ); a197a <=( A202 and a637a ); a198a <=( A202 and a633a ); a199a <=( A265 and a629a ); a200a <=( (not A265) and a625a ); a201a <=( A235 and A169 ); a202a <=( A235 and A202 ); a203a <=( A267 and A266 ); a204a <=( A267 and A265 ); a209a <=( a203a ) or ( a204a ); a210a <=( A268 ) or ( a209a ); a214a <=( a200a ) or ( a201a ); a215a <=( a202a ) or ( a214a ); a216a <=( a215a ) or ( a210a ); a220a <=( a197a ) or ( a198a ); a221a <=( a199a ) or ( a220a ); a225a <=( a194a ) or ( a195a ); a226a <=( a196a ) or ( a225a ); a227a <=( a226a ) or ( a221a ); a228a <=( a227a ) or ( a216a ); a232a <=( a191a ) or ( a192a ); a233a <=( a193a ) or ( a232a ); a237a <=( a188a ) or ( a189a ); a238a <=( a190a ) or ( a237a ); a239a <=( a238a ) or ( a233a ); a243a <=( a185a ) or ( a186a ); a244a <=( a187a ) or ( a243a ); a247a <=( a183a ) or ( a184a ); a250a <=( a181a ) or ( a182a ); a251a <=( a250a ) or ( a247a ); a252a <=( a251a ) or ( a244a ); a253a <=( a252a ) or ( a239a ); a254a <=( a253a ) or ( a228a ); a258a <=( a178a ) or ( a179a ); a259a <=( a180a ) or ( a258a ); a263a <=( a175a ) or ( a176a ); a264a <=( a177a ) or ( a263a ); a265a <=( a264a ) or ( a259a ); a269a <=( a172a ) or ( a173a ); a270a <=( a174a ) or ( a269a ); a273a <=( a170a ) or ( a171a ); a276a <=( a168a ) or ( a169a ); a277a <=( a276a ) or ( a273a ); a278a <=( a277a ) or ( a270a ); a279a <=( a278a ) or ( a265a ); a283a <=( a165a ) or ( a166a ); a284a <=( a167a ) or ( a283a ); a288a <=( a162a ) or ( a163a ); a289a <=( a164a ) or ( a288a ); a290a <=( a289a ) or ( a284a ); a294a <=( a159a ) or ( a160a ); a295a <=( a161a ) or ( a294a ); a298a <=( a157a ) or ( a158a ); a301a <=( a155a ) or ( a156a ); a302a <=( a301a ) or ( a298a ); a303a <=( a302a ) or ( a295a ); a304a <=( a303a ) or ( a290a ); a305a <=( a304a ) or ( a279a ); a306a <=( a305a ) or ( a254a ); a310a <=( a152a ) or ( a153a ); a311a <=( a154a ) or ( a310a ); a315a <=( a149a ) or ( a150a ); a316a <=( a151a ) or ( a315a ); a317a <=( a316a ) or ( a311a ); a321a <=( a146a ) or ( a147a ); a322a <=( a148a ) or ( a321a ); a326a <=( a143a ) or ( a144a ); a327a <=( a145a ) or ( a326a ); a328a <=( a327a ) or ( a322a ); a329a <=( a328a ) or ( a317a ); a333a <=( a140a ) or ( a141a ); a334a <=( a142a ) or ( a333a ); a338a <=( a137a ) or ( a138a ); a339a <=( a139a ) or ( a338a ); a340a <=( a339a ) or ( a334a ); a344a <=( a134a ) or ( a135a ); a345a <=( a136a ) or ( a344a ); a348a <=( a132a ) or ( a133a ); a351a <=( a130a ) or ( a131a ); a352a <=( a351a ) or ( a348a ); a353a <=( a352a ) or ( a345a ); a354a <=( a353a ) or ( a340a ); a355a <=( a354a ) or ( a329a ); a359a <=( a127a ) or ( a128a ); a360a <=( a129a ) or ( a359a ); a364a <=( a124a ) or ( a125a ); a365a <=( a126a ) or ( a364a ); a366a <=( a365a ) or ( a360a ); a370a <=( a121a ) or ( a122a ); a371a <=( a123a ) or ( a370a ); a374a <=( a119a ) or ( a120a ); a377a <=( a117a ) or ( a118a ); a378a <=( a377a ) or ( a374a ); a379a <=( a378a ) or ( a371a ); a380a <=( a379a ) or ( a366a ); a384a <=( a114a ) or ( a115a ); a385a <=( a116a ) or ( a384a ); a389a <=( a111a ) or ( a112a ); a390a <=( a113a ) or ( a389a ); a391a <=( a390a ) or ( a385a ); a395a <=( a108a ) or ( a109a ); a396a <=( a110a ) or ( a395a ); a399a <=( a106a ) or ( a107a ); a402a <=( a104a ) or ( a105a ); a403a <=( a402a ) or ( a399a ); a404a <=( a403a ) or ( a396a ); a405a <=( a404a ) or ( a391a ); a406a <=( a405a ) or ( a380a ); a407a <=( a406a ) or ( a355a ); a408a <=( a407a ) or ( a306a ); a412a <=( a101a ) or ( a102a ); a413a <=( a103a ) or ( a412a ); a417a <=( a98a ) or ( a99a ); a418a <=( a100a ) or ( a417a ); a419a <=( a418a ) or ( a413a ); a423a <=( a95a ) or ( a96a ); a424a <=( a97a ) or ( a423a ); a428a <=( a92a ) or ( a93a ); a429a <=( a94a ) or ( a428a ); a430a <=( a429a ) or ( a424a ); a431a <=( a430a ) or ( a419a ); a435a <=( a89a ) or ( a90a ); a436a <=( a91a ) or ( a435a ); a440a <=( a86a ) or ( a87a ); a441a <=( a88a ) or ( a440a ); a442a <=( a441a ) or ( a436a ); a446a <=( a83a ) or ( a84a ); a447a <=( a85a ) or ( a446a ); a450a <=( a81a ) or ( a82a ); a453a <=( a79a ) or ( a80a ); a454a <=( a453a ) or ( a450a ); a455a <=( a454a ) or ( a447a ); a456a <=( a455a ) or ( a442a ); a457a <=( a456a ) or ( a431a ); a461a <=( a76a ) or ( a77a ); a462a <=( a78a ) or ( a461a ); a466a <=( a73a ) or ( a74a ); a467a <=( a75a ) or ( a466a ); a468a <=( a467a ) or ( a462a ); a472a <=( a70a ) or ( a71a ); a473a <=( a72a ) or ( a472a ); a476a <=( a68a ) or ( a69a ); a479a <=( a66a ) or ( a67a ); a480a <=( a479a ) or ( a476a ); a481a <=( a480a ) or ( a473a ); a482a <=( a481a ) or ( a468a ); a486a <=( a63a ) or ( a64a ); a487a <=( a65a ) or ( a486a ); a491a <=( a60a ) or ( a61a ); a492a <=( a62a ) or ( a491a ); a493a <=( a492a ) or ( a487a ); a497a <=( a57a ) or ( a58a ); a498a <=( a59a ) or ( a497a ); a501a <=( a55a ) or ( a56a ); a504a <=( a53a ) or ( a54a ); a505a <=( a504a ) or ( a501a ); a506a <=( a505a ) or ( a498a ); a507a <=( a506a ) or ( a493a ); a508a <=( a507a ) or ( a482a ); a509a <=( a508a ) or ( a457a ); a513a <=( a50a ) or ( a51a ); a514a <=( a52a ) or ( a513a ); a518a <=( a47a ) or ( a48a ); a519a <=( a49a ) or ( a518a ); a520a <=( a519a ) or ( a514a ); a524a <=( a44a ) or ( a45a ); a525a <=( a46a ) or ( a524a ); a528a <=( a42a ) or ( a43a ); a531a <=( a40a ) or ( a41a ); a532a <=( a531a ) or ( a528a ); a533a <=( a532a ) or ( a525a ); a534a <=( a533a ) or ( a520a ); a538a <=( a37a ) or ( a38a ); a539a <=( a39a ) or ( a538a ); a543a <=( a34a ) or ( a35a ); a544a <=( a36a ) or ( a543a ); a545a <=( a544a ) or ( a539a ); a549a <=( a31a ) or ( a32a ); a550a <=( a33a ) or ( a549a ); a553a <=( a29a ) or ( a30a ); a556a <=( a27a ) or ( a28a ); a557a <=( a556a ) or ( a553a ); a558a <=( a557a ) or ( a550a ); a559a <=( a558a ) or ( a545a ); a560a <=( a559a ) or ( a534a ); a564a <=( a24a ) or ( a25a ); a565a <=( a26a ) or ( a564a ); a569a <=( a21a ) or ( a22a ); a570a <=( a23a ) or ( a569a ); a571a <=( a570a ) or ( a565a ); a575a <=( a18a ) or ( a19a ); a576a <=( a20a ) or ( a575a ); a579a <=( a16a ) or ( a17a ); a582a <=( a14a ) or ( a15a ); a583a <=( a582a ) or ( a579a ); a584a <=( a583a ) or ( a576a ); a585a <=( a584a ) or ( a571a ); a589a <=( a11a ) or ( a12a ); a590a <=( a13a ) or ( a589a ); a594a <=( a8a ) or ( a9a ); a595a <=( a10a ) or ( a594a ); a596a <=( a595a ) or ( a590a ); a600a <=( a5a ) or ( a6a ); a601a <=( a7a ) or ( a600a ); a604a <=( a3a ) or ( a4a ); a607a <=( a1a ) or ( a2a ); a608a <=( a607a ) or ( a604a ); a609a <=( a608a ) or ( a601a ); a610a <=( a609a ) or ( a596a ); a611a <=( a610a ) or ( a585a ); a612a <=( a611a ) or ( a560a ); a613a <=( a612a ) or ( a509a ); a625a <=( A269 and A266 ); a629a <=( A269 and (not A266) ); a633a <=( A234 and A232 ); a637a <=( A234 and A233 ); a641a <=( A235 and A201 ); a645a <=( A235 and A201 ); a649a <=( A235 and A166 ); a653a <=( A235 and A167 ); a657a <=( A234 and A232 ); a661a <=( A234 and A233 ); a664a <=( (not A232) and A202 ); a667a <=( A236 and A233 ); a670a <=( A232 and A202 ); a673a <=( A236 and (not A233) ); a676a <=( A201 and A199 ); a679a <=( A234 and A232 ); a682a <=( A201 and A199 ); a685a <=( A234 and A233 ); a688a <=( A201 and A200 ); a691a <=( A234 and A232 ); a694a <=( A201 and A200 ); a697a <=( A234 and A233 ); a700a <=( A200 and (not A199) ); a703a <=( A235 and A203 ); a706a <=( (not A200) and A199 ); a709a <=( A235 and A203 ); a712a <=( A166 and A168 ); a715a <=( A234 and A232 ); a718a <=( A166 and A168 ); a721a <=( A234 and A233 ); a724a <=( A167 and A168 ); a727a <=( A234 and A232 ); a730a <=( A167 and A168 ); a733a <=( A234 and A233 ); a736a <=( A167 and A170 ); a739a <=( A235 and (not A166) ); a742a <=( (not A167) and A170 ); a745a <=( A235 and A166 ); a748a <=( (not A232) and A169 ); a751a <=( A236 and A233 ); a754a <=( A232 and A169 ); a757a <=( A236 and (not A233) ); a760a <=( A201 and A199 ); a764a <=( A236 and A233 ); a765a <=( (not A232) and a764a ); a768a <=( A201 and A199 ); a772a <=( A236 and (not A233) ); a773a <=( A232 and a772a ); a776a <=( A201 and A200 ); a780a <=( A236 and A233 ); a781a <=( (not A232) and a780a ); a784a <=( A201 and A200 ); a788a <=( A236 and (not A233) ); a789a <=( A232 and a788a ); a792a <=( A200 and (not A199) ); a796a <=( A234 and A232 ); a797a <=( A203 and a796a ); a800a <=( A200 and (not A199) ); a804a <=( A234 and A233 ); a805a <=( A203 and a804a ); a808a <=( (not A200) and A199 ); a812a <=( A234 and A232 ); a813a <=( A203 and a812a ); a816a <=( (not A200) and A199 ); a820a <=( A234 and A233 ); a821a <=( A203 and a820a ); a824a <=( A166 and A168 ); a828a <=( A236 and A233 ); a829a <=( (not A232) and a828a ); a832a <=( A166 and A168 ); a836a <=( A236 and (not A233) ); a837a <=( A232 and a836a ); a840a <=( A167 and A168 ); a844a <=( A236 and A233 ); a845a <=( (not A232) and a844a ); a848a <=( A167 and A168 ); a852a <=( A236 and (not A233) ); a853a <=( A232 and a852a ); a856a <=( A167 and A170 ); a860a <=( A234 and A232 ); a861a <=( (not A166) and a860a ); a864a <=( A167 and A170 ); a868a <=( A234 and A233 ); a869a <=( (not A166) and a868a ); a872a <=( (not A167) and A170 ); a876a <=( A234 and A232 ); a877a <=( A166 and a876a ); a880a <=( (not A167) and A170 ); a884a <=( A234 and A233 ); a885a <=( A166 and a884a ); a888a <=( (not A201) and A169 ); a892a <=( A301 and (not A203) ); a893a <=( (not A202) and a892a ); a896a <=( (not A199) and A169 ); a900a <=( A301 and (not A202) ); a901a <=( (not A200) and a900a ); a904a <=( (not A167) and (not A169) ); a908a <=( A301 and A202 ); a909a <=( (not A166) and a908a ); a912a <=( (not A169) and (not A170) ); a916a <=( A301 and A202 ); a917a <=( (not A168) and a916a ); a921a <=( A203 and A200 ); a922a <=( (not A199) and a921a ); a926a <=( A236 and A233 ); a927a <=( (not A232) and a926a ); a931a <=( A203 and A200 ); a932a <=( (not A199) and a931a ); a936a <=( A236 and (not A233) ); a937a <=( A232 and a936a ); a941a <=( A203 and (not A200) ); a942a <=( A199 and a941a ); a946a <=( A236 and A233 ); a947a <=( (not A232) and a946a ); a951a <=( A203 and (not A200) ); a952a <=( A199 and a951a ); a956a <=( A236 and (not A233) ); a957a <=( A232 and a956a ); a961a <=( (not A201) and A166 ); a962a <=( A168 and a961a ); a966a <=( A301 and (not A203) ); a967a <=( (not A202) and a966a ); a971a <=( (not A199) and A166 ); a972a <=( A168 and a971a ); a976a <=( A301 and (not A202) ); a977a <=( (not A200) and a976a ); a981a <=( (not A201) and A167 ); a982a <=( A168 and a981a ); a986a <=( A301 and (not A203) ); a987a <=( (not A202) and a986a ); a991a <=( (not A199) and A167 ); a992a <=( A168 and a991a ); a996a <=( A301 and (not A202) ); a997a <=( (not A200) and a996a ); a1001a <=( (not A166) and A167 ); a1002a <=( A170 and a1001a ); a1006a <=( A236 and A233 ); a1007a <=( (not A232) and a1006a ); a1011a <=( (not A166) and A167 ); a1012a <=( A170 and a1011a ); a1016a <=( A236 and (not A233) ); a1017a <=( A232 and a1016a ); a1021a <=( A166 and (not A167) ); a1022a <=( A170 and a1021a ); a1026a <=( A236 and A233 ); a1027a <=( (not A232) and a1026a ); a1031a <=( A166 and (not A167) ); a1032a <=( A170 and a1031a ); a1036a <=( A236 and (not A233) ); a1037a <=( A232 and a1036a ); a1041a <=( (not A202) and (not A201) ); a1042a <=( A169 and a1041a ); a1046a <=( A300 and A299 ); a1047a <=( (not A203) and a1046a ); a1051a <=( (not A202) and (not A201) ); a1052a <=( A169 and a1051a ); a1056a <=( A300 and A298 ); a1057a <=( (not A203) and a1056a ); a1061a <=( A200 and A199 ); a1062a <=( A169 and a1061a ); a1066a <=( A301 and (not A202) ); a1067a <=( (not A201) and a1066a ); a1071a <=( (not A200) and (not A199) ); a1072a <=( A169 and a1071a ); a1076a <=( A300 and A299 ); a1077a <=( (not A202) and a1076a ); a1081a <=( (not A200) and (not A199) ); a1082a <=( A169 and a1081a ); a1086a <=( A300 and A298 ); a1087a <=( (not A202) and a1086a ); a1091a <=( (not A166) and (not A167) ); a1092a <=( (not A169) and a1091a ); a1096a <=( A300 and A299 ); a1097a <=( A202 and a1096a ); a1101a <=( (not A166) and (not A167) ); a1102a <=( (not A169) and a1101a ); a1106a <=( A300 and A298 ); a1107a <=( A202 and a1106a ); a1111a <=( (not A166) and (not A167) ); a1112a <=( (not A169) and a1111a ); a1116a <=( A301 and A201 ); a1117a <=( A199 and a1116a ); a1121a <=( (not A166) and (not A167) ); a1122a <=( (not A169) and a1121a ); a1126a <=( A301 and A201 ); a1127a <=( A200 and a1126a ); a1131a <=( A167 and (not A168) ); a1132a <=( (not A169) and a1131a ); a1136a <=( A301 and A202 ); a1137a <=( A166 and a1136a ); a1141a <=( (not A168) and (not A169) ); a1142a <=( (not A170) and a1141a ); a1146a <=( A300 and A299 ); a1147a <=( A202 and a1146a ); a1151a <=( (not A168) and (not A169) ); a1152a <=( (not A170) and a1151a ); a1156a <=( A300 and A298 ); a1157a <=( A202 and a1156a ); a1161a <=( (not A168) and (not A169) ); a1162a <=( (not A170) and a1161a ); a1166a <=( A301 and A201 ); a1167a <=( A199 and a1166a ); a1171a <=( (not A168) and (not A169) ); a1172a <=( (not A170) and a1171a ); a1176a <=( A301 and A201 ); a1177a <=( A200 and a1176a ); a1181a <=( (not A201) and A166 ); a1182a <=( A168 and a1181a ); a1185a <=( (not A203) and (not A202) ); a1188a <=( A300 and A299 ); a1189a <=( a1188a and a1185a ); a1193a <=( (not A201) and A166 ); a1194a <=( A168 and a1193a ); a1197a <=( (not A203) and (not A202) ); a1200a <=( A300 and A298 ); a1201a <=( a1200a and a1197a ); a1205a <=( A199 and A166 ); a1206a <=( A168 and a1205a ); a1209a <=( (not A201) and A200 ); a1212a <=( A301 and (not A202) ); a1213a <=( a1212a and a1209a ); a1217a <=( (not A199) and A166 ); a1218a <=( A168 and a1217a ); a1221a <=( (not A202) and (not A200) ); a1224a <=( A300 and A299 ); a1225a <=( a1224a and a1221a ); a1229a <=( (not A199) and A166 ); a1230a <=( A168 and a1229a ); a1233a <=( (not A202) and (not A200) ); a1236a <=( A300 and A298 ); a1237a <=( a1236a and a1233a ); a1241a <=( (not A201) and A167 ); a1242a <=( A168 and a1241a ); a1245a <=( (not A203) and (not A202) ); a1248a <=( A300 and A299 ); a1249a <=( a1248a and a1245a ); a1253a <=( (not A201) and A167 ); a1254a <=( A168 and a1253a ); a1257a <=( (not A203) and (not A202) ); a1260a <=( A300 and A298 ); a1261a <=( a1260a and a1257a ); a1265a <=( A199 and A167 ); a1266a <=( A168 and a1265a ); a1269a <=( (not A201) and A200 ); a1272a <=( A301 and (not A202) ); a1273a <=( a1272a and a1269a ); a1277a <=( (not A199) and A167 ); a1278a <=( A168 and a1277a ); a1281a <=( (not A202) and (not A200) ); a1284a <=( A300 and A299 ); a1285a <=( a1284a and a1281a ); a1289a <=( (not A199) and A167 ); a1290a <=( A168 and a1289a ); a1293a <=( (not A202) and (not A200) ); a1296a <=( A300 and A298 ); a1297a <=( a1296a and a1293a ); a1301a <=( (not A166) and A167 ); a1302a <=( A170 and a1301a ); a1305a <=( (not A202) and (not A201) ); a1308a <=( A301 and (not A203) ); a1309a <=( a1308a and a1305a ); a1313a <=( (not A166) and A167 ); a1314a <=( A170 and a1313a ); a1317a <=( (not A200) and (not A199) ); a1320a <=( A301 and (not A202) ); a1321a <=( a1320a and a1317a ); a1325a <=( A166 and (not A167) ); a1326a <=( A170 and a1325a ); a1329a <=( (not A202) and (not A201) ); a1332a <=( A301 and (not A203) ); a1333a <=( a1332a and a1329a ); a1337a <=( A166 and (not A167) ); a1338a <=( A170 and a1337a ); a1341a <=( (not A200) and (not A199) ); a1344a <=( A301 and (not A202) ); a1345a <=( a1344a and a1341a ); a1349a <=( (not A202) and (not A201) ); a1350a <=( A169 and a1349a ); a1353a <=( A298 and (not A203) ); a1356a <=( A302 and (not A299) ); a1357a <=( a1356a and a1353a ); a1361a <=( (not A202) and (not A201) ); a1362a <=( A169 and a1361a ); a1365a <=( (not A298) and (not A203) ); a1368a <=( A302 and A299 ); a1369a <=( a1368a and a1365a ); a1373a <=( A200 and A199 ); a1374a <=( A169 and a1373a ); a1377a <=( (not A202) and (not A201) ); a1380a <=( A300 and A299 ); a1381a <=( a1380a and a1377a ); a1385a <=( A200 and A199 ); a1386a <=( A169 and a1385a ); a1389a <=( (not A202) and (not A201) ); a1392a <=( A300 and A298 ); a1393a <=( a1392a and a1389a ); a1397a <=( (not A200) and (not A199) ); a1398a <=( A169 and a1397a ); a1401a <=( A298 and (not A202) ); a1404a <=( A302 and (not A299) ); a1405a <=( a1404a and a1401a ); a1409a <=( (not A200) and (not A199) ); a1410a <=( A169 and a1409a ); a1413a <=( (not A298) and (not A202) ); a1416a <=( A302 and A299 ); a1417a <=( a1416a and a1413a ); a1421a <=( (not A166) and (not A167) ); a1422a <=( (not A169) and a1421a ); a1425a <=( A298 and A202 ); a1428a <=( A302 and (not A299) ); a1429a <=( a1428a and a1425a ); a1433a <=( (not A166) and (not A167) ); a1434a <=( (not A169) and a1433a ); a1437a <=( (not A298) and A202 ); a1440a <=( A302 and A299 ); a1441a <=( a1440a and a1437a ); a1445a <=( (not A166) and (not A167) ); a1446a <=( (not A169) and a1445a ); a1449a <=( A201 and A199 ); a1452a <=( A300 and A299 ); a1453a <=( a1452a and a1449a ); a1457a <=( (not A166) and (not A167) ); a1458a <=( (not A169) and a1457a ); a1461a <=( A201 and A199 ); a1464a <=( A300 and A298 ); a1465a <=( a1464a and a1461a ); a1469a <=( (not A166) and (not A167) ); a1470a <=( (not A169) and a1469a ); a1473a <=( A201 and A200 ); a1476a <=( A300 and A299 ); a1477a <=( a1476a and a1473a ); a1481a <=( (not A166) and (not A167) ); a1482a <=( (not A169) and a1481a ); a1485a <=( A201 and A200 ); a1488a <=( A300 and A298 ); a1489a <=( a1488a and a1485a ); a1493a <=( (not A166) and (not A167) ); a1494a <=( (not A169) and a1493a ); a1497a <=( A200 and (not A199) ); a1500a <=( A301 and A203 ); a1501a <=( a1500a and a1497a ); a1505a <=( (not A166) and (not A167) ); a1506a <=( (not A169) and a1505a ); a1509a <=( (not A200) and A199 ); a1512a <=( A301 and A203 ); a1513a <=( a1512a and a1509a ); a1517a <=( A167 and (not A168) ); a1518a <=( (not A169) and a1517a ); a1521a <=( A202 and A166 ); a1524a <=( A300 and A299 ); a1525a <=( a1524a and a1521a ); a1529a <=( A167 and (not A168) ); a1530a <=( (not A169) and a1529a ); a1533a <=( A202 and A166 ); a1536a <=( A300 and A298 ); a1537a <=( a1536a and a1533a ); a1541a <=( A167 and (not A168) ); a1542a <=( (not A169) and a1541a ); a1545a <=( A199 and A166 ); a1548a <=( A301 and A201 ); a1549a <=( a1548a and a1545a ); a1553a <=( A167 and (not A168) ); a1554a <=( (not A169) and a1553a ); a1557a <=( A200 and A166 ); a1560a <=( A301 and A201 ); a1561a <=( a1560a and a1557a ); a1565a <=( (not A168) and (not A169) ); a1566a <=( (not A170) and a1565a ); a1569a <=( A298 and A202 ); a1572a <=( A302 and (not A299) ); a1573a <=( a1572a and a1569a ); a1577a <=( (not A168) and (not A169) ); a1578a <=( (not A170) and a1577a ); a1581a <=( (not A298) and A202 ); a1584a <=( A302 and A299 ); a1585a <=( a1584a and a1581a ); a1589a <=( (not A168) and (not A169) ); a1590a <=( (not A170) and a1589a ); a1593a <=( A201 and A199 ); a1596a <=( A300 and A299 ); a1597a <=( a1596a and a1593a ); a1601a <=( (not A168) and (not A169) ); a1602a <=( (not A170) and a1601a ); a1605a <=( A201 and A199 ); a1608a <=( A300 and A298 ); a1609a <=( a1608a and a1605a ); a1613a <=( (not A168) and (not A169) ); a1614a <=( (not A170) and a1613a ); a1617a <=( A201 and A200 ); a1620a <=( A300 and A299 ); a1621a <=( a1620a and a1617a ); a1625a <=( (not A168) and (not A169) ); a1626a <=( (not A170) and a1625a ); a1629a <=( A201 and A200 ); a1632a <=( A300 and A298 ); a1633a <=( a1632a and a1629a ); a1637a <=( (not A168) and (not A169) ); a1638a <=( (not A170) and a1637a ); a1641a <=( A200 and (not A199) ); a1644a <=( A301 and A203 ); a1645a <=( a1644a and a1641a ); a1649a <=( (not A168) and (not A169) ); a1650a <=( (not A170) and a1649a ); a1653a <=( (not A200) and A199 ); a1656a <=( A301 and A203 ); a1657a <=( a1656a and a1653a ); a1660a <=( A166 and A168 ); a1663a <=( (not A202) and (not A201) ); a1664a <=( a1663a and a1660a ); a1667a <=( A298 and (not A203) ); a1670a <=( A302 and (not A299) ); a1671a <=( a1670a and a1667a ); a1674a <=( A166 and A168 ); a1677a <=( (not A202) and (not A201) ); a1678a <=( a1677a and a1674a ); a1681a <=( (not A298) and (not A203) ); a1684a <=( A302 and A299 ); a1685a <=( a1684a and a1681a ); a1688a <=( A166 and A168 ); a1691a <=( A200 and A199 ); a1692a <=( a1691a and a1688a ); a1695a <=( (not A202) and (not A201) ); a1698a <=( A300 and A299 ); a1699a <=( a1698a and a1695a ); a1702a <=( A166 and A168 ); a1705a <=( A200 and A199 ); a1706a <=( a1705a and a1702a ); a1709a <=( (not A202) and (not A201) ); a1712a <=( A300 and A298 ); a1713a <=( a1712a and a1709a ); a1716a <=( A166 and A168 ); a1719a <=( (not A200) and (not A199) ); a1720a <=( a1719a and a1716a ); a1723a <=( A298 and (not A202) ); a1726a <=( A302 and (not A299) ); a1727a <=( a1726a and a1723a ); a1730a <=( A166 and A168 ); a1733a <=( (not A200) and (not A199) ); a1734a <=( a1733a and a1730a ); a1737a <=( (not A298) and (not A202) ); a1740a <=( A302 and A299 ); a1741a <=( a1740a and a1737a ); a1744a <=( A167 and A168 ); a1747a <=( (not A202) and (not A201) ); a1748a <=( a1747a and a1744a ); a1751a <=( A298 and (not A203) ); a1754a <=( A302 and (not A299) ); a1755a <=( a1754a and a1751a ); a1758a <=( A167 and A168 ); a1761a <=( (not A202) and (not A201) ); a1762a <=( a1761a and a1758a ); a1765a <=( (not A298) and (not A203) ); a1768a <=( A302 and A299 ); a1769a <=( a1768a and a1765a ); a1772a <=( A167 and A168 ); a1775a <=( A200 and A199 ); a1776a <=( a1775a and a1772a ); a1779a <=( (not A202) and (not A201) ); a1782a <=( A300 and A299 ); a1783a <=( a1782a and a1779a ); a1786a <=( A167 and A168 ); a1789a <=( A200 and A199 ); a1790a <=( a1789a and a1786a ); a1793a <=( (not A202) and (not A201) ); a1796a <=( A300 and A298 ); a1797a <=( a1796a and a1793a ); a1800a <=( A167 and A168 ); a1803a <=( (not A200) and (not A199) ); a1804a <=( a1803a and a1800a ); a1807a <=( A298 and (not A202) ); a1810a <=( A302 and (not A299) ); a1811a <=( a1810a and a1807a ); a1814a <=( A167 and A168 ); a1817a <=( (not A200) and (not A199) ); a1818a <=( a1817a and a1814a ); a1821a <=( (not A298) and (not A202) ); a1824a <=( A302 and A299 ); a1825a <=( a1824a and a1821a ); a1828a <=( A167 and A170 ); a1831a <=( (not A201) and (not A166) ); a1832a <=( a1831a and a1828a ); a1835a <=( (not A203) and (not A202) ); a1838a <=( A300 and A299 ); a1839a <=( a1838a and a1835a ); a1842a <=( A167 and A170 ); a1845a <=( (not A201) and (not A166) ); a1846a <=( a1845a and a1842a ); a1849a <=( (not A203) and (not A202) ); a1852a <=( A300 and A298 ); a1853a <=( a1852a and a1849a ); a1856a <=( A167 and A170 ); a1859a <=( A199 and (not A166) ); a1860a <=( a1859a and a1856a ); a1863a <=( (not A201) and A200 ); a1866a <=( A301 and (not A202) ); a1867a <=( a1866a and a1863a ); a1870a <=( A167 and A170 ); a1873a <=( (not A199) and (not A166) ); a1874a <=( a1873a and a1870a ); a1877a <=( (not A202) and (not A200) ); a1880a <=( A300 and A299 ); a1881a <=( a1880a and a1877a ); a1884a <=( A167 and A170 ); a1887a <=( (not A199) and (not A166) ); a1888a <=( a1887a and a1884a ); a1891a <=( (not A202) and (not A200) ); a1894a <=( A300 and A298 ); a1895a <=( a1894a and a1891a ); a1898a <=( (not A167) and A170 ); a1901a <=( (not A201) and A166 ); a1902a <=( a1901a and a1898a ); a1905a <=( (not A203) and (not A202) ); a1908a <=( A300 and A299 ); a1909a <=( a1908a and a1905a ); a1912a <=( (not A167) and A170 ); a1915a <=( (not A201) and A166 ); a1916a <=( a1915a and a1912a ); a1919a <=( (not A203) and (not A202) ); a1922a <=( A300 and A298 ); a1923a <=( a1922a and a1919a ); a1926a <=( (not A167) and A170 ); a1929a <=( A199 and A166 ); a1930a <=( a1929a and a1926a ); a1933a <=( (not A201) and A200 ); a1936a <=( A301 and (not A202) ); a1937a <=( a1936a and a1933a ); a1940a <=( (not A167) and A170 ); a1943a <=( (not A199) and A166 ); a1944a <=( a1943a and a1940a ); a1947a <=( (not A202) and (not A200) ); a1950a <=( A300 and A299 ); a1951a <=( a1950a and a1947a ); a1954a <=( (not A167) and A170 ); a1957a <=( (not A199) and A166 ); a1958a <=( a1957a and a1954a ); a1961a <=( (not A202) and (not A200) ); a1964a <=( A300 and A298 ); a1965a <=( a1964a and a1961a ); a1968a <=( A199 and A169 ); a1971a <=( (not A201) and A200 ); a1972a <=( a1971a and a1968a ); a1975a <=( A298 and (not A202) ); a1978a <=( A302 and (not A299) ); a1979a <=( a1978a and a1975a ); a1982a <=( A199 and A169 ); a1985a <=( (not A201) and A200 ); a1986a <=( a1985a and a1982a ); a1989a <=( (not A298) and (not A202) ); a1992a <=( A302 and A299 ); a1993a <=( a1992a and a1989a ); a1996a <=( (not A167) and (not A169) ); a1999a <=( A199 and (not A166) ); a2000a <=( a1999a and a1996a ); a2003a <=( A298 and A201 ); a2006a <=( A302 and (not A299) ); a2007a <=( a2006a and a2003a ); a2010a <=( (not A167) and (not A169) ); a2013a <=( A199 and (not A166) ); a2014a <=( a2013a and a2010a ); a2017a <=( (not A298) and A201 ); a2020a <=( A302 and A299 ); a2021a <=( a2020a and a2017a ); a2024a <=( (not A167) and (not A169) ); a2027a <=( A200 and (not A166) ); a2028a <=( a2027a and a2024a ); a2031a <=( A298 and A201 ); a2034a <=( A302 and (not A299) ); a2035a <=( a2034a and a2031a ); a2038a <=( (not A167) and (not A169) ); a2041a <=( A200 and (not A166) ); a2042a <=( a2041a and a2038a ); a2045a <=( (not A298) and A201 ); a2048a <=( A302 and A299 ); a2049a <=( a2048a and a2045a ); a2052a <=( (not A167) and (not A169) ); a2055a <=( (not A199) and (not A166) ); a2056a <=( a2055a and a2052a ); a2059a <=( A203 and A200 ); a2062a <=( A300 and A299 ); a2063a <=( a2062a and a2059a ); a2066a <=( (not A167) and (not A169) ); a2069a <=( (not A199) and (not A166) ); a2070a <=( a2069a and a2066a ); a2073a <=( A203 and A200 ); a2076a <=( A300 and A298 ); a2077a <=( a2076a and a2073a ); a2080a <=( (not A167) and (not A169) ); a2083a <=( A199 and (not A166) ); a2084a <=( a2083a and a2080a ); a2087a <=( A203 and (not A200) ); a2090a <=( A300 and A299 ); a2091a <=( a2090a and a2087a ); a2094a <=( (not A167) and (not A169) ); a2097a <=( A199 and (not A166) ); a2098a <=( a2097a and a2094a ); a2101a <=( A203 and (not A200) ); a2104a <=( A300 and A298 ); a2105a <=( a2104a and a2101a ); a2108a <=( (not A168) and (not A169) ); a2111a <=( A166 and A167 ); a2112a <=( a2111a and a2108a ); a2115a <=( A298 and A202 ); a2118a <=( A302 and (not A299) ); a2119a <=( a2118a and a2115a ); a2122a <=( (not A168) and (not A169) ); a2125a <=( A166 and A167 ); a2126a <=( a2125a and a2122a ); a2129a <=( (not A298) and A202 ); a2132a <=( A302 and A299 ); a2133a <=( a2132a and a2129a ); a2136a <=( (not A168) and (not A169) ); a2139a <=( A166 and A167 ); a2140a <=( a2139a and a2136a ); a2143a <=( A201 and A199 ); a2146a <=( A300 and A299 ); a2147a <=( a2146a and a2143a ); a2150a <=( (not A168) and (not A169) ); a2153a <=( A166 and A167 ); a2154a <=( a2153a and a2150a ); a2157a <=( A201 and A199 ); a2160a <=( A300 and A298 ); a2161a <=( a2160a and a2157a ); a2164a <=( (not A168) and (not A169) ); a2167a <=( A166 and A167 ); a2168a <=( a2167a and a2164a ); a2171a <=( A201 and A200 ); a2174a <=( A300 and A299 ); a2175a <=( a2174a and a2171a ); a2178a <=( (not A168) and (not A169) ); a2181a <=( A166 and A167 ); a2182a <=( a2181a and a2178a ); a2185a <=( A201 and A200 ); a2188a <=( A300 and A298 ); a2189a <=( a2188a and a2185a ); a2192a <=( (not A168) and (not A169) ); a2195a <=( A166 and A167 ); a2196a <=( a2195a and a2192a ); a2199a <=( A200 and (not A199) ); a2202a <=( A301 and A203 ); a2203a <=( a2202a and a2199a ); a2206a <=( (not A168) and (not A169) ); a2209a <=( A166 and A167 ); a2210a <=( a2209a and a2206a ); a2213a <=( (not A200) and A199 ); a2216a <=( A301 and A203 ); a2217a <=( a2216a and a2213a ); a2220a <=( (not A169) and (not A170) ); a2223a <=( A199 and (not A168) ); a2224a <=( a2223a and a2220a ); a2227a <=( A298 and A201 ); a2230a <=( A302 and (not A299) ); a2231a <=( a2230a and a2227a ); a2234a <=( (not A169) and (not A170) ); a2237a <=( A199 and (not A168) ); a2238a <=( a2237a and a2234a ); a2241a <=( (not A298) and A201 ); a2244a <=( A302 and A299 ); a2245a <=( a2244a and a2241a ); a2248a <=( (not A169) and (not A170) ); a2251a <=( A200 and (not A168) ); a2252a <=( a2251a and a2248a ); a2255a <=( A298 and A201 ); a2258a <=( A302 and (not A299) ); a2259a <=( a2258a and a2255a ); a2262a <=( (not A169) and (not A170) ); a2265a <=( A200 and (not A168) ); a2266a <=( a2265a and a2262a ); a2269a <=( (not A298) and A201 ); a2272a <=( A302 and A299 ); a2273a <=( a2272a and a2269a ); a2276a <=( (not A169) and (not A170) ); a2279a <=( (not A199) and (not A168) ); a2280a <=( a2279a and a2276a ); a2283a <=( A203 and A200 ); a2286a <=( A300 and A299 ); a2287a <=( a2286a and a2283a ); a2290a <=( (not A169) and (not A170) ); a2293a <=( (not A199) and (not A168) ); a2294a <=( a2293a and a2290a ); a2297a <=( A203 and A200 ); a2300a <=( A300 and A298 ); a2301a <=( a2300a and a2297a ); a2304a <=( (not A169) and (not A170) ); a2307a <=( A199 and (not A168) ); a2308a <=( a2307a and a2304a ); a2311a <=( A203 and (not A200) ); a2314a <=( A300 and A299 ); a2315a <=( a2314a and a2311a ); a2318a <=( (not A169) and (not A170) ); a2321a <=( A199 and (not A168) ); a2322a <=( a2321a and a2318a ); a2325a <=( A203 and (not A200) ); a2328a <=( A300 and A298 ); a2329a <=( a2328a and a2325a ); a2332a <=( A166 and A168 ); a2335a <=( A200 and A199 ); a2336a <=( a2335a and a2332a ); a2339a <=( (not A202) and (not A201) ); a2343a <=( A302 and (not A299) ); a2344a <=( A298 and a2343a ); a2345a <=( a2344a and a2339a ); a2348a <=( A166 and A168 ); a2351a <=( A200 and A199 ); a2352a <=( a2351a and a2348a ); a2355a <=( (not A202) and (not A201) ); a2359a <=( A302 and A299 ); a2360a <=( (not A298) and a2359a ); a2361a <=( a2360a and a2355a ); a2364a <=( A167 and A168 ); a2367a <=( A200 and A199 ); a2368a <=( a2367a and a2364a ); a2371a <=( (not A202) and (not A201) ); a2375a <=( A302 and (not A299) ); a2376a <=( A298 and a2375a ); a2377a <=( a2376a and a2371a ); a2380a <=( A167 and A168 ); a2383a <=( A200 and A199 ); a2384a <=( a2383a and a2380a ); a2387a <=( (not A202) and (not A201) ); a2391a <=( A302 and A299 ); a2392a <=( (not A298) and a2391a ); a2393a <=( a2392a and a2387a ); a2396a <=( A167 and A170 ); a2399a <=( (not A201) and (not A166) ); a2400a <=( a2399a and a2396a ); a2403a <=( (not A203) and (not A202) ); a2407a <=( A302 and (not A299) ); a2408a <=( A298 and a2407a ); a2409a <=( a2408a and a2403a ); a2412a <=( A167 and A170 ); a2415a <=( (not A201) and (not A166) ); a2416a <=( a2415a and a2412a ); a2419a <=( (not A203) and (not A202) ); a2423a <=( A302 and A299 ); a2424a <=( (not A298) and a2423a ); a2425a <=( a2424a and a2419a ); a2428a <=( A167 and A170 ); a2431a <=( A199 and (not A166) ); a2432a <=( a2431a and a2428a ); a2435a <=( (not A201) and A200 ); a2439a <=( A300 and A299 ); a2440a <=( (not A202) and a2439a ); a2441a <=( a2440a and a2435a ); a2444a <=( A167 and A170 ); a2447a <=( A199 and (not A166) ); a2448a <=( a2447a and a2444a ); a2451a <=( (not A201) and A200 ); a2455a <=( A300 and A298 ); a2456a <=( (not A202) and a2455a ); a2457a <=( a2456a and a2451a ); a2460a <=( A167 and A170 ); a2463a <=( (not A199) and (not A166) ); a2464a <=( a2463a and a2460a ); a2467a <=( (not A202) and (not A200) ); a2471a <=( A302 and (not A299) ); a2472a <=( A298 and a2471a ); a2473a <=( a2472a and a2467a ); a2476a <=( A167 and A170 ); a2479a <=( (not A199) and (not A166) ); a2480a <=( a2479a and a2476a ); a2483a <=( (not A202) and (not A200) ); a2487a <=( A302 and A299 ); a2488a <=( (not A298) and a2487a ); a2489a <=( a2488a and a2483a ); a2492a <=( (not A167) and A170 ); a2495a <=( (not A201) and A166 ); a2496a <=( a2495a and a2492a ); a2499a <=( (not A203) and (not A202) ); a2503a <=( A302 and (not A299) ); a2504a <=( A298 and a2503a ); a2505a <=( a2504a and a2499a ); a2508a <=( (not A167) and A170 ); a2511a <=( (not A201) and A166 ); a2512a <=( a2511a and a2508a ); a2515a <=( (not A203) and (not A202) ); a2519a <=( A302 and A299 ); a2520a <=( (not A298) and a2519a ); a2521a <=( a2520a and a2515a ); a2524a <=( (not A167) and A170 ); a2527a <=( A199 and A166 ); a2528a <=( a2527a and a2524a ); a2531a <=( (not A201) and A200 ); a2535a <=( A300 and A299 ); a2536a <=( (not A202) and a2535a ); a2537a <=( a2536a and a2531a ); a2540a <=( (not A167) and A170 ); a2543a <=( A199 and A166 ); a2544a <=( a2543a and a2540a ); a2547a <=( (not A201) and A200 ); a2551a <=( A300 and A298 ); a2552a <=( (not A202) and a2551a ); a2553a <=( a2552a and a2547a ); a2556a <=( (not A167) and A170 ); a2559a <=( (not A199) and A166 ); a2560a <=( a2559a and a2556a ); a2563a <=( (not A202) and (not A200) ); a2567a <=( A302 and (not A299) ); a2568a <=( A298 and a2567a ); a2569a <=( a2568a and a2563a ); a2572a <=( (not A167) and A170 ); a2575a <=( (not A199) and A166 ); a2576a <=( a2575a and a2572a ); a2579a <=( (not A202) and (not A200) ); a2583a <=( A302 and A299 ); a2584a <=( (not A298) and a2583a ); a2585a <=( a2584a and a2579a ); a2588a <=( (not A167) and (not A169) ); a2591a <=( (not A199) and (not A166) ); a2592a <=( a2591a and a2588a ); a2595a <=( A203 and A200 ); a2599a <=( A302 and (not A299) ); a2600a <=( A298 and a2599a ); a2601a <=( a2600a and a2595a ); a2604a <=( (not A167) and (not A169) ); a2607a <=( (not A199) and (not A166) ); a2608a <=( a2607a and a2604a ); a2611a <=( A203 and A200 ); a2615a <=( A302 and A299 ); a2616a <=( (not A298) and a2615a ); a2617a <=( a2616a and a2611a ); a2620a <=( (not A167) and (not A169) ); a2623a <=( A199 and (not A166) ); a2624a <=( a2623a and a2620a ); a2627a <=( A203 and (not A200) ); a2631a <=( A302 and (not A299) ); a2632a <=( A298 and a2631a ); a2633a <=( a2632a and a2627a ); a2636a <=( (not A167) and (not A169) ); a2639a <=( A199 and (not A166) ); a2640a <=( a2639a and a2636a ); a2643a <=( A203 and (not A200) ); a2647a <=( A302 and A299 ); a2648a <=( (not A298) and a2647a ); a2649a <=( a2648a and a2643a ); a2652a <=( (not A168) and (not A169) ); a2655a <=( A166 and A167 ); a2656a <=( a2655a and a2652a ); a2659a <=( A201 and A199 ); a2663a <=( A302 and (not A299) ); a2664a <=( A298 and a2663a ); a2665a <=( a2664a and a2659a ); a2668a <=( (not A168) and (not A169) ); a2671a <=( A166 and A167 ); a2672a <=( a2671a and a2668a ); a2675a <=( A201 and A199 ); a2679a <=( A302 and A299 ); a2680a <=( (not A298) and a2679a ); a2681a <=( a2680a and a2675a ); a2684a <=( (not A168) and (not A169) ); a2687a <=( A166 and A167 ); a2688a <=( a2687a and a2684a ); a2691a <=( A201 and A200 ); a2695a <=( A302 and (not A299) ); a2696a <=( A298 and a2695a ); a2697a <=( a2696a and a2691a ); a2700a <=( (not A168) and (not A169) ); a2703a <=( A166 and A167 ); a2704a <=( a2703a and a2700a ); a2707a <=( A201 and A200 ); a2711a <=( A302 and A299 ); a2712a <=( (not A298) and a2711a ); a2713a <=( a2712a and a2707a ); a2716a <=( (not A168) and (not A169) ); a2719a <=( A166 and A167 ); a2720a <=( a2719a and a2716a ); a2723a <=( A200 and (not A199) ); a2727a <=( A300 and A299 ); a2728a <=( A203 and a2727a ); a2729a <=( a2728a and a2723a ); a2732a <=( (not A168) and (not A169) ); a2735a <=( A166 and A167 ); a2736a <=( a2735a and a2732a ); a2739a <=( A200 and (not A199) ); a2743a <=( A300 and A298 ); a2744a <=( A203 and a2743a ); a2745a <=( a2744a and a2739a ); a2748a <=( (not A168) and (not A169) ); a2751a <=( A166 and A167 ); a2752a <=( a2751a and a2748a ); a2755a <=( (not A200) and A199 ); a2759a <=( A300 and A299 ); a2760a <=( A203 and a2759a ); a2761a <=( a2760a and a2755a ); a2764a <=( (not A168) and (not A169) ); a2767a <=( A166 and A167 ); a2768a <=( a2767a and a2764a ); a2771a <=( (not A200) and A199 ); a2775a <=( A300 and A298 ); a2776a <=( A203 and a2775a ); a2777a <=( a2776a and a2771a ); a2780a <=( (not A169) and (not A170) ); a2783a <=( (not A199) and (not A168) ); a2784a <=( a2783a and a2780a ); a2787a <=( A203 and A200 ); a2791a <=( A302 and (not A299) ); a2792a <=( A298 and a2791a ); a2793a <=( a2792a and a2787a ); a2796a <=( (not A169) and (not A170) ); a2799a <=( (not A199) and (not A168) ); a2800a <=( a2799a and a2796a ); a2803a <=( A203 and A200 ); a2807a <=( A302 and A299 ); a2808a <=( (not A298) and a2807a ); a2809a <=( a2808a and a2803a ); a2812a <=( (not A169) and (not A170) ); a2815a <=( A199 and (not A168) ); a2816a <=( a2815a and a2812a ); a2819a <=( A203 and (not A200) ); a2823a <=( A302 and (not A299) ); a2824a <=( A298 and a2823a ); a2825a <=( a2824a and a2819a ); a2828a <=( (not A169) and (not A170) ); a2831a <=( A199 and (not A168) ); a2832a <=( a2831a and a2828a ); a2835a <=( A203 and (not A200) ); a2839a <=( A302 and A299 ); a2840a <=( (not A298) and a2839a ); a2841a <=( a2840a and a2835a ); a2844a <=( A167 and A170 ); a2848a <=( A200 and A199 ); a2849a <=( (not A166) and a2848a ); a2850a <=( a2849a and a2844a ); a2853a <=( (not A202) and (not A201) ); a2857a <=( A302 and (not A299) ); a2858a <=( A298 and a2857a ); a2859a <=( a2858a and a2853a ); a2862a <=( A167 and A170 ); a2866a <=( A200 and A199 ); a2867a <=( (not A166) and a2866a ); a2868a <=( a2867a and a2862a ); a2871a <=( (not A202) and (not A201) ); a2875a <=( A302 and A299 ); a2876a <=( (not A298) and a2875a ); a2877a <=( a2876a and a2871a ); a2880a <=( (not A167) and A170 ); a2884a <=( A200 and A199 ); a2885a <=( A166 and a2884a ); a2886a <=( a2885a and a2880a ); a2889a <=( (not A202) and (not A201) ); a2893a <=( A302 and (not A299) ); a2894a <=( A298 and a2893a ); a2895a <=( a2894a and a2889a ); a2898a <=( (not A167) and A170 ); a2902a <=( A200 and A199 ); a2903a <=( A166 and a2902a ); a2904a <=( a2903a and a2898a ); a2907a <=( (not A202) and (not A201) ); a2911a <=( A302 and A299 ); a2912a <=( (not A298) and a2911a ); a2913a <=( a2912a and a2907a ); a2916a <=( (not A168) and (not A169) ); a2920a <=( (not A199) and A166 ); a2921a <=( A167 and a2920a ); a2922a <=( a2921a and a2916a ); a2925a <=( A203 and A200 ); a2929a <=( A302 and (not A299) ); a2930a <=( A298 and a2929a ); a2931a <=( a2930a and a2925a ); a2934a <=( (not A168) and (not A169) ); a2938a <=( (not A199) and A166 ); a2939a <=( A167 and a2938a ); a2940a <=( a2939a and a2934a ); a2943a <=( A203 and A200 ); a2947a <=( A302 and A299 ); a2948a <=( (not A298) and a2947a ); a2949a <=( a2948a and a2943a ); a2952a <=( (not A168) and (not A169) ); a2956a <=( A199 and A166 ); a2957a <=( A167 and a2956a ); a2958a <=( a2957a and a2952a ); a2961a <=( A203 and (not A200) ); a2965a <=( A302 and (not A299) ); a2966a <=( A298 and a2965a ); a2967a <=( a2966a and a2961a ); a2970a <=( (not A168) and (not A169) ); a2974a <=( A199 and A166 ); a2975a <=( A167 and a2974a ); a2976a <=( a2975a and a2970a ); a2979a <=( A203 and (not A200) ); a2983a <=( A302 and A299 ); a2984a <=( (not A298) and a2983a ); a2985a <=( a2984a and a2979a ); end x25_13x_behav;
gpl-3.0
59e3dc110c03478491040247e8defe57
0.599896
2.140546
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/count_leading_zero.vhd
1
10,951
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block krLoGoIWNQxPt9Ovv/YT1cWyfv4pGJmnWh0S41qY8ehW7hjFmxUSFjvEbsEcaLhX9xdVG52k0UJE wS3Ixf6sOg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block L1YfMx/K4nzXwhK0mGlvx31iQX4TvNkIFOsWqI81YHbPhppXExzDUnoTjoa1RfuBocjgTGN9qbhx ORV0g3mEfEpGKHGU+bxaIaB/GXitSc1brfAEKx3ijf73ZN33yLB0pLoAAXh3ZQhvtQJ8rZtd7XYl +aa9x6t8RbraY0sIEcA= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VBXeigwFCdjQ6CTA8djf8+d/ibxdPsVD++JbjER9N6RKVgEPZ0Zegckzq21C5BzaC9SoZYw9QRjT PpfaYZJSLrWf7Wbj2oDpH8tUqypPVEfFn5yXg7EjMcrJ/Rptju9y0H5yGXwIBfHzUdOvp+/AJzal hP5HH9ENlvOYgUGCJ3RlnEZqRwJygs1nFNFVgSYRr0kO+BstdxPChloamHD/QCMFJ/ThXNmYJyR0 Z6hAqEBWqHH6AluJ64trFa3n7HQUFeoZMi1EFIqKGzKDLC+Rzb+TYKXx//T6l50E4uWVJbXcHGZ4 e7aBJDN+gBGTVfoNQKTJiTkpK5EbLp046kaHvw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block wAuwoGMNhaRCNiWTBrCaX25FfkN1xBqpaJ3XmFfLnhFbxLhoKEIiDChS4a+zB9RgxWUMadNoW33i RX4E+/JNljpyRmmTCfX9PGfIvMU08vyZ1AkPMXu35loe5IxYYp8WU++PXqFMmsjLECu7aLO9A4Ow /wDZGqgHXPhIaC35OYQ= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block r7WWPdTOJh45ePgPE0+ekH+PLdIpM6cvrrqxfez1VQ4fo4fL6QGVd8WITOlT306MgvCCJVdi4G7L DBAmnKXevP2puwGpzV4Ll3ipN5RSd17IxwvIO6Zh7tEZFXqj4+aFQ8k1OfhSSTQijju8alqa7Wrm Tu4G/6QbsoGZIJ+z7D9wM4Ivmq3EC7QIWJJuEnW++Cq3HYHzorhVTjSvKiW0uxaLXmr6kMhxckfq pTLaYEPrcohw2RcW69RDixEELTvjnP1fgaesMwxBB3W22/KbuNqt7QXcPQ8RR+rUYimjlnSwvniE ISBBEsXxB1Gj6BPkTBJbTO65IjCqzUsLZe5log== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6368) `protect data_block mcP/2GHb0qYsvsG47QF8vXLbrjhR1o5Xd4MLY3GpozexM6IVoNdoLFAWive1hAMKiJdhJYYfehIA DvXYl+e9ej6zy58BKtp1hpp9X630PS4Ga6l9PnwRwJXv7FJwef+ESU2stM96nV9n2vjVIqje4Zik XkfuZS+Iqo7KpuUzuW2VoX/LIABX1m3zK5KVyVZsDuVB1ah+r2wEGQyyukUqpOr+xu/lMM9fpi23 IG3OMdcvk4RyXYJiavo7Kgs/viM75RykhvqjQjnafGT559nCtQvg28ARtD0VKzM0cvNxy+d+EO7N SFIkJPhKVAf15hJ0gatXsevfCkjYUaiE56AmT6ESgs3RsYf1RtmnpgVir9RmAFTGy6kx4KLxB4R7 1zaATrYYBj0aWC+tVoFaCIVlHPV8pyixtPj+fqui0vPyqVuGAADtG4ihoP96HaUF9Nxq1K7wdwkB yKxvVQRqHA4XGc+ywG+bBPLRp0Cb+IGWD47L4a3Q9Ema3q/07E4OEpDwZhgflOX06qRRCy981Z6a oZwumdhShjEqcjMk1tdX48um7eCu+SToN+3w4j+9FHlNVN/n0VXf10uDsXojnDJfCNtyHwkp/Qiw YD+SG9HoevWw67+EuHFGHYEtR+0yy/4v8evz5EP9OvD2ERG4zFXGkGup3XC/wO+R26LP/Oo/rXu5 NzL9PgEQTsL7gBrhf1rjEQ2A6kWW8cpbouz+i8S1K9BlUkYDnYIm6FIkvGgj2DNzsnCxtRbuhLwj BdRxhG+xtwozLwy94IOSk07DJSKZIKsTTaLBN/kHIv7RCHhLDV7mKIWT4Xx6KtXUTYeH395f+iTW tjNgXJbqp/d18GQiusnAqx92s3ekZLLEarkAABGWTPgrVYYe5yxXGTfgfIFJG/GsLxF3FB+RAAy9 sHYmyoXTaD8jruf9gCqhXACGR+v23WdpAtCHMz0oQd0gz0DKaHbX7cxzZkYww6pImj2N7ttQ7AY3 IWr2WsCNy4kgdzfuZwxrTrYfD6fsqUkQ82y59+eM/Ed3z4ZdzhBUNfoDgy5qaKWATER5PiXHk28+ JtW2ExeFrGAnjYP5OtS+ZrBhz3IFB98wVfSlyftwsLnryLZXbsxfvtBX/8oNKHUlaP3nZd3MN376 LPjcUY0qGtSbt4iHM4vmf7YZT16FVnJwTQsbcGw54zG80VtiTppX07B4A2gIv1yIhP7hjyrbvrlz 8IO8R5DfAT5Rh74LXVFCwWmbo/PDs1Uqm0lpQuGtH3AxdIAocHR1H7mZ3wpI27wfYUrn1u93/mhI 5ho685O5mwJziiFwbwYBdT67fv0NvqyrDFkNks38OFSD1CK9I3C0gtN18dLz3OlhxkVwVrcTFLEB +z7YNZUSrTa+qhbL4CKx1QAxttGrDRzUnTBjGU/sHu7w0fBwvBkgkQBF8AwazZTAzJs7JBdb7GO1 9zyk0+KOnD4XBbS/jMJvkruGXvtRVTyLTDklFo1JwXuoIAbiAxbOcwytuDbSrYYNd377zlRsJgEs SgW2u0cloldzUpbbPDoOjc2w0BIdYmW5IPZmuZdnHBo8fIssxqRV3rxeBgSwytuiCPPH0IbjbcNn GBmtorRj1e4hpo23E9sBxdt0ErqcH/KXPFRLhpc9I6d7EuS68MKHTix1dV7WZzxrHkkv6vspJ2mA vag64ou8hdbD+WQZvI/qhH7GDhIt3NHmlMeK3zCqZT5Qqb25rKUwJ4isK/UneYhYSHe8eHEgSM+W 7jlzcg5zMxUukauKfZa7XIRhROw4Ya5oayALrWeUK3HgdhygR6LLL6c7bOvJubUOE3SxD1tTCgVT scLOwBBUir+OoQIf0GTNMMRcz9ZomdHx2abEz0fsTR/WnlFBjqBEGblX293HhOvYjI7jLV1kuOfY kS28RBaqLmVAk5rx86v3kOdY3e9gkXEPLGG34J9imCYWTLazXeKa368r7Ym3BCvR9/UwMzPsSljj zY9DwKpUwEm0kNcXL4A0Bx2EhlJ7r143o5mOfq2IEiV6Cn1/To7BhI5t8XsHAUEXFiA8RDc5RwVn aKGC3cFsk+DcIHNUjMP5/5P+cofcCMcsAfYV2YVSvdmryXjAAQTLzta/5KUUm03/keecPwPrsEgS S7sbNPhE/lgNsHk7Q+3D+Q5D/6yAbLBFws07tWYpS1crN16TdRiYlvAWKW0w5QapZ2Ye6q3QLL/8 MHq2ZvcBYZ78RTZx5CDIImjJpkBB6m4Q/WkJOnYXeKUl3Z73GY+VI9vb/5O7UIKMO4UELO0Bhf5f iGR8sve0YNp+R8NcmFdAkB2sALcsmKlG766u1Vly5XXDZETu1W3GMaQV2bu22dVNaWolQCG5gJEz ohkBcw+BFcREIY3cc25CwW6CewhOJf/o+4BxpHrXoAmJpc0nGbE6Z8ysgx2v6eXbIPDYmu1/9VA0 T7t/X5+iIUHMj0pMyQrC6FNVMv/cCEdTgLNpWZ5D9MbM23iQFi5HmoW4hUnAZIV/54W0DqOwfGyM p9RQWvdLPE3CDycB5pdNSYo/q1zxSZo3pcUK0xAjrlXXw9DjR1GKZcoj8B6jiGLgp4LsYIg1Djbb GDiIkvenS5H14dhEP0tQ3DPwDe+lb42ZUIUk9a+2dpEc9QXko+dnNMFuXY17aXFdpokUlVxPZu1y RdoDy1tC/kp2DenFMztaSH4tu6qlqgK8nplEznHufhtxRPNTivGW8pQLLtu4GwLBYweXnSut6Lvp behUivrnfDqhrZV4NqonLo9CWdP69o1Om5widp+od0noTSI4RD585OYZPMf87yCYyo40EkHcPW5Z iytMsFUAp9DYqak2mkTQd18UQugmYTixAyzb2PTH0C33dlLgFGdrelbj3ZP1wOQeeu1SA1LtkOt5 3m8iZzc1omSu7a1WtIc3Vp5rMI+eimXQiBSZRGXMJpxgpBPpxs8ivTIOpdOaqH0Vibshcju+KFIc fnLFX0qcxlYX6JpThI0QI4WUDJy4uux50qQSoFK/+qDT+t/UF9A07LfhoFgxfD20KLxE3IuFPdwp w/3h4CtoW+xbU4yGRCrZwSFQkXqc5riLt8y/bQWjwhsMADs+TUoosCYhPeYjzipE4HqcscMKPgyP Otkzw7fIO8FY4DLv6ieBihKVAu9/ez6SJtnDiNsGrNg1WDVHRS1zGtNQYTkRqbA/nPMo2XdW2o3l mVHC7nlAg+thSjad5FjYEk9bGGP/BCoMvdd7L/kWT9Id4kRkg5YCqnZGnDNEfFNq5kPPvuBfbmWl miV97svnya0Sgk0Pvl+7hc55VHXcp9wMQ6gVReSpZloywud0oaGUOso0SMCF7/tzzEnQrbz5WzJN VJLU4HY01nGtaJ4bWJ0tSQkK5yEQWyuQH15fpPmJ6fZ9wO1UliJdJhiQzw74cR0jQV4KekZLiLZZ Vn7YJi0XWXugT/KFJFUjzZV8ey3/05nL93fT4fNG1oOvyZDNylNHnOvhiyXAnW4OC2rxYGvL0uYi 7E2uBspH1t8alWGlsCQhlSVFCLRirGjWTD2RcUDFMtv+75UvIRR/XlZiPN8DHtRuWoOSpSqJp+5e cg2g1D5GkWAUabHM+UI0bwHnH+C1a4pjY0nnKg3lIrEHvcHstfm/uXTaFB7dIi0URiWJvo2aQwSb QFUNC4yFiRGUYsLsIRTVfQuEVdHuPTxk/twqdAmmG4NXn2IvdRiTUuT5qT9K8X6klpgdeRSvra+3 WonMSkcyPDLPTcMA1oeL5t4x0NFCGvAThvXsecXqbG42Ol8AoZu3iV1o//5FjtoC+mtGKcpOUKiw EEcF3SVIbmOMfXkw2JfbhIXllfs6Jv6vfIsubO5g26uZOdL0Ar68nnvHhMcbd1yv4NV7Pb/HGpRZ Hm07fR+p95YlKbXwkQ3ayY/79U5T1AzW3FkkImyHYXLN7D8sPOeA2w3wPYfzbic17Pu6RdE/Xf5m zhXBtZ5kgc4GbaCovP8d0rPUQ3e5rLmAYS7Nqnv8EHyoCZZCao3dNGzbeOFK7FTwjIxIQBYm4KXo wvQaADZSF407g8kc/333fD8IUvKkAoPQKT6K/vijMkOkURpW6plaLDzw9dz8GQsJa8+2W/LGzPRW m/khd83PdQCJGgmIaGyLGY3sX0seWfc/D9BwopKlgNydtSa63fYHq5FNEfxYJxQYuQt8vHXQsPll QuGUMS6+hZ+Xp/kKJAl6kGUzxJ1jZOu5I+j0lvnWZU6n4WtMSgytcw2hnwO9HUm8XY/pf+Yi7Yz1 noNqJJ9TjQkbP/cpbZmG+TotHO4RA0tcbrwOejJ0l4HEEbsYpINU95Gj3WZQ7PEnbkjkltOd2Wzp +/tKIEbe95kLpZbdfEt1bGcbMhGmuvsfHBeNFua4HD4FUUXpbELjxIXp2kO1oOWDUUN1n54Owdvt kzNTYMaSxYB3Qx7JmBd2ScLiQ2PM2eqkWlGwy1iK2iP9REE43opqQvRht204hnJUoARzuEtpmjO2 jN5+gthFrvUo7ZQRxfb0yoMcNxDg7OBzTB08H2Yw+OcSR27+2/l38HpzaH2SPiW29tvbqH9cODLH 5C627cQMYguEf0qbaq2WY+PcQonkItuzPUlxpxnMqaN6RTlP5yEr1SgioZnIMTnzHJbgoO8AMvZe s9N8p0zwCf8j0x+8p9FPB1E6exVPA+hzjjzFBdDH3eoBHR61gGCqxqR275eCVs0jvzt+3v1C02nm BQO5JZ5R9qqWiZKOXgUbN5jNiYNLsvtEIuGTwiV9ldcC6pKMq/oGWw8e+/XOpt97TTtxWObQ1cn6 CCzUUHVIDEBBS7Q+XoLsYk/gKDcPrEAqlH/QgCsqkRXPp9o8WkSO++nchZOrOzpNm/EC/rtUsF69 PBDYZSxaPytiIfO2SI8kcyKq3H3p4qtaoR8SEK6wK6xYVtjTmYrj/TqXZ9fdPIAY9k6i+x97rqyC Q0axjk8SmW0KmAv8kPJPhtaVf2SaejEnRIEfwCgzgKIJujZS46ZWEaxIhewPMyInkFuf6CBAveo6 oPnpv2nXXMWZEFm+d1NbXg0WzrOGfiUHpQPbEJk5OtRr6CUG0SL3DpxpcfboAv1P7kiWi92CsZ5M bGhVBx5cXPm1qbUQhVlMVRlfKwOOqvQjViMrAodVmKf1GlY0cywp6/Tj5ODrd31WH6dfoXjy3Wai D0y1LblJleoP2ezPPoQONGPebvFCV+Ck/317HDdtFwtGJ9DasJbk1UOVlzUlLVGGhI+DT+6RPiKs JgszkdQwaMO6QYoSCeQNu75W0+2EeuGZlVqHr4SS/FCRO0l3c/m7LIItM+ax9WqLr1SYKa2dbp5/ kETTn8u5fAOVMkDfAgC7FWAhWuGIk4CwtJUngj6R3S5EZlc5n4L3g3ua5LVbKoYSYpctyYVqNwOx x7aB30VK17MuQV5wrzpLOqFpwAdHBt98q6yCZi4nN4yjuPJFXGap/pts/OQXe2VmRSvM18kiDEjr jzBe1ReWZc80Ff7EcL1dW4VwTXp3ZvU+uPUo6k2EbfT6lTw9YKVz8Fz2tWrJpZYxwAAc2PXc8W3b IohM/DZ8ElHFI2hQ6D64W4aqWV9p6ZMva/GAj24UTHnRS6utMYLO0ouOzqt+Wc2f4YTOuOuyghz+ OnZLi76ISOb9OVSlDjaLLvuprjRNAsdvA5yCyIxS6OAsT8IuR+JrPf44VKxVEz/rAp51Q51zvpkQ qsk/6LzdAqmPo3F8+PRfk/gh+QzQCIXQQ94e2XOvSe04oIroF+5Nk8ooXGMqoWhjO3L7R0CS1Syy nVGeUBJJoyIoOFKomUgiOJFN/QFJ7VqeEdkbrmPNi9OhcRl9YzjAEigwucuxGBcWufa9Zi7r92hu txFDd3kSOdIxOF5tyZ6M6GoIBJ6NZZxo6CoTtdP1es7rpYb1wx6evD1zsU8kFAblRZi7YiW4BcsH 7Dwo7830H6hVqAAW5chfH/YcTYLboxL6khWxz+FkVikvpbNAPPbOkTazJlyAbanalUHVg2veXrNB irPmHC5+DRRxJ+jiD95UAVoxMtGTpynEI4hkNEW15QiJ95sLpdDMpycpF8pyjZ8DkyzUAtzebaKc l06Umu2VM3JibsOqmwjUSMk1SWbYE9JRYxjEkm/6Mb76BXyKjxZmOhZ3b6PZJZ26s0Lh4TkxgCIm ieft7qv3PycVaFSXaoKhK/T4sFdEKMuFQehKKr1suZKhBqkWeq8eXiAuC0z5+EuiDJNOglmWPgyC LN8WqVHvK0xJNZf0cU6tSVvjPn9N5YCOZRWqn4t7XDROG/ErHkrUW+q3+SDmvPx8QdkGv663bi9/ N4Qb2d6iY9tPjOT5SPy2IcIQYchu2pyY2LRsp3Jg56nqMJM85ktenkIp1DIn+3ZLs9NxlJmIn9Hz 3NtCvOi5uwoyKY4E626HC+S3sVQzPtTpfBHRBZHiQ//m5bY8xG/v32+d6qN9E0DycMwFjYfNB5FR gBESHNu7EmoEXzL/UD4DE3YdngmYEar2ND5i74fba28RxbQdM9rgTYcxfE4B6BQODlDVL2jg+4iE 3MXN1jps2Ygv6UOugLwPUEbef9eBxl5oS+O6eXws+5r/YwHSZEPvapiQHrLy6fV/IgHYiACnuxtv 0mmzfi8eIPzQy7WjmEbLzdcb+KY1qw45CYY4P2AlEGP2D3ncFCTsTWSBPiOZmGwMABc4cayG5127 nc7IXzReFqR9GShUNLQ9xzTdn2QI+9425bhi9YTXhxzyDjr81ozIvaBsemqp+5iBRg+6kYYBwdeO oggc/YQMx9v7q1dRiXDX0M++NBdS2hDu79LHRl8oozpHICwuuDV3qJ8aSPbMEwKV3mS/FqY8yw90 l/2YqbC9C29BnD0/Kp3pVHNnyp7RwFrFlOW0FoIP4+VUYbS4MVB57ahnXWlhN2d3ASAmrlLH6KOI WxoRK5/zASGDtXAwe7GAkjEIIQWAAHtEFi7Jwgk/2+S0ZTdKP4TEd+DJFvBpraOdOnlO1mka//a2 SmVmFiZzuVoZ75XPGnBuCiX0r3VJTew4tc7Iw/y4qzfGuzJpH90n2Y3WovkeKFS6zQygdu98qfC9 bgE3cmAYXUa/QwMbEf1AtfxRSuqH2D7LwHaEqj9JJ4ij5vUTI2w0nFbk/Y5iVxvDgMQw6sE4zpHN QjO7tDNfd4nGG0oc+32XWsncpEjZqzi5Bf/Lua9X2zYaY0z8jKmtNhWCe4tB76UvhxCSyucRF5d0 gjjBdc3McF5am6pnh+3rE6qhrDnzUsGcphpwIzRbxdMIFG/QajmUfcM+j/T/uy87UZWktrhkCZ3t fyXU+E0bf1yk5VCAncXE8f0BVs5JJtPEO2oZl7oErRCpHPEyw2iyklgtX9khiGm0L8Q3F2Q7OQ+8 wjSKXoBqiZb6a8hQE5kv/tqm3i8pT4Ev3SC5u8km/I7949YS9QuLa9HuFsHzghJkpjb/DW2CmzxS v+2m7y4yauH7TYs1Q1/xWXF+/mrTc7JC5JsI9kPmYHIUc61wFohgBS37L1sTXtucRM3nvQBsg9TI pZs7qBohVEH0JiN94nYpcK4OF/ySpPwXAUET11Ggwf9IsPZ78yBteFFXbjxazfySsA8z6xm0Rocl kk7+gCrHX5t9lcCiNgQtEsUlID0vCOVoas5IqXerWDTUZM6c18ZOoCSrkGv0yILfMA6sWN72PZJl ukN25c6ECmL6SJGRqr9zBWdIrYXSoQlDiVudzC+CZqSHVUr1MYRCOJbwRTEX45am/S7EzSsYivSV KBNv4HReWIJDOgmM5xnhRJ0NnBHuMz/gHtpIoEQHfoNcYRCu1eFbbgLQwWScQi/8glDpBQnKtd7U IXrJzX0iFvQbzOI6Ki5gNGRsQkgptaoQhl4u5A8WAzI6IN8ot6ZgmiUyPat0U5JJt0cIOH3xywj8 34y9G+y2AyfwN7wDdXAlTQ7saQvngSV9tk3IcfqfqNqlBFS57Y1Hj2E4Daw4156zPaRdAwjO0hJN xJicgg5P7wVZh7zs7TRpKfYA9DrgvmhxHYyqaAjq7llR9M41AmU4LirnAmJ6w2yoZ1BUeje67iuf CoSxHieu5aYzeQ6fU8dhZcGOVc5HjBEmBoJah2MVSSIi7lxJYQqvrpd1dWKrDT3gn0YUBDb0ZsK9 ehGf/ivq7zyBoPRrvJjUtQVD+Nx6jHQLzny0vyBBasup5+/b3X1DXkmltS9POf5xGYk30YVJd0Bb GqfnoucHx1pwgrca647IZ4jS3y47Md8vwwMb4MtxTulWB6/g+ssOVqsoHOVEllJRE1FuBaVyka18 q4mMAfROA/5aMzvj9Bvyn6ynnZ06RjgbNlJWs3fMF5FpjjSPsg8sVbKP6qYSuhDoU2XppdOwFH7k DRYVJ2l+w/ykAnFMnGBlyZ7RFTEzXkSetnfZugC1zzyc8qOI0lDS6gLwQ1ef/BXSYuFW+cmoF/fs q2vtHnukOxIKfBz8tBl2R0+xNz13uhOOWpazulDa1i3I/MpKRZt1rWA= `protect end_protected
apache-2.0
79965388fa724b8f718ae5f133456e1b
0.927587
1.889081
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/decode.vhd
1
282,467
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block isqMkDcKu7KQMNJCXEgttzHNKSL8w0IKARgd/16QvkMubHeodhh/nQR+qr9D1ovjf7uovptCB29P KGrNQ4ZLHw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HKYdQ4rVtWDNOGysJxFhDUtmszeBRPv0A3eLV8U1biqK91tdFUFcdTnEO3YUdlK6DQO5RPKca96i Kb0ZA5j4MRhEA/0xm5jbVH27boCymaEoMxHZy1BA4FkbxEp1zcQ4DDxgEE/zQvx3zWpjDsP04HiL IMwJE7nTzz4zkfMyfsc= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block axZyK3Gg4qXW3rDYhJl/yDUkQ2emT4N/8WCP2Dm2LUtltDfz6+hb/6QA/mz5Y4l4brWvQmTW8kAV x4EXH5+RaBCQrL9GBxfGU6mnTRKKY1mIXQAWhhMZrLXqMzG6j6wICIdSA54alNNBwB4nzHUjXMu3 Xebwl9BWIfSL/CpLDy8KxMQgJawARNnoi2Y71d6yPWdyYNF3Ek1WKHOMpK6V8B0VubiZZeTaMmDK SjxHAk+wAF+E25ji4sJ5u/WSYgSLll2mnwmpDye+DTJfktISsyFCtxPrzMnH46TYRLyyX/SxBCCR JaJZ4KcGONA3xB3TkdslzuUYZZsBDeVr17SKFg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block S/NXN1VD8eYc6ixw35u1tx4exb6CUiqoXD0y/kmOPv3wC/4NM8lSl5xN8XF2pZfVUq4xE5TF8xX+ 2EuJw8JNV+BJE3bq1+LrhH46fjrscHJR1fP5hbgWdU9gv4ImEfhIdtXZugacqG5ylp81KJe05z9F I10B90lVkhM2TcBIZoM= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block hynfmsLZL85InmbL+S8ruPopVSOaQf6MGHdgUt9XN8r/pp+zReQUI/2SgQuTYiwQRrDsHS2tYK14 VMUHesyxhMxml1dq18sXhapfPeDg4umPor8KK9hp4aKk/zegqiC3jTT0Oqg+hdkNvYJaElccNPcm gjVk5kyiKkcdpKIlEAt+b5sKE56BJhn7MzerODMDNfDn5lzB6NlyfueCSqP99zoLFuWrZSP1kIG6 Qn5jsAQEu0yEBqfFaBp77DfV5xqxmlkKLrX11m1VX7qNrjBXFWzM90uFEwhMYCzlXY/2e9hJ9nC4 sscDOnSprBPpmDFV8evNTPeiy4fKNl2IEUdE2Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 207360) `protect data_block 2s075IExLDi0xy+BZJmapKjIeZeyIL0/y2NjhOMXlns19uPBQcCN41zMqqPMt4+64eKKyPXwkBy7 x8lUo4m3fzrgF6ajLe6EpWuqvN07RbNi59UEA5OiKGhMYBf6OnD1+Zt4U4wAEvviyH063Gs07JjI a9pf1838qg0Yuh2A6OzVH+o28FoxZYPA+8Fs3VLowp7mviAjX96yKyBKsRBBdFwPzduf/LXAye9S kcRLyA/vGJppjDMmAQhoW+2I+4YZ23efLdu7eGupBMfAmfwgZFzBTQeqs3pgsigx3GCAaHSDt+m6 cpeYSqC6Sf9L21ZlYk8oFazFWlV3rH/dl2ny97bTBDVxKxz22CI1PVUjc/oSuihNigpuU5sBgyk3 oPB5+t9sml8nTC312fAIhUrG/FNE88MHITOzd5g8nQI/bL/FgJslK/+6URwkLFs5PLxUmWv/WXMS YlY99EW5LGC2fO7PVJJr1PrF+aF5yTf50pTjkQvpU2lNijXpXU9X+tg0Q3uzeuvJs04oOBTBH9eN a04tFYcdM/ZaVaXj/M4IYPaoQrwVvsk9PxBJg7QWnoRfs+JClSv4V5Iq72HKgGEQwOqDbtxqLWq4 UDXW1bx/YP+LUwRsbUWpY8+18FXPMrm1VqsP2H8UHd0jltYsclq1cH8KiiMdWKQLUp2mxFCR6tnk hj39Rd8jTGdcSizqd0xhgFnqXc4stLQqX4yXOaecHYh4Mzf8W8/HqJTqwnSnplxxzfkN4cf7u/63 BaTdVzairMFW4AS/iFnBoFpzJDcBT89gRBCh2QR3CuYrxDE9GSz0qR0flNKCUFYLVQvmo4iSbGTM PlTfuqC500dcwxD6/PCNnrFLwhW1igwujK9/Zpxcn2XSyoH6bgT116qzodE8H3QhOwZCv7egH4v+ VrvTnVBzU9V4oiSLm349J7uqcZ8016aiX/6xl801CaACn+LqlYGfSSFfhM6lr/YJbIyDI71gUmY2 ecbYIGfJ5c8DRVW9HI7V7/RhGBOY8/MMw9ZlI9jAJcksjTTFDJbSRNFFobZ4EgSLtwsfKgpEHWxM GjfDKSebrFkFfe66VDePydFVRVs561ugmRt8wyR6iFSJu1Slstcm3oHKd4S9Yy854nULQBQS+MPb jvEjC0955x6GZIkOtqOC15OcbcEyoDubecNwVRTkuIod3KleTpM1IFkhDNweC8A3EtiCec0KjXJv CIvOK3UcAxHFfZ2QQcuECLaMJ5PdHzjmV7NF3dJ6UA1An7ljFUTTM3pdbjUW+6pfz9AejTAm8lSs T8302oD0T94jpYt9dMF+ttBc6X85/GF9dsiCFlHqDVRNKOHJIpAUo3TsKZDJkTAoFwMQyB6u4tUQ SzI28WH6GVhF0+cVvvsoAEJ6f9hSR6OS66JlAL9i7bHkH1KvixP55h7iCtAdtkk+3NELRu7dbAwn jVgxavBP2eT+23BL9wJ88L8q3QA1PafQWo4IXOSt7ZqmAISXl0TBbHX3+BSFw7Zt2zgLaUriYImL GR7VBwctY7C6ZHnAwpWWO/DXN3r4+e1wHzLfmnpuBzk1awHmGsFbmuJwBHh8TqiKtykRo4b2+VGa VrEh5ipSv+dmjovJ546i4Ls+o8RyvxFI8NUVtRCAGXt1QpVPb0JIdSyNfx2/O8ealcduulKSpDt2 hOilkaksWkMARuwLuj3pKusT+P3pb7e1S5TaozTp/xA6CUc7gWy0sKpZJzDJqQztnN5d+L9udfmg WcJDBRJ1FXLb9fpxC8ThJ+tkNHXoepEln0OBC3PFAS71OQLnV++pk5Nz1lliGgbB3SFSNyh5uZcy RPT10NpI8anwDajC+YzcWNIK3pUavb7NCVdSrB2FID14gmhAqUsuGLzaoJByTiEo85ADUAVq213Z iSEAqobucNb9vNnLYCRDHTJ7FZaVmmBnQoPhxRzOlwW9kqHhon3LIYWTbJTXKdjiAWhj6S8gReDd m2PctZ6CM0ttwTx+MS5GTF6sefYZmJsysx6CgDfajRHEVh+ss7mNUDPKQaKeUdn/oiUoQVn6RE2g OdXVqMAlPqadUVaMWsRDMBbiyRXb2o6elsPC0vSgnRulbSCrK9t0xKd5eqIWgbZLeN+xkkUbtQHE LZ6ivZ4vJDcuiPBiTMWftuwIgd7KuqYuFgkb0gWervfppZqDS2acYi2yChjLqcD1xotugXImRzFx 8ym6oF7wstUDltfSpK71Akk8j5j5ji+8aDzjmu9yDT9d7/kpU8djDJYSPogcl1THPfu5PRFB8t8o TCrh0WGbBqn6xhmG1ridLOF69JUgMtAOysekyaWcbaZSPOELq0FudeI3LnFFePyeMQ5yuRfrE7Z8 DMeu03ksOKqOlJlRxstpN3xb09jmIAgwMqwtLbIibQP5bb5sh/gKKrkX8AxbMEI8j/Tbi4mPGz6Y B5w+F/HEg9bNgjnkFjfiuQL2Eu4Tf+Ndhy0v1aTHe//VOCiFitKnZL2wtNeE2uhjE7CKN2Z3nKnW Br+KZaGShv2R+wLli0RPHS2C5AbUEsJkmLfQbK/j171lvspe+elLWsqNMX5dh90GQMgk/PqB2fAE fvH6W5hzm6ou5dpjyPlfuC3nq89chJDaEA95+5rax/x629IMpY/fuIhGstPIE1pOQj5ckcpzwki2 TXJLsmCTOlMFedI5IJti/Uo+k5dIMRerHiHUqR0OBL/52vHTQMyO0dg41BH90ayRFlf3CD1qOZAu /7Fnx3wM+gMER0PppU+i/qnx4y6zC+qs6+EhqjzpZbFK7tDkVGdCDZI8VDvdCpgb73shbO9tJq2t k3iTYR5YkbAK4pRlHSipKZE/HJqLMm7+/qugVN2PwYFRd6nHspMppSlen+YCxY7wzv+eQkTpmtGw vJLgwzFdnEOPZr2dW7RLYU5C3RYvAmA7ST5G+rznQv6Ts+gmvgpRqvVV6/N9HseT38WETg2XoGmj eVcGfm7jv/l7K6Pv3b7ye23K9e6Zj+DZZ8CznNsBcxlagREC/dH6O6JUvFiwg0Q4UnCl0Bd/bFMw 0jQmHqBmtn396MN0rpc4MsbhtRQ3XvG23xWA/JUsygatgHdsKYKuBpDuWDpHosWHZdVLrADonmJh gl9/tvYiS5/HY69Pz5kAy9INqHVDcWgnHITI4DysEMhiDlPgeAmIqpS1R2papJEHI5m+VG3hNvSk bL4dzlcGoa57ESnbnRNgJ8ac9o5LQzdd8yBq8y73+UV4lpdQxIPm7TKk5Ny3xz1Hy5ft9RiRv6EF M9uk7NbfOMSVq2ld8OiSTaj16j/d/k6wCqOhbTjE4x4SH6rieQZ7TOkvWjnJrENtvA7evC9FgLVY v5EowymHNi94od1kFCNzp3W8y0jklA0nKepGLBjiEo3MpFFzwKF3BRn/sZ14b3PKaOUqYaZT5xgk FZ+ij94BGBZFnDPRnqppYCWGh9cBeQpwJQYm63fE6oRp8hXkEwiGTolU4uq1OdxNZMXM8IIGT93I XNOvgXq5q7oabvQRa5sRfoQSs5nuC9gRCtUMaveleIry6ish0PMNZLIQJRSkQvTFeKaP51MxSE9T /RoEXuDM/ElKyFQA4vsZ5JU6aA9x0qDo4mSn8FGmsH1H3HWvx65DWKkZ969OPGAb+yauWPgIJL0E 0e5XY0MEJ5JS5xQpn45G4NUO1vaKFxHoS82GwmOJL8AcAChekcWQy98rhqxQbOMP0xIQOHZprN51 PTGDgry+BpHkbt5fCP99997s55okncAM5eEvTUJb+xHEleWCM6Idktmj+jpzjmE2cGV8XZl6676I 99PQqdxX/CJMc5dlIho32X2vBg8sCgTtaRN0hAUlbRFeuOWcaRLwyyRbj81aa0iDBIPN9Kxhkg3A 6TNLUvTVRFoVaUTyoyCR5QimyP1ZECghiD41rNchpEfcKAJNDmd5PRy0jS1gzfqgyYrvHgh9j01d IUEJAMqTEW1gfMF5EOQYe89nC1WjvOCzHyqLxyH1Bx77iIug9JMSaE0TGF7iKQvfMbEA4/NtmvNx s3SbWAQ3g6rB+rpBtK/ZxLBIy+MwurMbIuI+uLyLdoEDApY7XM1LDnFuwlbmkMnRUI/u+a3C+Zkl k23XkSW4aXTpgTZJ/udLH6JRsvQozWBskrUaSfrRgNbf5SsTOrxspJBTFuckLD0xfSwZ54W9kapA h57MThu1NT6yJkpSMSmIa4jicxEfr4nyIb9bXX3y9jluLo7c1mMSbRE9QH6Fyf++19xeSH17hJX0 kj9kkYvHNil/z13TxgPmUzReBreKpqW5quPBS1wV3PkN7ywvdokk4euX37r7Q38Ctyh+oLS9McKF 1T/agqvIQHOT3IHfl3PAr2aTHjtiyLMTx7rkmq9AoyA2TlX6aKmLJmR3FPG+KqNGAa9SCuR/CAgF 5dFnPq0WjjXevBEoa34xedztyDiEVV+PN4FlDz+ZKesTNAdy61CnGQPQNrcUND9anFb9jCHbFXhq F6Au/U2Uj04ngBGF7ayYZ4yAOanDcNiPP/aGC+sJr7p0JfODMstofXETS6e5EJWDW8BV5BCEKJHr aqheYYYAsZM+JK6hKYurwzmuvYAh6D+qfqL73/qp31POz0P4krAV6x4tOPuKmhwVl067S+qZEjPJ lClf3ITEe/t75o1EZi9PWfljzMqIRxo821WKCJEjMeDL55soMIRg/P4SuxbN9H5o5juWIKAI/tlT HYYrbq8IwJfCsXuIY6AUEAo+MWzuqwe82wwQdBkwraERo/jGiqYYuWelLtjckvJdt+5ERheKaxYw KUCd6BUCqvl0TNRHKPYZOfO909KLuX5MmkPjDWg8aI2I+/z6AfwNqVxf2pPZBI9tvLSJnK7DJBde RX1a6Id1/QG+EXfEFdehZee5vYZ3R+DKTzyjf9kRX0wpQX8MrTUAmPXNGKaAMHSySgj9zjcFHtf1 2vmFepghnxrlb6MQPkIvpOgcVVp56SlQGQMvbqeYaWe8JdTr1h/rr7VlSHV7pXwRaU0iweI+Xnwc gHW7pOOSffB2amwsWmR06XI9q8R/PL7IWMybp4+lIfxYhxcSVFJFqgkz3jzysOBfcAtTt+Gjn6t6 YUf4SKsvsJi/H7i2R7kwC+4hmp5ojcpBZagCZYtbEWx+nInW46b+vc3XhhuEbOhxxV6isg6vC5TL Q7KO8+01GZs1Spiw9NxYDDLgaPBsYZ48zycH2d8f9Y5j200kq8hTlh+xOvtEMRqHO/rYCJHdmc2U MlHnbbtGXI9HPHmLSIiJNrJkJncFx1eYgHpcBmnPlQqqolxQVNG6Ya8V/ZimDd4PiuMhnkDm7zQl /8NgZdUX1eIhN6zxPHHOdSJIgBoYhCIs0LFBfLi75oDAt2Uiuog/V4mPYWeCR/vRp3KTZ2FtmMqL jB/L8ZYqmaHiRyOqn5M8mPBxFNFOH/GJGWW6RlsWcAvW2EiiwxT4GmENEmBr1dlQ1qRWmZ2kDdxy WTyVrXOQfv96Wjqa0t9PP60oDxBclozAJyrQ1VFldARmpD+t0+JxykKk5xOxBJzJGEF2TssPHUZm /E3Tr5vgv1EsekKNlQ78gVFbFyQdei78PTj377j2zPEKn86F6euqBuCHlCnbJ8sIE+aOr7RHDxtn y9LyzDCEh3QL2mqsprZ5XaOyfgqvmv6QgycOG+gCYhE4O2oRF9dsiP3672Y5lR3t4dyv0FJjhk8S pCOqubXWjQtkaiK3dgc6aoxP0rQkPSvIDWEs1wQxOX36Tr+kloIAEQxmGhBVfcp6G4d08P5q7Fok vQE9FaAPReKmH61hAsi35bX15W4oZGqLLRUSyRbLWrNAUKxPHKkiTys5e7h0SuAoyYNY5Lnz3fC0 +aXdv4jdHbocAR0D31t5zRjt++vqrl3KoerIPuSVc0Cxxa/VgNELKqlmgCaWbfUwtU5v71jhrfx5 Ho+xTiTsbPRBX+8q1ziBRxatj+nl1BvPC0z9RhUU1LI8dkfQBagi3nmFdxknXaXvJNax5wi7bzT5 yY9TRiXQJgB1uDs1IlJiRG1CYvfItLeGdix9DZN2Tj6MKNb/cvX5WsbMyFXpBMZo6xpsXBXx+uhd FEZGCKOjyNW353luharM0qvZ5BdnNRel6mlOJsl9CT6VzjVfTGcYpHEA4VM0720SPn0mpK7kfi63 qlftFkbSB48e2/LKge+R82VG2hqGtSLbork+0if3LqeryzijsnsmGpIutTxSS7tCIYS81919yL2P vOujxqeIV1RmcBb14bxj5m+zFbrtLZzlpmWnD2jnW5xkbU52fIiLwN7ENB3eU3PFq2z05Bf00wej 3pJccyAeTyFKkFSiOCnFCioMHPrB6AVU70T3+rvDdAk65BgUYcrFbgsWi9X2B4Y2TnZQg3QsjFzY sgwl9BBUdiCJJ9Yek1Ajs5lctgbLpVh0Y1GjrzNuaAJOlHvIoIs9VmC/Fj817RoE6fqw8mLtgH0n ngE21pi4BMhVj3j4y9HH12AebXlp7ommkx/eexeA1t05xI6qfxaioITDKKIjBlkaWdGEGIiGE31k OqK5KLA4f7Gg1Xjt2wdXM35CNUmk1YHW/rcF2AMDP29HrCD9HIznLPmL/khSzMqvQMK3AP3wZNOI RaeB2LHALqi1SoBSogvOS/Mtnu5KcCQMDUalR253I8hvrULLGPtpjA6T2u1pvwXH+SQehdDVvLJB cqYeeq04ed04QITOd6AdqkV87he/mVODoM1InzWghknZVx/o50x3Ypj+MVFGkU6KhjZQD4kgWtcD 32yzWKXjSv9f7TD0jfjORCZ6Qfk84wJzXSTJ3TSh05VW2YdNFEhva9cIkw82oVljZb88Y899CAVN TLK78bj2fD2+luncmAb5tr7ySsLa80FeAIBqOVNuVb8014OJy5LtedN5SJ+DnZs8SDs6141papjg XDmrBMhbBGF59o9nxIeKNphu/OFD+YUeMgKICTxMU59uAYin9CvIr14mlzc3BCNmlM0vV8nTDBnP P7vTBrf+zF/bSNWzYeD0LEEpN7rwI7+p0V3lIaUbc4psoRl0pC3xwuyQGVAp5LrIeTINSSyk7AEr v17T3rDd0tbhGpAJSzG3VzdSQgOMxTPXlzuMil0HKvpcPvnLpKOFGpEe5CnjOzwn+coFF/WCnvlC mgeMeW5c3kma8LKPK3ZWlK0ybm8F1y+oqKG+QGdETokIjDJViq+vWUl9tVw9jj7vyvJMPEF2ykY/ TO3ebLzL0F0oGLdDFQu+oso5lXzw9PlBdlR+vBN6oHG4c0S9GREjuaF/p1UJsQ1t2r7VO5uPpeOv zySeTLBgDvFPWVKh2WxmxJe4q3Kp+KAHD/e0nx18b7dQ30ub1myUNOr+3i8cTpVhKhzArDJACIws FkkVJV+tO0rWduAVwNMqsKILeOWBD4W3fre4vqBMwBSUv5Elxut2PctGrczrMjCqLKwF+tuxO/yD gV3Dlk3yrC4MZQmD/bBKoEthAMHF//gL/2EYAsmI3VDRL60twkCm/otafNZmjX82jWLh1HQ/OJ1A g9wVVqW9Y29sffKqH6sHPqT5XnKK8QWdp4w8oVoG6o/BwJnadKbFfdTM0Cg8kycMmi+Igo10Y/Uc QCbzLClPU/wKiFscuipPCmx8UZYiYWz+Xipm9uRrP4IpVz2fzoXoekXaUR+zEbDnZ76CAq/yh/Z1 I9ahHs2CQJ3JX1D1DPBrv3/PlAV7bGKxcmLnU18n3v80VpeCrXweCMdXB3eMm5BkWFzRPNUTRpcR emWCEzdGTxsRRO48qxXiHH87dwDslsCtoXb7K/yqr+MCORLjaj65lVqO3jwk4em6MbGYU1hMhv44 5YtHMpVh62ayAcJ55rZZMw6IYlF8KQT2CfV9VCj+Jyg19KO5cizJKhcvCuUnEOjpVsw560LVjW80 09VN8RiLHvNxOSazl6iAx9MTxIVl80RY4EhqCVRe/n7najgRjHLgRRyONsaMoXSJoglCkoeBgkwK kZTRTTPCksK5JusijAGPTxrAAdqvn4ESZhwDZ2iVjolqjkwxhLci+J6kxIkycqEfcXa3WpUUOefP NdPqctkzkOB61rHD5YjmCLhQ7wm36XcpvSsp9V+OP4QJahoy5ga4mDxrxDrKtIeyoq4UM36p3QX0 b6Aqsj+l5f8uO7uraXlQqYu5Y74Y7ev+H3ImQZKAKgp3dFHtGKD9FXeatY/UH6uI+fH53uq6xX5m GPaCL29HejOlR6cvK9riXXa/1WvnDRw6Ns6ygzMCKEm4xJyMLgA876liKo4dI97wEIezi1NNmZvP JzgAL/VVnONCiMDuM8cQGROydsGSl3sQBiW6EIgh851Wd22+Nd21TtDTi57Jil09QoV+RN77pi74 SSvx1ar+kR3BPoLNhACRp/hhNhhjlLJ+h4VDcOTDiimsBFkhFRIeRDM8Kh9sDHbma3rQFqNf27hl A2KiUe0k6/D/ZtzK+q5H9vKSPfBpqbW57iS0jFrzdpaIgVO0kiyp03gNa08AOhlSB4j+0PyO3VTz A6DA4cQFqCBSSaQJgg6wIh9Cc+dDtoVzpgGen/RV+OEEd3yA0dnyD9RwRNsWT36twwBv/nlWFibx DATD+4Icn24Z82fmRs69TrjN6hovV8H/PjDSlxNAkoTf/MawzLNWZc8OSlq1PSPogCx/HWnhlCYL fCnlwdSgO82xVPzk+U9RztouCbsCSKsTjkcGxokOUY8aFHQSqtO19WcUVrcZWLf5/bS3EiZ2fDa3 PY5DZDScYvJDlCBc9sZXF060DSKsewMDmj1Gq+ACsCZTaikOLs1VsAFKYRwhu3ilNnY0XraquCIp aViI9WJmC2cOp+tMFpDHmWqf5l5zTF1r7AGWzETmKSsl9i18Nrl3/Yl0td25aZj4LVRqa+CpYnaA SyLYqkG1hxK+LG1ZF/MNTBx0GZKXFCo/Dym0xSNoZywCH6/7qtmBmMEEyeNaJz0NRtvGYtrm83+v G/CK+/FrpIn3YeyMZyfli8svdaKvCicK5G0Sz6Ki7SPza+GOX9yEdJAGCZ4ING5trE+aO0+Osm/+ Dm7rl0gdbfRJ5iJx981XTNBdLWEL+cvFkb5+Um4Xlr8/uN4yALSsWSLqAEn6qsLJ3EfQZEfPYJMY nFQZTjuRxBvdj8/brRRtJ7IGdcIhHega37VyjAEkex1mTNkwJZUq50QTj6pqPo1vlrUskHuQ5Mv+ MMBZ6RCm0e6IbfPUgidtwYfcuVqX5WP5gA77bpVRiVlhk0sEZ0qTAlvJeIr0kmzh4IPQxCR83r2r HYI9qC8TUphPz+urityRLxNyngQSF6cwl1SeMr9IB9+0nP2JioBY42d58pMdpyn12s7dnmXB8s9u xBv+qeySI2qeGDBB0WFXkmF+lO4liQ2zAKzZUa+5vCQFi58JA/oRz74jDXumBLZUQH2P3i5edWd7 1slqVyvky96SQSrV2jRz5tXgPZvdAm+nAnaSrjMh2sdQrMQRmJ3nE4+kz1vndB5+/LrY3O5pJm3/ kMH/ak+urQ3EKfDohr0Tii4ML6MPR84G1opdUzq6xkffdocdD6JvGD26zDgH5Oc4xFHkl3PmJYRS wsYu1eMhqNonBrvt06MYpqFCmDKuyU0PY9fnsXQDbiPG28mFN2vO988Kyc1SVf7dvxcTLoIrYg9y wi73FEEHnUet3Mc1FmaSA3GtftBX92usZfQEs55LYPgfftEi4AwE04vK0JfjHgA4mocNWD66MMSu KDuhe/d0Uugi0iT4zzY7uouHQDEeALRo9phtQP+fW23MT/7NracBuuhd1KOE4XbzXG4IdBg7i020 wvTYs3FWLPtVf5h6ljN0GiDORAn5BgPKBscdnicRecK496zZ3v4jv72AqaFpqntYn1EMhm+GuABR k4WFpQmMB6v+XO/hEuwJFWkO/YoZZb7mGgU3l3fR+DsZCwVf6i0ha41PX3zOuQFPjlCGDk8MGuDD XfQPIXTWTd2SU7Hq0RfbUq+eg3PvQG86hmzwuRzK+j2d1/CLU1Ks4c7Hz1LvfhQej3MC+1YRXWE0 4yxSpoqY8JTaPYoh5hxfLSXqgJzgF5VdyOxBvJlVYuCPUL9Mg1K1dFo9RCprDSvqaFnMwMTcbUM6 zuUx3l9wb/Q0OefUSaGdVUxXd/S15jNXvZ0ZY3qEHlkg3fKH+A0rnWCia0j1AJi7hYg4qEeDQu7c PPmRwG0d+HE7qRHmqViAB8GGyAjyhyBsK218CUvZULKl6oaZPq2OB71xrzSU1jOWjSr+fnUFVgxZ L3c5LFg2kzBMXkVrhQJTMt/irm9kRmm8Mol/xQ/Tv30BooeRZk4Jtpx4MlTuC/nyk0POLbIiYHrm HSmma4UEMXga0xpx7WaxP1i/Oz1X9S7XJUKTCkSn3FWfPBDd6+fErOOeKUNZibyQpgMRby0OaMgR Q6CpMNpaFDSt/2LpfMtQ4NDJLX8RDV4mRm4C2BKfLtdx5z8XRWm0Gw9LAnto4Ds5SpShc11Ws8yd hgYOGZHgSCdLUqB63N/W/wJTfs9Umdfctk8z/Yd1TZ/qpKIDhs+yU/yzhUxTcz45bvCKIsycBBgI /lJID+7XJQTy1/ZC8J1zpxFNV+q3INWEUW4yrKYkc2mL/ObNuM8P1eJtcIDjR5EZXNUkufFVWPLd oETXTJTN8X0oLAO87R9+55Aguy76FHE1Ehet5afnc4rslcz7zJm83j4ku3+I4LMzrbb2/uIvyT0Y KON/n+jpNk2MlhwfHM3zipu5RRaKKgXi9kw6V7idBaIEHkAwHvDB/lYnCu/CctoTLniHMT1NIJQm N4WEOTJhxNxQDI2C/GFzhDT5O6kP0ZT917CwGgsV36i22pFAiiB2kn4y2IHRFS4/VKjlXcJS8roX zeymhrGMF/R80O7Yh1Dr2Zv8alcvNzy374FZUHmcV5q4gTdbogj0swkBzdYFJMp4eScVbV//gcpH rUBWya/qLZXoWhoM/KyAA238qkTY/UaJoxO2bdgDgEnK/ZhDTgT0POwcp63Z3fh/IRLHNOlUdyTC bWwcWfUF2Lbzf5JOQIuUxd5NyVBhRnh+6JL+jSrDn4h+D0Rjj9OsKQggaWGuliR+NqCVASVklZaV 527GKuv2kYxoAhq/mbd5SjcOHzXHBs0famTg2O1FUneE41ijiDTVjxt/HEX2llS0EcO4ZYq76i9k 2dV2ubbEIc9iRPhxAeHTdW/eXxYF/bjPPQSBgmdKNf6oUdFhvs9XFSTOne08tgCixBkT+ToObjz2 vRCBKXxGqe0cZ7z9ROZN1hEkXRPGSw8B7D1aTh7B2dTtfJVn2LUvtQZf3iz8RXkcp2hPE3Uazzeu QGLUYm+hseyDR3x9qitQISWBzvG9/8ryiHeUrm5CSNCGJP4TCzkDH32HR1RWP4TtHNtx2w0Bfboj QD2wveZcytQKa1MgSdiN9/Ovw9rfpOK3wS4sue1mKDYaEzjFxtSq3tOR8JwsXS8abVN2pAZzFsjS Rla66WW2aewA3BaVzUHRLJOyhwSCcsmcevUp9yhyGeF8RKuSvMmkMWUm0wWD8nJwxYI8J4WJtNw+ F7bqWD2g+qjx7lpKPU1jO4vVfCxC2nDtRTP57VWxDCAbWIcFCn7G8GnxV58uocrSYcM4WO3gM4jn eq1faBZICVaYyuyVMahH3V2f4AlBfA6zAZdE0JM5hueMurPZu2RjMtXPcM87Q/rydeeD1qguNeq5 cImZlawvkCeNOOww8u253O6BfL05ZgTedZ5sB/u5+otbKcIXCGs2Lk4Na9PpU8Kvro5hGUP6piK/ pb6fTgZU5ZKbs0W9idiZ+bTmDjDaJ9lXXgz/iQCqh04NBi9zECKlxUXW8cbxEeSv3dCygJXYqb32 nl7rGvBmoaEZMlSibLV8ZFrSNDPRjnSh1bWxhn3y1WaWPfVx65NfBl+hWHytkNl+GyRXS+raOl89 xmVtY+Nz7E0Alxl5YjpoJ6kDWbOvMTXVRaeAHFhxs20yjsdLdVe8WM64ylvqvuA0qph9XArIaFd2 smtO8Y9fLB0Jj5SPkVpyD2ZzMrmSTldV+rcfgzx9B0A7sX+FKh5LFJhZE6ppYYHEC/kfI4ZBUC28 rdihtDXfgS51ekjU+rNK1mJNtbkafuRzZe5Bes4maB2cIDhaPmxvlByRL5k5jY5cQm/HarnZW1Xb Dn1IvpYicwMlw+T29s+Hfw2AWQr77TXSNHwGhQYoYwmpFGQez6ILQ2Ei+jPDPlBb5H9pKvSipORp 2Wc4OEsMKTqnOQlQi5vF6WRzP347RMLA4ASpxxgYHCW/r53IbvNYpaGCI7ee7JFSSJfeJ/BVrUGq 4rUkbyYPM7HNeUwd1O2EgcjsWhFJT174tOwZzAaZ1W8CLbV3OOGgbK9wR7ZAzCxXk+B7DIDFQnxi wLD4k7MZSDSnT2HwECMcX/No4Hskn34kSHB/RpHppyxE+wG2+P314Ed3inTW7BG92m8lKKe0nq15 RgP1y7GfEUStg0+FLAq1+yhgJAeaMGRUFLWkTCz2TctgsAaOt9/kcYuQrE4PBVwdDwyqMUI7ENI4 hqxPYgpJtyZYe/eGU74/x9AUMHSAcG3kY5tB9xYUsY9rmIaJR6TRzqztewkoVIlkW0jVgyTBZIsh SzMNbs4kZwskaZgoZzs6Li4+pGE8p89e82Y33ZCkLBHfkY9VJNam9Oy2GqNcWcwQ7hXaopi90Vmf n+bYGqVLinJg0YG2fjmDOMpxVSKi8FfJw6DZKxNW6RFai7CTQNQ+XJ9WajbVhoYYysrbfxoniZzA XGe7S/sSdBEZtJ4ToeTz/NV+hPVbWLTFvdFFY5QgrUw1DYSxCcMj/z7Fuuk+WuU5evkmz8xvKn/X ESMlcgTWvS/hqzcHf8F3OkCfojCYXG2YwM9CevKrpmZwaEXZ+2CB484ycSqHXVXBs2UbM2jiCTcD 9ZFQuymYCstPU4Zw+Ee4VIOvAOGLvqWO2EmwrZOOeALIvY7nOLxC1ZBbJ5DCW61Zf/cibNZtZ/c5 ZCjLGatvTkmZ0lqZdToulANMWdtTNZA6mr1eUiXqNPsIqGr6YDSWfT39rxi48tbtxxYI0uCJANbJ 1ffQlvCBVLRKDZPp8UIgaXHvgLXQokNLcOgNx88DDNrZHE4PGKTfP5bcAriVskpS8E9pM1ukhiPE blKT7Um8N7Ss7/SPgN5GJxfT8yPN+5+96UYd7nYfFhr32Pvu8hMc4KRRJBve2cddmvf9SRqnM1o0 1suEB4NxccsG1hmR+W268SBUUtMnh4zA2zcXOCbHByrPPIWKWpYEKttlcE18paNuECorj/qVkJ3y Ac7XXK+AlCjzyup8BrB02LAarHLBOY8JdpqlNU3ENqHabK9ZEESstrgHKyhEqLLMDFgBg+KHXQ6j NGVKI9rnv8msdhI+PLRu7xNa3xecy3NqfxvGprdUhFA/HNTKoqb7Mej/HJNABdMbM3Z+/SWrwt/+ Zw2HEhcIC07gL67n4mAZ+P61ptJl8QDv28zalzzgzxJbrsFX+oqLwrIIyOgKRESZKXaRkF4KBshC D8fQlWHETlLjGcR1RWDC1EppLpjMbAKxv+gcNafT4yJj/DGB+cFGB72clC8LTZMVH8EB14VUhaDy H3CWvr46M0iAAFEYKda6txCc2mAFA+m91CgwOLTYspnHdK4vfeiS5N5C/kdNZvpoP9kkQ39ghLez mjD0lQ3NFWGkO190YGQA9aXW7brFp8s3dA7lmRFicAACd7WO27ZuswRMlMdYXItTwS5gQd70y1em QnBq8XGZnY7pvYCnVDXXkP2BrA/I2TvgpDPepZZB6Y/jtLygIqjqzAjIKLfuXdN59AiZoLXP87+h SDLslnGy/EdAh/aehJXgh23sRl6bEryBHYFqvd2swByhGGtv8lzr22WaNxHYxAmn27XX8AQGZuYc ycZqgM3/2st78xZnG+txanpUfMC5R66G6bx+9MtRA5pz8PAMqKTpsibDEz4PxwL2q+kG10rNHGfG DRNvLVLSGBG39Ow07KRKYZ7cHA2cTrUjZpjZnCQuLeHZfDr7loPjnhjR6iTOjj/TYtHs9jGrOf8Q RIxrDGOb8RWG/m+cQZ2VPJeURcTH/XTRKvCDKPkYSTiuQvfbSr7eLiZmwMnOHtLlshGbusNVjVzp VKT91z2WlUKi2NnP8gPwDthsv+PV2L6WkcDshXud0IYhUaof9zZbHbhRiPiQfnbzs/X63q6ThQBh EbaO9M+8YUURGErhJU8OdjcCV7jLk6hFly8Nu7aO2MipDqvAXt8E4q/9Y2Pl9U4mcEL6sNu0dt40 vmb/dCOH2xWkBl8InysGzfSEVRICEZXOk4nSPFwUVwgx4u7JDqdkruv+ZVuJuGTImeNqDFrIc/RQ Vh3JhzEvq0v0/Ykeb0Cn8lU+h8YdOlQDnqqQ6R1xjT1IcVHniaG/SDKMknvyq5K+aPkRpn202PEz mj4cEuNogLqRnHPb2MXAczrldEYk1PmPQb3eHgfpXVfIk0p6we+hmO4GkJaldhYCr0SqRAZ+lt+R ev93FU0lMUilIhbYcuXfTDrnM/R7uClLLjfpBqUCYZlUQhJftPyTN3xjlXHZYKL6kIIFShAL/HKC Rs1Vru3CEY45wEzO8F9c1ccGmQxwC6slprUkn0yNoZBn11vPx+o3zOppxA/ZCG00QHlJNIXy7RC/ JNtgux1TByo+JxbpJEu2TwdKH9cv5Vb4lHdQcQVN0lmW1vdDJr+0nXltMSj8zS1qT8LafNrIVzt0 3bmuAmDtGHJIAcd90ffdy6hRkoH2s8EyKSsXb5UkaAKdwtLy/BXg+mvZoS8UcBlkxyqcD2uJyYJP n7g5fMv9j5vnvzAS8WvEZ0lH1/+rgEaEfA7ZAYJNLMFwlJwecpCSbcp1KNr9z+nsmEVo1uX0fCsZ o62aHuKhGrZQjRWCBaqB6+sncGSTnvOx7CBDS9p5uD3NzwykQK6VygxSNL2NXnbUUqAPgGgiWHm2 u64ZFY0AREc3hWfHNmwyhqZWUe0RWUBlqXrPHnAG9ZDxy6fs8v/BhOb5QX8VJQdRNtgzIEbJdg/3 qUvUxybRpJ2Wm2ljcGSj3v+rptJcG0d3RAUGsGZ9Wb2WyCoyJIvPJ9Opy3rJdK8VRR/VNnVF64L/ XWFLt0KWzTiDeu9DYIGPlZn28M/6YOzrLWMLSUTCvN7LQDER8caDvVBnM8l1mlqIQF248I6Q6jHQ BArMptaj8p3dN+hYe3j73kkZPTCqL5c86Etkjak4lUQjuh4aHpzi7kHShYoCxYT19t8caqW8gYHT WQFYGtFnUgrgjbkXBQy9VTdgKiHlPXOMThdU7v3fJrtd1R+i0V7Y68jAjUE+WFoELn4cnHB497Oo /xDFla8B5AUS6BOxioB0rh3g4Aq917T3SRGZjSPll0lNWgpJ3s8F84d8n8NJA5l1f+mTOGC7TpJo NiuhJ2PizUxt76QlAKOwcXaebWo5t1yJNmYeDWMKpY3zDEsTFLWmik/xWB/OgU3xWWeYdrrpPUUN ZI3AE5YE70zGUbT+nOEUBHRsaJJMpPyzoMfN8KPIvHb8x/WCO7PCAdplbZBD0MEJP2V6WffNOpCP nhbxPNpyvODTAjDCzoETdu5e9KG9OU5/yX3AhyhfnV8OY5IM8rzjj65YHqjQe1w8IV+rWs6tWQZK wWzeK+ljuJi4Ef/XPSz20uM1Ru9tmM7raP+4OupMSkdzViKZK4geDdmaSRezNhaDi/PlnX3wxhxR 5azFwKphybTs8crHxIMJS7xTOIfhh7TQCUkqaAj59ClG7t647+Y9CdJibfgD27EGm0F46eassGlj 9TmPnHbKu7BlbytZak/kQcp+X+eGNBFYRUQLXII4/Ixw+vu0I4Uz895kT99Z63yL8Ku74EMl1IyB +PtTE1OuTek2VXAiknAfGfMBEYfmo+9FlWeKAiV+qHntFn3fxXul6Fl5IWkBfov2n/Iq3t60ATLk KFOI7shAtpTbW6ze9buDzu+PZTfDr0Ciz88VP3MM4kyCFL2RxMXW1nxHlcPAvS+1Tir1lzfAjAib a/vql7+YR118Wd2cv3IkVI/a342zMehPFUWtl0AVNvolYb3XN8S6SC8JsTWuudahVOVmEyGxmW5F nQSFx2kuspGUA7SMNIX4WzrWSy2CEsyWuzjoXTK0atSGHIfXQRpSEKMkObXJ+jvGbhJozpVaY2Si X6/5Th/OXqo34ulJDoMLfhNvUKjDesaL23DGLkVETVaEJtTEBpe2+kGCkYwBgJy42LIyh5ddNR8a jrcpuix2/+BMDkP74oWv2ZgltfhyhdeM957W2yE9UKEucy/fA/mWNBy+NRy2og5wGZ2H3MT1xy2j v9LEIKOy7JonyatiL4/gIQJO52AX2oCPUmyu9PCmspXUfB08LbwhB5ltmJ1gPjWmn5r11gieGhiJ AloI4lyyooLUa5diVCJCVIOHgY05GyIqyJC/dy/GUTCdKHyBg4bovcJvlEMJ6tpcDVCTLQfI1DKS kDWTgkhy5KsoGax8cellxYEjMPGsnmNmlS79yjPAk3ze7cI6r66wiNNzjxYCOvmXowZeWChvMpfr /WryuK96KozvaeSdeqwrFbQfpyU/IxxVWGVK2V/oszqquc2GO8sD698I/ZykNZykARvZbM73tiw+ uBEwdCA50dfkFViF8HspKEd9swEi7QjjczPnoq7dTRbmx5NrROmk28yEPxScdYVUqQsA7KTsGnHR 3azVog8Pfl851DBvGr9e3N6SCF7jFD5SQSnSSGyQtUvhJiB6vhZINp/UDO2FmPrS1MXXGOOsoPis gefNqtKVFrN6SkiDZZ33HV3p8TUG2w8p8C3wnJmwHUcO+CroZLAnPuxJWWZMdYbqCajP2P8Q/oqv GSCiVloUAXVu0JMFtpID8wRIM+vZtOp+RMOGd9qZtAxfNtWwz4fioEZ+TlV56HHGDWYJEG6yV1sW jRrSmZxxMijfVNPVEtW5BDWTBZxlCQNeShwYOozzlEMqG7CZMEfrhA9VBOr46T00GDjBXpdhGMhb HIe/3sqPBhlhjiPfAwzKOi/AMVLdOvUGWq73I37OmP6uxogJ+cyf2PMhRs+WpAEkoqBPy8eBSM5t vtuwraDiT8YXgFYSVhKdkyeS6e937G1JCoG/diQTJzL1RxJ8i1DH0Hb8PNtq/jLFW42rabKu50EX SXOMcr3NjwO56IysKr/t6dl3CIHMntHLetJh9SXsOY4NKd0YYAC0AQbHBstizlaGNUsSnc7IaCli 3B42uQugHH+XQ/okRFXki6p2ejPZNMqI1XhWPdQlpAf9havYykcquQwxksYHrkJ2pH8E746a5eRq cAkjbw4w80iTT4fv/aC7Et2SXGXMNUMSlIUnmxb8Upc4eOhSQs+rvN2z7UMIRVsbSJ6KCnnjfGuP MmzKHeLlA+HJOYOjeCVioJpCm0Y9ouYuRat+AT9ngWpuHsqKK2UObu5/dHCYuALumnBJvooRS0V0 uFuNwOipr17h74Prb8t/6lUFcMU3pUanRwloGnXP7kt1TeuDMPZEFPsE09vqKAvjbcMWF/A8G/7R RV8PF3R9T+8PxRG4qwbac4S2k8ImMSPOe/eTl+8dj/Q+RTP5mZYrGjWEMdtXHMhzHDybUhHF7/lk VehnoPiCLP15oijXTxnmbzlilKaZpScr69BoCdcwYPA2uai36BDIPbpr0QntTkrGduvr+TcZPerS gHhwO6EZZMRXvHe/c+K1DRUYHZayAzazDdb4rkfEEC38JeNIlzFmGYTENC/1nsgNT9txQwXkUlaM Vmcdjd/efBPGRS+uh4P3EK9ZPTGTWp6VRTO0TySL1kws0C3Xp9ioPdSiflZQ010SPf+b0G2q9f+M aHP++4VGEy0x9hHB8t5MIUndqBKCy3q7NUH3yZbHW0fQ49Kcgs6Lp0uUoQCn83r9jRoyFwxwgA84 9iITPpHJU4Hl8LZB8+taUdeRBr1RGNRzlmM6KgyRmjdLJJicyeA6Cp022d1OpN3ZDmSz6lvf/8m4 B5+eyd5UyIxfJcOhysc0otn4rLisOxThKic1m0b3DJobCgmfk8/A1bNF7j0/L6yzumJAhK1e0dj8 785B0gp2aWuv1ki3vAyzmOhX9NsFI/VsLEBqaNY6jox6hrddp3HmqKxZtxpX/W7SJOIeU2hNq7KK 8Mdaeqxlc8Dyz4uMNHCIQ7ltj2VFdaveSVh8hOi1u6HjIzrk8zaeVp07AMrN0EPrSDAxTXxo1Uvs eUZNHH7gOryQVSD/UT9FLulj4r+P48zmCPQqUCHjky1hCY4dEPSUe8Yaex+SC71M/arJzL3pW5O1 9ed5edLlUk5oKDEpnQhpa+oU2usSIlIewtlLFzCOWR+mka0rU10HSjguf5yPcDxbC3SPI1lET3ET AbP1mi2q3ygXa3zcS7cMwVdWL+oQicM9LMPomLjmDizWExcrOOAzFo8SwcotGjvhZUEB1MBbwn7G iyUFizb54bmvEhmIHLIvHz1DMsETbHZEPqG5HxK/OpWzFYBd9LyZ4DgJkiak64xBcpbnl3X+EhAR Kt42f1rv+KeL8iN+ORHX7BjewHxCKL9DfbylnlesrZTTz43jcGcXcPy8fsrQqZrDC27kMYdgfKyH oY5DpXUPerFDM2K1OmL5f5TCt0DZ8+noON3gRU9fUAjjiTWiJNH8Kanuw8L0SOCpL/cua5xH77rW OLu7S7aUP+ujsue3r1Pr6UNbK7kWyMuaH+9MdsodGxa/j2n2f7HU+vZumDTyksgb9EuLH/5f5NnU 9O1VGZCBv6KaShbDbHfGIP46hAWHNyJhKVSzZ+Xrc8PVXl/la+LV9OqlSoJWkK7oYNl5QGs1jo4Y DWRIaTepEjpf2Z+y1a1cdRGxxfjlaXn8mKQVNsuJHhQChWTbSIa+i5VKFO7WJQ45lhCXNdCZJdt1 VaxcbHg5qWkEAeVdmY7ZybT0y9SARMIz7WiBi2IpSTZT0A6YgQTtVFM5gYFkZMroP6U0Kz4s0YuW qcV93lyb8tOrRXCmVHiu3r5uNVoBVwYPuqnDn0kr1uPyIxijPzeBfqoBHCDUpiLBMystOdjchlie 2Rh6mW6K8Xl6QcPOMqfdaExCSjC4mIatmEQ24I/7KwhQzuFrxTv/xKrAFJpN1SIe+Doo1/nxD0MC B5y1yyyCPtcZWEcwYKalMlwj/bU/ENZuOEjOZoHUhsSLM4YljQR/sRql/i1/I3vx5JbZh62Y3NgS O/fvFJa6x9kybCHquXiFBER6MZMj1E6MmdT79iDZnatwlxlJIlWlRphHlqc8VdJt3Wz3IzqlfasD oYgLgc12WwRaXYm5B+qqhq5Cg6/gw6ulr5c4/IRhUwX0cKbHT0WXu+w9BZ918twDXAMxBBvxs1Il fJQNnv+gn8O3nwrNBsP/SWGC5R3EAV4uir+X5ichv3UaiWybnsesumC6ceWmEsUWksZjfLsuv0rh aRRP3d9ZDiNhNRhPhIL6+kzdZFYaMzpYaMlV+xRNL77KVzykNHlAlpaAW87PNqA6l+Dh+RdGCIBQ MrmYEhKSCARNVLgp9mKyYUSpNdlLdmRJPrTWhLkUEgS+8Tas1s1zav+ublIa3O9pm0OdnaeOdTr1 oF1OvTEhHaYZdmpnKga6e93bNCg0vRACk80I2NtLtu3p+vIyWRo4wgBpyB63d2lLJEhtfGhpptE0 h3dSL6TBsyKKqi+dOUXJOGdkbJL6TxXbZQ4EsVUJVY3KSqlF5+gWDlY3p/abV58EnciNxHXhJkGV slCLmFfowGR9WSpYDb/UFPsHpqA7oafRisb1LL07vRpdCyLDo9tdkZ2NumcIYZijCjiVmVMsB59F 28XJHiiF5Ihu6wl+AGfMHD87HB+w3A/t67ZVCS5Vtvo40Jhz9EVHDWCjkK0vv48JJI3HUffkqKMr rZFTQ7bQPHgJfS1h2E2rMxmdDcxmpXzFtWhP55mPuDcgsetTTbg/xklrmkh+1Y9PSqpTMClZ0zyO mmHm4lsXSMkhNQ1QOmXic50sEiq8Uf6nMqjNNbupT9WBi9UDs2bEHJ6iiIWoh/mdvhQrSLBWgNGb QLf/c2CirIf2fS606Za4rJflf1K4GU24KDIRGfBIjjOQq6NZyJC5t40K6rQiu7qfCMOdcu3wZCw5 C9O765A4zogMKdzC6pFTRn5q3Bb5PSQnIYMNAs+cs131rF2jJqcnY/uyuvUmHlXFrBRI5aTpsJZG dugAsOlnfbP9xRuRP/bekioN682bfixUWXnh5Q5OxQJS64hKTIwksZjBf6OIz9p7gzAT6iRJcvwd M/0iTrJdE3vSIcVN5qksuDDeExS3EpktSlS+J2kAozejiPX08QnmsOnHthrybyhNHR6kQCLAVsoF XkcwBtC0TfoLQoe4Fx61flEyMdKMfq65xs11Uaz1GPhnWoy7eHuPvp9WehaMEyAX+zNDizxjAJ2H cHTwfg9nq0myO25I5qSk/BjRYkw1J3P7t6LZKjePBq/K5qacqiH+fYePhofZr6SPD0tMVRPiFEFg 7NFR6Abg4eyrblY5N6yMijOEY5BHePziarTzDKbwl6dpodnqIhExgFZ1iOewe6E9OLAHpGehXxy3 dar/WkeaDoNc4H6DgjiJW3eISAvJp+2/UTHePJq0qBEghi+k2swEQsx5KpnDfZk1+WxMp6X6SlQe F/lJZEUGPc7ko13/Pflw7vaTQzjBl2fCtdQjHGbgpPZ6mqAqBgPDnghcH7Uk9vviu7IOnLpRNVI6 9JbgkrbbXtvgiQqBIUGM49Y7/B2KT6dYwZ8Q+TiTcTLoXGQeDvQE3sECB+GUYw/2jwcgbSu/Ompx dlqfu2Z6fhWLkuqmXWwMrejZm8elirlg5pQpX+nuSnozD42/qcb3r3lBW6151m94gANZzEcrfeqW kOoTaZ3GQ0xdexdYY3vHBCVPSPWd7qwch/vWyJKF/dP1LwRWPn36wfflKXPNN1O/vLmZxbxBgowT GWI8vxkKkJABOfqMlUq6QxQsscLqUPbs9tX0caoDot6ohwalj8ukQp1XDgsvmX4Vf0xf9SuOKyWf dcaySmxCtVpSqOw2pRsaAQMSV6h+70jdLlZ9KcV2WIDTMXqtEd3soDWh3AKfBHI51ypaeRDTxMem LDBkewETFH5jX/FfWL79Guv+N9YakxZFrVueOMicpOOS8CeoCoU2vT9SOC/fhhPxMCXG59+kw6Ki VNcPnMa44LdX5pbJZGStUwpl3HSPK46K0SqCDk4PQbs3L59IzkFfG0gacdeZmzp+CYd7JIOoWTl0 J6mnoQBfLCjEKWsFDoyqN6qLe0MmmrSDlp8PV4/kGwZAqiuYxD+yNB9zsegdhopVwzckcY7q4JMp nwpEh3Tv1lSpk74sOvQHkzInxLgc5ZY2QBUd+npZ51BAwb9Xm94LtwFbSQ+pZcEeEWBUio/A7XIW ssWMmuiwazcEIwoEMaixJFqN1muZab1VYCXzJzu1aJ8oIGxlHSicdouaot5BnwLG/0JNnTkPXjaN LbI5rmHef198bxo+uz1Y1v8yc94ZEvbsatkuj0BTSsEbAUTP7jKC85Qn2e2jo494z4sOXtZggqzh qpSwufDmwr0ecNlTUL0y+v+sczkHbKu/u/ZybAHZwSpv1+Y6qlY9JowPm/ZhY//rcZzkp/Fl8GMH TgVEbHxjwFPfWOgTfTUYXjGEXmQf22xODzTdpMM6c8MatODces16KeAsYPXk8q1niHt0ybOO8CGO perGZocNRk3mQoPiLl9KQUQ6x3wtH40ydCQVc7FRuqEbz0jNGAo8gqpdbPnGQNUr2lHbZJkFoO19 8j5uKIskYmjzEPvvOCGzPlA9igvaZlfJ/tJUrI45tOYzNCkO4cmVxU3zQUb0JEBlWG9W00mUtXTB O334RXcxxqMszMbzZwyEh8VrKCIj8zaM+Ai99LSXoGOHD5qsWrY/TEGlHgK/pwL0ZkC9Jit4JOdE /upiiZtl5l8cqDv3RUoe2HCF71UtDIIa+KzjX6qp+2QgnI/SRfapWJcwoeI4zMw8HcqKvQgvFV4W 7Oww7wwHenyXyi13aztaam/F/tPO34C+I+uSe1n0R2wUCVqRHMU9TpmcGW/hLI3RafwLdcpJMqal DHmOuNx867Z/X2u8ZRQnhgz5uj+6aE99bvGOy7w+nrqRsUGaxGV5g73yzhywhAAPCvb1XaIVrHBV lkvHF75v4U+WaYUMsehpcTJHE3TFuWgQirjBKHrJ2kZWfQfIYBuwDtRwLXe070t+lcK+4PaS7S1a FwQJJ/8ng3c6v4pNyACsWQCYJTSXUnQj/nuPzxEmaS1iocmxTMq4XTXIWgqhvNC99yWXFoH3xihY mbKI4X6B3SXPR0nSu1+vX767nLaeIUUxeog0Q1asqvx6BH+UQnLetv5DeoqDZw2u1kZ4Wg8/xk26 l6BCIu1CfejXFSv/MybBWdcclyY0xW0y7mtEUOl1C17SlXXrXbOd0LtVdxxefeeFf4Y83o5jdlJg /GYBhZgZ3GC18cD1lm+UPY0PY1mrTs93neBGYU5RmJDbSaTI2EOD8iHINp4xTyF3XVl9OIUcOHqw vMQMYQngzft+69qy4a6zY2uX8RblNDWtPUy8FESBVmYS28w293/BUd8SchT9EtjcaYgdbZbB4ZkV f6t9Ga3HDFc8FwKetQokBcBTnvf747Ivirp0/PHMo82P7h7b1n/lKAknewlw01ayW8Fpgze7uyUj FxcZ6lEgrApNK9ioHqa48yS2r++sG8TJ5Vu1CDahXAPMOstH/2i/f/8aw6HJvRjlUMxCX4pNm8ep bdyv2Uls7dECS3JhFchM2GR3qBEP/ax4hu4NdxDovWx+pCOn0xYwW6sbqyGFz1hXx5TzSQBeIe26 yNWObRpIhqbVCdWMaPG1B6me3e9xZC5mrWcUdUYO9B2xK1q+ZRTv5Tys+pNnsVWDnKBIbc7f6Kb4 MEDknzg8j3OcbUpZf1rPETWsk0itWZg6pVhWsT/8JSODqHni2XJsSWU6xv3Rf5mr+LJntTEJWnhg jUhdZODCxsehuKBZEQ83QGveuyF/lNIQ95pqpVzC07nlRwkoZQTBYQ1/utvI/k3/cUStxfoROrwt G+lqIOBY9QJQl8aUp7/gDxWQo8ZL4uUWlYly7kTtQu4lBEFg1dQjaWNQaoTuR/CtdZ3KmRn9Cm09 m3X7riY9rRhE+ABG3kN6ncUQZ8iuN0SqnYXjlMWH069rCPfoml6PfJF4GplQ1e1TJPmEFLdZB+8s vLpHt4GCRmrdmgQhDCBVf0EKH5xeFxphkeXDgPDyDJQAKS6aHbDICrpEDklr4g0luhT7gtjn12DQ Fe6grmyFeP7AyQLQIKlLa0ssElAwZ22iezcux3XCoOCBlOECUvm9iXGhnaMd1gf8l+3fgBjFzn91 27zZ49qhAjwqUK51GaFmGSVVQ5Nhc4IQTodrhOsUJN4Z4j9bkxulS9PzPmJVt9yyX9limABBng4G 0gIg/BhjsejLf9l/h7F4gIqvq0OW9+jiq/29kHr6bz9Lptnw593jzjSaHkBaMH8xLs24StzWLhl1 yZhBnvWwCga6IooBEkyKzEUmcTkbzlkLSYQTWXX4Yp95/w70DGcMSrx+p+mkfqxYAzrz59QN99OD 2Kg5EZ/vtQliKlQnYZkREfePnQxv0NTkEFIPPeYkrBLCKa9daSYiHDLK7/UpA0pt0pKSrBdLZald fxg3eHfKTpJvZLZxSdkaYbkebv0A7GBpkM76v4Ac6ZUTzg6NOe1wfjNUPU7RLMoCp1JG3DFSv5r8 f97GdoLpNJF84aNJK3Usi6FDNv1Ck/0gcmOCwwZI8cObTYWNRM9QpMOKNHKnHF4bjjPrHMdSv4EA mFyvSiFxvFIY45G76V+X89cN7dLneJ+ljVIJHwA9g7eMG51vjmEsRUYkZkO974ca2aPmnPwp0a5y PaSksuh3S03EIQS881hd+bd44TDu1XYZcSF16u2023fmKyqYKjAs+EkNyZO3ooBOkGeyn9iWM3nT c/SHa/8MKHftOhG0Rurs6B3SVTh3ipBC/N/mcrz5FzRzDCDQ165ube43MRfxCZ0H6KczejzBWJkr iKDCh3he8176BGqhgxesWt4yUqOv8+AO1mmtsL1M5kflhNzBxQvJK8HRqEGKtfiXgNGpmkEzQJBm 0fhR8BgRBBHgmbLpHSHYHakScA32UJlnwOcgmNhgRI38v6dMAxWADXPh354ASLVY23KkdurmPwz7 NZpx7rzTTIndMDZl2euYnWLvnUtwxHoZ4H5aJZq2tKW9vvJUxRwMPrebxUzZfb3VcON2trq8fVpo 9rU3NLTlmQwjUKiVWp/joFx06EvbkDc9MoytyeG7XBQQogj6CvCfEXGubVuXAa7+LBCya567R7qW tQjuvDMP2gR+h9a2UkSg/64gsO66JkSz3lpooT6FlTpW9IPkB2s2aRudbCS6GfuxIIUXfxU5II6D a/mFUlkHZoPwnq2OiqCAsjeFiJ+rQQ17lO5M7EXvsktGSUABZfJ6tbFKg1EAKSffDLrTz0ELAofJ DVX8/v1Tzzfrjq3azngMXv+jh4fEJAc+5tCaBtYhIkKz7HXO4z5XO7cp/B/xHVKEgulDI0V0DmVh D547QM9qua+78ZDAO302HD69IIHH0n+tFIkCDxyednTOzu7hgTeCvcvtLtdu1obaE2Ud/NV+6dh9 IIMBfdL1Qv/C3j+H69qY3u6aktQayYPyJ/s4Phyjp+8Ni1b4Qai/Y3tbD/U8j1DRJCF5hfA0fTFN BFi4BuI/1Q486eRH+iE9YAi83Suv1ab5yyKrzOw7++KuYqpk0y8WBnYhldjGjetuQEFkyV7+m7nO UbaZNYw/hbygvFlGCGYcONaVrlai5C2hIa45pQDO2WtuZ83g+m2KPrCAMVPiAj1sIJPgZxbIbcjY KjBUwePSwdLVfteSn6EpzBABLBjrirV8dwYz/06sUtF8BBCHv3Uva5Mziq/sHJBw5caDr8pRws2i JaobyddKhBJpIrdMdRxFz7NNGS6WtBlGbaIDjdxneZGsZdtBQ2zY89c1BsnbD26xmR5gcztZws1z WkfS0jkXb29tn+61BRL/aHTbFZnWtiPecCnF7YE9MGBnUKvu5oxsJjOq6Zo4ffFxqVqpntba1Oeu glw2TPys5ukFkyGllCzm6fg/ReWxnCttYUvpUoupOwkTOTegywcmLm/zpocI7dI+4jm/nH8255DI Osu2czFsLAzqo1CRGK5DWVkEb6Od7sF3fyLAuDvCNHGCweH4GWa4zHhuoldnqDMsXFMFvEY8Ow0u rMvAE67HEJjOs78gfTvt6HG2oJc0/WPvKavgIAiDCqQcJYHGMF0NcEyIJc8hDnmtb5h0WmEvPGu6 gm51iT64ZuWWH0D2E8wreFiB6whz1fuxwey2AtOlewLy5Ax0DUsn0ycytM+jpB1eeq60bE1F2EqW 9gnQ8C+bEH2c/ANIQA6cmEgC6lEahtjrpM7dqZvo51LzmiHXu5YzBRO3774Fnxe3P02i35EoxXe3 CYIFvgUuFhsKArcQ1/uGNtbZ6RLlFeeQgYf9P7cOCzJ8GzT+k4xoYTKD2587CbgA6+m91WZu6obY JsOBaxBFRoKv+pV5OnyHVvVq086slkM/r5k/UhEAQ0/G+bJmsrmq+DHhUveJ53ezDWmH068alVjm D2b4MnrTIpoOm9nuCxy2xAzIsfmm5yN6cVzfiyXFndhlfNNuRh2f3NE/vdzupzEOuOmHnqT1WZz9 PHH+FMPOjbT7pwlnfCT/WHZLcSsZGPzUaMcSS+Nw0oboxBtTKrNjLYPbvlhcki0wqTKGsTJvtef4 Nyv6rttvMGO2jX+eLoMETQB2Xypf9EDAA+dLaE8PUJ0YEzqHBg9ppSoy6psOIkLF9TquQ1yS2Hzj b+iq4/TeflSrtCOhJuq/hc9cY4xpgxpp+dd3i5niKVvXKg8x9AX/xp4dtOuXU74Rfn33AtIj0EHw XGlhkpaKUQIIVKD36wbhyLY3QbxXl4BHvXuXDZFfGhiAmKYSkqmqpbkWLHo6rGk0Tt4AEty3lRd+ 5lLmoCPOI2ldaJBvWEgO1D/ocjT3NiuKTxf8tsdI148XhSgeUxU8AK6G3bq1/IEVFLwvErg0mhqB YQ7QUkRlqC+s8ih4wmdbbZrfsNiETk7Vn3dW/q9kNMInE3ptl8O76MCiSXmR6WqJYtwnJjH7KEFA WaVl0O5ILz4nO2vd4R4uwblQCLRJZqfTBIW0udVWrdLGw3ojJytfO5dC0X/s2VUwH7kKgwlm54Rp OuJmtcC0qt29Kbs009lMLtt3bAe7jaAqro4DByrON5NFGWuOzWBnXdPvtU1YdbCZaTRFhlHFbOdg 86SbgZX71P/b0H7tfBpGDig5uQSGF/6dPuaEXAQNJtyzTYyeKayvnve0jUD23uHOrI4f49zxhljy Rzj3WwyOK95X00XxT2DHJ3KxccvAkiz/Q5aJQUmP5eSCjR79AjIaZYdOpP54WY9VN7lYVTEQRmiZ OfN4Wmngq75cBEomRvc5NkKF4mrRLLU7RhUJP5FxMM7Vf9MVDiqnKE7XjlSYWsZC5TohshvtEcPE eGsyniGEzV/sZj8d6Oo6pgvsfkNkg1hBi2F7PuO0Qk0ZBcIqIyMDzu4q1WKTwUDE1+lhbhKPeNyJ Vyw/xb6/2j/uE1sUEct7j2iTW6meaxrOAl48JZWYVMSgWfNWbJbmTS0zfsVjPpPZ+3cNnss4lftd dxlJSoZg0ZaIg4cWVPxaE6O5DjBqp8p0CvcVUEISc/a9U/1zwrlq/CvTRIxhG8HoWYzreyF9j9zr rPcsxYzM5B65dB/VxfeI6oDtKs2FE5ipL23Bhwj+sNQxAPIQbLJqMK1KRCIS6BP9dFc4T4c2Wmgi 5ABCmDhHA7kyd9iwaa4rToXhNV12/wc3nTdjSPigwsHPWmwYjQVSN6CNMZpvSWIyJfiJiU6TCIlL mAzmjYcw5bU+o/RrfSLANA+OE2Y8tthlEt81xLDgEcY1eCA6ytHtFMhMuuUmeapQqQM/Khz2yBNx Q8a+c4Mn3kVYXIUOiGZXH2fNgABL71Nz48Beh0aCFpnY1h/xX2OtT8lI9+n0+6I4FwZq3JOYoRMz iPYvve/cd+jOgnIAY+dL1VkA6M7QiQQHUoWMkts4AenTO/hDk/PIFsWtCl9TPd9Jrx7mwDkqQ7yW Rs0MQfl+xUGWkvgjf6ElaVi4x2fW4+wWYguuBOVBVQYeDwwpsfdttBk3f38pOISAv6vCooF36Wdl ApA7mUoVqt027AwXcUHzi0OpgU4eySPwcMY9pv0ROf7hptJXAzT8U0DhL8WzRnZAGcm94T+0A0T2 dZ7hiZILf7Yx/eu8JPn5CCAW6YjlHJLG9mEMvM0L5jYze+ZgyXX4wfF0j3ZbF3VNeuyVfMXn0Sf5 yzT3n6A2EHIZ0716xNTKPz9NMd/m2AUIkpnpIRGWyTfS41GSOlcyncJNjgu2KlMBW5Ockwginkum uWsoMeF+ODiyMUL/NauwC3ldSLmHAZdO4NthOMDW5f13Hvp4OwFpoXFOojb6rYqKsurq4qRXOKFv XMFMforyLb4p87WihrdjYiswDKODL9bcWGtZ2bLxD0P4rDYKA98AXGHzoKByNMzB/A/TfPOGvLGs b7x2MXS51JGpqSMt8W8jfd8IVVldQ6/iZCABDz19NIvmA5x2kfBBDnM208qUT51YqgC2CUn+SEcd /UnMWXXAl0wIjUqXAWgTJ5Pb+h2nzSbABnmb+bRBeDbEwrQDpUA84hvZd7vIp4rxXNi3UwpB5gbQ CS6awEiMh2yWwhE/MB9vZUg/XVH3ppgsFrAUdjLmvUp562bont63kE4UamkQpRtP+gYWygm0U77p J1qbdB2yQFKe2ZjTIfy1dWZKGy+kvIGiD01eJQB4OTMwPgHr4LuHtjfJjdd07/sSxYngwd77B1LN LbP41N31ZhmA1fYisy+nPK+SfTTNwSeFW/td3KGBnKAcPVp+jAT71eirpZn6+8nhQiAqjZ+NY5h1 //lDqWTMcQmLy5TcO5M+TzNteTe56qUdv6mUkgxB1Q4xBCtfw2iJHEH9XmlAzl3xAU0TAocsSWup meNCUZBkBeFW1qafrr7oGU/QpFPPTBnhJcj7TKI2J32IFgLf1g52la5GqrsahtXsh8hbgDiNsKVA 9Bc4MDuQ60aeU2VZR7r8dp0Y3xJ80mgheTa+pH0T3+1B/WgrOMwtz8+7tMX3ubtVc8j7v8wiC4SP DGJktx63xHZelT47KobNFwiRxbz8B1zAaK6kOLhwYKhn5ebyF55CH4hNSzq7ZycmrDiYGviMYNej 8EdPI+ZVStXvqmJixBTl8zkjE69iIMGfPgjTbcCryI6KzcHeDqHhHcuurWPuHrW2kIaT71hAJqRd /7qHlFjAA2lEMlVaaLjXtRFPavXwJxRvdZZavcLEFsOlim4FK/jXVQA7MIaSYjkXYjutmYRxQjNq Z17ICKP8zg/pSS4AdIPo3lDvHUmwUNJE5bBP3GlhP/xYrCHgWjf2UbjtOaxDat1s7rS29cUgxylg zQEmNInjdRqliS3sxq3JvtSxLBzKhCMDk13cJgDUjF9fBSaA+69D4ZBVg6oVHOlf2if/c/wd9Fyc 4FIMjN3E2LZk/1Ndm4QhrYgjfE6kCS08rGGYtu1Eu1NLyO50PzD4LSHt2PIEPT/prQM8MVU1NLN7 s3OSpt7mGM48rHCd8ow4n06iLcBmqhUaKQOk3RtfN7gk1GnfVYDZMe+kKElslqmLo1x27JqXuG8w v3RyGOwAPtb8pvtZXHYvZlZIRcov4b5f9QER/dcdaVihKVj949yEYmBP84M3HfuLXVDW1rOcfdUs jMKmm3Vl8Z3+KabTjbFG9GRST6FlDc4U9+pAgqCn1W1ZEGQxQqfMks3PDsl2yLnWIQcJH6b7HWIa sRW/+VlNWIC3QvS1qAwGUCigyejlWG/jEqgSovcDOiwjMK9xu+oNsb4VSxtGjsC420DSHBm9o0Fz dQzNa1paKgiJLyByhz6ON4wfTt4BV8OxNsWU/8PbEw/5SjzQLScu+w9ZQIk3+tnMiT8SKMk4Nj6H hcHSfk7Mz99sjHyxhHl6Skx2oTVB1TjPozvASVkNHDB4kwWeajx+Wm3w2Fecc89xO5v0hBGW1v17 zxNeO+rX32xJs1ISfMA6pZQO3nv1f9owTvXiY/B0hkZnxnpixu/ndUweH/FMDBa59OaKG642XNCg 8feeJh+iMH/0Ffo05I15QHbdPHY0+0AXGUwUhNzrNmnRYPffDUbskb559ULe6B/bD9fQAXNdRNFb hu5pgcL8r+JJ75cVH1cr4i6i3vN6nguJOVFUc5recPCLVrtC8y5eHdmIF8Suq8zCXeCoRuXTYLev N6hws0n7TapFLcUxrZ2SYzWmB1HqBZkdf4RsGV1IGdl/qG/YCBLu/gBvAZH/4AAKYm2/kcUmIGHo 5WjO3PuE7/vveSaY0k4LfSmX1CfOHRQ314uuotkQe/grugiqLwPE0ixw36Av8kvgcT4EQwwjnH9T skE1kYgAeoPN55u+4bpF2+Sfbyhd024dlaGAHVxH86ZOaNX+DRTK8G2qlbNE+r2D9L2g12KRPR7r i2Zbo09bw5c6B+Tz60OC6ijEEoSEoIOn0v5wK4fae2Q1jyqJ7jicBaA5MHRqfyyKOF0ZAyVD/Km2 yh3HxlZoeUXB/R6ov10u9nQHWs3YNLR6p81WrXZ8FxOVpOYJl87EOUKyC+DaFVRnyBUNMjUdwYb5 vP+xGLGUhmbJ5lSHrnZn/H+8iWkJRkkxtIxhV2OTYuRcjMHwsLMNhl9D8yFJRXjCvw1DSpS4YHeO uk5ccinxnmCU1ly72+gRHVvsQOFubHgkxh/Zq2utNF9RTxq1NTYLM962quYw7AxauslL6nZAh88N Whauj9e5trzQOQmJZhJOAn/3vUjagHhhBKc43kXqLVryBCa90IOpzsH0CExwpmXqFgRSC8zVJdDW RUOHXOZ7buPUEql2nPJ8dbwmsCGxRJEc4sISxIW1W1PuSzBPlWEiSedMOV8K4xAffW5T5FcoKPfL pSRi16ZXnVI+pZqP/Wt1DJ6cjZQ9jrQkOtm7CsS65T/bDRcn0RCSQlcjEc1nUqDmvu8iGb6f3jto nn1bwdLWYXEMVBL1z3yGIF+fkQF+WQba0BG60mRMNPBFv//7MXiKvqpFEnNJgmZCO+MhdZ0prQkg v/4prVLxLisSh6tat5vqVkK/zURvatlgegKd/3uqMjELszW0sCXizb4qn2JNiQF9y89wMQvTBa8J xLMNIa8xWF2xvFtP6ZPzIzIyGspOa9xLwxZzt+h/UFZbgO4qX84Utjx1EGP+qF3DJPV916wsA2WE xYkJm+qdStd3+UWXJd0O/Z5BsMX6Jw8XzEinSMfS+P3dERdlXORe2Cqz6xIFvYJVnVSwZvWeks/d YFwBZNxM2dPKv1QyKN3rrhwI8RY1pp+mbHJdJQB6Aml1xptI0JvujXgIcnTWX8Y4yT+LrAyo25P7 USrGp47bndgnq6qslT+cAdNA8qXqttjPm8wUBWr7H5+Fu6P+I80vHCYYzGNQzglMBUSMwk/c9P/E B8RR7rCA3JjrtT0bnjK+BJjXEw0ThRJz+9mVmjSPuiytwwc/2F1au0/a8pMbnetUpoIXpO6YtWdA jaVnMjG7EcNilVrQyGRPWKbLpUhA8tH9EBqpxOq6+CLl2ekLLAWiQMi6nKmklFpMVJ7dIOW0enTG K63pgEhY6qVryViAMBPeHStJTGn8P8goxq0bNPH+Rq8Y3Qbk6nzUX5bQmDlBDLnbR33WK/YsI43m gplUz90+EghzEuL590IESiv7quXrGbD2YRZQd4SLWLyyzzhyGQnZxywU8g5diw99e1c83g03+Ey0 XX9M2hqUN1WS0XQmeR1KUfHx3lS8RYa+5Igfk626/cwNQZCuYvrM80vnun/P0cYFuMW+J9Uzgh32 91LcQggEqU15fEJ8oSsHDazm3UBkJZJYaQpKk7eaZAaU0wg8de9DcF8goUP7Uuwr9LsPHC7UhQMR btLOVe6yRYWwLMD0R/U3/GZdUUDTCUYOWZdi6daYRpH0estvbyeQrfCmxW0pq2ddPE8JeaGokkpn Q3M7uclEpReTPCa8vgmQE+8PKUf9gJlIMBpr+5hzRaMYklqTtD9Aszjzk8h27tOY/lOodzCeOvpF JAreCZX4FSY49G7IEbuT0JutOKCcfd+8f8og5u5GitWDic1F3DgQ943+4+Irf3+xjzr+bk60xi2s C3d82Qq2ygSmMx+szJ7epuGV/xfIb0zNnhsZjEfmzK2vx3WwpAUVKI3rztnPiQBh4EZxzUolRU7B LRxWCZy0cfAAEfG3tr7L//wiyWVKKZKa7NTIkQiZjUi6gH77KqmnoSR7vzXrKkayt1T6Xb9nmNuf 84WvwWJvh4OKKh2nUpMTqyRo1j7O3j58veEJp4lavpa6YBut9vCxM4K+5yvakQ03W12GyUmkeUIQ A7ro05B00FGwMJSJWtlt4oNIlEQHeSILvP7mYTjE5nvXD1vvA1lnHHJo0thZeuRnn4WAmAIKiWN+ gzS25uiXZti7UCLXGIMIi4VJJ3neEK+3wT1j6nRIs4JH+J7cfauNpipaYv1awdqjwb9/jhsz3vHP Q6Hh/BBwpEFTI2J7NMCPmbDsJ+1zJsrWtnjJWTy/VsgdBYXN+cktR1jSZ/5rPeyQTwH9IqtE95zs YhsVNhI4EkZcp5pLihipZQk6heU8mjoFZlErS3phLhxXudRgjMnHGHZ8XooBb2qmhivPxVzIYY5r QVWhPGlHPEG7PHg4lw4WmtqJBlDcUuGTNTU56EX42ScMEKvtTOd2l03bH6o+1P0nAh6OD0b5cOpO opIeCoq7STYOTPyaCa20SYEjdkZUSujpa9dXeQsGxME/9UCRyV1RbiTegmCuAQRwzp8xPDgN34+B rK3sM2f0On5dZsKnUjmyt/v21cySVbHHtpaKG2p9hE1+j7zbLO2lB+yM8PEE0QTl7vpRemC2kzM5 LYu1Z5IeFnXW8RSxtkt7JAulxRnY0AzqYhTci8RcP9WRgHuCe35Lwzmf39iNJc9A2RqRLvxneiUT sQXPlO1ByWEE13mBWreRcOtzZTOPotbn77uU+4wVmyGWIvsqmBgdUD/CoJWNAjgeVAHOaO5wsGFt XFtXx+zt/ck6f528jkaZTqflLkzkMoD97za5BTjgiSJQQGWzO7QwAmSACd6zxrkOSPEH2GyeM96A RM0cK9k8VFT40pH9F766ecTxeTqAKrs8KiWRFy8C/m1aIavUZbLdSRuVona4XnsDQyjmh/1jwoC4 BKRUlbfs72rDnP8n3ec0Z1mdUnDEfks9JMG5avzUm5t8nYJX0+wfaih4tkAYFE17Feefv1z+K3JR TbPF+TdR2pj8P/PHXlQuW67+l6yJRh8vKt1aV+QfNjBFCOWbI7swFywN/52AkP1v38K9xsd7mUwN SykGSoViz0y9u/E+66PlE9PFya208LTGIhZUujVptCRlPg3R3eCDOvdFUZ4wY/yQpbkc/CJLtrZY 3WuR+U9x92OqoUYw03SWeWGGGS1lYBA3quXsqNaO2bprbLhYdvLjtwFgJoUpxJKgsFee5LG5faEL eSp7fiF7/8/kOgYDBaYaQD3O6vW2NwaKvt75WLFtGY2hewsSlZoMaLGT1OpB7u+F/k3VhVFlwX6C y1a38149fq3ZwyYOwJnkHrYKNWCITp8armwmHPWyKLTLgJVfC+CgKCtey7z9Ipjnrqf9AD+BnsoG zb8B/4oy34+hUxxPGc87b9xbzS7HH7ijybTYRefJ6vdpnvr0evEil66ZiVUptVuWBMYOSCedxbGW HLoZiGiNfP9/upxlQK5jyx5iWsSEDraYNZvgGgDV2UTeQvmETR5hcUtmjzm/KBIBDp2RKlegCKJE PFKGeElci72cjh/vRtprcuCJFr/J9M6B3TJs/dWTRYdUvIevyneDlwvg9zbXwd+U8iiv7sVxZi8D Z/msuONbH2qbVy6vHYEq5w3ij/thZynFYgwEr5yYPIdK+nKGgdVPy7Zd1zcXy2GfjaE9ffD13/XE qbwZKSdycjck7m7/aiAfSGuZOljmGL0laarluoe+MVlOWQWgusb1YGmxHbAGa2i/ZPbtCs+GxeAH EabB/sbt+vnJv8/cxldox6vpX0ifwHQzOvRajVWma1IOCos+XuaveuQnxkcYylnhE6ROguB6pReG cYaqSY7vZdMZpKh4+ppliDNOt9wjQ/AHbnJGtHberXqQUqkpG6Q89haQ8PlcQ4UHkFzjA5kqTa6M 1a2ssufAomIyh4PfQpSjy7zOdeMa003qqysBHOr0RJjJXRNl8pOr7CfCsOc8RP2St9b527QWPJh5 R0wRz+4yAbTy/Md5W/RyBx5HM2guhc+hSxbhKl5x4LJVfOTAbEvwM13L9vlvWcdmWEONL24suRH+ pYF63hUSjiuclHZmlP6mbRApyl7MOTxWkS/Mv7eO9qwR00yZW8eJ9QqlcfBSyUmOgF2n4L6SvX7e yivqdRp+562RNkaBmTQ8PgqmglQdDzMC8ZH/NvCkBqdOfVa8f1Trot+hCuXj2iVtmKjEugaT7cd/ ZfiHsoZzYrQsuUgSWhk5FmPxzwYN/BznwE2hLKkEneSzQjaRDYUvGOYpzoqlnOPExgmMbg3Er2tt vZs2ZGCrkQK8DTRuZcoV3aN4Zku1u3UhUyW8yOsSry6zFDl62LzYdmB3oJvuiP0gVaouAVJve/zH YgHVk8YVyRZ27Gm3PteQ31tXpH/ci5eQQg9J7NAaVbfpvH1HiQfP2+Xvseq7Lqtc80JZCN4hZpHf cXz+Fv754ZTfxmvXo3VvTbl37S35Ms+PjFloiygXl3XwH8jgQh/WDYLasM6dKpsqnGr2z1H4yrP/ y44lQl5sBN5ipw2/a+OUxwrSAnA4UKi64NqKl+mM163qWiL4JFnmGharZKIwPbORMs1KIYg7jv8m HQ0fU3VleuI/YtKpEd/bjuL3kKR9r926e8WNE5WJJbCq4kWThQuM/G7gtjycunrBilU7QJzHOeyt UEB7SGtKxomzPwOBQPdtOpISKUfTxGmPTfGRgVeAJ8J5Om0LYRQZ1Idk8YbvdlVnjjjwzbQBWTFD cwI1lKPzyLqr6SFfX9uXaYNsxAWDHgrLkDgLkdztyIcD5ma5O8wQOZKrcUuck5pNS3453qo+vH3w wlFOHmyTOvN0rcRpJB7Oa+eaMs3Om9EPkJM/KrwUHrHkx4dec/Vsc8tGYh12JIz529kF/8w4Z6tw 31JcKIjPt37NM+dUXBlouYYMlkZbl0/qg6Soi4HRsAH+gjpK7r8TQbq3BzlakIxtRJYEMTEoF7TG YwWdVVf4DvLAbIE7uKYGGtU/PfEBD2nyVLXO/uEtRG9jTSSnIWXicNKQ0ULFW9y7itej4oJ/zPb3 z1YVyVNxn8xSOI/cd22bcd+VWiYxYXSutkt0kEpwhQ6KJ8OEf0HueAzrvGWQSQU/n38mhUU/Mn0N CgecOQP6BCK3I8J/dCSR/pg2j5aGKqyQdug5KhAp5fdn6Ns5TZdpDYxsVMKnTmOKTrG5IlJTPnwu O3FCVsY5C60awGb9mRIYfqJ81vpEPu8mZFB7hVZt3vEW8j2R1zQiqC960zFAdhtBeE3k+/MFkch1 CMSrm18qE+EYStaJK2J0WBXg4aZantspwCwiOqxbo2LdtZoWSvzOc8MU2QHqu57foVoTutV2eNQI bSaagt+L9+QjN8BIo2Z6HtDOCNP0EzvApURhDsMk/OsYJKHrCQOwcwYie82A8Am/7ko4nzr+HGCK 2tUM0UhePV0RXM+32kK3R41BUhEJIvJA8W6f0jwJF3Q6WymBJTraDQve5ZAgIgUuFwb6c7iiMhIR qiBXZzMtQRYn/s58juB9kaZRBCo3YODTbdGO8ke09S1eyuRTX5YnyorwBXHqTZAP4FeAMO1tq/s5 NyMUlTOuR0LyTjMnxLAGeZXz/zucHHHgTDDe2dgjCNUHfPKpDi6tHyYQ44ElmhsZrm4/OmI+EblS XthUJOnYseFGOiGR+JSOAq5L1PJVxcLNYg+jKqvhsepqsgI9W0u9ejzy+q6HksrJl+sImFeiHjmq Yhn6y8grJfHA+lqvTIsJq+KTuPzNQf5PTlB/KLGkgfTcRTt8dDmU5OAHGDpnr9PmcEaV4a2C7by5 2llDx5ixrZkkQmeiV37Z5IY6qq6aZEWH14fW212tOY7h6WYlSzrHXASz9G8KD2tul5OnN4f26zmG VQ+G21lOR5uRrLRVKQ2VyHaUhQVGs91MWhZ9fyotvvymZtZdLUHeoetCQEQ3dItf9MTFFnGBBfcW noW6PEadsHf6k9qMO2vadBrMLtdUPPmmR9dtIP7hN9yLFS4/Oah0gJ/nUp6O2b9YISs+/LRZrGcY GPTIxO26+y/VwAndECiDh3koFr9ldzzHy6QY6naYd2Ffomh1dAGPw4diljL5JaYHZsPdrq4LpvJn VIeerrELaTCiod3w4zxN6gEFRAFviu4ICA263W1KRfhEUPa9OG2lYw/vMxEKT9sjmaMuSR01QVfx NksHOsgvPAoBN0Zp+mJAyaeGpPqROIPaCD4ceM/7onYiOdI1+StHYar7Z8CEPXJxPI1pTQE7M80i 6o+Ne2bEN3/oXmDgeEMehP3R1Lkepmu0lB8/l3GKGCBD/YjjaGV6mZz3LvguQ8xu53EgiwrLZcG8 WDYDQhfB3wrDnqHCDlHHBhZsDLo6dfcWzlLkZ75KNcoQL4gXVtV4cFVaCPGgjnKoXC5UK4FhOH+Z dMLQ6tSqkL7TxVaICkSFPtyA4u9HhbKLT2ZbEj5Sv+mDI1DjTR6EeJXVuXM7TFI8+bZZksJp36w3 y0Qw80xYHHEkHlw9bRoUZq+irh2EBT3YywLcR5IjAqj9pkWsjOJcgJoV74f8WhH4EX5VFCmlqB/e tEwULQI6JfqA/j15vV/1dTBuOTenfjEOznAW+M8e0oTsdEfo9zPx5Ol0A5rLktzwGs0KCtyc+Lfg +0bTPc1Z2ObaFRpLsxO7DI8gby+q5DjzlxpCOi276tyFyNwR+FOTw6UUBsQH/V3qQIQD2sJbcCb5 5k/iNozxOZkH24/N9vme+rDdgkjy4VvPWoFofaEipvw/zqojeu+cMPuwlnL8KNMgh6jNaoDWbuM3 zPLGtwRS88fUvhBG2A2QG8GOmFkdv5DdKkpjwu3BBtZ2ft2YhJHfInsuZuNryCvJKc3yxd4wL8fx kPcx7CySnQGxMkbPuXAPOVE2axQGU8lEWI3oFFQ0aPxURrDE/NXTfLUtL9ohWkpx+9KK6TV3mipp MQNL1Nm9l8SpWqSzlm4GcsbOM0koaxO4oCAamrP0Q0VSqtxqdIuo9Ovr8d2mgvgn8/7t2fpCqOg5 nRkLkYvsqlAgKTlwxk98Nh+ynD87a2KawW0ZF8OqxsLeZz4kv+95w/EPLMBF4NdzoW0sRsmLIL3b SKHU0ARK48zCaCdSRwtj6ldN7K9OTOF7IhsDat2dJxWF+f5ZBvVvyaFbGoVADD05nbvx6d+theoR urQGF/KsuGZkGgaJygP3nxdd8rXB1ojYriFdxicSJukNR64mYwx7M3rWsFVKXqBY7i6ACAFjTl5g LBEkAxxe7Q+ur7ev0eiKyX3pgVNsJGOEt9/cxkKhznlHnmgp1W0gfQxo4sdYCtxH8x2TLFBCfBNn GSfuvyYHAT4RW2008N/T0rV3ZRjykcdwJAY6Q4vzzvjqK8lUMIw9/0aLyRW176ExSZm5bUYLJnqJ Qe4kpoLJN737VXI74xsNaOONen6mcfsxIsnGlZbupn9fscd84ofdif8ZRiMGvNZEQztHaUJkhABQ rAhFp3sVt51R/1ioWLbO5yJ/9dAj2ioPuB6xgVA60zAMByf1bdE0QsYUwSykqWO6ocjA2896+MHM RIaW5EwTFnqbxwFaGlBCiNDQIIyHa7KDIjM3IQji13+M5H6mmbXCWICUUQvz3jVoTbSwZx7zRywu FcmpssbVkTZYR3eoP6FL/iHL3ePThOldVLcH0sqH3RdXoVuIu/8tGc6TII/o1mEkw0w5DzofqwZX 1aYoT23abLawCObG959NpFBfpA4HW12z5EE6cjrCGXwfc6N7VujXmq46+fMyllp2zZfJJVPshSM+ 7//eCNgu6KOmlnl3llWadDf/JD0gSnEyVjgMAFyURsgbd80cZlVsQwLHEzpy9W3/xAw7N4JOpt6r lV7gzGyeoHFgJBhDkCeG5OjQzSUSRCrtInn0DlXUCXonrWPqhHmRUxse49dddveo3wByfWlW5rDJ 3SU7Na6H6TBRemvAq5ATppZ3KREiYHMgMSRc6xr1+E0Ht+dz3YQSqD1RtpN9cNW97t7v1FhjSQO7 lXYf1YxWVJQeIQIi7BZKAQ6RhHwES3aboShd3M77l0JXDXrbw4TYkosiHua22vvcBdDlvoDIduBf 66HAFLLOAxWEGcjPibZDsY4RcQ91b2K21AALRcChmGqK0MAvH68tk9PrDEHQgz5h2MiUA60gBVad ouKacvEWjl4FRfRWUng5Ua9aRg0f0wmju2Dv8Szd+tdQcT/HQpe/+lQ3hogRF5dnzZPWngFV8axx wQVcYKbYku+MQaYzFZG4PNhzDVIbr46UUO2ocfyhbTBqlcuBtjPhsy8mnUx0ffzlcnL4aYClzcLp Zs+44l2t5hf9oBpD6ZEPQkjrKRjw1lGSaeVH4FKdghLZpsCgHQlIGoPaUbEs205zWQB6je8vY9ZO qxJp1Cj59uvqtFNv9Mufk8PlBB9lQE4IQzpUydwxfnZ0XrG0yzEW2jbpTW+jcVwOQBy4klJU6km3 nvNdsFQ6wuoyi7x7sq0CW9+AYaunz5cCbm02JxBSVqHezL+KpD8HrIDUgoKWQNhH7Rh1/rcr3YGm 6UOsT/98b3G/wCAM9J6UVmtEhXO4QcO42v9GAvQQkJLhESNX36dRqaLHZBeqLE6ga9ra38DejoPw TSg6qjWTFNlbeEkobimvpZZGSkyiWhTOW3nWmiDbsinjphVunRZstjR7lOPKf04FL3mK3JJx5aAX tSusvKht6Ktz5lYjb7jZiVUin5tTtlyDgX89sVv3whsNKdaCdgoIS6rvFkcBwJeK/W81JDkWBixy d2wvQWAFx7fWQG2G5DQqiizwTAjpFw7iWQpBXi5ZjsitF4k4IdMeSjDP8i4SLUwXUk/HJzFpFRlZ ChXmrzcckJ22gXqPXBzZzvC79uo2o1NX+V3YLjyrvbp1QcJ+RBMH8ICQh+xmX1lQb3ur0+SWikxx lQ5YQ1RJUWJ2u5QjQ2ddlzfcUtnZfk4G4p1BwrjySdHkmo42sygrUkAPiMpNtz/0Lfy5cQTkcIzG QTSLBV7vs59v5R+Sl9J7Bd6dolAXBgLyHkDa9HaYKlRWdF6A7r17qE0EYwIFdfVfWvHqgvGIJW4p M04v1PdHlXdQi/A76wx+ZC6mquZNs+5B23HVIdxeCkoChXCUjRuv+X1ezp30NgTzTik9ihSHw182 tDjpMioZDIdwYl9aF+9cRc09jslI+/UGNyzx/yFOIotUI7v/rtOgWd/Je35zS6wCR1yHM2eNrs9r vUj+XJQnYOF5DGBAGphXtzHCV+hG10tYqnLiSTEW9PzH8XyPMLuxafvU1HBTjWjIT/EV3URlAOZ3 LSHKZqRgekSC6GFQ0wqYrhYDZHnXryd1w+9GIf0cNXEb4NnxZALBXWONks23a8rUcbRbNdJqIxN4 tcWwn1VVhB0VsqqyfKWSJnFI27LUDqRavjWtV8RwdL6Kzfm9gKCYIkXKCN0XS5P9thE2qGSB2dAH 3Qigfcr15C1LtS/FPp6Ds0NzEiimaapIeDvIm2Civ8Tr9Fwv+I+q6E6Obxzz8MV6fY1Fy0pMhVza A0W1KxBQz7UvBm0WCN7PtsyKulKVUz0sg2HjoJarsqa7b7ft/eIhFos/407PWYgo4fh+TnZX4fo0 7ovs+TYCaD+0e0kHXpYXb3v+wNZb86PUxXJ0mxj73n5LzsM05jni7RjIadnH7VezwOb0Wn6UUDqN plbxhXvwN2Tfezt0x9Kt3ryHQnNqtGjSarxyCnDfXTf9tUr1WH4euJEI2bKMgJaCdfzqNZ3268mn cFgObK2zmY4J9g2+yEeMmFdgDeFChgtiLvBjMzEZW6124RFrFsXMnR7t08lZ2u70nB3Aoq1J5DiF azbPfM5EGHEY0aUztUGpqiSfkDT/Ong2a/ezwQ6TySvU5538o9OWZrXs+omLd4tFKKFt9BnVeuFJ Zd91X1haLhfDlVxDX/9tTXE0PudXLF5Ztiiw+4ii1W+23H0eBi+VwKP82S4RFZFUewHG1wLHHgba 1UFjI2/q3Bar5Y/4MzlH/KvC6Ay9PTCBp1f/rVF5skCfrxPfBqO0ErwFr3CTzdwVrugy9yzEQMzX /yF6s7LDAjwDOQBKQJtRxAghZoLaZEAVrkz6iGdSqc2Va1ARqL9kNYdKMxCauj5uqWzg/TuiCWcM w+YTzK+wGzf+nj7nluvy8k4NW6BmVJohr4/45MFiba2o0TrScwlnYnDOwmJA0i9FK6usn82Q0rel egxhnSzKyUojRc9Q2Z+Z0IddOLRB3nyYhKRSe+OexqG1e3ztiIg6vqKujkN0IVMtjijNBlmLxOFA owE91RPj8TuNoqn3ZbpapVYwlqR8qT9YCvlCvgOnYFGOTpTbT9bsltrtwwctnw3k8dybreFrH7AY oQtUMH+hJc0lPYN3EuQMbX0nMyiceDrErZc4DS8r1zWZscogx+7cE2kUMNDFF4GOxjQSrIBawu14 jSILM2WnvXtqN6L8DtRCEqUUD6M65OrNMVJU5eeoV5YAEqjnyhSMH5P4Ru/dByPAyv55t8+Y9iz8 J05YemDy6NreuzgP10cs1t6xayMAXDkTVVeevrkfW1DUnPfgGxH6qfbXcNHifA9eaQrUrQQwWSXn 1OO7Rp8En3DHKcgeZIkLRRs2cVGj+ki2BL9vEh/yVu/2GKytZY2GTcw+OYbiqy6emEaTcHsNxds4 Tupm4h6XZk9xTj2tQRAZeHdA20cSEfuPORcCtCwSjbiEjCL7B3yQIev3W/P6EXr79DU97AVBcvXZ KjhdQn9ggRMucplAxhCO9NVnERi7W7y/KAVqlI7h2fwf0KCQk62Y/VIuaLnxhCTz3lweSPhUt+Uo hyH/C7oAORQ0mUAuxWL7PqkDmE/Q/f8voxSDzj36y5RUQJKXGuh2hkP7jG/uhgSzoikc6TKPx3f5 dpjddvUKBYHxU3shqzRk1u/GueL9Wcg6zudwWrI77unrfpKhHh4YA4MqBGjoPuxhte/aVpN5XmQt xD7xeSlQ17hlHF1PouWm/EZVwQRfM+XMNLHuPU4zdXFhvCcnLxt8Ok8rym5cNP2wD+asQy3dqfhw x+j8JieuJTHs76h0cBGEbmO/7RS3IibrEpPyiFRLiZXFb+QpuVhKxKPJYwk8eCH63h1Kv53sCegg n+SEA/wujDzkXrQMq3nlvOsY8csipvtLNT8C5GZcG631ULExSwF6olvfa4pUV/cHZYmtcQDh28/n jy2YrCiOfKazWDn4g3F3M8ViNJdgwEboyL80NMWx94fQCQ9ZfzYgeRcChhDyKYSzpww53A7bPdhv hHT4Xvd4gvSJ6T4ilYWdySSFtGBcJ8oANUR4bPEBBpxZJmVWCYLfIK7zsPNUXGwf8tcOvDq2C6M0 /F30FIE2hoyD8PigtFADjpFNSjo7xPvHS+ACYdoxAml2K42JpqhqJg0GB8wKqy4gf1hHy8fEDL0l zlk7E3t9A1YWSkrujtyoApq3Wy5F6NjFkEcog1JbesHE0uvTkCJzbviweKAaXlj/InE+b0zo5p6c 4vYnpCA6IfGdVAAl8xzGoqG4zeysQ59cUH33DpmHLVBMCKL52ODYKCrASQeK8kV+n6i26834BQpc VUeQM+mlqCMjOxdRG2ZQHaTgXveUaBdZI2wxdRjUkHnTFckaYW1xFPc0KmEJ0agqqE91h+U0D4F0 Jz2bWMuIBmPNyAAoq8s2Nz0dzOIjWiHjtjTMFJYVxc2KTHME6lPz/v9e+jq7cU307g4ijtQhXCx/ 153Pfy2JAJhJniRNPtn/U86QkiPkSUuxa+Bwk8LaQhFhmE8k/oIprABHVASxE0vWWqliLnsULftn jdMBF4IuzmAGkPYGrNykFZ+Gdi59uGilSfZP3QPRUobqHc+muSWd0bnSOvp82B87IsGvYPBZ1Kyk gawhIyrYGS81wr/rRST/Pt/wP4d7M0T8ZLI1PyWCJrcW+2UCMcsrBWdi+8leL/dZ3Uf2y4Sq7dEM mARmAhMvhO7CvVKbTWcAyLrnFg5sWDQeEcw9xCcS0EMfu/YDsCw7g/aCShwhxG1LbpWDLqMZkxXG zyoS4aI3ZHMUG1SbItwnE0vY+sFJUfdBcuSsp3eysHu/GPTo7okJmzE8OqjEQ6aAmE3cZ1tD98x2 lQKez1ZzbsbdC0MOK1H2QD+L/hLhdki+HXCnOgjYDBcXnHN6gX8ERMVjFORiCNf6LwJKusbPPuEE +crBe+9WjoLsKYMHVZD3benpPli8WL0Vqwj/9ln/2q6QCNwCWM6Ck1VKeFdNwDU3W31Ml6O2DUZC SwI6Gr584FtXl/+lasgaK+B+TKFMJBRT+EzttQi18MFXU6IOJvDjDICl6XLH3+R+4GS4SjnXcYM7 H/UwTKMTp+7yg9TuBtDNlRlqO5afVGK/gjgLkJWFJH3c4c9T4YI9vX7TGcT2PzplZvhAT42Wmvaj PVNPf5wsO78/67xDXiwiT3sbSDgbuAUTlJzVDXUAtvXjTI2VldfOUBhQ1sewHT2IpqmNkLM4nCge zLd2u+u7U70vWQeVIxIFSd1WPHCmowOKKcspHFdnBIxvoYoqZOI53Xidi8eP3k4wzlUUiV0y88yZ xESeOGjy/TVpHWSBtxfn0KcXHmcG5RSSeNKrwwrEx7rnSEwYYX/BtpJG+ddFjiaSguN52Fs7bTRI AuIKG7JKWBlrSfTvvm6Xuf4V9ViVgm3HqmWU+I5eRCxH5rXpbHx4KCpBAC4EBoCFqnfhsa+duzRF Dv4uwn7DUte0hjaixjJIWrVFlPYd1/4PsR7pTBiqwDF54ygBT8AucXhD7yj8coJMyrFZTLtgjWU3 IEZxK/7ysSreRH3LZ9LfbDPtc3OtY+3GcQZF7fOZu6MDtA8iZawKafcP1e39TnZEDKcjjiHvr65m +DWMQ2j/IEIoRtQMpyGFEv7gNCdP/TnyyxtiiFvS9KBm1Y4riLcgwwXS3BzaSA0WLK746flkzUZR HIUwCPQc+DipSQlmKDk75fQGmr2KR3HrjsVikss2jdhR5M/rZEQg+OlFqS+C9agVUKimrSibQqo4 JKNlJYK0Y8y5bvo8k8kpZBrBJL9QeqMrpyaRxSrnnFyMafA9a93SQQmosWn/k5y+No85aEMhjR6V PkS5JkVKmpyr3w7a1hE3vOMscpgqZznq5tEYkQZ1EySPIJa2PD4QVNdXabnjh6lKreQTS8XNHg3T 4TUtZER69JLoqm+uUAVjgdoG3pCV6D7Dly3jKxaQoqF5q8fwZzIJ5Sm9ahH2yabcPTx/v8R9p4vE jL3AfyP14lVOrp2Rs5BVmmkA6MRwfr/S8t4vZeH3OTWIW2SFopHZjMxzZFgG5cPL5peFmU0CC/F2 Nu9KG146W8sxBQVApeKf1ovDPQH+LyxnplGeWCS20cYESXo5c3l8ovZUtZkoPNGjouN8K3MbB39l TdSai10FfaqPlsmRBMUW0D3qMvRH/u5QaGvj+I1H/u2Rmbrwce1pQmRO2mh+pafJfisrMuO4aSA8 XrwiYPImKVkISXaiRJZQSI7x9/zN9twb/VnQnLgGBk9qDMcPnsl/GtUuCpu0SjGhxLdiTQQ9bH7t TW86Ueo3JghSoXZvMsGxp48NspQ6Rh6gkw42qo1nuwfsisxsQ1gtCssHnqt586IiIbKqOseMipVk +SL9yYjRgcysfTOT5nctG1JX8oKJCv7sxdvNJNJILy/i0+cej7xctS/zENiGAoCyqa8cFZQvfmKN aNxddgwXHQPU9c7IDbVUDN7FRflFm2Zn+Dc22YZi2zpfJmaP5CWg6L/DtLCTraQ6/O1mfZtLI7kL af83h/BW4AvcI9c5rFcK3OEw1paVrvp8n5e24loLJVEdSY/GU4TFwqNVS7rdBlU3+PJVRYNiZ62D p605N/HGCa9Iqqcnij0MxVzeMcA3xKa5+iHtBOt/i3aE0LC2EYWJNfAvr/ZmGe4XaK7TWQDtlPUl 5v9gpSSFGLK+aGP9wEi7pSBcS9c5csomO7HwgCaAlH/Fl63w7NskJ4YFcyG13wnpup/JW1lGTZu6 JwMKs6cP4/K73YBXjh5KQFA2ogMSV9qGV3Xyse5Ga8brcLtF84lsOP82b6iXSQPBM6WDKQ/WbZgr 5ORyRY2cvVxDl8bhoFGckOYDXdC1sx/cB+ZIBzerpDPQRdfTc6Jv55rcQkm0c8giUR0+BJVHxATE FCxZrp5/lpaIH29JMMGUf79j6epqYb+3p+jaNNolDXM1RhpVMUjvYpeG5Jt4pohqWpaVxIvB88Gs 0PtchlHMTZ5gk7oCiBjEOmpxjWQjUgwuXJwq9EnDGHgjPXU629aVRrVY1p/dlNUMpH19LwcMj1Au RUAAhVgGACzZGFIcav1pj4HdU2GkRilUGtuvNIh9eeZSkfvHQd7/GqoXvrS9eBDTZSA5ET1aJmvs sC8noXW9EV5XOk3xyvquzDMhnbrZHMhP9f54uks2TTgVb8ibm6hNT9jGYS9A0FxiwuavTsP55ouf /q97H9K2ZVWxqUwFQ2cQUiiZuqCV4Zpzyh9RzuOMSrl9yVX/rvce4N/+Lnf1faeM58wVHbm9sczE a1JrorEkjjq5pOvpbw/3JurIGHLzBHO/3WF70gKExdvUY4Xp+kz51JuwfOtf1d9Zx/GQm1LiUHaI QUPZwr2P5JouWQnBQn1XZazNVHeZBM66etyVGnEShSAW30rqr4r/QJXSKpHUAMNk+RGmoJwGDzxD HgGT80WvR7nvg9v9GSuZDHcvpJ4K0ZqrUcQlF6Q42mq9CWv4AUy3R0Apso+Wii5eBP46+nSeWtKX FR8Latd7+QzkJFdlgJQUwQHGKi7+SxmMJhGg8Zdg3JX3NVBTRHlnD68ETEg78SHJR4ImpNxe09HJ uIsG5r1zCVJ/PPZrwY592E1zHk8H5oV4rhm9unHQnD8ySxny6NuJw/l+T0l3pcek+mrRrRcXbkLT FXPU2y5gkQzEt/PLBMmxWJOCzhKQQQ+cGosp9IUPHPjvOoicOZP6p7z2wtQfBjOhOJ9OyIcVYuCY mCJWACNhw9mITAF61vVZJ0kBFN1unXw3CBa8wMWEilWl9QJ5NvOP+Jj6KQdoBic3mRIli1x4WYsa xBrg8sNh05r8SFEDGN5Udw2k+EK0Pr+vLl8yyKW9htYM6klby+eIPFA/ANH9S+7NMKYUKNZSBMd8 uNIVi7U4RuqPaa1GGRqJWcB5IdaBPNxFwsyPqAtm9CHuSdw8zO3eo1gYYrt4Nc83by13G5Yy7xMp iERjjMzmI21PB9tJrzMNnIfD4QXhhik0g26xCrUHgNXIgeO+cg+2b/jsHyobieVxjB2KLc5IeStu OYTmx7JMrCFQL+JU2KZZE7c0nDd+3F/kc6R3XuyoaWhzmDOJn2fJH5YE52paridstkTRafxd3lOM DtGwlMHI5PoxuQGZa4INcvaXySAe2e4L/yvrnq90ev9KzvYL/hj8AsiSBeaZE5nBKD4nugXPQdlC 7UE3vCA+Pr+e+7afjvcNfjUg069D7/ozIhRnbBH10ZnRs25kk2t6CGYwQnmP1EhACZ3j3KAXKSVl 0IsbPHPZ6xL12Gr3ytwonD3QgNlk7D3NVzIHtd16q/6R1jRd/m2YALPakzKZWASA+BY0YiJYEgDU I+I1UDocdKJpWW3cql8HJIW3SjZwp5BFXwMfEjTCDzPM2IhoCVlXTcncQ1MjpfXUvmMKJBTqgkwv cfNQwk5e/iN52wpp4MLMwlxLQ0abl9o2swgOOdIvuQ2K+VFCI9MaoJ2+cNA0nE3xu0KNLQJksWcE aniDKuf3aowHUrIrTO30nP3EalX4cf9VVjUl2S1RRr/v0wNfS6dz2BvaCFYOPcMgaa9+KEzi/TT7 vRHPXPIJ4VSt75v1B8tgPyGe2trIs+5xoHfUzLjb2FHx2y3hZVvb4DRb/kqTEhPiRHLqoREGXxOt pvM+1sH7RAAhDhIwjG9yX2CwxpJeM+3ODS0lCmEYw/aGTN5Fh1fZm5Yy8vnMG8SXjOJPb9AfqdND cphV64BYW9q074IHvwmpoWp47HnWgvRFJ/C+LaPNXEQFJh3rHpgA3PxNjAD4eJT3tiGjfECIC3nP GizsUu2YZV98JoYFEBqF63VfnBVAMcsqd7fW3sG8Ll5PCjlNXtFvuKEYXsJWP7R5RLUn/VesBt/y tT5ty/jXUM8c/f27+XS9b3aB5i5rnUKh8jbjvxI+0oSSlHZkxDg7R4IXa+VCo2ugSbzi4XQj+fVm 5FH7Ixtwjv4W2r5kjCdOsMurFGTvp43DJHVcMqkJST4qCP+bJ5TIuL92IvJn18LEMkXMADOxrfdC VSRc3oxKlquuUB3qXFc3qXDAclnHlMqLlvi5PdlNKyf+PlQUhUMVBgJEjvpoXoiXBs4NgYI41ogD cRNpIrbe9aRAx+ChTQLBqfYe3m//1pfMf9IIFZClGpEIfdXmrnDkMUI4KRUSSS6WRIiLaIHj0yK5 LgbuWAlW7koKYXb9MNU2OqczvG0mIOp0gFx9WLGWbSEfd83NDw1W/V/6eVabwSgZw5vj482JRPzE 5V3bieo2iTNy8wCHfmXrCS+wFHkKcfnCAlbcfllQvWethZW5vSuHf9j6zHU7pPMttBMzmDtiiz0t Qx5EwAV6Xfnup+togUTgGnfQLsVdYqbxivKyNVAw2J6/Jc6yr1HkzbLkeQE+fAakrHgytqZCAjCd hJ5CV3B2P5qqxwvmx/VshTmCwU391KpyIqUmekYkmv3WFaUCnX0OfgrdVLA8IwY+5fiesr5e/Xu6 KiSpGq/AVAXJU05PyaFUM3nQeY+UlaRoizrAHcQj93bWK2rU2rk2XP/JYlqLXC0rt0329c0xVLAH ddrQhitZHqOamGprUUAIfqbWFFWyZZcJtDrjB3fLzUALm9fEjR54HzLZ8UfHXp+TJ2OGNsNvcNvS ImJ7fnAvGn1KswxxGhEpjWkUfy+1/wPq4kvHZEQpwKkMCu5hRe5WmvgSwv4z/7eaCHqS4WvsK585 3FbttsC31vVNLAz5ldw+O5pe8E+rlWYBceeNakjvUfvrlaBFvJIHO1/wnwYhVmpRT+eoxtiFWh/n tEDsbotlNYaI7TKPmS5gF7TtFdcx6TCSsM8do+T7c/rnMPC05yZhyw7vzQeQjH0BSsUz93ooixuc Lpq+TEcgq/RmrfBlZt6hiQTOt9esrOotYi5plJK6f54lwEG7kCQoOJlrLMmKz5ty6CoeuQTENEpW mhdjQfEkzk0xKm7/ca6eEyG9UPbkKnM+t8Svvedn7AE3f+V1EMfQTlKxy2yvR0cHlrMpOBOVHuNV QPZewb+ngIeoJ9JC/qaGgjOVWK9KBt9HN6aeK+HPqk2TZxq4pWbFUAkJYw2TrXNQavnzolKp80Gn h9D4s19TYMkl9+0ZRzEG5Hqbj56Du3Cnkgv4R7/WvK1hNybmbfXC0527MMVo8C8sCAOVz4vhPRDV JObN8Iqj8xymBaXi3s1e3mxJvcpfnx9WOtEY/jBPDjz21ajx/oBl2HCHqvDof/5XRMifVhl72Q11 zCswzdkalhu83zJQ7vL8twQ7E6OiT5ttCWUT+N3tdD2pMV289KhHOLwc4q9fKzs34i5JhIq2Jse+ HM92ftcHV/OKwRsx+8G6bTdpS3ijSmFsCPXJ8G6A8I8f2FFqlKTcc+IJxzezsC5ZnTwoxTWBOode +n4m5/mzH/3q6HJWAYfhHhE2nRszWc4yqFgHE5bne+c5yF6Y5Ej29Oxc5v+YCWOlNp4F1Bbiw4a5 AmSGfJ8A3N7DMJORv90tTwpcALNmJrzx6aLmDp3l9yjqE/IAi121FhP1/mTnIb9EfJGxVMUIgd4r +GprgCSXaTK36gF4fjjKBdUSaIUXVNxNbTVF0PsJkw7+ZBht1rv08JKp4SY7z0Vyi4YnWhw4ipmd 4eOLGdBMlEKPTtt7qZOWUAyX0dYhZpzQmQ1sWl2RgTuF586aNpQhfbBbntiN1EFsLuQAwJepyDdE zv9uMIStTwHCiWPPtJifajFWwmnpDSErSMThrbzA6gqnkTPU6z0LlpDh4aYtRTl6hArjCoq6ADIe mr0IJ5u/K4IR6ie7N7SXXKLqbW9FzgY+7MQjFbECnAGyAZNCFpVl7Cq584ACiSJdPCRLnR/T5BMJ Yo8OOVIj61F46Nz0MQXxSmso+TV8oh1FDbg3NyF+vIiLpWsvGH5ela4xlw/CtR3t1yJLUGHqLhwJ infKfwsM8qdouXIVWqY+LIFhahY7A/R33Yl0su8jgXuwgMEs8JVTUwAq8kpXZonCuyIN5cOEVRmC Xin+P8AbUa3eTcjRSZIijwU19zQhanKxhtGgcFA3GhHrGp49i2lGwz76epILSiWTm1u7k6krrpD8 qiaqb8GH9SLgY6vytcfy+1d/Vv651Wk+hI3ZsCYbY8v+bEpg9zVZvKWdDjAbI+dNDKjGN/xdOOm2 20Tk5GG7d6xYn9nYMx1rpieqn/sBfQNr+J7uNeU3fe1w8nWKms0Uqyy0I82jW7M9S2ZbnV/gJ+o6 LktBnaPeSqaRxGKSpVrcgUvcQDAmbwBw7IcrGMvQQjYW3w08SNSbeYsRTsCEOoXIzSShpPhzKmPr Aq3ey2NSSvXmt6+LsfEjFsHwuKXBflmqF6YjzCIkKkzw43B2lxPEoYKaNdrRQ05Lvzqh1LeNtTti xwUDBGPtMAZsfmTKwGDuK/sEUnwcgsBY4pUse4FVH1fVF25Y6nutucZwCBFbg4CcV+lgVIWmF/fK aMXhoqLb0dXGefwgfvrja/QYL2Q/xPLkyQzRjOGF9PXfNuTUrwDjg0/bNjFaY4svseQU7WXLAGt9 JaARYnfl1ryMlqbrT0egahh02APSJk1Efy0SeWM9uksOhL7PVXS5sqkThS1+Wl9NM4Mb2Ye0oqmA ia2LoNUXRHtoQmxUpTa4Ih4Xcgny1UhdtOFhiQoJtxz9JvixKC2bDxwWZJPK2qi2Dmvn3b+sv2oE kd/Hmu7ksBBiOMkzNtfm8hhZdxkYKsnjYeQJRAJPoTlqJulMX344p60FKOZenzjde8Ss/hHvLIWK F4MNG/KvBCtHSv2Ay40FKhosoZJ49POfNHT4eMjI9NwxdTg/b6aJKTkj69V6egTrKbCkni+EwH+V wmCP3ecBBWlNRtB2qmPkOKhbEEQfFt5rHwJM4Di/RXrYzyp9oNJYXm1X6xfwKFoNTYPwyHSgsf5q TqA6Ikw1LLKJkfJ9yknVsFQHEdlJyDprsauHVArDQsRwWwr2KiTa50oAp9GJhpsCrxTjcp58m2UA PSuGJpK9/7Vy/GWHBF9Gu3OKHlpleIKtPfDBqXHwMWaNTtfr6RrAZRn03oIU6CGxCXoZb94lncA+ 1oF0FUbBSsKhmRTx+dvjOQx6g6M4bP2h+8bW01+4yXzvNYcysDKb78gajAmB1+0rVt8uAoLHPkeS G+a8aQIhvJQGbuKAGWG9rTzdNfaGRd00Tql/vSzMeJO/2cJHpMZwuNLjiy/NyK/9LaxDerPGZfjj AJLdF3HkSO8P0hanYTI6BhbIvdAEj7PjCGFf6ciDkql2+nZbccogQs/pLlTXn7lOfFpiCm41FS3Y 0no4bEBBJ6GrZBcPEsebO7skKEm1vIcDUUEvKDPjLNMxfLnaCvHHAo/IJpjMZWRB5FOrQ30A/4UU rZhQi3JiO2fXcqDztN9zjBwB0FRC2emFgCSLwaDf7Gs6GTXG+k7xiVu/BbB57GYvLuLTO44iVmY+ fpmPIDLJzu7qFTRZeQeXwybBubDpZaHblHTCCoQL2TwiNkV2B9Hr6slx3pLeEFFyFMpc5+3GqxAi yb4mZnDUd6+oBeYYgopmmimyKgnXji5xlHPGkmTsAu32AoJapoG3JyifDx0oI9eZS8z/C7cC+8hz aQUnyWxYB4wo0YP2r8zo43pIVriHl2oml/3ZC/AJguXtFy3zrNjXNK0hEV5YCy2qLCUYqNc7Wss+ JkYgO8YCe4mYcHgyRZirPGrq3Qr9LEHwUFenEW2DWTM9OApW5CeSYI5BYh8yEjybzIGC74+bRrgo EuFmPWNLWDZZyiq9Epbl4P3X9LY+rfpiTlAgE0yrXRQwZKJHkqSxBs/iG2pthFOs3dbBnfhVvEX5 CYThCYdAdQ+Ig48TVh5Zr0HnNM8mtafq2l3RE47OGTohz1qiUZylzbXgcYvdfygZ12h5mv8ifwyh KlF59TmneLVs4iEm5CseF/cTVAf2QvfjKPx7fEwVP5Ho/L7gbEkviMCjR9I0MEQPa9p8oJI12Dyi kCEQbijA6SJ4BR2KRP9jfOLN90jfnj54LBz2QIxlSxGZOTQ0KL4uqdmLLA3trx3IDECozq2RmleO z5NOpOECE/Qq4uh8Fqdk+8dWbDgyq+uXvC+k9MX4GYMgoNcCXFk1QToGNoc7FyPITL+Lzw9FQiir +pv2gfIJzELaAm0fq6BAe32P4rtZtldROMiCV7Cs6kSx+0pNxiG2is7FwjTmfQ2xV1+++VwTEkbb 4OpFTSMeNR7cHOK1aF7OEUHfpha/2i9H/EMPTobGUu/kgz6bCLISTuZ/JyTvKHhuSe31U5GUE6RQ r8j1+a7WYtRRsl3LLWRMKPb5/OVuXSiVs0GteZoUHOewADwb2QrNmn/Bzhq6c/TwxaO/qOmUP3mr 0eTDcqMRsYby+CPtU+o7+ihMxhQvr80uhfhaJc/oIui+S0NBQr3NNoylGwHA3jD7mUIN4HsbmEHL iI2iTrCjlkcB6ZXnfj92klH1zHBuYQKFiyD/OZf2t/aQYAZT9b4Q2Qvz8QS52+oD1cIfLF+nGxDS sqASLMHwMxo/yxGbAGgEQJtFzx0KsFjhcNmSpyHWO5fO3db4pmVT6OQhbrUN92YHZ6Bx3N5aTrtN bfdBhFjWyORS0A+gZEPiQHwnrL0MJmMqYoVgmurKB93y/ePPI5+0nNpDP1TcUSCQES40c1vRt57S gOfIw+vS0np/23bgZnwTKsxsKi9uCMa93D21BL0x37l0FBj2xD7nt8PNwGyCuBl3YB7tiT5Gfrdu ENptbQMuTPyZFPJiR5nB9EKNnsN7Roks6T+WFd9qA19zujJYZb6lh8Bj8kJeeDN9116BtTtk2Oin ws6ineqKfkDeRka0cYlSG5ppPZJgC1Akj6JAnHztbFgNkBNhZCFAaHLFaiwUk9OLmn7DGbXqxjj4 1vWCTlxqUEjZv0HbLPA9Sj4Z0LwPJ7NyuiYk8LizkMn8ByBrgchRUgpuxqP52YiKPeMjiL7IgCA7 O7CH159g9lMJaBSgmN/kVlb3Ss5cO/GlhsRhx0uELN/8E+myHE9ARnIeiOFO7T+mmBwrREhlmNki pMnoSlSkxAoE9LyTUMogmafk6eXFh4f1PD9VUDnfIrK2fr8vCo6ExVRyOTdFAsoPz7tbE4qAuHgB jJWJkkP0DZAZm62gJIWdMdyQMPEq4LXXmv+PTazEHRtbnlo/wIDwj3dW7U/YjMsVk+qP8qXaJm+9 RMLAPXRXri5Gc0AsHSCc/1VheuxjJ3S3KrAk2LfGl2hKCt52eOcFLDkscf8l8861N2y+r6BkJNEH thf41VEAwr523AcSXed2gBTZEem64Y0nB1oWZAn5qxi7D6XIJqluST5v5pl/C5Z2GXqwrNZHXmNB O+PxrfIcxY3ZoDFBrc6rAUZ6XqXdlVhC/zdBvJfePgbuWv0NJhOUZrX4P8qSrBo3jn/3h6BE2Db7 69UL4AlhwZeALWCHYSnPIsOqP5SCWwDr452M6GbjG0QGwTDprlaXZyR6ucaKVcKfFFccdNsYbIDd oXDs/5Y1SQ4ZuTvnH0j9Byc7IrrdM3G+/jaiZLtQ1ClNMndkaItQ6Q+q9VcfIj/KOlxq1YW8DLpw 8m5ooASmf3onTdoJD2HgRrUvGIpc18Uv6H/EwIagYovJ2Otc+eWk/uXC+ReL/PCPk1U7vmP3Sl04 kpI4JWozzazDu817zowBM3BKwclZb1wSAvXfjsfUguUFHMOiT/CBP3BHnirJNv/CoGLkmY+1+7oU Hc5zi6Rv96B6ElmCxPsQAkQUlpl51SaTDX8I4dzTpMMVlBMvJqoi2/BzlLlswEmuU/z3nZrn0HY7 mj0OzB00SAh6uzPE+SeLzS7ROeDLGI9Sf/pnhvzXJVsF7nSMxZ3AHV648FVX9tS/G16eOPS8V6vK kgg1sOMNXLsNZtRUPWtBufU8rdskTEpOI1gS5jFNYu9srOMsPWThuG7xx4yBF9pASdcdf4uYlCCA afgm3wY7+jFEvcEKjSDD2N/odrIHlROmwjsiuMkeZsuToi+dRGBcSo7Vf1upYW3zECg7O9wnJ6Cy 4w/zuqn+8nZTdBVaeLflNmm5z0ysgLWVwZCDfYu7fN4ugBAduue2H3SkZhfAnMj7B6FUavg3l/aP IhEniFztDvMJdN9FA20O3E3/nwsMNAzm7ytRNtOwB4/+d1GLvFkk3i33A+CiKcJ/qL3kCQMUWZYc dxJ09AiAp6HJ3BpcPDMURFy4UG4WwDkBrzsyKM+TLkWhGgO8viNEzC7iIy1AhRlSH3DvceSDH8gA INqffTtJFwNoNteHXxlggcQgWkTkZPSO3NztUeq5TEs09XQfQ2YVs+tpr3rX2Ja4x2vGWHcp5ydx K5ym5rEi9UQb7XiS8ySUIWZgLB1zJvGpWgtULXC+rDi4fGhXCjfkWYJphW4aAoKyPCW03X81UntM 5/oPYRSrqn3Df8Na2cDIAigRhT9E3RkMCdY4bEFr05fyJ7Izu089T9q/+q77QN/9XrTjZlv0nw5k mCWOGMfoIAnJfpvRF5aC9Z2tbz5k6od24aaP4Or1QJzKt9bpzmtbrn6aKgduLBxSLyNkf2+J4E4J nRQOzpt/orq/wDLBdtgl9QQyY7W7U7+deJsaAx9VRH0sQMyUx69zkEfRMk7WBWFpol0zf9mRIF2B t3P21f2Iul7l8g2GR0R7JMswCawYdET+JmbvvdjWAS5gAnT4UYiiygHLADhK+iMy0JjDFVGXFobw IMVYwireWIUHs7o9SQhljZwsSd3IWC/ecPg/1nHSm5RZCGXw1VL1Rldlqrh7kK7TP47iHshQOtwE 7YtwTJgtk1XSFF6/OW1JvbHcZ9ySFJ6N6KxhaUfppMgg0V3RY/uK3vzdwT2Yhr96Kx8IukmIR2nF xNI1JvbOuvpHNlm4GOtqx2Z0AHiN/Qg3XIc7smi8k8helZMoGt6hD39KdL2m6U/EULcaK6CJc5+N vR2lkapRCX49LXsPm/E8HRz+m+HNC11H4xcNckh3uXJmwGWIDGUNQDS0HsopX2E4ekVEtQWxwOKn W6A1WicXiRZu457hnATjMzNXEhTQBPKCN6RNa/RC9BUmGGJH8CjBiD8RQn6Hl9MIbiPO/paaFuoU xNJ93Te96MByzv6csDTo6Yip6twaLCnT0HRN0doV/V2W0FOg0p7OhGMQxqpdjeEyjLFuX3er8wbb 5Iah63iYsKd/bB12xNuOlTE2Q2/K55EBH5k3c8f6zRFwQC1Y/b4ow6sdNMDZWbOtPjtJmDR9irxN wmkH+2cqAh1ZNUY1DXaWdqv5HEBbVOAkVzIO7BNn79O72cVmUDJ+kVkL4tjahEqaYMUYDqf6iui9 VWdOPuDuPPPCv6u18zKlUuxM1sTioH9bjbMDtdL8pTdNzxeDw767gR2XZoLlo1ht4HVKBfuvjpmR q8K5q6RDF6jul2LfzdGR3ZionboEQMhsgvaHk8JPXweiPlcg5ZfWmYEpqu0EEuUJrWfSu6RuRls6 m9QHFmKCY/ftmRJdv4LnWCPr3WfAGIOOrhfnbLbD9uUd4iBgPL0btOJnCK0eelsBerDa8z5hBQ/W fKVwDdDjd7NmG28CxP1iTjtO7mgRozfZhEa38Quyn1+FGDGcq3TnzZonM4xKVSjbNn/zg9muLBGC xUSlFCWZWifeeSZzsR/+gg/0eCh/4uIIZ/Mx5zcfC3XT6Vg0FCcuQhZcjq3rHMXR6b7g4hiWMBz8 Kxs8kS4Gy+t8tD/xTSzD15HXUkyOplgZ4PF97ioHlGcFuhwm+v1lCQvS85uVOraP4iVuWj9fqHoK HC2hAqWPS65RgprtfYWIu5Gnff8vR28PY7+ee6gD3sn99dpaTvfFv8UjMJbqz/dMc+XcrRIvtII3 ttcf1kLtQTucV0Gz4LbPiK6un4RXSREbqG2TOv/OS1v8IITsaDHlK2wyNPPD3MxCXx2oaaPqNfdO Im+0W0nKUM4ulfBmlBhS9UHtTQWgSSpe1q/qkO/RGML6EEIWT4Akkp0rDZ+Ubr3RDn2ngU1zwTlE mK5+Lihg5i/cXzd/1vRFFB2c/P1o3f1KOZVch+TzeRSIb+Z75ZxqpbViLOv0VTJC+ngv8yf5TtBR 5jv4pnO3s3YdHMeIcF8ZbXXtNM6NMcr4KJgdOLeaoib5RypXLnbWS5Ll9fbY2C+ndW+b39RTgLr5 5nONlbKszhIo5lzqGdlG+KjfAyQtLPL0R1Rrmouz8pxRuyNhj23b4prx4roYlEHC8LmhrNaohP3I l7q6I5Aa3vupjRIo1kth3Eyw249BNEuOEA5OnByOglF5x16QDQL52tJ9nZW8Ka74Wtl8657EnzsT 5wLNMQ9zu5hzG2/XBnOiM3utXxaITDYvJFVE+xC+aJ/VOzLjO8wAERpuBSHqNtXtLNER6fE8gZQA Z+qJdB+L+ymSu2pk575hq0JKsKtczRe537tZA1NINQKJrfvz47e1Eb5+9yrG27mxWEBjhey7HW45 fFKkf49Wib3KDQkkDhifoZ/+bjaLHjE2Mdls3pHLJiyO0C//jtQ18T684xStN+FE2Ys+x2CxKwU9 BddozNgY2DShMt5a/AkkB1voXmVCBbmcfrqtLwAc6AFyJRh9058EMUCP6iRYk14aDfHps7uC/taW C575Y1zfA0CleZpDk9QOuY1bv53ZKL90jgosmWeI8uMo9hcWgyrqELtyTvq8Vdan/0Uwcxwf7lt0 sy5gsrXojqrckT2SqEohFxFrg1usr83STYCC1PCRX5zMQJZu203/Jlybx4kNB5tzLM0bjG3tWf1z wPSfJetn9If0mTA4QxWHf+pCMrwl9sZYRSHidN17fcMqMWWEwrlX+5RN7D1t+2QwHOOArESDel/v 5XJ4KZt8MdXPi9noQDXvpxRk3k8mmWl+dtwLyxMy+nPcDFtiOv8kCHA8PtxmwS312GhGzVgirLVS VY7wHYhbDE/M8ia+GhHwtWCl7embOhf2x8leMss4PyFSZwxCQbOteCW9KeJUjyQgMaW2BUHP699t FaoS+OdBe+/rZHi5733ZgYPoI65JnXcheLtN+39EAMcG7H4Nt4o/PoTssJv9mXtThlGRsNSlwA9v ftgfW3ybJXPahVy+A8OFrq7h+oir/40G8JtN4fKawN/fvwZrcvqQPh08qx7of0Jwwkztr56/fgSx VKIAzY8EvdAnLDDkQq7NEPhTzqs779TwbceTf//ie6LAnrsoT9zmVDZjM3TPNlS/587oYL/FtAvY II1PVt9IKf4+jO+dSv4ilJZ8aA+lEo9JKFZ9ccbXehxI1h+ZPJ4JN6+PoaD0nTtENULH5Cv9oKAN vsjusTKizzJXowCLKk5p6yabLstwTPedCxLQYzhiBzuanetHQ8UsZDYtwZi3Cm6hDHkgmL3XpUb9 YdePeQmc+Pd16gGc/065zFxiULIuRZuL60m/94ev3aKfHX/Yf2RLx7yo87RdXDwgFjbMnfba7mjw LsDfdOv/eHpKqS4ybZqHMVWF+HfrwQbUxL/wMMUJTrh1ixf9n3CdkYwC66Ufs3bRE8yfpucoC/Du ubLOmteYN1RdGtrP7kCNPDvDOn+esG7oaJtscS5OVdUle9nLV419v79yDe6oD50upuS2XOT1B1/F UWqxwyoN4AnRp77WQhuaX5q30pCsuFrAZar1w1N1WZp9xQXJQ4m6lXKB+x5k4z2cCN9idq44QWK1 C9YqMFkwG4MC3BSFIwtQiWs4rCxewPZAHKyYHQ7dg5POEZoOJSJGbQpg4Cq1AtunisC0Si5jWwHX SfrVjkYiW44oPnM/w4w82MU9CgQuO3Gr6oUVdUe41PeGCLgNXTF/cpgPCL4KViZCLB+fL5/vXwcP Av3DaKGiDfF0Y9WVC8vymzxKp42HWIgzYtvCJdXmY6S5wPe7VRTTKWnZSFtZgfTlZeK9eHJ0MI7f 0LnEuhyh0NNuQuGDnS8ymeCmTKXNaTTEhsW5ajQozO9HYMCDkAn3mDafu9UbNHmHHTaSp68X0Ril Xkb1bTKA9NnJ/zU4zWOB+7sNKFlXdQz/Z64W7baYZygwDm/sTblV4gPPfSCdqfXCFUABJg4saqkE gVs4xRMwuqlwEsG/pet0ckrBcvPbNYWYlBrDB/BWoDBF8DLhS1OmIN26I5F/5Deh/314q3s8Ym0M KX3UPndwpDWn/VVsB1CpX1A5ceA/Jp1cKDxZ0Q+aKrwlKa6yHhyCQBGrpGGLp3EZzFsOxJkuPJah zVUxYkB2cmlbDfeVcfh3AXEk48MSnRM2bT0PqSOp+YhVyUddPFsBFTMfEBhwIFXlqpF9PAyRhnz9 kmjo4300OnnqMGETPwwrfIz5XJxc+xvq+o1PJ0iW589v27BlHQCBCcK4kHwF75DxWWOPDvMpNXMj uHfQSEb5fOmeqmTEN1WNQppfbRonBizvUQiAcG4QtM0eDbQs6B5qjzB0c2gKeFkOtGY8aVTihf+m fhqKK9skou/gnWSRHu3FXr5xkpTdfiz3sY/kr52VGMELyRPLy+C8poS0nX3OoaFdiQkdTxd99tgE 1+8IvGfvriPv4hsnzjznAupMG9PvrKKRdH4ZQgjtPe62TI42HRKWxX1dfi++iIPvkakOPgD88SND EX1iIlu69ORNurKn4Pc6pPIR/MCHOsWFQ/qR6M2ohvUJXKj4FLnfkOo1I9mVGRFvNJwhDEn+CVfe 4a7PquZNilGglABwO/IiH6J0GPtIuUZkigPXPgQ3ZwQiLt4z6YDKL/ZiLTSQQE/+cPGY8VLlbo+G DuO+mdDCW/jwXm4CGEE7y/DbIdSLHHnxCnDTxY/aqOe45fOxe9N/WHviEnvR7APmsBHziM3AUzkv hbeShTOjLW/tMf0ObCXEP8IysamoogWr0jlU3dbnWiPsoehelYM7TMsz2Xb/b/rJW+DCBRtcxOcv SQN5dBEnf2Z+ZS57GKzl4v+4JFyfNtVsayyUDZk1vfeaoY5W8AoJCPlUwMNcOoJ2dM0d2bt7aLYK KPl/rxIaXYPuw61KD6ITXjP+2MjGi1nuR3I3qmVD0VrFwk1CZ3fCNTxADH7lHOdOQtZEarZXlPh+ nnYxeAVRnEoVVPwIY0HndQ4lKJPw/p+x2gEFCWZ4kW2Emxsjm6eXSO0VEAA3ak2Aw+gfZwulyJQ+ 5QbD75hKIA5lTCFROTj6Rv3uqFgrYjpI5Uq7iugPkGFwG5JXPvoMC6/e/yIMbLtQcKHonQqd7Mg8 23rTaYLln4IR1rauWhOEAHW6/fOIg8fikU0aZWYeKgr311/TinmcLoJdJ+qsZ7uulxOW9kaJNyqf fkcmWoIGFHMA4pRnYt5EHRIDZvxCalnEk8LjwXrUtGM4AfSC8joIdi58APnaKHg6oXwnJ6VTTmNE sJH8NM1LEnNwAxwDpYtRPUFHQd9Cc78YDS+7UKynoFpEAszR9ndNyxR8nKExXrVsAXqIah/3qWxc uKkajQEftE5VPAbUOXflNOrjJY3rn/0qwX0tzSsBy3FDXMiQWK2vpGihobrCqttht8DO855j0hbh 4eyObgSV0Dr71n6zMb8Mu4nF5qVAYwU/kdiD9pH2k30OCuF1RUGjxwS4O0qktAq0Pkw4rlYviyNT 4Clb1NtxwcBJJTYgbitUbSC3O+hcTmdeqFcsMrf37XXTv4QgvfH6WLSPk2AXKJIoMGajtvypymv4 g5A+Dwi3iT0f1ohnacnYN8Drr1asQnpiTD+BhH7xmfEjKjvcB+QiFEQg66bq6iQUya6E5qt+2QXA PDX3a1cP8oAKD8iCIcQPR8glZ0zb4jV5djazZHuBdvZWCeBKs7cRdwjwNPAIwCkxsAn9Wp1/Ufpr T0TF3CGokauuSVRkTVP0ANl+Y3QdrpbnNaACS55jFiLyvQ1Z877rs4LI25bsFfSRbKBZ2Bfj13ur C8cX4IHpJMvVCj7DHq+vtCrExpD5H1X+7D1oJwaWupFWHlMBwtPszpz2AKJyI+RT00ODMv/q9Fxp GiOeqdi2/X0aYuCgKhWySUqm+GyFOH+CoKcg3fRU7nkI4CFK4eUi4g+jUJCnvHZv+sO9Qd3s5AG1 OxuAfb4Eb34iUuK3rskd8RZEjJ0KY09s4qXWZ8uTbVv0jUaRzjaH/b9+Hiqm0o7GgnbWp6+vJZI8 YiyANxa/+WbDX3X4qCFjEveRFlwDu3xk3hgKaED3auGbYxnjHN6uNtiYDy/imNwMsTqTkwdBu2nx HjQoLlP/lVtfLwy92SZP98etNZuSxjgn5Gc1Sxf6PFfje/fck2P3mB2+fOl9P/hZHCmXawvQ4nAC /t610vJWpNSApfVY1JH5F2ldc0qR/u8PpryMwcLXuDc4312GjpbgCL98YH+SbN6kuw7Cn8ZU2Uaw XVhFLRN1Pvpcdv961NfGy34ltKVcW/P0LlYWk+EU0uo3CBDAPm3iBOcMJ0a5Ko7jsLVHX8eJOtjq AIlt0oi+M4JtiX5WRii7jZLZ0LeJSFia1V36yfMqBDIeXK2eKhQwH8gQGsqM58pjxotDhP/SnvYM ZrMH8nwCQPp3j5bOC/pJWFsLE67DNiRZxqIVe/zX7btt6i6vqFOwv6aHujZfvz55rDq5WzcqUaxl eHq5CHxZcykQOiWWasdj2ahskNd5UT8rtC1vdYfYQVKU1HLic0NmtJEZ04RUTWkbrA72toxBqU/q UhvblznecfrAnyyi4jaDaWOigsakjSWQYvbTmRIfaidEiaGryvxqrpXQv1vf7VQQ5tDaplc+WIVE ZuTvfoJz3J++xiHICJeDjBGozzpf6aI5q1Z5TwtdDmNpWtqwZ8ruk7cDTMebLbkmbqWx03SJJKYi SgHVgMpA9RdUiymkpGrSfuWG1h6G9RYzSnmeMlsmL4a6tm3zf/GyTLqqZo+Sabuq+vXnsZhr/QYK NOLdUXcrghqm+7wJZxa5yNucZInmwC75pa0JRUIPDzwtYtV+tbpVOacqozV+fm/PL2stw72WIjtn 0wqAEbOxXYZsi7KknDtjmQh6uro6Efz9eXxITa5NAbyXJgOLFI0ycJPNwxHUSoKmbRCKeiktthz/ fv1n6qbKaBWiYxDrKyYmdhJ4/ZaFZRa5pKM1/ZwVtk/pjNShg/BFaGH882rPzrMeSXSnmMPTkVLU RGbq+mkQkO6o7kTD5JUmwiKNeoM6EJH/Hnv6FZYC8dXfPFJdnPxZCAOL4Ug1k12I8eqgBWJw+JMc HemrI354yWZJw6eKCVI5ipA/woNnTK70QxGH6RXDg2w4yt02ajA+EUXkbU/phMB5AVMEo1CicnBB 5SHG421OgjNgE93Z4HkSYo8uxK+BUbrZxQa1lEMXWXGFwE1mLZGl2C1kq3l9a3uqb6iK78kCPUpB CIIuw9TS0opznH0UVw1szRgO/y5/x1pvAxDHH7KyaRccjMIhavCl+bbrv3LwHp22ojtuM1sbeCP2 QOoL9lKKPd+Y7AFhar22VL4gWNrmilo85/bk6Yieg5OtdHTmelv9MPByWXlDsgzaZptvVj3KZmWb 43bqXdXIFvhh/Jd9FrN52lEepux7qYN5+V2lmwQsF4tkyio/9MKx9/lOVF5rbjJNh4h5+3BV4Bhc toQRg6aOeDfuxv1kE4g1pUMZAFQD/K/MH+UF7d90Q2OViKg+HTJA504S4znFgljKU62wo90sx1Mw PIwL0fUOgfsJuNKuMkLvdgrn1DZ43R5w/zux4lL9K+hQxGxkXhA+yF1OBXRT8A0TfyfvYoUC94D3 ZcJEdU18b8iijIQVrRJQTBFEZC2ZNKlZL87caVbl8pcfmA5rr7SxkK68YSnfVuBHKYN9Flj1VGcu ao2d3hqsVkT8LjiuKBf3Y/eEqi3Uec+HlXx/OmjV8KYc55yNTA1y4PhmUo14Hr0V0933cnUaEKtw d26M1NyDLJJNXrZr0pGUHqoFhqAPJicSRN4DPOvIkOGouXC3W//AXg7dL4GvXqmiz/5+goxqnUrf I8UIIzMwWe5s4gwRWhAoxZyMY43Os4c7g2jG+6Dg68JsBsK9BmOt+N12jgHim0Z2InyRw+ML78Tv 0p/3TsXMXGokcZvAr+LKbBFO3nGi960mNYmAOARVMiMTr6LqnoK+4wtdRZC4t1nKHGz47OBoh907 7W+50fzh3Gmo/2XKu7QuCddVLOfNsyJ9y/QvCTiBm8b0BHOljK/DuLChcjN42k2ZxcAca8I05ldj qk8aJ40Pqm589UMd24P/eq5ZPvTwotUyoqTbmFhv+fjtfLf4sASz11sa6Qlcsr+Uun8W6KTQFVfE wJ5DudD+pOrrNXitlim/HmZ111/UyqBpglB3eRdrRPTSx7xvVcI5emFGsTFKTkmEKlQOThh1HQoT Dnv+84Y619JVaw0z4vCEd01iffZJneGbTLgYPXAoBVyp/7CVGzxNgEgV380JEI87hA0eAk98HeZj F3i8HCLyckFq4UWURGLGu2gGBzDlyA9GfmVEwNGzfhUFgB8DznujfoTOfBh6TiKLrW4fkNPCWHTz jG8JS/joMjstYbgWvUtKdUjIGkd3aUt9S3fEj4UiWI/zzSL02vCLR2RlYiGdHUL0zDJ2bzhdza8R CbXZwLxA8idUGTu0f/833+eA3ciCfJrZ2qD+fkk07YJcPbfEDhjfqiuUqUSe7nTYOcu6sjLon9sO /yIABo1xqJMwcIqDTM32RgTyFUZco7y+v9YgY3O9lYxIi5qBnt5Q3TFR0RRCFagLw97dvk2qgSk1 +npXtU6UEkkmFArESpbMdX87I5eIkwf0/GHr+FaUZcnyvVkoZHCPA4MnVFoJHUSmlyQtR5W+W7au 0F0Mf+IbmqlzI/u4VScJnSwtMiEr7qLtL48m0uljUgad8BYGseIWRPmxPI5VJO0ziTfQSqLv6s6y nMFc89A1jYeTIiJ6JBmjkOtJZPpLsphA7dAcRnRsFtX9iBGNxVZj2H+AAylZ0xARljyi8QxFIauM QIzp1UU4LWy66564EBaHzOogsWEcvsWvv5VETooiF9sNpY2U9UUtLSTXSgQWPYTQyYaXcxx8kx4n m9jyxz9no5athBQuPrY7rvCzCFaI0DFVAS5cgu+kHQKP+MQceRlpWPRLKTNHT9Vn4n8JT9a2cSvR beinjK9wUqviBzRrLdkJ3JN4v8QhsVxujXNvBCricguwCWmoyYnjYJWFaXkOgp+dtLU24r+m9wFI OCmIfcPRUXz3ELPOUHBxoKwPTKZxvV8fa1UlCVVwqHu4R9kA/mjTKAdmCgPnCm5sYw0t2pxqq+DG x8ffOMjtv35BKKAxd9tJKYdQ0upAstgVvTKQU6rzKK0ulisz4zhQHeizS/i2qYQiFrSg/WusnO9y FYVZOJWOyZAf4827K1Nu2oSvnVD6sA0prhMzql31sRoarzQyQIAiszoqVEauJuI7tNqcMcNlLvYb eK9cOKtbgDXoUQIMs7DsgYXN7niOeaKuGpWE1R9VxBS9gPUzdXI/O9rO74O5sGVrxHVep/bcPYr7 AJnlHpGthUznE356n4okiARHLT2V1A7GYGRpy/GnTVRIdC6i67sIO/Bg5x+MuxvIqQ76Q/qoAREl Dk9DzIRlz5s9RSynR6DO5GlE49Hngl2JMXsxhO0Hj8O2kD8/jRGxRXPZgMakcg5l+2KqYw1mGUcG ZThOQm32JCxcd37nTIjyh7Q3RT5C3jNGEDvQXVLgdokbSXJesTY9lEy5gMkA39DRDRgdqVekYIRO 6KgbISu8uhQAgLWT31AGpyOaDTw60yHMHQ/os4IQSMn4/OHiDiGTECofo7QwPFxKEUpE1mjUkVTs EuXvXkvsHmL6M7cRUTqGhmblUZzjtqyWVwMLe8VsfaNEurjV8SOer3OVslkOgM0wwU2d4a1K+Amd hHjs8BIdWcEYH+jMiY5Gs7WWp/8X281Eu/qYv6Gtag57D1BNQeqby+woWhaJExfJ0hrzKeHiENG6 p+P5Xf7jUZQ6swjRRzmd4PV96Cb3aLc8LRxiUng+ziKmhOBbfxy+vw6ZpPQIZ474NLSEloYfirNs S1c9MK53bSMt42m7ynZ0qKj/hMWViiOTmd1ZDNl7eq/5EX5LPtbXQ+jWpWX39uo4S/L6qIP4Qzbw qVKuO8G/UU51G5tTTESemGoQS+dkvJnadU0koNRzrhi017CmAilK26Cn6/2KsSPYc6zm9etzK/Bj CDM1uqdGbJhj06v2QZyEXqOJUKlY5EqA/h1Ly8KPcIo6xiVx2BINh0jte5zutOl1TxLA4EjEXl8V z3BSpqP/IGOXA8JM55HErCLdbPacB284PbyepXNqmYOuAv87mbH6Kj8tKEv8gxNdEx6ZsH6/Yy9o 2CHwMAevU2L84bZCE8Lco2RZ2DDoX8YDQ/tf7DCGzshS7InzrkPOpKF8Z8NqJTmwYI56wQ09FMz3 NrGPpJW7Ld0yGNDU2Irryb/8v2gLIj/Kzm8GU+UCWnxwBUZBzZk2xvUXjI74GH0C5H1f69y5GDAh mC4s5I1KmW+6Mt3BZqna+PvHKM0PgRGZixPgZLM8dmT+IUXKq8kuS8hPZ3VZPhLkx2F3zTENQ+5o pC8oyVBnI1jHokDcCidFYYBNcOdAo7iI7forC1hbposyR5b6Faa1HR1cK1/wvEmbfbOMEwP308C6 2XapmKZezSHZ1Ey9gaisQnW0iZL8fTl3QvNFzoVDBFpr16h2ySJeQWREJY9q9IsPkLcgGfDQ53eZ LcZo/I1i9AfN0FTrsAg/WzafmI0HAKe5Q2x+ikZkA8EZmGPbxPb96RIykP4XbkDK/FM7U0Ee8x+w r9UBzN3SPVpNgxaVINe1utAr93d0ylB8sI0UZqklwikGrV/6lPfAeB7GQfVGnTh/JlRK6OVSrcoD Bt0dCt8EBCVTL2PY2x28n8adcKsiFzpfpKGIRNEAcg/wH2w7lXUcJt0F1MK6kDN/tZDKSi/Spg97 LrV4flvCXEAdLHus9aVubgD4qfJMb0kVOjgnGSowosuju/vqY4Pi52OPOXntIp+Ilo/cmhOHpyLH BVBZBdawcO5QCNWkH9dJWUPtLshmnV7ieg+xS2k+IMojNz3yDVpDe5gnRgiH0OSfWL85WpxEM2Iw rTWhCxHNxk2RY17CLusjWACcgSlzipTUNgISaPqJuZNb17J16iq/0ccSAtFEt0N7LirYP5PabnWj /QFo5uY+75Qe9Vb/XthGqbJRM04MM/3tE1foEj5Fbyi/Qod5Oy9GMlNu6+jriIqmgysT93eCiL8Q jRX9hfkG6L6ZCv17ZhF5URHMk9rfzaB8CReTNaI8ez0BRcA1K2tGnYou80m5/QxULLCB/lW6ehJj GFbuITK0accGvX8JC32cMkx+/hVthtwHe41A/t1/hU9wYUu1/0RTmOzymDL/Az8BQO+lXXAD95ui JNhgTfiHd7KAiy31bBe3Po4bXYJUmM6/rTvUDAngz77hSp5e+vx3nGfHJWs7p3fmEXl6DhBwVB8g NWdnokDgvoswVwBQX9u5FG6n7n0lDIeRrmbkHMsPhegPXOTl9eo2Ik4x37V485A5pUtHELSgTKXU Mcla/emQf/thul5JTzMDnvxlZhvNLlDdECzfbBaCABBw9/PfucwaeHfGj7WCspjz0ha/at5njRz7 53UUue1YYs/Kk2xTXNaC2dIkILmuoA2HAegz2OanSL8lzXpHIMnp3fhsdjpgwYuJPJ4NT55yCUsV A09jw4zMMwEKBGII45yq8vRPKzHcw4MmEKm6AuHGtHxMTfSjo1JpMAUN0DfB7ce68FGhIZCoXmKK 23kqxD4RzOjA0B76TbVZBjEmRbpPQus0lal0Dy61CkRrre473mip4nn8TUX+1jjJbbYQww0xw5k5 gAuDvesry4tbZZjsq7z03LIcM0GL4/+NHLvFMQIWfQD1QxVYfv2rHsSCAKOPpPOILWmC09i1/1yh aoQ8YgTfsi/VLnfKVokBdk7n+mj+CgbzNqzeSGR4J9diQ6JAOC4C0IQppF7E75xWipaiOFEwzc2p Oe81733oJO6klEtfvi5roeO0IpwDAcy15wXlU+sbsODcOV3NWjq5iaNK/Ybx1b2v5OD8+kvV/OUa Q0caZB1vnkBxylXZmsNJ0498UkhIVMosi1oGbFhtpW5M/xmdFHEbcPQGnoJh4CmOjCbo14zAOMw7 grNevmgbImRKuy+u82VihgCWs6Sf356hrkNUNcDo4nWupB2uK6Dp8OI4QrQlG8rcR0U9CV887qUa 0A8JFGscYsVinowo2ox8DGw9X9SIjsyLaKMK5wm2bw3SWmgrKS9M2HQ6xZSyM+fUsY+7eoEewcTx WKZUHnsMgIGA20z1rZJcDvZcP1okIMpm34r5GToqso4esJh+TPsZPjLeYsVaW8dHvjH56vU7Wdll QuxvTx9yYsBAPPnXtqY8mo6ID8CUCLHLkfC/Ie1KWcBFlyD0wgeDLRmFzrZ42b7BGO/K147cg2c3 Z0BF+mxvI7Xh/YLSoIKsGbzGAfTCdG9LFycqet1KBqc/NTQ6VsXMpDBLszHFKp7jzWKPC0k95Qzz EI5fLeZV+TyuzZxCgdtRrJKpssCp854Ar5RjFD2vv4E0xYzvJwzLFeyYOnFPjGT4r0eNob1PM5KT wcvJSpFJ3+9Z5PXI17vqeFBbPlUfl03d84r3a5J5RGneoZ3EbEDaV6gUjprfTZFxg0MhO5IUPpCg xVcrvx6qSkx/7FKKA1xEhs88ar2OJhSq3ylAI51+1LeFwb2+pqBNSm1WCmEgLga/ibaCur2/+Th4 gHaRxfspAuAeKXwGwSSeD+VgpGafzvitTJt5UkhbtrSa1nd87rb3NU/xj/7CUltNdYSvI4M2TOD1 1aScmf85YTCC+sNWo1Tqst5mDajqAtb0rhAbgYfRURiu5Wz1HTIEnXaqL3yB1UzlnK/tscDERkFo HvEy13SVVIEcH+KSWCQOtecoabXmG5qgpfkTR4beLEOotC4yojnJqv4hhWm0WP74fOoMoykwdRj8 iHdlRzwc27PmRNZPOTGRDV5U844ZzE3fwf+aCOMSaUfI57B4pro7/JVCw8t0CFlzzncHlxKICvMs C/vUAjch+7Kcp8QdsiEa8ADt0FuvegNi4mfEvBeFsPHAyoam+3o3yJ75sOkkhM134BgAQyDk/7zF TXewM++8QLnuPQS9uWEia7TWMC4kKKGKOl0+8DTA8WUENo1U5dh+XkLv3KvmX3gikWq1rf0dFq4Y Yqz1Az6zLSiG8tq/So+h5/h3NNcwjA3bVQiHw63LppKcAMEOp+UmaxMTbE645WlvZSG+O2CtrORv j1vd4KKKwxD8ZHvl3jpaGjukEML01xVPb599AE+egjVeCoaxw9ugK3KAJoOTPiNHwh+IG3xsraIG ORZhYKmhRu9zSqXodQOGZTu+HmDRJ5cXKhspmUg6UgDnMOYYlfaHaHj4+kT1p5CPPKv/l35bY7gB ntMlZPxavHlOW+BfV4z4QldhP4F9NTfIvNTsYdCwap8ZVsdeLX8i2bVxx4MrZFHrmOUn2y7P4wSZ Z/h2tie9nhii/khj8l4N2WQBQ4OZliWkTfV0TI+Kv5j4jgrPtP9skEoBbbyIgkT/1ETs0kIB+Kla +1eEG3+81bN/wzn4ei4z44UFoYiWfoMCrblOtJrsy/TrTerCwWHnpKFln1+BjEIbZEfU9ynZn4Lc eCbGbwx53eqGJWEBk8Gv6IJeQq2Ev8TwTjgNJ/ijJx/GeT6PegIU9p6Tyys9Jqv0XBN6pZOVeeos J8JPWYQQEoEhhZ6vy8h2D7Pd6CEdv7BRmoDdHoq0osh6a9A8L2d1NkUaOsaQlSWM8Y/Of3imtdT5 lS2ElvFp7ZNGj+oFLmQOONseNiufB3ygo4SceNkrTHL/hFjkbDb44SuSPj6BDhb4ho0RZtT620In rWEHPki9yNiKVJx00bgVLs4DC25rp48YEP663or/wr5ZlzFys7H45ZhAcVjuvbxTrwR8GpkTrN3T +R4AtEL20GJLdpNmsnoAogyveaQ7cM6dvpKs5PzbSMmC9BjOStu3kRQVlyKJbDIndXbd5sICB1/5 1a1qgwFxjgLFqV4xNJarb/dU4x5ELOgSs9umNbm8oyy8H2utKYGTubbkj+luwDARA7jNqgSKabGT 6SfzXhu99STDfvoSFU9K72OSLfyJOZrK4PIPlUUrUTyT5C27VMRuaSyu1d2+h5sVQdZX/mX4v0TZ 7qk0yGvQFG9blhXAXlnti5CK+7PZFwoWBZZdtDIvlvjWAkzq4FRrc0uSGn+fmdI3sAl9W/hKCaFs tGHLkRjBlyuoIa+JY5OaB8FWWoxVyheAvcnTxvaTmKDumSJvpolD/NYrVPg3+tzKJ/5PNZgwfTgy e+HUq4K+5oUTJ/XRXu+zjb/mkIpVrxpcGFNV9xGJesaol0087UyJ3z+/m0r8FCDzAX75DgXCMcBS lQcqzsM+t72SSACEIdknPT6kLdCzuuY5or6avAfPMg2YeC2g2snlpVBe9SIyRuGSbY0/P5ok3pi3 f/8nPmFCX80IE2yEtlJNo7o+XgWbzBI9paZUsh2ynervjjyNtKo7BXD/KU82ubaplquVrln71Drk rb/t0U5fk/YJlgC+YKBewVS5vLMkHkubXqdD/+Ey88XucOKe50AhV03+bydXWiEEoqUhfXhEZaK3 XlajO0PvxYz/FazuVRoyOFEiR26q6bFki8JDCy8f6mlE8sOQztWztLvC2ymLn6Bsd/NZMbzHuAK8 sbOESf4Bo97SlxAxKknD085F9tAPUN3SMTs8V9ghnly+06vHqDkisBd/VyLUHBv27YthJ5gK1Sh/ YDkGhCa2d7rUFUFQZoWwWFIeFfyzKa31bjND0nnRXzBe1f94vkA3bygnoxpN/TPe6gHrt88SYVA3 /5GoWXk/dunr1M5fV/EeT5AFBI4O+Qcxy3hVOSPPyU3gceiWs2Le1i0iCyd4B0GS5aTnvnLES+Aq 2IwyGIn1vSwIxBMvCQsO4OJ6ECdrrDmmzz9QJZ03HT741jB5zwyucyqSV8SLZ0rpeqox1P+LB7U4 KFQKUerSDFYNacuMlVtwYj4TPRsfONh6yNSEuEZfOLVjNfcjhx4LymEbIKvTgvliCP0d/EQFcfSe tCjiVs8kTnHW3hA54V0w38T/Psj2hz79nKetR5p5K7cM6isi63H5iMHINuH6/8qkP4nx6aPMlCBI 8nMf2jr6PLaD1kxaTbK7I8hS2hQGQ7EShAjWCn44cRmqjtxZlglXAse5SrSDQ8CvvlJuEhi/dCHS B//SD9O33VdK+Sj1sP/LNRL8iglVTWsIMxIGnuXiJA8Xy/8tl+C1yQYpp699N2uJ7CsooQ7ZY0YJ aVP9ZwJ1WyFZQtarTvrbI5kCzIAbQCBGHWSziWtf9R3PDfi+0gUbGv6mmdLzDFQlIHpZ8+GCK3C2 zMczEMDT59uVDg5oRJdmAkUAVlZMp58/MgibHrFcnMthafAmHu2UJ6SG88gtFHai5n2QnTeiIPjO X/tai4jlcAR/FcW2O4vGDqckV2CT+N/vuTBDMhaw8bHMZOzwzfNjcIsE/0JdX2MijFSW1Yq4T5v+ Butb4MEN91wGK8AzuZnkDc2MqmCvAKslDx57ACKRivQYO9Btn33kNC9vINydzy3fclnbGOS12wzB 0fRdHVUCGc6QqIyW6zdFjbXs2jtA+eyEjLisb2yh9nymyFGeQL7sd07YwelZMa9J6KtrVm4mJisi J5Hc5zeBIlXAUKlThKpBXaWKY4EXzUT/P+oJs4ifh0DVcguT5YvhfjadoSG1WVrD/6ybNoqImvDX o0AoZTyWgCTm5QwmMXSVfsMnjr14I3SCWuMEHSQ0cQ7SSe/ZpQOyIbanWp8o0nnFMUg8rHxxuSlo 8u0mcwOGspSoSpxYdOOQjPGpLXSgdFPKJ7jd9bTYESzPYfpbo7G8zxRjkyH4i18JkF8CsSrt7dF5 1tVHgF7gOuirrQPZpb1e3ORkV0Tb0ixZEDkDyCAp/FjgA/y2GtFRXoV7/1lDB1FpC+CJbDoNUTmP HRKCFulsGyytfcVyy5I3lCFb6BIAKaJE6Lr5BAgnD0cdfasySlffHKUBDe8d2Kz6XtlvhLvk8pUa NrIybTUmC02MDKAT+sOZc1vkQ5ARbc3rxSUEakNJIfAJw3XKPn1PGSjquMXHLPpHphEk574oduzH FEQAK5SFXnvQL94KGdWs+0EoUVGgWkocKTYVgb8oKuq/Drk26ad4yQJ15xPHNN8itzRVPNAWRivq PAOxPxD8yw+C+0+iXwjekq6FkOT4pX/5rjnfvguF8IcWppvIKZ6TRjsHBZV9k9WWZQZajAyzijac eIynTV/R87SDph0OSLOeU1ZPZx4SSbBOBQZPB+9Q9JRKYbQf8RPsGpOfh+3Wae/rQ1DTtQdpqYZ3 JS+jWFQMc016WM0bta59quuXfWWIdDLhoc6wukrAArQG2e8VhtDJMCvYJO41g2g6Ek6f60RVM/8m fdMWBrd+h1LWtBva3H0/Ys+Ee/kvV18Y5by5tCHzlKcaVd4tslhWzKP8hRJfQ/kn7lIuCMTN4UdE LjXIf0Xb90RInZRtt11OnZQb7zFBfidW7bkdMrTJbXAWk0p8ePqUUx3Llbo/M46S7P7tMce7zV9s E/PPTipgkw9zicrgL6jPJDPL5jYWeX0WbOnYmEt8ASO8XD+ngc8hG6OcJO31997yFBZadFwCDQkY SaMEZvtNiutwp+g3YnxhaG84k6irpuDKaoYzqdpwAE7G6fzCP2B7x8JIg8NmDhOdmxl+7NZZBgE6 QHEO9bvn44HXsbWecfO0b9rSlrAeTuGfS6ReXfOZgBSEmBG+9CShOaGrLaDTq401nklW0JuaUPIz 0Ml4ZvAQChPrysAFqDZwUlrB+mZdMFIkFHU5uupDWXwKg0Ziss65R0A2PI7kdCpu/xPE8FoPxvUi OdExuvTLx4Dz7y7FngTp1HG/UsVvCCCSiMVdbpSHEnvCSMjhYojxcSGbEtIqTF5+j4mjOGIuKoYh iaHgwlZnQadgCtK8zOxOHFQBECtmDG/fQ7ktTnsLwXF99+3BQVHNM/4DEzqOdgvsXEyfvQX+dRDK PKXeLkYTnbgeDQslnJNmtC6YHX/klzleHB/JWe20b5zET88QOmqGNwHRECi0v4HKXdfNK7kmtkuG v6kPeL3M9xTyMDs1VmkrH0Tc7sgC/4OsvhygAPFt/P8mC2KYtkELnI6+6YZrplkwkHWbGXSavzNw zPjtquC3YeO5Y7Ux+CtWliFdTw9RLBOodHFhgwnoSGT8jNw303ahGP8V8pwqL1P1HEGB6NJcwzKE uwm8HPGbpdNSVNSZ0iInkR/3d48JB2dsdXZNnkgQLJi0uSt8xijmRVdQZdJMd16D9ofYH0q90bzd WeM2IaPT9gXveXrh7pIhAykki3F5ykgoOGdDBmE+3DSAvYBQY4BXK/ST6Vkr8JRimbvnscHkCAlt XkH+JQeBYVoZUpSEkP2YVcANY/ZZhLvE5N0bquk1ZC4zu/vIPdypQLSaYGAzkDvsXqQkFy+bFUkO 5UAl+V9tfVAXVf/m53fsZ6XUNmn2jteMOhftD9TyUN2svjxAEiNpVGEIZkcmWETM+PaH9TjRn08p cX/ko1jwl3HZ3NCEoQd+6ux7ZvceSYvTjt2TapE7XWCPt6Godh1aE+Z0Lypsb4ttYLfyBt+3W7Fj i1Zt3iwH6JI69RrmHLvHfX1Eb7IlNE3ebtXCP4gv2l4QLFjuWOrmvnVax1rElPwISGtcBiTJslib WzDyQcti9eAkJXgzp5K4SOsEcleFjoMfPBpvE9uWk1JzQ6SRfFwZm18N2yRVBt/w/jYLRK+OZZgc E5RLPKAjUl6mqGAbpE4WTksx7twQTOFrrE2KGtGJp5l6Bb5iVK9Kh0M62sFlscwEQymOWaRH83Rq ewy92NYFdWti9AwLJXCmIEDW+ICfPyWxMNeQ+lWgWX3Q0NkKl8MfJgI2DwEZof3KzIZqMgh7jxZR vBTAmfDwKIwMPfo1TW4ozqSvkA0Kr46ThwnGioQb8OsWMLf3xBbKGUjoBjOS7zMjJrBvZcznQG9z 0v3pWISJbd1lmtxtuXcMIxescZyZwgVochY+s4T0vfTyP8Fr9/kSL/Vkve2bwLuLSieWbWliNzGS HnYo+O+Cx8+on1CCdrX7+Lm9Cs6CXbMY+fFExE1ZDFUVjgmpGVr/yhet+7tY0pRyHhzUaVZn7bmC cVb0QqhSRvHyo72Y/gTgCLZ9ME7eT25R3l7oZAp2mNajsspoWzdzwzV6ez+eVnIw52mCysiBLdQs ebCL5VlLW2fRgog5/Qm9mdN5nm+h2RJ686/q5t9R3hhCfyz2ThjruWCoumAp5oVfMsTMmYsB0J5c TpTOEpMdgLW0tNHeuyrMx7NCXGRf8vsiLR4phx6jh6+FcZHzmOQTjQTuWkgJjFyGjQjGdqRMxJnL 9a88vWLGNWTI5e7IibfPQYEkv5R2TJBoChmOhUDGuQoPwQG0gMbnaVSXQukYPykxjWQSAgTaTYBJ zexYpgnq5tadbAV66fs4wExAT9sQcIXzf5yXMH0uNIM+EIV3j/Js2WyIeNxw9sSM1OKqfX+seCjt w4GTKJPWEkDK/XWzEmDsBmEttKF/1xPjiFjnpKI3p4i7IqLGdCKokUPyhOC1Ab63SrTvuDAstZ4f 9OxgFZJUgTvWtScEhVaAdB1d4NKOEtlpJ0EmFR/24CLzpkp/OqI8jLIf3oUIy70SNPvrxz6Hy8g/ aCPmt1KiO7CBFe2dwXFvV7oNKQ2MZPEyQOah2wapfMA4x4dX3LAwL4ew9zklSf6XP8g5BQDlSdKJ XGxk0E4S/ofNGBS7euRmWzCsMAKaqohwO1Y+LVhqAn47pzAyobKI1Cnu9sdtaZZzl46xt2TMG1Sq fYjl9dAIX0XOC3C5pCrDiKtAz8zSaLDSeUCS48mY4y4Qk15sGOzH2Z0DkBJx5h1+Ykdqx85AUdXp Z7iI6VgZpnfx1SK607Ti6iwiina4Uy08xlYtrzdg+Cp51vlW3t8/gHFZ9u+FUJenpcHM7ueimlyg UiUsUkIXXX9g3mxseLHQV2EP1O2pbKzGuq1/QGZ5Ze0mQbstRInffSaw9v+cTyM+hXyn7zij4x2j pCl3+qJD1XNr5AG+y6b16wOMWWedUWhCO1jGMahrXHxSzh+Vy1ElQnMiBTmsXXA4rM4qXnATLL6F ranbwurwpq0hYEflKruWGAl4Tvk+DgVJmPsqJto5/HFUCP0EgSfpFBskEz3tmfyb0UhF7RD19arR RdmKUehHVTpEbXAeqYZKIrdqoPr+9vSWggIFb5rzE6xrA+if1zXPWxv64KXp5ymlxrLtZMJt22e4 rR4XEXCBeAhfUzfNBWZJF/COGn7ZfvE2wzG9SZMtmpbBhsmvbwOJBFi+2suU/aWpqpYB9X3XSuBL rd1uqz6OY92ZAdbceIBpSlVg7MS+OyQdSLFZhTNnJ7BvYFLZhitFTDapsdbHjO5oLLyEEqJM6rDz Lv/Sq+kzXJ5byEDUFFXzn3j0ADQCve6dWg/NW4/x0GxJcHrSTrMkHZIT03JM0+UUM2UB0apflUtq AmjTFDRkkkN7NX9u3G4Z2NQLcX/crUCY2LBGeJk2/FXgw33TMckO7XXfIUicOufagmK64ErKPlrk DRXn4aUh9AJIUiN51pcwXjMVv3VUXMWwmr1fdiS5gBEWFDQASgrvh5GB1N2SK7tDZBbW9Hr82S61 0OU9+kEOE4+anmSo8rV7EvDCz1OeESy5hQUpXkylSnHlA2chxhR4Q25Gx/PIomTU25lKCasX2Cb8 vNSeeTAi0y26ru25unhffLpy0HlNtdo/IfUraYEfQm8cuKf6n1z3Vntn9gFr9sYzhx5y8l9O9tu+ twpxxKWIdJZoEAmwte2D1DVx/blQZFBuIOvSW334fR2/qXy4i1bGNXEpPPZJCnF1vamYi7ITq4Jd uWXz9v9T56nBG2weEq7PbVDxBaoYy/STgIiNN5LnMiBSSlpPDrcg0t8t6GUeEragZKVRQLtd4qni 6ywbfW34FJ9SF2tKC/VVyxbtmZGzVSuVnm010YqFp8O3d0MMLoSHCquyW37YeojgToARrfc6Iw2a 9oFGdLxGGFbbdoiSo7P5RQdTRDQRw6WCETOIkrYNG+RjOO+KMjbZ7uQk8Xp5Wlg12YLP3NCKD568 bNuAZcDY1fbvd0+9Lf4Mn0otYpt+QO0rjfUO8tHThRvZh5978c6hx3BQW7JhLOUQ6VMtq/SBix48 POyf1Lw8FLShrDkbV7qTfoMcPlwjj5n4UmbQKg5kBQLTvhcHKz2OZpQjZC3tF8d6c/ol9rMYD6sX TBEfrxnQBu/ON7/a5X8EV0ExMzQE3Icx+5DKhP/ySTV3YPnguxgBCMXD+KcI5dlEED0YLSyGF6SS KeG88TFuphEgljyogC6HXdjZGQcyPolyP82x70zKLjMvRexUeSe1zYqeAO4RXrX7PrV4BLyr10Qr 1gHl7480qk0Z89xYrFDr9Fp+xIuCKA6//quRqQhbTPN2bB3ZrZNbb0kJ21MX3c5MT8GdbGDjMbCh lke7cY0M4R8sxua05+Blkvruz6ObPT6xs+lmBo9OnIOsF1uLIc4nBTnqubpPZD/k9m8LqDOVQrYt yxdBTAd25zX/6uch7HobAyf5jbZ1/J0HpVq0FplgM1Lg4R6BE5pZpAHx90lpU1fE6YTgU9nKI+ui tMLCMccsMtU+gcBTawfBj5i0fDfC0NFf20XY+/b4crwxjNmpOrOnYuyJtJTe1u26gYl2Vt0NmD3y sJa6TB10rmXNmhCewU0SdBJ0iWJ8n9VtaE+1oN2wt8gpYUzNoWd897ujLzHVgxrVryTTH+vcY5tJ P0iMtT7dKSeXqOhvBWPHyn0hA8+ZmFsdAidSeReR+vBQAH5rDYUwMj3C0otA4NhKF9GZQ7eRYRKF a5FVdXwiIOrKiEMt2tpPg2YnW68QXAFuXjyZNDqnSI6BGD6x7+lO4zrOiu1OSRwlvgq1PNmqOwAe NHYSzFoq/GTRB9WAxZnQRuTdAOSoRpbc+3OOXjpvEMVrp5YkyIqFrPSmQc8ME8zSYE/t5lQPFp7B ZuA2rAU0Fj5wP0b4i4BzzMKcTUXAiR6Hccf7uu8AFilmpmFVCzjsAhqL+vYSXfHqMLYi8KkA23Hn GHuA9f/ExRfX0MOGUjxybf/3IztJWauMPHLd/G/sFKHqj5QdBWmEmhLaiKIy19LUXOvueBRzDmQR Rop04griSiCZF3QKXSYvQ8vSY6Bx6T905B+gx7Oc1nubXYWEm9J9W7G7Q1ia0laSRFydeLdFV0pW mUHfetgCziW4DY0yiRVm7tVefpOxH9533AEN3A933ApyG0BUHK2eruGfCimbaFmsgr46YIf4wB9j VFBaISg/7q57zUXSv4u0nkOnRGgbF9t+UtY0bSnj8lpTiDsOtRkH3Bivs7ActwnMvHkrSxylLaNW M8cX6sifI/Ba3jwAl9l79dXx/qhYNvB4XekdFR+sko4GjPxwVdi+eKndbdgNWqwaTGjVi39FXlE6 lbjbL69+xyzIM4R9EBTtFsxhD7m1ffW5MScbX85TFbbasbvjISvHHRBTFbhIJqK+oefsBkS2sp6t bj1YnhuN5NmQtGg9qg4ajTT6A3+JPxkP2MjYqawSzqqxivqkUuEDkqj6LtAgoWqpKl2y94bggs5m cXFtjUH9cAIzoV98zvUlD3qGRqnLc0K6Tp4hUNkjqkDYZPzvvA+P7hHLQkzcpJpbcLnHWM6ero+/ igudOGJX9KAQ3BmWz+FYgZEXpWj+CLWLVdrpl/aNtOeChHxd1yPxSwB0xxF3vXH+aF8v4guc7tpy 0gi6BFxSr+P8ftzB3GpZVS9jVbQ3oOtRuqn+Pl+TgcLXEVfdjq8PFsBFqsnTbFK5l8l2MToYWfIB uQF2k+F0A0KzM5/tAZLgfbcMlcytTqJI4yqnCRQ8c84xuK/zcVgX+w7CLzZGqCJ3DdqJTW3MccN+ Ice3IpdZSBR0zUnhUOLSAJYpsOpptHGbQ62QzGkKhfVJAfffJI4MbS+p4rEY6YJgc0d9DJ0c8P/O 9z94/Ti73kCLMn5DSs/NrNDiDTa01SrjGqpdJScwT7GS8wobn8Fcu0Fc6v5rDxGRBB05xpBmX+Yv lVqsebN09in4yO7Elv5vfl3Z9P5GmRG8fLiXGmqbathDoyeSwp5CgPOb+If8ZL+OeedmPK9LS2fD e7dUBpWHvwUmySRUGhOlaAgBUcUy7z+U93isdpH4wOLzlQdFpjd6e7EeB4pPzUs0SkYeUrMXuMeB 7FEx8ZzfuEUHnV05wrE6eJMWIFlhBWppk2U6JgZlxlVPAWQ7ERPHrXtdfZyzcyf7AlKMl2wDLU/y 33R3k7wtjYlh3gMFKr508j4prilz+ffqL667AnnbFvKXheauL4s5xZ7tOOcoB7uZ+t8D8QGZhciN ng3Kf1f8X6s5AZ+s7oZv9FOQSNnVXDUQUWeYnt99qeNZN8Kd/epPZGwX/WJarLjlJc1Jzbz9RWM2 8sovEqDfI6PfCCtgLuKU+lPIBKYZV8ippeR0RxSJxFptvJhe7KHdP/tNaLH0dV80KzS/zmn8Mvvx aAO3hrCopAXrxiZxMkcpCmLUlR+siBMvX/dkOVi6YYPin7OrZESfZvUSQNIzwRki9KMPm9te/+wD H6CIM4TpF2BYMIMg3zF12F1K3UJ0tlVZlCQ3ke3A8SnFozD510s8BZDZz5bVXqsAbj23YksnO11v OrJaqIKps6an1P48ToDubcD7Eatx2qBCjGZRo8E0R0QtyghgEXijylZ/DxBX1yR2hqdOFCdrI1Pt JWl5W31RiMbpidXuuPUn+QawnsbQo/6y0ieNEQ7ci+ZSUsf3r1SvY4oLQvxrzNcPMl3HQK4/8btI Zv5NLgu+FQ+67WX3J39Dfwniyacr2mAkMbU7HwZfnQm3t1a+hx982XcTLfj01OzGBNmR9WqmRfgE 4TyXx/f/jm7x1Yowz0OaBSLEM2AjAeAiHjygJ9XjNoFS+/eTD7PWYFVmz78RreyDn3JtbcEbJNgX LQ3OnVlDZfSlVFCUY7KLWXrtKU6au4tfgTDHwTeriOFhmhZfm2vaOFjTTGxdrMP9syUaAL8oZKO5 zFaFzqP28Qbc2Aq0jGL5YEdNiJByZbAFIUKKmF48lU0IPchcKp0qHWdmFgX9OCGbn7/5y5oXIPK6 7FhrGWKJ8CYiKhqaZ1L61rtQxpjBvXXXF08Mci+m/g7qYXtmvlHS+lncTXvTata77el9QU4hMGHc kg0uVwMLw0+im+/Kj8KdsebIrKtffEFT15sVydTsDXJstuwcCw1hQz07H2UGslKJklAU/AHhNyyr 0gsC87KMiAph6EmlV6kVsfRrt8cQxUdP2Yk2I07B0tR5DGUXFJIUDEFN/cCY16mDLDXy/UbTNsTB flnL/gnyMaWlMd/WfWOPjtxEvAADCLh5xR/4aR7Szopa3Ksko/esubRUE5clGc5tMTxgUCA1tAFm 7R0Koo+XtojiFj04mAgu+FnuQQg70X7qpP5iQGFDeYa0OrK3pWIHZhA0AyoKb0S8P/61Vj9KAcBB 5PgLhJk0ktV5sgE/yJfegW9N2J+NwM0OdNF/YX5r2/rNst0XWxvv9AIWINUsi39F7OXGSQnqGOZ9 lkk7bBLnEk3SQTg8JndWTHhJ4Ile3uEl4z2cqRAf3CbLIk4gKi0W3aVPkidt364Am0WuTipfY+L/ /xQjVAe+cd3TqO4YH84/asGJRsl7LTIx7hINo6Lk6B1NT5HSxbqcWvzRHWnQeaUNlSFrWRRiLul9 XrebA37rj1RNgdd5Z6RiDcZ5uyqfkvUdLMUzhvt+b24Sanyq4X8sDwV+6mlm3Ba7qpcGN5oUOhX3 krJJx5sMLm9hpYX6SdhZvSZWgFasU+TkGeWS1GMJOW4b78o1fQMjaX7A0LqyOHEXKOA0ZqFzqLRz Se0eg+Yb6W6OkGZWWC5Es/Ne0yidqbzJWRiLDpjPk55GwVnVuBp31Yqou988GjkoEiMT0lftpSEJ MPPzc9VNl54taeQ2OCp58fB5qYyPH0tU7xeDs1y2+6eKCdKyxKsQU7ML6Ubl5Wpa6/nyb/6mTioT jWseIa0UcxoYfbfpKV11OYh//YPOU89SOMsIwTLsfjnR1EySt+98EO7BILAvo0bMT0iKo+jn+d7P GNTLqSip+yRIXIKhpcxwtIGaxtoADD+/9iisq6Qg0XmPOyL9Tk23RlbktswigWKfKwHGPDghgEhe rXa+ruQWTi3qK/4eG6nZSdlb5NLConv6miWv4+7kySsFwq6CSR+kCPQ1XkJOn1EsedVN7qZ+ignz S7PtTMkWFEj2qmSbOMeF3E0eFFOSwExw6ccHZhpbAzJ1OEs0extcV03UQAWKA6chv3WaJVno7CiT A9MlmtamMg364WmDjaY1Q7ZMuPPxiKbocn0u6dtLWUCimISRE+rKlcAdOQEpX88UBByCmOXXeD0D cDSfYpH5zCCGakgNnQ2e4apEmzE8Y7FowdJ25gLjg+KXrkknyxFybjMo5iPLMHVqp9J7+SSm0Qtk D4ygeoonOJ5EOLawJOOrOkP6qord0NewR1e1q4y4ZKW404IF8wyVAMu1+9Ohszko5bsjDCfWQr87 wUiadrcoi1kqS6UKw/9RzCMxs/wfuffu+kN4jY6k7KYFCY5eWRu6ssyqaZz69sFycWyIp1BLOpaD DrgGOjd0ROpfusfzHGRAFPrpeWktMdWI4MKPDrW6N9ZzAFFV31xfLJUBvkoSXNqDTjyAd1xUk9PQ tIORcSP/TYeIFHDhKsfuXq5Phr4Bi3xI+2386K4rdZrhSpNMxDKE5Raev1Efi6pezv/c3hmITz0f fdJ5AKgOIClwPqrGPqK5vYt6vO1Qy0oF6nAdGDfYMsoqIW4x8thqHEWk6LGEr+IKHXwXQb/N895K xIOR3aep8xhrSZzRzrphPznlFdsQWiokt8vQro5udzRfw4A+DoOQu59lmr98SEjRGP24KHLMVyai zLIkTyjJZw5G1a18Wcx/tJD9k0ernuGmiskG/yiEiTES+jc3T9kg+7ZD5iJtqIblDdacNa0CN/4o IjAjY9fQr1xqpLQ5uPjVZHrcZRKXt/EkBWssF2d8cxChZS/o81QJwi5Hi4hV5uaP526E0xnoidBV ikq+e8A+sKYqH1F9X5bpQfqDjgYB2JDv7jiJAzQ6g5fI02wyzrMcDqNvkxS7+RLlgkcTtfGiBRKh iXRdUWbeIXi7rKM6FDnqceOKiqepzZAUvXNZTY7n6oCdPDcAccklkfMc9nlk8mSckcjjGLNGB2Ts IEv6V7RhYj9nSgAOwRi3iGCI8B2C7Y7AzzUP3l2ymCHp6kAYRy8/6wQKTcts5YLNUt0nL5E/ZB2g 7DOBQjfvFicvP8COsFpGkSRlQSUU5npRi2b583lB2e0ujWLG6VIcanokg/K12aNEPjLIy7WWd1w0 NEpKyPK1Zrynq0N8844JCc52sLzXYsXXU6Qz0Eg+yMTx4dwUFlevl5i2lV1LAT24Y6DhYENPcQD+ 1YWubtgGskFJx8tm6Xk6ZrEESI+tOqc98e4oLYBEv/Nncok5UVhPUpJvUfDTdP6w3vJ1WzOnvqf3 idHr6agv7GHKp5TRfFvDHmXfWzXUw0KEz2oZR9n8Is+TwiMJlmxSLMS2eSMxbVw+jeoz+FXWRitI Rz++PtaXPj/vkWyVdm9nnxRdg0oZO/KIlp9cxAhQFoKUpqpq0qPkimYJVjvSttfVRSLFJb/FmT8A AeyBvyjLFmKCyaLVDpkqKuJEE7Do+JPiOBsPaCtwKRz+HvH1ils68r5cw4X7UCRS++3jlACvTD95 xabcqemBM1xPXRJUTslVprh6cPlFgD+jIDnb7d3n+JE88xH9exSPYcSOpTEexEiUvBp4WEz+1cAG 6e9WXismIt7X6yfYG6it+frzGoF7joXVYOQGibE8eID/86u3j82rOnPFxGXoh/SKB3pyHKNciWDG /I+W0HJ7UzdtuRKGa+JIedFq9ERzsE15TOrZ8sOm4Mho4qAHYq7LNrFlAXwn83V1VnyMmENIFKuD Uq9djGkTCxc4maZK7A/7I4aGbOVT/4DtdpZzgrUJ7eEeUOcLr4mx30QS4EVxxfoY4yRw/sXKhrjC /32cLCWVA0g0WksMaFpKcDzyKbiVjzaCBf5+cV2rJq9jJAOaJwHElWYLjwPH5Foz8lGM3kV08LC7 IclmGkBkKQV2u8IgDsYzyTb0Ia4Ozbf/WkH5QuIUJg6XXAvtRPNnvnnRytfRlQTTVSUHQXlRsMje V3wgGahyenvFx828+3DfiUG2RyijaQCWMqXcNea9JDW6HLhwFov2+LkhTn/sco6OR58xrYgANGHM X7uelvHr5495ZPvfjpagOQE1MQiJTPhvqWUw6E30T9syg/xLxZ6cCPvX49uRmGtY93aQ7VSMSwfE J7y/tjpj0VVLTn7KoChUXv/CbQlB1CAsv8wpy28r4EgbWP4M1HKqxzCqpwiyx4//BrjmyS563dKG 5s5hIw1o6OJBfoyOxAyQkxDBflEPwiXZ0SSD+xMc/g1T7pppWqP56xYaD4nYwDs9aBQ9sKxPmtlW tWhnCClyTl3GbTbLxUDRwKrpaLg2wCx8fzzqfViJGhpPDKkDal75WzBjHc9C72bsPLrEnAudd/H5 R7ESAjsEulqDaZNS163vozEF4Rl7zYSYv+DryfJ3n94LTwJ4LUYrbZ0RFGW1hZewS/8NN0I0gOeN x1mViG/eaPEd1OWyx2u4YsGQ3GZDfhZNC/NtKmGv+Jh/sHuVF7XM9a/9+NeT+EB71YyalgOQB+qO Jfwf5Bcw+qSFpsa8etvzCEwvDkWW6GVlWBSsb0BhQ1ssLwDQuxVbHLOcyskGNyDIxqYul2OupdqZ jPDL9mJLzN3FoYGo08wbp2oytg62vNEytdw878UtdGhMexYjFinI+4faWqwroo0m4SPiqHGo12KL X1zCEKBseryNdl69ONaSBVuuzefthzVH/moE9IcYJ06DgUqDPBihdYN4PBa49QuhQvxJ0n05etx6 QJ28q8lmStr7sUwqpYmVqC/dXIS4Bgn3bEdkxryR/eqAeBb6XtPjPXnzn+zq8+/Wm1IiFWX8guvZ CK8MDr2GUAUhBEHtAcTzW9/5xRu5xj3fueTQRz7c83Xinphzw7jPBAaj4MrCD2UNU3H2pEE+qYtM 7pETLp8hn6g9AWe/ajMEtAtcqx1vncuE9V7XfGc056CUnYDljx1vpmPo4zux93n+FLiz30++N39U LVJlhEFu7oEBCs0qbqz/hUJxncyekScpiN3YFlL0XACKMPtJJGdXc+dZzr5kBVwXRpyYKsVJixcU VLDGS2yKyi5ci40BRhYAZHZpGEU4w0HG6+eD8imXXg1A/ki+tzh3TGvwuj2F90Uqt8pNd6jWD3wu /RJ/4tPMe297daRti7eq0oJBxkRn5CyPySGopiVag0P+U8w7qN3zx5ozTWYK0Bk0W8T4wZrDNLmN j/3YO24YFbkuGyYxjMwvAHbWbVkc7gPplaBnGXdqB0Qf+jYNK7JO+xNhi61zXgVeOdOgQGt+foqK r+hr8eShR9YvUo1E+BgH0Yk5wc+BSdQbHYUm4/bVvwyA/GGEYDwuehYjNtpF9NtURg71dwbBUHo6 mCbpODKg8NXhFzd19nec9AHHwlyHu6tZUbRuPgGM1TVP4/ocO8v631b/HHlWX7D7OFdfw2IQwdpY ADH1MswCUHPzpNR7tU3SaEF7fisCYn97IeiIyQD0WoqGCNgHW/ZSfbqOPOl+VuXO4ducfOe79UPI h75kF8eyAPwCf7uIBX8QkZpfmk6tTOZp4QfzPEag68y3V8vujTIFjbKu9NUSwhJ8CpcIiDPI5o0q 8oNX/YBDTFbjyl915r5kqTL01aPHXW5uzWGJkeBzXmbyjNzsOFaL4XfjAvga2b8kbS1cT29C1P3m 2nFqRw/1Y7O29s6xrTCpxZC0tzGPAhbDYhby0biswB4lNwvZS563UKy/4NMle/64AQJP0IeDF1KJ jLNIcCRD+zlwQxeQQQEujCTT/eX3cO7/yqwc2XAnYheKOtnJoS9EeOp1Z5DD7O9gU52BPZNKwcvd FYB5IPZ1fYDQ2R4MeyRSqcQ7wkP/mQtKBnKP8wTHGOWuWppDa084MRZ5hrStyzwz/37NZ66XVd+C Zhgv1eH80NGYGpodrj1KAnrH9Mwv+s9sYF1Vi4Xwn1pLE/+U6j2d05/kFrmwLOap+dzsZoGh38mI bpjKfjPvcjLTKMv++FrAVHBz1qOLXNT+/f2ehI7J8TkxNmygYSA4jzLVKBrFfUAMGIzyJIeFv0hL MokBcu+gy75kHkYNehAEh06FdBChfgABlYYM5CCvEek2zaQD8W5rJCgciqBxNJHlTdAOb2Eihpvr jQFC6lkpzjcZh1jgHKkKjajPUvfeyHnHSKc1sPEBa03HIUwp1qK59VFpwbyBtroNJpUOoT3BpxLw fN5IiOoZvXIJn4JNXG6SK6kikeaE6aPvHhAxo2Fzf3NiilBhiq6sGee2fTSDMXmzFHDsLbIiEOKz /F6LFQ/4CCPzGCCVPpwqk3DfGKS5v6SpFVxNG6VlBcBbE84yV8BHgZNJeSr+y/3wsIQHD1H7hIZl PgEijwVYhZIh+c7/Eg94wMoFTdF6HOJbqSoXcEqW0BpB/YTQaeL84GXJZksAKjVVlMVgBtYZeCcH jOoKoJVcb/qVmsUYWdD2leoiebyyd4ZqFKfHkrbM6kP8B9otLX7U2hkdq4xdQAbf4QMke0x0iZIN INRjIwc/UQD4QuuxjGXhlTRQ20HoS1CVBA63g3lmvbsA9YwEAEakp2h9i6Pv4X4W4XTRY1ENZSHw d81nJCbRWT+sUg+zIEOwcS8fisu9uVArvubHxj8hj8YmOYcYnomCtMDc5Kw9exAM7Q0puN+I++9v JsaEXVNHkgACuS73XV1Sp0aJirhsljre7PM6YXaA0QmFt/v+TZgFEaW2gyOp1iAFbWy+2+AtLX7Y hCPmnE9esYIj85BhJMQkYn4pi+7qXCzmr8C8dD4IewRhRiLR5Q4NOOIu/ht2vnudJx/UA4oeaafl gk+nbmVhBd0nFATuYQd9hqkr+geoLEBJdb7g3b2abfoaWEuDpWDGzriuNevb3/ZDq7UzqSWeipXW wPjJtStfjnhC7jgxZk4m/EU1Tz5Dg1DILr29x0cIWeBa3+9Xeq8IKs+4gzPUwWGh0N7G5fF/YCIB UDdSjuD2iJEpbm+Px93LEXAA0N6+QT2mbB4UCeC/RQCBXljv/aKOLV/wrS96QureOv229Sy07arS 62ssg4xNSjLa8cMr3pyO8EmIgc4O3NXMwb86DO79bX8aEEZjQsrbga1oHvbeo8jkBkX85i1TQ6mb A7GOV9gW+v5Rvdo0j20Hl6ZD74gJD5K6yDZkXL8mg0EL3YIfmu9Ra3YDCa58oqDfrXNuWTiFtQmD 6Wpy73xIXHIleF5c+081dU5P0hdYigShtwGWSou6tfgIaxUkugAKVVAyNmSohSCOflidWxd8DCsT qmGszFi8iut3DuWSNulT4vJrGj92OUQ5ZuMLDItj5l9Tj1J1JChuD5J/kwWQxtv4AaFyGiReJVU7 NY8A4XncFU3u63jBOqIjlntTZO8bpUEg+8FkeIS84KJ2K+kwjaHz64lTlEFg0US8XTi1CSWTDSec WRePbykwgWsjwFY8CPYcBPx7EoSYjV1tYEddoUhtwgbmOV3E3A9Byr4P646QziHvFfEy7BWHqu0E 4lXasfwDAdx2r117B8cdTtRVpxI/e5OTakY5DomwaXLBudaiyo4bY+st5z+8cWjIGY/IDiJxb3Zc HWShipNCBsg2baK8mYDMuxiaRShDn7lXxeLicFRmBn+kH3wGMdAAtN9+3LLwoOtQKuMkwXZUfTgB 2KYR9BMVDicAGqEByyzedvSY9YBugELI0Z4yihfVM228PVsTXctJLtv2r5CbbEUt3GnU8st48PHm 3HGJ27LNP1kofJ1+37iqO7aphf2ctlE2TmIqGiSBO+3ZeLBhj5macQr1Yp7KpJ81+sUUgmR2+SgF joJdBgeqUIPvyz3RgQSmVO13ElrIRMvEX2S/8oa1dPlGBv7Hnt9jynC3BYYFCcOQ4IIdSgdfMUz9 dIsnpyZU0ZTCKRRU/Qom+QAiloqMOw/Abt2bxx3libAX1Yo63D8k42N9zWn0k5fHZ4uE3Q1ueX7f 8ggc8ycYLTnWOwktnYB8Lomto9Ddf9CUv//xG6uh6iy92h1bZfdrPpxpXRjUiblvA+9RIHsOT72Q nz1ju/K2NpIXHhTQ/u7DWjcni7XXJqw2qdyuBPVgcOURcWqBGLNVWJlq3MRViuWZYrC+TBL+RHG4 OpKxEJ88NIkw3UZBZzuWZYbIjixoYNM1UVjyUB8sCbeiws4V6URbV8HmfBWLlvi253gES92WL2LP V474UEuymS3dikLm5Z/zeEAr2sZE+Kt6/RCAx+NmMrSA2g1DVTQeR5dJX7z3Rlunxha2C7msBdO5 hYlZtwlW4HF6TT67RzWHk5NtKVoSODOahQ/N7DXFVnIqDtLf83o+OIC7NIWGwKTGHop2ysOnN3YA c0ZlXcZr7Ae92iKxHFXDEYpZklzH3/UG7RSeThYbnwxapD6ttXcDiHTMgCC1NDBp/FlMkbL3tULg +jWFm+hpJaiXVovFGAA/+HDsxqDgMeV0tWF6cK+ch6Px7JTx2yH4ovNOH4acOP+3ATwgmloJktCT cj4VmsuoAU6bzlHKUZQQqUI0xV63vBbhkxuAMhj1GjExCFWiAen6S+hDyYSV/W7e+sas/FayGkWG ElO59HEq9HvWvFjyT9QvRSfdLpq4o2kJLxtH4AqG8BbolX5hLo5DZ2tculKGerRjbPu110JEbu33 SjK7A7kTgDCchadY225gP2P/BJa8XwS769dlGfadczTGUGTuGahirQ4h73liAHLLOY8kC9+E5yK/ BFOn0uo3jzzm5OE2owxExm7uwFkedgH/zv/+6yQqxbxm9+/YsnyHXwvYCs9OuSLe7T18vhzH+Ywl tNzmzp0kQm04nDhb0WwOKN3BmjcpuNHgVs1Wf5VoF9iycZE2akGFS5+cjsu7alopdF0CxSbNl9Wl +LCWCg282PbBBjj3ICkptlx8MIXxOzPleE9iiV8rLfs2prVZX1ZrsevfJd5AJXgeLjCsFWRQNV/d ixHVG9MN3HNrzOCCGQEBsuJ70xMll+EEqK4nZpy//abBG/ICiG6vRFKgu8lANF2xeaZZT5ItxWAa TP7XReacCT5qfVjLnXtuEoAh+KjFMGtphoRg5o9uU67yXwwAya4rlnCb28VbQSmseUgTC35DWKdM Y0VN/GCBjuhjsybB6qp/Vl2zCnGw4+qvPNU7uHuBnKkZWH7KA3QdiFWeMZH639VYn9QLWSNs2u9i I5kuuWQUnkvMVZ83L5wEDjC2c6fiCvCcxU8YUICrlJrCym0GvGbHlNFkVVBbp5uXpaxPtMQxnn67 N2ZuNUeoA1GZ2YMXYVLw6lH1F4TxswacwyuIgGEtpEzUZG6w3/6r5Q5mMRgQ912DMwAkvPrlVKmL rW7YHQqpWQL7oBpfwP0+OJx9syoLsygdh9Cb5dAwdQgd7LX/xydH3rE2f44M+LL2gQNdtevy5jxG 5jhtxYb/YR5LLbTrGUUY4uF7UCASGSZcsq/W0FVhLEdR7qlry2BnNWhmxoD/y9QX+IvcCedgSNuE l3giaqCCs3Ukn2+UpRY+3r0GVpU/PuwusjdoSZl/tJQ+XEs43mqlWC+CDos3uOf3AJ2mWARWUD3r TxQ2ifdtZatWOzCCPS/PFVl5snVquuXLPla7IwcSS7LWe72wgNbh5z2pkBdMYkFhlmBq4Hy8c0zC apXzLf4QAn2U1W/kCwiIbYKqJF6pV/axf26s+SfHJVAIGu/q0Al2Iy5SnsmmCJjBHT7/QyZAKNOX t1JUFYqVUUmcvD8y2PFtAFtNMpNYtza88ZJCO9crjKwGs0pvsnSz5UnmFDDD+aeFntwuDA3JTUjy 1K2f0yRlCpIEGFcKwVyNo3m964xZoh9kR0qfF47zmA9nhvu8i7ERDdbmFL4EHBasvXj1yX9CiOgV JVfMtAN6FSa5qMuVWLyDJbg0plVUuri5gzl0KxUoGmP6ZYjnxJXFbeNZZGqwjadue8PB61t7mCzM F4cEQxRkJAZOggYnA5j6kPgGpH4q0sNrTgzUaZ0OdvLL55XkrjCVsqOVHqoVTqdNFjNIMh7EtG3b XAlOJMIXDuugNarTFvT2XNhbldkCZMAsjvSdPJdw1Dam4NJSVgNmOmq1Jcr3yVI3KJFc0IjCPgen 4G4pi7bKGb1z5iJeVzE1RS3OqXh8cur0mN1dI9pyFTrnGMMKNVsQfaSkfCqEOWBmf0b8k7Ty/NZD mIvoZek0KHO9QPa7b08Bh/k6EW1N+5nj5jO6q9JterYApB68IUN2aatpHxhLQBPZxa3svxbw/zjT yo7yTaOD6MWsk77yAUUR4AkxC0ic7J3Ma6NwsAdBNVODbd3EQr0N8j2tQBrOMvOW5n8t1gsqUj7S H6eFm//Zps7OeJffum15aj+oO1gY6cWXSoq6KTONeRyuCt4ID4slrOIE7Qoi27XwOvbQ/zY8DDLo ItLerTlEwCvOrUy0W5t52JzT62eRejk1kVdO2zmO/RcCxvp7yvZRRjBdgpezZ9ZXFxf2K4G50Nz/ 6u2GKhX2jRceW29igkGuA8iOgPPHws8aPFzd22hHVAV9A/vAX3Jy8uIdTtKpFQKXw6HsFSnKzwLN ox7Qb/sxda+zXHcvnnhHBgcKbnNguMiDnfbl2Dx9y1x25JNrZ1jSyin7uV+v2pRekkdntLVqYQxU 1keU5Gqw7+b1z16qPLCeVgGFzMPUTdRbCm5wBIPgrI6SmwmWoEulxGnUJJ+JZ+Dad44pBPAwGbTc bm+sp7RFLLWj7zRhTwrclwiay1d9fr8j+R8svBiF7r6hxVisOPQ3Lu9ZfWc5i4xTxeCecXxCnikM RKLJH9m8OelObCHAgExBUGFtaf3Lxyj9B7lrmbn/BOUATakZZtWjNcIS5/eEleKaEX8JvbmK+moQ wko2yRpOuzQuwHedTGvDp10muCq6mr20tfQeSbThq5LkcAQ8YM8i/MbLqzIjlImiSuu7TA2SiCQ4 5dSSNcNeKtFDvG8qrkonBV6V8RM377esOnSno/pB3/Gqr0DEXW5dh26VPTX6JLvxH+2oQL4nLcY5 oT0Sz2HNM4EIfgDKXS08UbFJsLyWzIBGrVPBye/VkfG/dj60jOyiqlZUqnumR+bJa3hjWZ3m62Fo lwQbur4TE22Vbbal0cQcmmbv2sZmoEBdQyfF0dSYJWQOP+K4kM9el9r7u19HqTPDC9iTQjOSY3C1 x/iIM03n5m9LPmeAF2EHGTt4T8uIkhbKhKTfx+MmZDjFtHi9nfDGBQsapf+yEQVHppxjf4mv/IQA C5c30Fbb2ImfcFDTHmY4q+IixnrlPy9o1aablwlquGDllFme/JthsWjJa17uSLLz8CufvMRrcVBe Vh+ve1SLu3P9KV/yCpRZmmJbKgoPPs6uw9XL+Z5vYuU8MZmAdTcsHv46EgS+D72XUAHBwe2brZlr 06ynhoK20qjEwS3N2FVMRpSn42vqMip29NFS/6zoRiY4/QX18c1EFAAcn1MLWckXa1F2W9BRkoxO l3TKPveyC57nInFiIHqgdR3A+sfG14kfER1W4xhpOL79KF/ArW3D6sfz9OcvMhccbadNhpthZ9Sy BKeFmQgx6qlWNEYQNuqyUjSR0UdjyGJLEScmOQvYphfVlwxjW6xAG3mNV0vHTr1wkjHBvlpZEJKM v57+/ypxSbD0npZXnGCRGjVaD1vHKrv4M/h6Yasfgu+sLl3Hwx/EdmU6f858FBnW/m/GXp9lmaBX Rf/QMvaVguDQait6A/zG23RrdTqJR0voK9SHV5IdUqYGEBFRlbfQ9NgqLI6hPlg8ZeJpW4thOOEY yK3395OQ+rKbHo0SXuLmyBGF+xEayuvbfrq/OvHXD5nO9RnrgzxB+whhi2DdDuzB+hCoiksJwW73 9c4GH2i4NYINmvIcTL+K0pP1jYgMbcEPxnbArioJf8m49csAaUYuEarLRgRDxmLj977NgNpwd547 j003IyVZfRCCcZA8rRIOP+Tm5j2sgUVmUP22+d3yobQZjFM5XlBx85mIRP5Yitwaszk7Kc46sHkN MRUdjx5w4/4fnhYlmICmDbbI7Dxw4pr7nYSKKsq1TnBh6bYxlSDBaDcp9yBxfAmi2OdHyS4S/mOX HYhnbbUxY8EAex1ctrc22sMAOmv3LJA/E09GkqsaMZjWrwGFwxjlXX3hrudfKazOMy59BMGhnhF2 i0tgJpWj8lcZdPix8joAdBiENQzeQgXMJqA6a+on7m5XGOhyMfbMRYE68N4wAmPdDghM/vYSFA4Q NpE7L6Q+QbsnoK6oUnhr3HZ3Q7S7jVXoWmeJ00lPuA5Ejv/D2+9x08/k+2z1uQWQ5Wq0BSBC1Hyr xF8FUVQ6wXMbThwolJIgXuYVdsifdFs+ynwgRDOkGmkIZrymk+j+pNHkzUO3u1kCmh2Fkz+5kftq /sktERVx+HdEnHTDSURkid+XZvmAdpt7DczdG0qRVgfPv7tUV62DvTXp5vBi4YtihHX8or1ywhVd MekfS+N3VV6bVuolj0yBJjkBQs7w4mI8YnSPWMnR+Jczq+lOCNM7kda48surIWm2NohFFovSwRii DEDzODhk1+YjFHwWb0sVEyQkAk1EHTUrajeWwDFe033syFY9eNtiuLyHgbmIRvvaV3XGQjjA/iso lYL6McTGzr6vhXv85yoH9VHiivIDRSgpjITUd/EdHKey74Rz643lVKNVUWt8xYzuYU2G5DXBIYkk JkQsf6Oze+iMiSS0W+pFVYCxYHdQ2pWxHPrS6Dx93z5+nMNCONDTrDfAoDIDSzhzk4Zw9kFg7kJF qFUgmG/q1e/GBjqx7EswbuX+kpQrQD6nd9md6Y6TukA3oM4k2xgt/TKZ7tWMUTyDQIf7vWITQD+e jO/q4MDw92jBPRQ59JdRaYig9zRN/3JpNTuJtvMeg54Jz/81FvjIC+GW8pOUlyWii96/l6vicGkR g8Q+wC2gyKjAK5I7r07bSayhwI2Kak26llgnff7iQdC05VjvnVDveJmii+pSgpqYhTDsML9j+4bz lxaaKNm9bCiCQFsZ45/4+fxFp1LweJLPf+8hLPC42T19z9LsnFjvMgnJgWPg3G4KYx9oYGMG9GX2 N2GLfoqczsecwMFQAkEtVHb6kZFCXQXrMBFWf2wqxX/wcGMB44CAz8RVZHiYwuh0o/LSXOz77SPM I2Ud1sWl/nnNIzIepT5aqmLOoWBmydWFm07RGXBaSHQ8JEOhBUdJL9qNUJVoBvDCa5MgrlE80wLI bU8DF9Ip04Jfgk4jwf8lQp/xCs3FUCubtrRRsTTfgUfJxPmkvgeoetTrLhUkhV5K/MbbL7k6m6Lb SY6YN1fImBYQmWzrPETeklVCv0fRhzZDaJJ0U3v7C4hbdJ6puz1nup5PtdtofuMhgemWXaSOIk3J j7ye3xVp+9dO85S3ios6th+uCLaZ7gGmmj/apPAYpDY9oFXjG4Bpsi3Sq5NE8wGAUx5Lq0mAjybf oOl5f/DZ7mK+aBZLKxUXsHRd/2zQE36RgPazZw9xTFuOZY9pw6kCUjoTFA3nn2oNXXLiQEdfGRVe ihXRWARq3KoDJuGgD4gzjpzepzsh+SR8NDBfUccLY425mhJyP0kD6ESfwsmSpGP6EGoA1PuaPB9B EKWOmOWBSRDnaaNPFU1PiL5tLBFqOIJb/YCvSSsSquiS6EFstbEt1iVMwlvcBDRFlx/jvbg5i7z/ hFJUagVXDrY1Oi1jSyBJdF42b4h97dvMh9XR+pu/HI6p6F99hWxk9RFxoOHpF2DMuHM0ZRkx6S69 I/Nt3pDRkeyRCVYOsrGi+D/Rct+hqYELYozhx/p5L7zMhrrGYVHdGMdHBmba16la43LaRaTAFGrf cvkby/HZjPQhiOJJ2UMBCJ3nsVbyGKxI75vP2KwRtNUsP75q41tZL0Qi7xOmJvxAPiYSRfAaVfHs kU8l6kcZBkXzK/NVqxwicGUj9h84bu2YLIBTmyrp9KPlUBv8SFEgnsigK05umFyDk/vuIbx8IUC2 Z2C1qTaciGNqrcXVvYSLbdIcL2KI6/ub6yZQaLWMNlBT6F0SpXTMXAGU1KgcWcqhSPQazVTPp0Oa ID9M6CbE3TqmETBnu1El6hscybPtYzn8kYy83pTiMZN91aR+mZ5gCXI9RWZs1QEnDrQmZN8qFQkW nOr/KBHVHxT89lL7jsliwgp/606OryO0nqHTRe8zPxJFYJPTDCz32w9qJ5eFPJJihp14Nsj2qU94 F9woJKrvEMnSmyasIKRdLCegBduoiG2v+ITXRbovjqkSCrVqqgIbKdxTRm/3rF6h25Bp0FJ0ARJ9 XZwhpdUZn9pSa3AuC/y6bNcE2SrostGhWKIcY98vpDtwPjYh2qqXgCG2GWDhOI5Yfs9JYWLrj2A3 Wpe+yDwQGK3LSEe9nQLc8lT9Wv4d2wwFUOIllav2pUvVft+fkhv5fhaEjLIg3xMW8WKXwXBJ/o2F mQ6ppx+Tykar3qkKmlPixNuXOVtS6k4QgAjlGlnGaDX3dnWsv3a/uKBW0GRhr7Zgpi+xrQxPlKj7 abazdV3Jx85Q6C2zte0De03Xgc/Q5tEeGUgKvbNb1dYehcuKue9uN3DmBYq//ENHjyMluuDoaBHj 3u/L2czfmBLq9hK25iaolHHTGC/hM8y6/RCrwC4Kin70XGiGh5z38tXjzlpwSLNvc/WNPsmASvmE PQM8b7faUMjs1AstrysEWAANIAFI/D2XAgyVGEyYRATqvhRrFX05b0Abz3w59TdgkgAtMAf11kZS S9rsez8swandmEl8Gr1lhvXVZPG2n+Upxc82cT/JuiGHLU9MifMu5DSpuuBwMG5PlreW4anfoce8 7iEmR9FuuwYA0qn83aFfL8eFccqwnR8q+XtGNxPCwtYYvDd14TEWKKVYVjOJjBGTDZjSechUL/nU FaBvh7i8rMPTHBB0Y34H8X/Otv60jDLx6liKg5crgdpUlHS8HDk/KaaYNB1dSoBucaWPb9BULLei 6AA3Di/DNYWUeVGchR4RkNi5Yp+fczDr0L3T+oQDEIPxCXL8/rD1a486EZ4RPActFunPXTdPRk0A uAZ5uilQGz4S2qGqwKknaq407hMGuI4TxalRAbaiWk0445N7HZGqvVHsO9cMyR2MdMcGaB8w43UC VuAortGdWhyH1GKHeKl/yyzLEFVVVKNRgsiq9OGvT9TItShxVFzZSTdT6qb+m3DHTCVZatf3bhGi MMEAOVD7gqr8d0FdhhsXhWmDDMhBl7oUq/pmHPowhWHi7L+WADWKGE18mo2iYJgEuxpobzzkRjb1 +oxKdiZTjN8goknyrUlCR80WYBW1k5h4nodvDsa6VMpb//ZnWzNYm/aAp0+CaKcHfIL2k50UWWOQ tuKTqmMR2nA0asSf9WF57yCmnRKWW5S4WgQvkg0uShTey3XiQK7CpEJudgaUl3ifzzRTUzgIYxUx Gd5gCQehMc+o1Ti6SmddqXdysfoXaAye5zYFEkxTMvZDdOyoal96QIB+FD0xmBJyNrAonacalu1f xuBPl9gnOd8nfARPk1R3UZGK/sI1pFT1GI/xHIBd+suIzAP3Q/1HeQBneUapB/6IRE97XFb1P/U1 yKk9M9NeP69s6Jv82w8cRHRiKxl95lMLE89sCtM5Fcje3hRQ15caXOfHVGG4EHnXyylymzGa839K sWh6RP9XfROZ1t4QmP0hThy9ZeSZkgWQg7eqRXg3Vhga5+gJTEY54JYCJVCnECRkKHWAAz/NtSnO v9CH8nVpoq9cdArxTmG+goAiT5fziph8FeFbCjb1PzfTH3RJ/Z+JK4SmcFCL61GB9OwppUuIpcwU X7GBKxVAH9aNF5vpL1pK12D4+2p0ExdXOj5Kz+Vqp3n1CpbxQRiCNzI13hCg36mz1u7RDffI78lB 637DSubZKQMNwr/QoZzBgZyQ2H7l+zrRdqjmGooLdlQ5M2xz9xBNqR7I4ZeFlG7VzO111ZV9wJlL d84oYhEkhsNIDUpEDMdx7yOtf5rJnIX9fmSbvoalEFrOxZwYmqLTDFBggeq3XumRNe+XseaElol7 HIqh+bQBNxyKI5GvH0SJufj0lDntrW5RXNiOpyVDmnLbrgTSaqQbya/vD9z7SrPdAld0ezO8Xa0V 8XrjTjiJIspOfqggGa4UwgZa5yAZe7bzwq+oJAeoZyJzQrGwFEluc6rNPYKQce7AqhpZx9KjLUHP 7ebLw+q0WJ7luw74OKdWNdirdzEiqJrBN+xRZw+eYAUyKSMMNAlpAJpANhIVIWSnAeE+8VfUs7v9 yhpq2DuRbTvhgJ/mCybSdlnYIQwD85R7SdMaClbhLwl21WcuUU+bsZaNT6P14dfRSdpvAO3zx6md QkyqPhqzVh5RWGEvm1+qB/52hN4tywkp/QQvk+HdqdvGE0tHE4LGcHwCetH4uhca4PMenampXOhN I23j+CeMsVrMdAZ42Hz179Y9UDMbugUWJfoVf1Iu5DUSE/U9xaUBNf24hk7K714j+hIGgeEPyXKO 0nBB+gzR7f21XzSS5tDJSnRW0y2fPb51jD+KNDrJgf4i4z2rwkLjwdkX51myEbRuK15nqOrawW4U M3RjNbUXL8FqNyT0+iNLyIcrV6n2lrYyeFMwrzFbz4coaVUgC71vjw0RTJ1XC0VWfURW3uC+eHCH mu7gXAL1AOZGdjbv0ben52KFYeW10b7G0JC42xRbeLvQ3+tl91QmxUtsfeMXcL/MHMf5lBDaET+n qx6ZOvJAT3J0183n7F/NLxkda3MKO4m7tYW56hnyAAJZ4J6MrHFUWxxX1k7yieezEBXBMhfNDUpJ AiOI2xNM4H/q/cHXuMZBfg5p6Mffs422Hr4KBSvD+QhoE9i2r8QK14cMh195NH5RU/YtnUmGnJLI MyCUjLam/StcoXow3UlAmsClG0AGIHwmuR4OEbaQK5DQY6s3hxYMPg0zH9ukgnXuGHN2b7sUFjL/ Cagven6Dbz2fjIQPXr15Jo9RVpxPZVaUV1Q02Y3cmdQpRxvgkD6JyOq09RUA10p+9i1Ti7PmYdsb TF0xq3OUHZllOaSJUnyg4CACXe8iGlTbnvYiKntoCPYXMKgIDpBHasZ72sTqtYj72QQybJh/h2t0 7HdNv2fDvtYeD13k3kw/bJ2h1WjbUFB8d0HaT+V0bXlDkgrl5QBKwB2H0PwCxn4fSkJgaUZ50rrU jf+z9Mx7D1jEXKubATlrgCxzvUxTcHv9MnwRnMHUp+EU1zc9zXfa+dhTtzO/DPRI9i1VBPwzP6ZB 3D1h+X8YXyJc9UCnKPuYNbp4MdaYaJhT9JgnXbqfsJwYoR2EAAqtRNtvcVoKojgiSLk1ivDrlvgH TAv3bttjgQmyg4UjutVCK98d3684AiBHH5T4s4EvBiNIRzkOzPl9b27ONQfkZHPFHSQ5Uza8Fdrr eVdtaSxu3U4MR2NTlY4K/fbUTfUfm7qGzN2Xy/nlqDm8vYsLN+fzCb7uqfLoblZquHQUfNazcory yWDF1+WslBrkfNuqA8BeX5EjJRN3vIBMcS1qLbLNUJ2sKtwJJZTFFNIuJC61Uak6JwcTJ0uOckNc Od6duLweBLlj1vcf7rCATmJU3Z/kvN1lcpJvZmIuTD/AZQgBX1ovH3V8WWrTpyoXAcMQ71KsnTCO O52+pxHUY/rXck+A1L2fo8VDuB1gSjDATbK8BxeaXB/NfPtxkMvChB2FjHwlxa0sEt6+YT/003yc Yz+FR9wqR3GPG30ygoLJ/HnqK2q0/DGvI9bWxTg4EedrqomDRcUO3/Om02JDehQV4Fq6X332mXI3 H+ySbexHOFHBJVGc6bfqPM9i9XyzCX21Fb1jiEk5P12XGzkmdR0s4D3BgPKXfdUPpZ4HYQ0eLWuS ptCcyucsyf9VpGwkd/HrJh33Dwb6LoMQYDhLSSnJY9GY/zXkY4Eq7OuwAZzYNwFYayu+AurlkTEY R5nfaXgGszCj4nfFzGFce0Z1Z8GIclIQP3vJAqAcJDsy3Otp7xdOzZs205h1q0O3MthGg6+TJ6qB k+yWbqnRg/LNuPyOa9sSnSkgm9pEyaPHkcCfIe10B8GdAi5cRJByXSdsKnBYLG3pb6OUGkd39mnH Ezj79xL+W0GTNO6575R2K+Sk+30C4iAOOwfFFThLfxUcMY4SaJQ2uJb3zj7ps6t5neIymFlDbehy Hw5OhLQb1BMcw7EQFY5cBRqE7uVvTPoP1j2K1OnOVUFp/LigRgZ/gjnuocddchEbt83OJSlqgY9v lPmTpsjI27sm1XCsaoPUpK91fkgkZE7O/GGlwkoYIx3Nm3is3pRy66imsjOg9EUoc8nFdS5fc58e Oas9/MpROR2P8NHUNXdnrE6SJSf+Rz2yYvEBv2ZjoLJymkMb7SOmaZh/Er3reBYLKsChIcb7qAfE mhMJJPswXJFzE7pvqafFJXyZdXVSXI/XNeXrpszd4jDgJYJArrXPvOgkEFmAE23wzfwCZCEN924J GPx7+7dSTywj8D/0geCMQgwXz3qTW2pyGY7+ieX/E67iZcYn9YL7P9y39fLXRGYWZ86qsXN7JM/4 ws2JHlJq9oAO4F3QtGWlcJ0UV/+/tq7thKsK+tsRIoqWnJv6DJC1eipT8VkVRsIlVmZGVZNaqI+U gW1kp1eqNKPnjfhuT8JnnV/zwDbST2GDu6hdZyZFeOAG5y5hHeg8GrctuWdL1qEXsAGkw/17ZBWq 3SsA7G8ttPEqqBnRzf3NfeBuEdSOTMg/4tTIy7uywgNSt34NasE0DVtg0UCUz1O8fAqBNU+glMmV LcOWhZGE+zvFgj76I/7R6snUUpKgk9LCJ7L9XSgQT4ljFD91Nh+BwtWA1x5KDv07AV02imjqeYOI 9QfuLjHXqvFYSJvlx72IzN9pI9+wZ339Js2L/rM0LIyLmM1cXWsbMlEbyHp2fhw0cXRnEDN3kwR1 UqYqLmM6oFCiCLq+BPSq87+4Ny5GxrSbl4WGm4XA/QgNEamAWr9KTYy/6x7Mq5UXyDgWjj5rZmJK 2/yK74DP2RWSGTueEWB52GL/46WrK8plUiEfHvKFYJIRSuudySQljePbqfFeb2rdpK5M4ci/SukS y9FIAUrgfgpfuxL5v6hDLmZYVp8F8KMXE4Tcw4Xyb5olh4zOu+DRTaSTVRNgc/xKmnY5Md/4fbke VBPTi3475e29EjfgsHeKr1VXJQFYKM6D3DMMpRZATupyiroenv4PYjrKPZkPH+G3GJMyg9b8Qh29 HYgaQw/eHDYJ8SnHeduzMkf6JXDGPDzoLtAR/jsnn9seLYFe62fvVxJ9O3WMtahAlz6FNpDZSh2T cSLu5EoPRqdCmngfeaEAfs/neDWnr086/V0lXnNyiz5AsMv87XAtIPxUGo4lN3fVxDbt1MO7GBy+ DGn3dsRQlpUaCZAWX9SXVubpPbd/CAJx9Yjd20EsfsnEucW1o5P0byM2lj3kIYlF1VRlzniIhos0 UX57Zk5EIJZiDtfwjjl41nfDTVdt2FRuhoExOT4y763JH3dIu5A74lAZlL1IAZUukKjnFenitZiQ /eAa0dPvDgDL/Ed3oyK2MgEh1CULwxeuuEikTE/XwjDUwmn/3gN3oTD2celxadRyiYz5N2tsSoiQ 9Z18Qa/tthU69gRvtJSM3/sVa0JzP2xdg/ZifV0vAAKiXTKnNFPnEYlHv1VyPxdJ6t0z2cfuAABX pzRistzldX8Rq2yKNLcv5nMfQ+hXzVdGb55P7qbGjI4g6mZBnC9NGBRgI4EqMWKOIrgLQdfZPnW/ gHBnLFJz820YxjouU51Xcb1TMNNoAADq92BlTaEqbRVO7cu+sw2kbdgPv2UFL06j4okCd9yCw7mB MYV+2qydLT3dsJToL0lZNpp2jQSlGCYN+vGHVsETOoIlo4NPChBW3CrJre5pP/OObZrGj6MRPc1F Pn6GXZE/on0Q0UvP8jZVXSvQJP7BBmnGRcPgsYQYTZygVtKYD5qGF57zJAjfZD3hfIAzoMZBxEwZ KcCiUcFgwkLx0Fa3kF3g60M8ZJiK/DAhwfSAe+VPFiHSNocfEcqH+k6uCazJfGV950Ms8UpFX8Fq NyV6jkcqIAD7tQ+XVYs6uRdGDpuwAt6GTQduIGSlsgAOp72gEpYGPIpVIShYyt2Z4DRNKgr+6DS7 XFdx0SxxI9AoQJMPZQlSpkNB7bBtOsnPwz9G6rbOH27aLAbOuizZllKTxBYFR0yV/66sRBIxvrgj cxDfKwDiU5Y+yV5q42O1xm3fkUiCbxR5XihPtx/Ijzz5cGAcYTUHFxB4JjQyKBIHrh2uzIj2jbER 79RV2SF+53fkN5k4u/YM3faISCoyY95O4u0H5RbNMW4whZC6u+MRyuYWOU5d8QENnH7oXH8IZzeI xhfMQnIaefytAc258NdiFPqS2gV9rYx0tVaZi6yHG6fa9vK1oUBQQaOk5loqVBEY1Bo63RrAcLLP kW8GI26/92Fvbz7VRVP1Tb+LxX0+3hHtmBDEOFrYJwaAx9/YvvHvd2kZQWc1ys66TVGGAmnNh2hR DW9IBM039lM2pTjVFl6MQDt8nA5DoXdX+Ejn9jHnUbqPPv+P04ApXQX/UqFuXB4gcLdw7Ua2Y2cB +UMxv9rJZ0ifD2Mb042ybbYEQLnm0i/rxkdLEPueRtmmrwRI5TAUni1efqpO5rGRI/ucioUCcX5Z qRBjIawDLcUIb30wkcNkchuQ/yeLi8beShlQruohu/LXkAJuuDJjb9iTXmxEbGPlWqQTB7nR1v4k HZrvot0Tj27yYlDK77WAlGCDyWpQ7Et/yTJEhgi9L0Shw64+L/PICKZP8Eqh07bGxskLAa8O/9jA agMWJG4Rz65DZFeYqJccykDwHp2Ncm52exWM5ZO5dg3rlZ6nlPykWOBydMMCOdo2vo/3Eoc6CrDg JXCU0hUtrKi2Pcy2RvB3XnaMlVmWDgly9UDlYLXO83ACXIMz6uxNFCLO3Y+YnR5Yi2FmeM7dq4Hd erTjz5GCLK/jeBV82ENRDfqPDHH/Tn8oMBC+yFVgqG9iINOwEA4p4ZEZbZTAFl7Uo7a3qpHns+BP u5AD1XbxhHPoTfXEcB2fVcd6OUMoNacL50ildNvALt9qPJGmeQwkdfQEmuTUZJa5Quws61DymH1l gz5MdFyeBStY5W8bOlAk+OLnSECVjWN5xLrma8snXXwbj2SBXdpwRmiztYWNz03aX8J9b4Vp1a2h xoS+qEcSw0aj1YlVXIZk8Yd9XxraAhWSF/vhbjYGBpBVzgAPDVBLKGWaZQCwbDGb1Eb5WKV6QA3F j1KKykGbNvBMcSClN/Q6pUmVu42vgDD+XcdhrUQNo6XDaw/W2Xf7NJZ0AZvvQdz3PJk7wdrrdJVO ZIhxBrB8c3ODrC/m3z4+6ZNemv4hUxm8Hd8tcJ43cpqoAx8luzbNvOXMj/VHuwYJpadYo9crQsba B8wFCtt45qlKGaR7gXVFqMvgOikaeIA+8g3XICZmGo8LFpOzViZRMklMIUZgQ7LL73ul+yikQR2X cmYzPVBZO11bEncdkJnYJb6m+5NSDFgdTGj9Umy1MCRXzPqSX3NS+0AP5o/fnOn84Sraj0Eqj4Zj MY8xHLr0djHoiaK0WB57J9UEQFL37o24os1iMOzk4mtGqYxfs5wu1dhUrrJxtTWKtDInQ0sgexhR 7qfP8rKEBBLaOzSlC/KLdwY1ObbQWYRPxf6SOfCYpYKvcaO89evLt5ANhkQEreTAYgg4T0Cx1xjX Q983A9G6GibsfmRG5tSaM/v1U7G3hj1vrBFPMZCih9zB1iw+ohGG2Jlpg0MDjv+oKB7OnmHpcGGq L/Mvhv2hSEKNc/UBKGRMq4rX0h1kEYx1+KHd++mT2S/RHVFLMnW4Sc0JRkL9rpMrYzhipIgqv+KC QCrZkPkhC6tBAF/HsMtHu0NBeATCp1Z5N8XaPmk4VHdVz7/LhJSUftt/KJzYA0aor0I6//Swo+DP ikncV/OHq0Jo7GQYRwflE13GXDJVfVQtl8wvifGUKlLFSCgILHk5ogq2iUuVdnKN3HoVZfkAYg++ Q83b3ishJ6Qp3tEZI+MSfEIiLlaKSNQQoHq8dIsXWIe4XqI/uPrwgjKLNZDvSGcmF1kpWSU1hFgt ByEyIVO54+PiNyENnuqYIOJbvr9rPgA4LZCLXCl7SlqxvI7Z5YHUzcQQNUJJeJhSw/8o0hyki/Qu UO3W2r4GlVnxgYKgYJOQhfwU3EgDyfZkzcAWGRp2f8B3sOEoP1aqYaijW/gJ4+sfDaKfZV9miiGT fPlxhCbcKP/vL3VH+kCYl2CD5DQAZhW9OxAFQSCrZwprWMp0XK57F8XiTmcwlW7JMMzV9Ki+nDm/ FZPZZurUJgZRNmIkGdVJbzsxik37uPPdKZ6Ps9Q6wnuHCNaPtIZOOp0fxHvdPr6XECZQPLIMyzmm gGC6i/Fu70X0s4cnE93ZnIZs66zsFGuYOQZPGIA62qDGQcYizKE0DwWTl0aadma1fXrKJnVBUoWY QCHGmISBzRqvX4zihxIalcV1unUAwkAEckDpQAuTAq2zxp/4UQyD3BZh2mDcP5v4O8qKdEHbEPHn tz+Y96X6sr1PypV+se74N0NUaEFHMtvx5ico6uT34ggTZSJ2I6TGWYHRtiTUH/9Bjw/U356Bw8Zv kwrCz72ZPJVHuTlZqC29dLLQea/mvAGdcu32qXfTJ/YlgujCoKmiNARLKNkz4Fkw4fOx7XoDfcFu uyHKt/HS2EfrVMd0o/SodvphDZyQBeFVtOcx10e2o7bAUeww6hJ8b0A0JSp8A6ofU7FKUPHEgTD+ 6ohmXnCZ4Fxd1PkKBFL6vDUpT02GgGqFRo/tFQjwFZTesQsDfac9+3I/GqwNCUXiS8P3cPfBpBUY ozK7rIq58gCNxwa6jAafUPnsGpD85buLFH8S2QMs0FrOhv9jOKz7cjZkcEoo0Cnw9IHNpSZK8MgT 7hve5o1yK7WcpFHCh2hJOGjiWVLNNXd+pTdj1qJYHyCLt0yicEA9qf275ySojr+DqoyPLq4h1CoE 1hslMPYQx6L/GET7ZXf1rnM4x8QASu0vQAOVLDACEb4j1Yt3JsMIVCacgvRClt9pz3Yt43sP+HD4 347d0iUkbKbGeuHq0ZJ7nTMcPNUHfgtp63Gtj6GlnVAVjM0jA5NgAIxukKBmirytOmOGyBZ1sH4A TeFG89vSvZgesBKGKokJgpsZr+GJRU4DNXKPHEQzXamU/Hu+V0zCEibkWu7r+n1k3MgE8x1eovD2 Mx1TiT28f50X/qmvMdiNoW+MviWkb/CZV8QN8tqpGGqwDHab9RbVIb82cwx7s38JhLihUp5HXfKT DRa0kMLBsRklS3oj8t8A7Sk9Z2wke/lFdsp1lfP1KMDduMcezhKlCfjux+OKdkZkpcQQuJPAhz2a JWB6rMNqEIAcbldXBG4kIh47ACzX4Tr14wiEFPJYRCwEe4KcWMDs2EgGHyFGJ8kWh54iwxaYGcLb qJ3szS4gwRhJuQMESerYuZyfDIUDRfUr0/0xpTwzqOqHRPq5XdpRVu+n6ph29EyUVtt5U6MDDXVC 8oXOkzzsvLscVt3nwPUp+cCpoS58+huQY4xt51LdfaRUDC2HtlfCvaipsVfMIlow//8N33TVUAjF 4kLDEXT4cV42V57CKsb5i0maHzSDJxwi+cjY4pzRSRnjGzzbvbpYYnX25i9pK0J8/LVDLtIc2Z0t 2FfN6RnEbzJGhwdc+wBgRGC0L7KAccQNu1jDPtAqTTywOg2oqQlZ4L4uTXlyXCOxDeZO7YKxbwAy cqVijeQ9/dvTDeApKcvp/K1u+R7TfFHluaoOM9PE14cZw9+VTvtDR0hKmdi6j+tBG1Fu8N7Bc+Pa VaKflqEdoqt/HK+YJ2/EKDsYT0nTfZj93sLsvArCAs95zSyZCSdJUz1IKtNrBLp6U+mFpxWCeQBT Ghboba3fOxCsn6VNw2Ca8MVgBCJx6WOZteR1+LXSojOtvIw9W5XAtISzmBopDtSiAHqkQxJvWU46 1KQ83y9P2gHAYGPeA5lF8nthZw1IqO9UxlqEJ1/aK3X83FUJEnqkJez1EFdibFETz8j7LXmsgwd5 AhpGxvkxvD/1SgUEJJ9dZu4gwUsRwZseOlXbZRL7PWpz9fNpeqAjv+2Yeljxpk61z14L6k1HO5W1 BSqtRrS4VHoideYK0Q/6duUiqHAkm5JNc8otIsSOw94IqKRtXiCIRKsMSib4uF9ERJZbqtgIGh75 XecVbKNII+KUWkfyQgmtmZc3dpIXUtkwPXUVn/26LZsMYd1V3CZhcH2qn/j7FngvTiyhgyMLWTYB BZ+0vRPiXbu/eajzf2Ru0BwXVkZnDp7k9Vxg0nFu22fJcbmyhBPLrpoiCC8GgWDyapeE4nwa08iG UQDoA3oqbd7OZlnDb19RlGr1im8DLEABYqvF8WT73EGxT8Ty9XzoBV3ZsO1pEOz8MH8sFLjaBe/b ylQo2Jf0G43wJmdvMmNT3g4TiO54SFc92UTHpV6Nb0Mt3Tal3Lyf2gp/lLgvgTaNDIt0idTWDJ16 pSmtbTV7kD/1ZVbNadiYPECNVytsHVKWSk/AgPpwAzmBp1SGvT/ePYwcQ/qesZ9yqs3zPirU7Ryt QwDq2Mh0qDzrbzD5J/d2zJnimqaR2ST/m739F+REC6OsiYeLdF1erhwbVFBhGqhp/kJSRn0R5bJs sQQIp56m1MfY0OnRRR4Amtu3hBMaShr1EahIlbfmJkUYN90jSKw/ReaBD7oQQymZekC74Gbh+WJx l/+YHhQR+JpY2+a4gk+68ZVBmE6tb9RudCayaxIK3mTOyqqHR8aLqqvB1mZY/PU9YPzz++LopYz1 RBldcZXFrjx0cJAKfed74Jmbc/dl08XDZEjFUN6b2BFxVq42rJ9xw9Q+7c6yjCkd7N/wS1hQT/lh z2pev5LvobSw9jjM36PjU0NtSGMIfE4SDeB/cLcsHkHe+D0GaU2V6NthLz/xJpiL4PkiU7fW09cV VvLRNq5F4rep2ogL5yvvuMIunDJZyOpGRHUqBbsIVRSB/1aXSbOI1WHJAVi7JQzAXhhIW4Lct2vu LrzPoH97BdaILFl5FeZ3pyC+KpOY6UqvHFxgz62xf4s9y++uaL0AEzTv4aFKQhPSlTMqUAOv55fd Ve+x0J503UfzXYw+BaNNbPpfz1fjFegbkaeUSwbydHSUSoOGt4tDGCyJAypnnby4ZV5yGm2po1xC N1HYtj72+ZhEl/crv9GGKe/15eT6khKmigTQ1o2Fk3vPdpL9H6bhBscWLziOlr0fYVwN3RuXazje BziND77ZnHhV7Fg/uJxqmgOu/gfQxkflrldoNprd/FWnnAgE7cy+dfmRPgtzS2uNa5vm5F+lrzsN w+MCq14Lr2JgsOy8090sQ6kk0pXwK9j5Uy9oVcALVSPAZgudIIP2Q0rWD0e+MRJCdYDJwAKQ7HfZ WOKj2vM7pwupU5gJVXHqk0vTvEvlEKZM1Wg1zKauHp4dFqrh0CodZ3UocMz23Ug56gsE4TQxuiuM FUeP6Yi2Wp5XxlKGLKpvBxwE++7r+1p3wQXKnPGI3QFhMZXz65bxpXBN65jiXYpJpPUVwzVzVr8Z 0hOljoQpraFwhq61f8sGLFGqzU9a8eeiWEv2taY+IzmY0hvHYOPT7HVTDXidReWRGDSCagncxV0s JzxsniQTtwZKuJwbksOKSZMCiamqpL4KoAs/F2klszY3SM22iy1xaxeWlgFAkfoiNGN0zRoPJt2M 3J6SgPIJvkZDLYe7uacepGFB4izPWQfy/Mlg+xD7kHyK/ljVwU/3xiEtwVLW2WRIqEXZVAGeiJ6w Cj30WZDgZFeVSd68rSCuoMkTZgHaC5HeQ9nFXFfNfbv1Q2+tNAtP4KRh3dnTfIjHxwfBEEOKfUs4 dRLfFTNywurzzS0t9n1r/rbHRrszwov0vEv/QCnoOYz7T7AmwCvj/DTRH9ohuN3QM0LbwrHq9HXn nJ1w1AUc8GjK3jCJEoWcqFXfP7F/JMopTrfBOR+TDwur4lC4ybLWz/j87wEwkX7LqqDJ/twr2aBl nHd13NhFl6SrtIuCNwZg03tNp9qyy/dvervvPxe1jwXspQeJrgufEQdQIWm/SMqti3nyCi5Qvvlt YcxPjsqe0UdY9bi0iMbXZ0G7pdfYa46yNSofHLhJggU0ezru45lDfBFDOtQJNd643Cf+BkNmu8RO IfurIjZHOqp7wnCQtPbpFxA7mXdwSxOVWMKq4RJx80rAQUIezmrdycCRiWY9Izi8cwgxR/eHgZbv xr71mLR8WVPuWGoEqvLcsqqeWnY9ayhJKlCRa/PeikMdcijQwkH9cJJkF1x3dSlXUBGG9GxdeiAu AIi3frN4nuIdSKGBJs3Ty+uCQjNQRJ6jKy90Xv8i1VdFQiJgkkfE2YPCB7NYHJvCRZnQ6yAfQf85 bLrCZLvzD1i0h0oL7QBdXlz8OhyMAeISioUh5+xzcH1XWWE3TOhPBVKefJIezmSUdx5PXCQ2q3o1 T6s9sg4pJPeR9hDA6K1JW/dCelXnvKlJOzYj5LuwLyKQSY/4idG5p7le/s9VtsqIVCZQirT/c1Ho UmF0Ed2DNXgaV7USarvjKgaeVJ6TLk735c5lGsUJKhRFWQ2+IkP2Oci4NPMbx5RZO5cZE71gJQN6 CtcFyqUp8otPDcUp1Kft5X4Cq2dVDeUVA9g718uou3h6ehGRwEdsVnRzzsmDBjHPXeGSrGhLme55 UOPweID2JZwbMk1+dOjpy4gqHjGfBQh/AdTKoCHffqhySqz+vbbZgPA/fEWCslpKT5PzvIR+dKCt NwOqAEolpZA+DFOCnNqwZ8ikqgi4SMZsE/D+cEutmS4S+0pjNNNN/BBiMAKRd7GafN45OkKEtIiq Ox5JeY4dfWUlzVuj+HWyJr+sPZxDdObPYZau5txnK4XldGKLVpAuTVoyzsHI3Iqrqoz64Ov6+Ppw ilZ4ZKcmujXReaf1tUIpeRY3UQTr7wMizeABYyKOeZEAd2J4SyEF2kDcf7v7txlPMlr1TzSSdBkv OTvt7kp0vW0W+y5hpIBIlqqFQxhbeSKupc0sSNz3a3/HVcK9Ka36uepJy3KrEShPov9kSoPBTyAh UfcW0iSQ+UbHnb5ULtktoOJdFRo2o2NGYdF7szXLCHZloeBc6Jaz/u08kvxUgfFnCMhurcA/Q7Bi fWN/BafDF/bGzwFpFwKBsWTy3dgsZYRYrzAAUeznfE8TwHATmHun3GOUwWBvK7yPEpAGs0BL6eRN YCKGPOPKffYgDJsdlo+8zzU3rju6z3Syp53wiz5+a5D3gcu/2pmVwF3ZlHaXTep2MIBLtklyDYk1 r3SdR1YefVBDjipeFFI7c9e3G/94AH9zcvJVzab7Sr1xSZ2sFmM5O98mtrardb+MK186EeQP75yy o6Vr9wQAN8jfioCTdFim5f306mivuviJgXW5DjlUiHRUFth2wbJ9NzryuQ+em21F55GTldL5/P1X qCdTkE2j91+Jcweip6Zt16e6WcZtyOEcC8wSw/C5byer06/I7ynjhwhNz5o+Cr4aLTnCg8U48oDd e34UzVk9WMv26rmW3OfzwKQ35IInwSvfQbK1oWgUCzaPkZp3EOEomDHVrCOWj7YFRku6SR0cyQPP laCbBfhhBhRI4uTaQgqb0e3KRWb1C3JJLmaDSXBR/gUE0aaIcnncUohxvu9UCrfdcQ/DgfhmnzAt 1YQhptkdd8ERJ19ogNuzR5KH/+rX9Tr35V1Sc+rZpzjYqgD4o1/ano7dHRZOkBHoylsWjnNUm3ws C1dC/8W+gyP74ukaE529aIAubJIoHn+8hgCHb7U1jh8jf9iaGcFNjkwINP2lM/6G1kBVMo30Y361 TGqgrZAV4PqqSCsxt0VkP1Npi9la1EajKuFEretcfW+bS/34ZyGliPJXypXhzdEmqJkAjukoz2Nn 1Qm/NWHjVA2Si2eCT1NR/gveh2G4sPTWVR3rU/D/7FIfjRKr/BcKNnX95WJYgqJoruT2SFXQF3Xd wL58TYZPjbU6jni1PnZDmfE2KiuJg71Fzl4zFoEwzCYv8PS1TXPf7nOibpkHVBfcwV/wpOhtXMBJ yVD8SPfUgjQVbEVSbcBVeXcw6RAPrqr2je0HMea+/Fl4KQ54KNm8NfKG+UA+F6KtU1SE4qAtZTd0 4e5SnZCcQn4JQOEEEabkCAdtMboOaiOHf+G39cNQ3FzMsmRpqVLr3RCFWcaXQ3htTv9z+b18zH+C mcLxR9yNrFDOwwJrvk9eYOi7NV98iAJyJzkPrgTkRdFEUTX2K/QlEVN02qJMqZVwWEDrTL7St1Vn 2mFuMweUDvOKMiFklgBdSOmzImOgfeZyVWBuRKrtvdR+l6zirfanG8ycv0z6uBHEo82NC89/C0ZC y1tIjFvx9eCtjLIY1mKsgNvt/EXuDxscmqUvZWWztyKLQ3tYxf371JEgX/3j1M+sG3Ae1WaqSzWU /hFCGPrzhEWIznCxXr3fiJ5vp0vC1u1CyZZg+kY5vkqOBVPkkd8bFft8PSP6gHV8Nwfu3/4KtRLP 5oIt4bNhHM3QEnfbJcJjMl+kggaGlsHMjimeWRtBcfypPrbQF4eDMPaDRsPhZYjBTrCNbD/+GhvT 3ZK9GBTBGbiHoWyYZPyq313BhCq+ARHCKrK/aQ1qwVtGWhQJAI1DrkRP0qmdTZH+Gv4hmOjbTGxp mHLWSQhnE/IQxcNlqTAGqFA84Wd7h4UYaDB02xOeVh5PCdEWvGDcOoJoaLxmzXdizLj/6uhelZEk ec9o54VBvdkNEjxgPPhl94K4HoaLlMCr9UAgz7f7lLI8+QwZtCqKjBE1Do9bDIGJUYn2MGRzA89H 1waVkz60NdezqMFHu/R3QxpOND56/CPcTzSO/MkRCAr3/ApYVuq6VN+it9n7+5YSsnGDnI96Wf+4 QFjrUZIcLFkdM/16/SMf4SUHulG4serRGn64Wo90LdgYrSGhI4/ZICvyKHsDBpRZ7ilp1EtuuiaI 58tjsTc5/Ifjb6WySuxSLDU9g5AKtbWQdSs+NTlLq6dCfyt1hAPzTfKjB0fyb/LDtvqriptHxLab IZdtzg6qPDU5MKEHzVLUWOosLspG3Sfpp06wMMGzwyACdDnDy5Ko+fJQl8qHWAkMn9MrKxp+3rhr 3jEisKP/9hWgjX1s/c9jlj/HeyAxovd0zOhEtGJY1pxMFo39jbwkw5CfctDGaQk67Rv8Y1gwxrxh bZseyXG7+p8eDyiEIVZjm7zhZyTOZVDXIeDSUgnzipfM6HJDr808xQO2ktdZ3Jgvtd0nwt90pwPG HMRfhI16w3/OiEZu6kSmwsE4Hsw3u8exzUsnEqXkJ4Zp5M6Ji8y/ZFZJOsSsnp9p254A5jaAKwCD s6KWM2nmgMr5bnXzMpidNtWbs7ASkg8s7fWjMAb6vv0V6EWwOOnc1v8JqOK8k26xzKVVo0nKFlxz bJWMc7wj/6NiL9+F5nWS8dPCvKSW9gOF/SGsrJ+q1U7sfZCVFAHM4FDTyQtVJIta6tBIKCohReXk AsBQZjOBl88vSwD13pAHBLRU8AVqLB/6c899YeF+34ZAexJszgKngILGM0eGb40gDnfq0qPDUDQg nwqWXqN4aRvvK0LaMulPDfys46/Y38Iu/GZMmeMN9XPlmADmkpZDL9EaTa5FHdw+r9T+4y0W3pYO tIWV1xgKsXrCeCSY30rap1cvXzXH/pgxqmloRQH+iJBf8L5ZNOMUNIeN7c7nezybNCsk3V6NcWq8 +TyULDLGTFiogYoykAPPGN73fG9SsP80J3nb4+qre76ocgmmqkm7lLFALl0qjfj6sRBo+Azyd/ef oN3R9E9e/HG/bENdkZD2Pj+m3Fo/eO/mImWnuCkLtCCpHPWsocrdsXVdU17x8oFBxlyWERCRcYGO vc3Lyz/TnB4vjV7GC9V5h8xV4R2vvJ5noa3OSbJnHz0XZJAAyzcpHmiMVzr/SJYGBerVuGgI/zuy a2Xh9eJyf9KcKnALpXXd2GQ3drFBgGUzS0yK1HLsV9ZGKVvMP4Twi4031bOucfE2lm9+lwVPilst kpiici54pD6DvlLR5WYAJBuba9i+y2HryOMBO4F+2Z9hdmoDwXA3eZ2v8lxxeBf4QI+WOqpjNwxH mI1BrSoudrZqm9bizb8EowT9nFW0ckBJ0KZ5EVkbJGluw7UHcF93hr3QIVyPP7eqxEbwrTuWuSks 41umVeYsrioHIjp+u6Kg2iAk3MkiqehpZCc/o6x4ggYuJWXoRI0n9YbEEi6na34K+ZC/bHQ3dyA6 /T75b1CEuN13TMc6Tj73TpOwDZPtsK5NcLXN88ZrrBbwhyqx5Ehvw17WUbzEcZNTbQIrB+uh43Gw Osot1gv62TUYdB88KSLSZn0q++/l777pZd9nAIi8YQagv9+gKMCM43MDki4xEa81a8XmHhPtX5na MaDiRr5Vkd4mtUemivaBGpfFybYrYiVlkSUV/YVh2lFrRi/8qIWMrmB2gZkv1OXj/QocnkQjln0b KNY5L3HCP7JzbkXo0UtHCk9Yr4Jw/OR5tvSmgPXPcMuf1zmbWwCb1bHU1WLIH5yZ329CSE2jGTzU ki02TMnFv1mEAUi6AFNvTKNZc9YbxKZaFDs5+rb+7ARWvsa5Ib30McYOxQMF7cuKRoNW4KYA1D7p wxw08ZD+shu5SrszZEK3lsARADPHBGleQWYbtAdYi1kK0nzEd8aI6/mDsj06Lm3u0QziKhD14fFo GoNlhlKrIZJhdS9FwwPtBnH0ihkhjsynhy8c8DRZbmciHPyRdtMMjIqjEEdgY+JK9G4nE5JlKP60 csrnleL7DNtTaKIneUtENOatZrE6TPJ0H4mQjP2lagh3iIfmSWmIeVuZORukpBQpWm1uWQM0XjIM L7+B26JULEBOku6ALSNzNylITU8lVQGp6ykei9DueaDXrJI6yFpYJOn5scV47IERvbKKl+jpMAfX Yvz5ipOJDYXBy4lVZVboGW1eXXOUOTSjY+uo5cTD2OxV3BwErxdsIpHRsezrFiZdHqwxfmkPtSSl GiACqdm46tt0TRGNcZ+pfBHI4WeyVorz5vi5z/wco01dGT/aE9tX5LiIxnIZghqgZSyn5fCvMyik qM5O5Fvd1PFt8hC+e0hXWJYn9cOB/S1WH+obc4OjHO/tfIkU97B00n9nBvRMcnvzxut7/g+7umGX dkRA8foUNWDPwwyL2YTFqIy0RepygmeFti/w++wU4OvnZ3xCC9+LKGEtyQ+n+R7oFIMCmUX8tw3+ dpvEBTt5gv8OQ2elbJ1X5GurUvE/d3HSu3P2JsBE6L1iKwu2EpNRFDho67YQqmijLBP53INnmtM6 zvj73XpCUNdHvbYWa3uQ8IV4r3dsMyLS4KHNF7hCrBhlpd/+KSgyhrhwE4yvC61+bKv8Ib332bmR 3tjs+lG3N5kPQW+qnXy+doDT2jliQvNOZe579YEwaOisNfV7XV8rGwBbaaug2NlNrGjG8yAzox+X TJemPEqeW2T3Gw4pFsSKtbw9jX1qFNz3BYr6VkZrvjF1nuLRYfjlp61sdk+6rEwxZo3QjWxi3FhS J2Bht+1Ai8OoA8vTbWn+Z+qDqAbteK56m8SR1azYz+OwBIbeMnJch/gI+u/e09H1H06VZz1a8ytp cfr6RtgQ8EidOlt5+aVSo2JXYVh21L4CZOxI4Gaae6jOAagxgTL+ZC0fyxLiSLT7HFIDvEp2L2oB XvX/j76cDIodYhquSM75KuPm5rN5DLfxlZ6MnQqKo9LZwC6LIa7Fy4YzcCaKi08BSYMGtpaJKoeG yG/l4FrfreWS7VZtF/W2GSVxGOMNHVqj5QUr+MYNRRmgYrG4tEE5g2ZHCPhoTRiC7t4IlZ9X+btr BJV9x2CrfNY7Z8zYuo2YWULfqEqjD/aae2/4PaW4qNnHAI0xA2NyNqyzNeXk2eBs4XaOcm58Vi9V +9pFtvd1ZP42BEuBbLaJoozI3JUkAWiR2t9xpFyD+dMltstPXtq6HhEW+I3YLCNcdoavc2GvoUV2 hflahZU9maJ9jtp2DZ1zv0KA+c4/fdpPSpWnYxMmH/SMOlWl9ke/l0uN+EkJ+3KA7yZI4e7ujloG AEqukck58gHJk+kA01u5T/YcH88NxbmE8y1LFYdyuNdG0eHSj3lIGJZN4ULvJnUB4WLAxyD1D7TQ r/L9VCgtPpj1kGAaLtY+kbY3tYBlSi81Axmc0cCewwFtp0biBUWqWJ4yIzbug3OEPtaM7l7E2nxs 5thItMZOVNkF+PkKPdKGzGcp6AH40MLdGEVQmWWL3W0O2wK4Dm8AMqYR4Y8AZUyQCpuovHdiANl9 +0yB1R+uHNLhEV36Yeb+HBVY5CYqlyIqLLy36LYCV72DRqJ3MWFo7h8z8L4F+Q00z5qxOO5kevuY b5QtkaYUwsz7wi9VeMwCf3QtQfDz7cJJq4ic7k/dimNsqAQfwzeStkLpGVfX6O16sJnDkl1gDOyh yChDqiWWM0ojNKSAP2s2PUJE+gQ/8/KPCcroudxum1k+SQQnWgicaz+LXy7kNyvkPQ/USS/VVclF duJvb4PA2AwEg8FoQusClSWLTlb87KtQdZvg5NAK0SrrVxBLWekN0d+14A/rXDDwjiZkJfaG7QTk SrISHp1L+HLfC0teSUzH7S3Nnnkrg/k+DNiP4YSRYJecz9pFmRCJJXHbDuF6AIa/GMgaU7HPFn/B Bz1QEbeWi5W5E6/rX2+O7p8jO3rDdBkNM4BV8H7PW0LnLokMYAL9xSZhFhGdcZDJFk/6l0wwotOw I4WF1QOlDYXP1fj8ZZL9EtS/aGGv1ptf0Il1Qi7JKFD7I8xxU7BdbQvPVWVDx+yvp6Saf+/QRDvo 3p1gSPbLHr9dopenmQTK8YTdFwkAW26GaU68DU0a0PWJV1KWCgVaSWBcwVAHBiNfcE/XkeEx/vXy cHgr03lkNhw7Kvt1Y6jFMSSYfsk3uvJfHpJGuUxKWU7nFjfcNj52qZErRVseJcLarQPdhZp4xsYx HNd2nzNchdRHl7xxkAb4YOTxwowJn52oSfoj1+H0ypBceKUkima45ae495BOxYdDDRuIvzieC8oU OmLKMS7tm6jRzrq3hK6SqNH6r/p2Cf7tGU+qubISML7oeCxjGMYenQzZYkFQZKoWhkTmkt+zp0wX rHrUAmBd7I3bjtFBaNuEZs9dwoX/8XjT1j5IM1DDkkXK0khikhqkywxSKyIfkg8tyvhpDXdvdMhW v5/NvenP7LlmjH39MIEHO4aXsvbKI9iiohL61FluXqQyJI956jhz5bYtEZG5yYXIyQ7Y+cGtnTYi Tnx6XO5z1XrGTfJAaScgfJy4IIS3uOZDOJfROl2JZgJcAKIoGldWtAKPGjsvfbwnHU6ZpRS/ENHg kBnsY8jQYSXhHT14sHyk/nwcavfXOf7QGeKzbOit/3rBgFfZLiUDpSW4Bwr9lCBy7x031MvJdBx6 1oZnlkryjhD19HdnTgZTO+v/NUk2/7QJmpmg75Iu3j9Xw7jpbhYu7YDmVpOXv4ahAZgB1mUZrWWS 1w+BqWAVQokRDtwQMy1iVb5iKS/YAdMAVScRgLxVg3CqA1g9zuO3f2fwCq/NpOjq3I2kATZ9C6G8 WJgFRnrUwoNsd69+phldE676QHERErc5QbLV1ux0kmzkhUF8CmYLqDLo9kiwSdmILKcDQw1qEMla 8r1YztbV5XMS4fYvzo5onILuoRGAMztUEefJAbn1DTBP53AqZD1ZvaIh3XZ8F122wphH9qDTnvBP EmCyLx3fvCgQap1XKzjk7G387kDqsHdRhGL1j7jGa0LKyFLCeVG+IFW5vB6EtPoU6nTq+oni0gZs E0DsWaMnnztaT5OSG8H82tHj0OlwFA9YgdamAWxFg3RmlV6HSta0IEJG5Cc5A3Uj7rGwqri7lAAM qqRk9pQw5rsZFYNXQKV86GPV4zIShtnldr5jRb/YMMpkyQ9YcmGXxPDcQq4F189UuvInhFdyAs/7 4zkOFhXNzdIAsWA/Vx8+95k0TQbEpWTLUuHGUl7LwJ96sKXdPRtmY5M8zahFg5AuTNYeuSwn0wzI 9AE2ycQp6cvXWUtKkJmF65oFhwPPf0w6vgV4NLeoZPOJlrG5u4ErrR1TrMzMkB8rACbP55xW+bt1 1Yho4+fRjlOJWZYCM2/TzXR0eCaHSQBlhOHpx0xjegpC2m14YkgqJFygljAJ+cjn9+5kNMHFJoSn cdMTL6gF1LvgBmOtcijdnem3riWPLlu4AjnaWJ81VHZREcMaeZ1kzME8i8ed9ocRCDnXt3DreL5R fIaTVFIerW9s3L+/+1dXmNjwm5Dr1F129UapIWyD2c5g79e6PKYOq6wovFQpjWLD276oNx/oiTrC JTYjixKO0jOHoHrxfFs1tTOih9xxQwp8eSN6cWGGThtJeiYxp436KB2BAzoIe7JvfXxhh12bEDjX 5C8Occish87RkbBrAkK7RtRAiPM5+oRrW0GUJqsF7GpiLv2BmrzLih5IVp0wUytRGdJLBcKfDv4k Kn31UCVBACJKC/BANtgxLRcOjYzZUxkrJ3cv0HXP+kE+TrxAYC9UBf06QCKEZsH0cNNySPIc1xQL noTNt+M2mcvct1aksL/pGrnmyeZ0eGTz2yrOhXXaoq88EpiBK4OTbQ/utgBRQztOF7QaXQGDtWX4 YoBIHnQQwdSIC+vUgWaIiYNKcwpZcF1ngDcl0oeVnoOKkhp5Y/4+ZG3L8OIWHvljNreFDv2y3l9i +EynBVtSDBBg1RdT7aH/EwRZEKOyFpMtwBwPsnNr+SzmNSIuLrWgkRfKV39/IkCzkYmD9MVoQt58 3LwsXOiUDuxBVPRgg3zIF9H1gnbsViMcLGPZ43M0jP84ivlZi6b0m+DR9IAuMHEGrIm/mkXJg1zQ Tv9wSUuv+ECAt9GBzyKeKt6Weg2n2Nsc2RUKalVAhH9cZBRcorv7LLmr/A92sJhFWQFpfJnafCZj 4P1juELRZjzSvuuQxnKnI3l0Myar76OaDibCMSysFxq1ZAM0jhQI4ix863cEsJL9Y0Tie6LC6BLj noJmaXr4s+9LuK8R/s0gBZkJoWcgxrkSsqd5GjNCA5EV1IhbKLEMjaGCNqm33Aqd8AjqFweQQwzJ s+DNixa8Ak6Wz14zxXb0TF2yzU1I4g38Mang4kafCEX+kNaHi3YKXSlIJH85mfBz/LWjnDVC5lDX +EhIc8mfqHKTop1OKG1n+PcskRUR09tM6g0QvpCt9S75eVfDUhwW6jKYevAISXoGDaBWfwW65Dn1 5R92nUlP07Rg3I3Q6e2qq2iSF+skmS+OMkdCF0RYje7oeoiEZoH5gtJHrGn3iWEZgcSz3x3uPmeK UeXNE+hMOkFT6zx9Czf++ZtOLY0WkgviSKEtQrS3yQTY5cDdTsAzr4uIVL6r5oMQMCokJ3XNHUVd 9kWNtbGemtVVRPiuvJPLWy+iks7Meft4O6mM4V9TARqyisuVQ7rCRYtDZs/8jJGtZ+y6v/wf9+RL yRaKKHpkIxkPFIIGXEisYLbaFfELrrS6q7INRrY0G1H43w2xOZoxbpf5gHBUGyYZAe3PKYbS5cuQ IE9NFBgzzzwsjMGG8KHcDOF+7Js07rDnX8fFFM2Xo3FL4s3E5B7rCdtRAnh2/dvKnk2vjY4bt61J EncbUZpFAdfnhw3hd9DAlFcUNF0XfOCmpJgq2eySzBuEK3IVeFmnPqXN2loGW91YCDDgDzRZCavL ek1i1eTasjCEkO5wN05uzIjknTBP13o8Ui6/WX6z9uYeIslmX9c7PmVA95lqnVYvUlDp7BJt8V6z haTKQWMLJVnv/SyuZE3oF+9DRBI1sVLMPRwJQlpq0Ke3Tzj5EKkRdGOk9qrlSxV28dqOC3BVFsK6 xnC8g1I1bnFDBHejZbFGMKNTDA5y3QeSXsvEopM41Wo/+mW8TjBYbjp/HtD5bJktr3dnmOYKOnAs qaFqLlxHLA50/nedKI+6uvifjfzpmwuUIZWRi8FCq0KiWD4mbXlZhHWEX1CJwh6igADwbPbiG9KH NLYfJCbv/2W5ncHXEfiTEkUtoCaYjRpgbiETTIENBvBYzC7QGXQWE7Tl8m9S5z/IX5q45DvgyS/h ypj8UnSNCZ5S8LeFWqUWAM7Q1ODBoYyfSX6huqBg9+Au/23pOajxrZDiRd1nRaPTIjla035Lxmug WZgQ3I4N/WOyTMy2Bw/GfgHdpv5wIz6A2Fa0yd7zy6fgPnsJvut6p51zTS18+Ov+XKuanZ0ELaw7 ycnTimH/qdNv9Z31oB3Lm2uxYGi2d1HFS2Decw3gswIYZFSQ2OVnc6J1DTO0NugtxEtl8jJDC3bg Wg/mTMITQ8e9V0dDFPwldphvFk2+GLDxKzE/QHQBTsYpu7M1TAb7ZCGtc2oDGFjJjdSNu9o36/Pt Rey1TtW6e6dMT5qjidzmuUKD4OSOvWiIuXFvPo0T+GDkSJqzCg1OklCIZ+Li/W79KlnlO5H/30XI 7PgU/ja6/348J0dus8jCLIUh2xHgy3vSUtJeRTxeAPDW4XD5icafjtDY7Q/jHv3LsGB5fuceVQzf aFo0lJQk5MVGNGIQYRVwFy+QmFx2EiJJoneoSuu33Xn7EZnO4zL180o8GlxJUEr3YpGXjpFGSHJX Gmybs7P8LBw0lK8slK414kcnolLowogW3i6vAABNoVcKBz0IyvapLg1hc3SqKm4AusDKi4820Vnw 3ie4IadFVv6hRg3wIk9eCX1MKJB3l3eGAfn1lEb25xjVE+VwEX8HDigoAZn3YQ8tkn7HDnm45zEl PqXUPfIFXOOgFj5KyHY8aY/28WUsdN5+sB/2a2UJgKcUXfhTz2rx7W5AiGlTujeZTvHLm9gExKH5 B4YOzv+p0LvhXft873HcdjBckdKfB71IuoM0h0Do2zt/7+GyFygHZbIRo3bjSIBm2gAAuqZot++X uIUzSBvdb0HIn7VlBWfBgpoLcsn6NLLe5Y6T5sJCvrA/3XPddTJC5HQj807vKleaEQ3601QJrIwN A3ysEmp88J17HfDQ6G/+eHhi2ib1SlZ93LTc2CUhuNzJn17OpbyHf9+Y+8ZHdakSCUOis6QGYPtU n02kbpLxkLeMqXCQRuEZoWlBAqKU2sPY23NGk0YUyNQ6AC3BeNPl6SrI86Uv1JPtCUZRbE/wJCyV 7chCnukujdP7M/5AdJS23rzqwHiY5MTPz5zRNRZtPqEcfLOwNzVjbgJ0uuMEt/PDzQiFp/HwqFuW cRL9YifxZjynbFREzvP5ruz/+VwsPiJdLJzQEbtUcxdzVZktdq26MSEUMoAJmSMEIYuVU5WLJn2t jWnGxVKykNzPkWKnYyWNW0ryj+BnmXkOQxtCjTJEy2HXSIELJT+i+2zmv+eLYOSBAT3catLhzGAb PFddK+Af2lGN4b4kgYO23aWrAL/8QNIafGLlUj86Bh+Sko3BTy560q94lFl+r3eX21cEQbIOaJ8x IjrsYrPO0fnHuhqXJQnTYAoNvbdWzMx6IxflSnpOp80+D32kjpxT9JSe3YaO8wM5t/Wn29gqbaQK 8G3dsIhxlHo4/6q64eM9sDY0HCqSi+Pcs84JAu7BOAZeozndIXpb55Es1rbn4JkNX9rzH8FQFYvM lbSE4xafOkVktvhczTTiWm8RVawJ262456XXoRcjSe2vWF90U/BM3Z3vh6XZmHzMzbpbthJ0HaIp ojxVlzf2VVJBarhv6nZQhfshpWpKszxdLjxO9rTrhhokDx1twBUTExzgNk/IrQbzqGZ1bq9jRHVQ kuyA+5taIrvksLcM8jUpsQCTj9X6WC6lgTJhJ4CnwSdR4KrPDMrb1QtxhPpgaM0hAghtDEVTFQLf wfbFRHvwbvkBulkMvLSKW7koMEh61BJnd6gVTW7xjYXcqwPtGy4tMsGVLFlzFQsRZW7MQRL0BvNx +Y9Epn2TsJFfCorJmMzKS3Rol4hr/Mpz/G2RGyHmJOvJ/6TZSk/IYKJpUa3SCVauX7lSZb2yGOyc GQ/RniWopsmlMf2rqxXfMuFyuAvCOxLcLIJQwvmaIixDDWlNfzFcgWpaKwc19ZYy8z0M8RJHatT/ W/D4UW8IriAjqy3ozTlL6DrBrR3FycQQGk7l3YkQ4fYfhyf6J/EnajWDEzQ0n8Af9hZmVE9qq7ap G4xNwdCOArlx2ghk7FYMrxeE4uQsYmJWD8CE+x/KdWdB9GNi+GtmXliUZjXKFIiZ4UM/m5iwbkMO 8gVZ2ZjrHTeGe4XyAKdIQeQnSe6IPOHXoj8yhTAPkKLLB/BeOTniElQMt2NqS906FNbNb3nVfi5A ZiFLqVtUvKQ7ogA6QhAE0vfzbS4e0zsA8rQNZ7nN758+AY318FqXWxf3fSH2ZqugvELLF0GuWPrM AOkaLx+D+MPGuiJQjautcoCPqHV+VTAvm8v3kKSuGz9FOOAszhT+O0IJSjT8CQDzpXFH6h7NqeGU oMhcxEMvLczNzVnVtsdaThd0N4P+JTV+XqqT9SZ3DGQRQsmgJYVomYhUgZB+ovozBGFsfzfm+IiB rAL63ITZ4AIeyCxyO8nEcJ8M2s0UpZlk91VvRXbrkewOoi57qk8sh0d9+5sL85WAR/o4nWMCxq+d SWq/WGs6cCdpUqnZSGCiLviJhiymHKVHr0uAT64POJXTUrGPlORuC9QSv06q8Fxtz9esou0kJ//N hrewq1nqV8yvFlGJ67h3yIMWt5mOCaOY9YcsqRBgmo4FlYVKznyw3NlTWfLfALI66pEV304DKP7e Tu72dafkNKt9lJmyJlKFAVtKnBotGyb2COSP30DMjp3NXBIIeBCbRNHwPs1aKn1/5OdDgbBwtVKW HxXTJJViXMwEBEbGMzl93owxi4Sn5nU3NYaE12giPpYSDWhC0shEwlhxASxnHj2kN80l4fhaVWPV 2AvE7VJAU7fcdJXs15FzHAyHU7tGPRPB30nq/2Chmo0mXlUdvA/D3imdbIBpbBekmPkF32XQUC+5 +dvSUSSWPp9uof+ZP3KDjc8zlqTz18sK4f4xJBSPFy1epO7QJ1yGorQgq7uEmFlbIF+xX9sc2ipm jP9sgoPMUaV84X+eaWDIrMZR1fLPjavW8bPMypp0/CV5IEfADVvsX15jyXqSErlM8ju1gbSDINj5 6kCwuzApSpXizLugp3tVQE7HyB0cYvEoW4g0cFBv8Zic49yPVMafrCzNeqiUD5smyiAkZ4DtAQvL XedLM69BFw0UdpnvNW4DCYuELlNJ7+/23Tz/VMphhTnATnxVSbxWxzV8d5lfePKnxbV+lXggVBsi JOjOIbA0MOO2egAGBvk+kjqc0nMrfiuZY52+vtST/O2knGXOvMON7bLzf7IyH4Y8tszK23tyE6hW JK9co1SoCDQ8B4WXUiEiYpz8goWk6eNNjtWPvxMYYQfhtuim4CLnehmw2mLxdam/cPznlp5oPtzj CDDPpgUBIfAlpwvrCvTR103Lk4GT9T73bDKvWHseWNV0ty78V3yeQUzOWWymDlhxBiOJ3VNN3ib1 amjuGSy5y116tR8aOGZ3XH/3V08rBuEt10whkI2LvK+ii62sj5YYe5bF0ZHZ+YMl2BcMb+SNfkgp 8RO1dWUIYc5lpFLiVuaYDLQa1cqISMkxN0f3SV3XzMDVaRgc6ksPXniGD0H0QLGfMwkugpUuc12F ILmDN9m1sowE3VUVTTw6puS5+6tm+ZhysyA5YlnhD/B+AcxowvKGHvNzy7v4/BtwDyMD/3iiH/wu bbcs8VTLLAJ7mDgbrsme5umv8CPZUAEcJSB4jY7QqeF8Xqu6FVLFO0rF3PnlmfF+A/r7twt1WyfO aQTAnuabL+tBtz81dSAiPzjD61QzsfbcZHY6UW5qXSFpwBSysxkUbGB72SVxXlSIBSJazrJx6C0f r5IECQoPOfnDJJPYUY7BOendjNgAgcasAEPjc65mvse344WU5oGbaGIle/w/e2pD4tFQMoCCMVKv 3mXtRfRNpbboOV5HgkyfcdQV7I1PN/ys2Ff83zy/xGg7Lwda3a+EH/DQdr1vZ3XEXGeltmRBHFmx 4hA1eZ5ak31O4WF3zDqeEg/sfJMewFC3hV6NT1d3v36/B6PQxaecabmjezNCUBaQUKYPfYkzMHDi 9UrN/sXGqMuxfisWUZsgIPMpLyz/NKOATCNd75m7NNjK13W4rUyIb1OmoRREgt3i22adXGYgfmgn 0KrcS9vhJnDM+oy8jwBP7QPUxiSvJN7q28x89A+oNMYpzZggT1R6uXQQ3s4IK3UXNd57o2QevPvE LTzA1+G8V7wkH8DgOC8uI573ukqKMChf0h3qftO38M6OU/KtyYM5CdC2A43dAoXjCwBjwxlKcQXF pgoqvFIqlH2tUtmhFYus7kOkOktwfaHb7DxehNl03UawaWfB0btCejNgl3RA0DQIbmS0Lz1PuPFy 8/QtEy9HN8O1t11oy7Evk+Ht8PJp+c1qXoykX+42Dy/mbfYrmV6qbl17mfTHAGSZ+3lhzIGAtsKK YcVoucpdjyPD6gRGQi60D37UoHup0sc2DP9YEssBjl4b5zEWjKJr/o4u4i6T/hik27dVE8u1+Jqk LkXf3zB2donur5a273CbtD3HFO5DWxspNaA3nFHm+GqAqC+JmmDPRZ4ghQw9f3DEA/PxluPpNFlW gjIWAkYUxctBpUVTudmQkrWuxvzVi/nqLbhGUaAYZntU7SJ8J07dtCzF6rTFYa1wuWdChdic1ohN B73X41L6kIJEsYLbSKEE8zHZhDm7q0sEzjfEE3caYJ4OMdAq0EBMvUYauTK1AklGbEkQiBc/dcpz PcYA2wdto3BRpIBoMdwMSZnxfHfof7FeYsRoGdUC/7TNIm61ISQthQYfZNaZvsIc54bj7sw16t1X g+58VeFCPScUb8yQ195hIgn+oocQv+7SzRvQ8pq99Ujq5gkqgxqsrOiP2XHUScNgRgtl358lxEBs VjCw9LaJ61/3dRODX9J8/+MlGQw6w9NZqQhOxxJdkZbhd1gGy8T+RYHMQObV3rfq2Ipf4X5Qbalr bwmEIPmmjVWLPeYEm9Rw8+9OeNZys5DC4F4jJNNHat7yb1gimv7xJcrF78tM/3HqiWB5/p97YgHS KwzHbcnTAXdIBZWzEPXErpuF7oJFApU2D6N33cuAPEQhS/lsltXPNTZKTkVTpLWHemVYjlpsc/zc OsP1gCAVQDkfuQ42m4N42M4aIUWDMCZFIDwtDhthDDcciVMcd5LWOJk0hQ2yDiJHqxYTP1eNnaCI v01gESGFyu5O952l69fVvUh+/E4L+v8dOgXImt908kfOaYvq74+aKufemTGXGy34au0ZIKPEGHTK 7qvYnRpHUaZbEeCRNx1sCjWg2uaxfsdxOjCDXAre+QJdCrNlHt6tMdhj+75cezmJXGNievmKSJtT 3VbebxGFI5kwu/7Ju6AWKu3uXOJffe3QXU5cbd7z1eGbUMGEcnmHdFQg+M4TG5xSQ1db5h1s/EI9 4rtG2j0soJZ0BSZ8/MnijFgE6v4H7dyaqUC6YaEP5+lhf+jA5fnLSy07g+F4F508GJ0V1Fji44Hn OwqbyI1T3eBgxSGOM30L/HNH110N5rVpPmP2kG9C34pKznqLchnaTRFe65KLpbdxcsSSLd6vvAAj dJc5rdqWKHLcAw7S/fq2K45TBlnqDD/lenXtVlVPhVDiNY7jB+4nr49Jx4p3wHtf2Co6HJ7vY7Ej SQGJkkg0cOTvSHGf+wjKQYSVd4TmJEfAPS0pnBNqDEdZGnNX0Y9ZvjMdhijmToKYNGusnyFFOJLp iJIX6bm5S85JpiUvLX9jHCOEfT5AkeCDcboE3YH8QrBP/JXM9p9g+DflO9jaTXzgs6EQaE7KO1b7 gUVNAYsGwEFhm+jQ90iFihgHaRX9oN7A2ehn4hWL4fR44eWFN+KgUh34b8vSeZ8WUYA4EOhGpw3C dhKAOFLXMHrkveIHbnp09ZAchlbeEDhoKPXgqEHlJIDZ914KLiWT+V1EJqgdbt7fMX+ClkfI+0fM rGq0e//hzitVAUOHv4UFp2y7nTm/vmfv91W9VQhJhvrNfZ0b79KaTB8m3F1mbWmpmM3o1V/Jsz3c /A+y0kc1GJ1yORBJQriDjC2+qFFNELYFr72pbmQDkYZdid+2DLBg9ocz2Zb/rlUrzJ/o9Y9WTLYJ e9KSBmD7g7eahL2qlddVrWGbdEivNG/j4nm94UxIdryzWkcFeiHZHtU4ldYsYFjb8AHWoQtdvBZJ IJmWIhbAabIjuZ96VJgtCp9wQZL7dqtLxZaQJWmRTdURciTosMlCfn7d7Qbzuam0n+pAD1qeszqv dtqHK5swRhH7y+lWZLK5ds2ScEnecZKNolAN1KMKiENVae0t3JzQZ+anJIVmU8nRtLO+0AQgT090 zLWsc8QHL/3jjYVrhxgI7m1ADKQ6QfJB/mVuvGF+3oh660BtIR9fRA3jZ9GISyr3X7P2y5su2Qyg 5rJ+RVMvR64a2VCRHxjAN1bJDMy234x9Al2kixgGQtk/8eNNQdrnt9E2vi08pA0b4NvJzgtfkoty WYKHHoryqnFwL6dxL1PoXHhhNPtbd7aaJHjKRkceFNFlRXO9hGrlLrWqU/QsaASh5KXWlc8x+fUA UNEPUXn/ZAFoOR6rUnXVGfY30gfuNIlzWiLNJ85/wfrxaawkobdtREHGOXB7SfDPRwr6w9RoQiks YuEUEKjHxyulWZbAbuqkIO5ExB6KtKMIMVB7pk/PMGYGjvOAmCZe3ACTu0scruutQxxfYzmBts2r pAGovDRVv7IPTj3vYrCMLC0I1xZKydU3wGEn2zudq0uSBHMk26bcnVYu9knXMWcWKUPsc8ykBgDd wS04bJhventNjvLqIh0+QdxiteeuoThokaMT3RhWu4pocxte1yQeddMdEDDlqQw+5Pc82InADlQ9 1wwz6SepuDJMc9IClUQhPWNE7VILSHEcN8yDiUk2ky4Xi8Cy+bf+uAEmmRkHshTIcP51gGrC1atN J/I4mwYzjCe1IG7D/BJRr053Zkk1VkwJ8hTiSd5g9UDgMZ0FdTOdsl5x1+w3WVaUerOf++AVr5ri mQZA/NcgskGcd3gHGaYtvpxEMuViO+LCpJbcFUZT2A4iStfDPJoSRi/zxxgza2/hfWSbJlMwDz8k G31u1CH+RKi7Dh+eF9OHdCDdVnmsdAuQqvZ8ZvhzPh+cYtpfE+rPSDSCdXHvcRGYvl+5Qevw54eg DwEHhoVyB8f8aW9m2my+sAP/bAv3bPCL1NV8qB1gikdJZyQEbzBN3++nYH16vn8Z+l5+45gmxBCq /hthf2EC4Pve5E6vIQ2NRV97qg60sLxKlBEBYHwwxUic5Ab/h0QU/ElK9LataJ5HV8Aad/J8gpqf ckr+qlj8Aaz76U8dEeC/BM+l1/DgMeHyxMGKezm+drL7BEKuEYxsILgSU3vNPVjpAOdgFfG5zqPB l7/eppySBRHqXpftLGMEKpJ6A2AfsgWYx1nyhstG211ZbiUCUpGULVM2KB54L/IxOQ4VjuBmRZY6 6NLkSXxx1CiDyl84MXX3xCIjxDbI6DnzuTVcMOENxMKdaV6O/ybb2sMcQ4bEfz7aM53PdJL6tr7L t0twXkidWzMHO2nhW12TZg2dW5b9nGPN+HLDkcLPkfFDUZLppjncww1BhU1652KMVQtKyscM/1fX +OWJ2+63CwSJZjRYb0j1JEM+SGdesINHwQSkBd5iIIanlqsdmCgeaa7Oks78beDQvI6ygwdgO7Zg 0ZCUH/vtqpUiMzn2sTg7MSANKZSsUZCj/7TXTwXzqPzZ24jhKQ/yau5Bgag9j7MOrwSe7ZcGyzBw nHCPkeEBLLQz1JR08qc7NKDUKub08JBelTtluvNujBydsV9mjOLEwzgJQWUG77pADmKEkWSKU/iX EeLRM3AyAvEH4kk+jWv0bD16/XeXZNpZUmkSaNTV6/qQRnCoXA/Qk2RdiwqjZx1vSFTjA7EcXZCn nv8g2N8T1mSmxTpyKI8HWahXozK1DQ8bDEkKi8UsGGFI1UNO6JOIFIvdSQDicQ6w1zksEtTOLG+8 YFKpnIoL58S43w73Fv1QkqxkkutrTuLibdSPZcmdyeEdz2TkfxM/TNeB/bdpePNrgjezple7Vrf8 bTrRprLbl5xMsCNzE/4ruQ81zZMqAk+bysPJG3IJ4eK1EWoACCWvqe3XZl4cOHsVW0+9CqU/wlE3 rvsHUzRoyx5JJKxnlN5I0nJufpkD3kcv9JtxodEf1OSLg5OMGvWszB2se0wST7s5q3Zshfw0c6fE Jux10tCRw3es7XGLrEm5k2HpufeghstjA7Ia0YIM5wiqilbLl41kKm/q+GWOuOnhK51km8rFsHmi jDCFVrGH1hiJVjD3lKcz7N3bhYqbblD1ZbgSnigabHV46ZiBkPGV6G6ncfXnjZmv2EzPvr3I8n7R L5+JkW2hduOF1URBP480fbXwalaVf4mqGN4snbfRQuN0V7eD6afet9WNVb7ucoEYXxAykSh8aYsT Ty0WMIBSEBTe0dHiu59O5DiLk0EEV048CGCWQUuTTY5BSz19wzOazJvHxC2iiHOenn6LSC4JU4Bp 6ROZJXDCm+g7XMBdmz1aAVa44mOm0oIPbDe7oQAoDfM2FdGpvnM53T+KLGBreHbUbVmftXsTvEpN jCyEwllLM4y1ywLjxt1t24srmpLgVxgRfDAo9gEIW8apxSzzymymnmt2zpNj4PmvNUggR+BPxKi3 QHBcQN3XMSvvMBQCf/XORukBVaRNzOn4fJYGKtohVoKS8WkJU7hUr/4TscK/8RBH+B2APtldeLF9 hQdKEXIYbMfzf2v4UqS0SBp74xpX7+MYjbC4iTC8aRnD02tXL3XRkEb/syDdXJ06fiQq3zmGT3e9 xJoCtu0OarYSZT8SUg1inGv7Zv7fV0k5BShL1Ov/wrI50M6PKSIV5F3i9Idxckun2s4m9E2F4xgV 6pYfMHLhEoqS0kh95RvbKi+L+zWI+LjanfiDNKxcJ+yv81UrmUv8g+eOkOrZE7MGK4fiVokSYeAX eabfSatmlFm7gvAKhfD5obK8ZW7DUD4Y+Orv/p2K4Sl003Z28yS3l3qStya+mQjDsjukoZRUjoA0 laPs9TYEVMYYjmB7NZarc6x1/VnGMXqezDkki6+uZ3HLRuZjHa4LENqBkti2XUjDbO795EF0QMCP fQwdZabFy3HUoCC2WzLV12eSXKleGPZfMxG9UToZHyiOICL7VLi+G5voMykk4W5dKw9c2s8rdGCt mHgFMOFhMbmQiIT0UJIHBFUKWgv+xgoHdz2QZhoGWqmyZc+U2JBCF/vJD+MqIJDhWqzdENXcUZvp 1OnpyS53lIK2X/5chuznBClj4tMuASF67Wnz4Xf6gRyNqF4ozt/o5I9jVL8/OdCPkBM2m1wIqjYF PpT4e3SCkzECUA30VFqELgtKz7iSwKcb+VQ11Ea+phjz2nHHfr5DffJyZ1o3vz81+43vQkvHIQKM NT41+ek0yYXi8phoiV4IHC0zPKVJD0TSZ40CpjErubpAGInBRdIkSxmvFxwH/OffDISEu9pYhZtK inbwaKbv85Ds0F2Ik0G3GZ/czUMLI8U0z5IQUWojcGkuJ/92V0szedkTQNgiknxlzK4beyarpr6Z T+ULdLIFDyLX8ufzHYxEhAJ/t0CJ+xbuhmFDw0lgV0Jpt5+yhFt5O6d5jav2EOXfbWcUcH27r7uz tya9tnhn6sdaMZNv+8hzkseoaRJ+fKwsPdSi4xuFf8JttnMAgyWzWyRE5Ua55wqCEQFiTEL3Vogn kFzU08diUkQApj8MAeLbbYUyY3PtuASadoujVsrLKcvWuaI6o28rZCATI3UpCdFVpT8qb0bA5TFe MYAK+4/TnzBjTjDY38C+rf4VsGh8pOR25V/Au/iOoT+yJlEKsksVQZWUvcgGYLidnVbgDfaZmMPj KKiB498QTAcAUeh6AaModtLkUQzKIqPHd0g0jcmNd22RBBYnkDuOdKMgyp+AI1nLiaZaZhAbz7qH WGV9ts+8/XQjj9wj0V3n/e4lwjlHOlfkg0QsSKujpVx7Vf7HCiXPHlYf+az8ZOrmbKDYybhO/BWJ 8Lu9hqeBzrC52q1a/UJH/OIUSKidjoVHPJZUqh/ReQBm1uLriKR9nnX5gqy8EI/Y2MkG2ItbdGqm q3olS+ghgfQ03yCVgqY/1GuC1elst3hQDMlVDP3F7axH2FAWfeTn9PJURKWAhEp55TKmed31QZvW kB74jMk8AVhMShR1arvK7zVcJtQtLJd/2qO9DIDkvGSVZtqal7Sa8xtSVKsBT4dFkWN1BwOGMRu5 G3LRbEzgBv5RJobEH4lCBaD6fsDSz1AuNhv5RwLmD+zEJjLILq7vOli96jFPX7qf3eypQIjzlict 1M6Tmrtext+EZinPvs1cgj10PFC/sU2GCexuw/LyFWHTesbVhS0TTeaMcST5aU9LW3GS+9smCm9o xIveNhoF+2AYIrVvWbnnWbw5YJJUwd0WFNPaZBVSgmFNnPErABoXHQpjxvkKWoH+wOPRrOd6tv8J Ob8u26p7c2/BivoPMhPSDJANHlNKqxTjTrZuFIOOCcjnCf5piTokswaDx3zvLET0/5WxFH+bIz3M Ug33u6ZD3nxASfJUHlhkNIXUXv/M5oIV3s20NYhmtVed0Q1yzdZaRi9zF73FUyt6GlZleNrNpQ+V jc32qFUqZsWyG9pOAP3gHO2vEDilAsEMrZ+yZBpgo9iX3EPAWEQJc80w5iy8WZs9vrfrFpYU2BBB tNLkgaFvKeSVVhUhlTWKu7nS0ybO561oR8bGbWJ/c8v1ywpwPZheKLQLCwk0A6TzkM5Alnk1W/M1 bOx6T+2/wyCO7yS2rBpS6pWm7UucFtyZZVc3nFQsiA4Ldkfcr/HKBTbN3sSdSotpsMa/l46OS3nT jT1Wu/WGk9/IkNFV3FU5PpjGPz2pjxc7f2chOnKHJTqOW/xX9UQ616cCc1ElhBEsVCAeWJIYdpu8 SFrr0+tjOxX51xx7kq56OjIX3YjXGHrOToZLwsc+cfjqT7e+99WxdG5GeHOS0nc8Dt0bbpTra8Oh qFvDc5Gx1BBiQ1b7msYys4Pe4FHSMyy3hQtT6XK3VDmGyGGDD5yUZwmB1hA/zKyJMhfNb6//NCU9 KuN1VFOtH1rZRKVxLIshmfR8lCIQPW1Xkl903bCzQkq2MrEKnYaIsFKBL+2TrT5bAWpQAQEjsWVr adSggsFeUZawCHWGPmT4uxbFt/qUUF8dg1h/+ebJor/jO2FFJm/qgjzRtLkO+cskBn8da+pToZVH ziU2BfKJNsBCko6TpK2OurR/yRcS5eAuWxjWwvfgD+FD9nYToWEKzzPz1yWkiJRuahQgP/v17RYt 3xLsOfAzjvkJPL9KT3OKnjzLsGHFF0y3fHD+pMLEHqZ7Jfw4CzsjM44M2Y5dNAHxn7+VnmMJ3POd bcuAdMK/jUZtENWVxDfuxdYVWyCyaX5sNgDsJy/1VIl52KIuI6b3uuB0hB0MBAJbj+iZLE8hzKVI nrWDTgtdj/OdbZbmH/cpbEOwsrf4JCgINcZG1nC0wTKA3gxNh/mAfr/H2x+EfB6r/mY9UeneIZ3q c2n0Wpb9nvjtezeT8Vp2/pBUJWtRPBt5/xYCwJJ532BO4G2f/2RZ0iZnLAbrwVXxy1AI+Nxnfvlh zasyQ80w5P97WptYrwphMYmqhjxuJUkoivQi+tuNB5Vab8rhDPsPaHNfl6bKontXD5OgjwFvIObZ fX80F37yBDENX2oh5Kby7C22j+MTC1A5m3Twz1ot9kIgigSQG23cvAUZApvuhcoviygHz+0klmZX JgroYwkdXJFPZK2bIjPvzmi7pu9RnJRlXBau5wYAETlfQswLRLUdYhtpwz8/0+v3Lf/U9LI3xGyl j3YNfcq5MiBsROwvSzy8P2wQQMxZkIRBligs6z0IGzSk3UaF0Nt9L8uBjfTqk7TaLDg7Cg0c1e9d bYR3bNcpB6kq/hXPehjIKZrbDsjw47S7rFtLABEecIuctklQdpxdGVX3o02hA0cehzWh51sdbowD s/7P9/u5OPSRGXU5Hu6oJBkxMVzE6P+ojOTej+LfJlJHTw9KRzzYaQgDIA8TsNBd9gpPEdRJ0XPX XLQyMtwzZVzNLPh+MaH6rHpAG8Ik/3cCEa1Pyx4GKReNu3x+vR0h9fKPSiiHPYVmpOEGiDoEzUWK IMkB5xC7rvg8XeAsIl8cF0Is/IqAGIA/SLSpnqsNWJDr3w49iTA3WdAsHNAKQoCjWnjANcgpzaI0 CtdODgm98HvhiUUWktS3jQ4y7ntdbXq+WBP2vcVVbK4jSQjK6u48nQPNd1xPb5AIM+580swUCSrv HE9mYNPPePo/4nxI0wATJH9yVW5jsnkXkaOQ1bY2Xw1DdEtOsjtROQnLVpIjBe1JQ/KU9shIVv6j Jv/ac+66gbYUksd/LHMNnkpPEhAMTQsZEd5JMgIm6wUgUuen/fcN0EgeeadsNjcq8CXWOZwtVOMM 3HpbjK8L9Vrio0kM+0eu8LYEpvTun3wYqXyxhBg5s9HVnubVKD9bkGkX3zrlURigt/haWBJoeGDs +s19L+AT9MA1Au3AN4AwBLE+AsV7E7WxW0i7w9nsZR9s34BJZsX6OtrIlu5+GlaIxF4SZWx5y47o RtLfAVelw0igv/cMduVunbQm7e9s0fL6NsV3dV5d381fwqthO073ZL/hhBCTtYcQRr7EWCkTHcNE O9yPmliURT8ZthT4M24bQuXq4hqEBz3KBAUX1qDC03LROXKgaPxE/L8B76Rj+4Yj6QnW1bQ+3gN0 Hx8zoNjj4XGe11jA+zZrl9yfDEAbeXpishPMic4Lr1yjds84H602Ra/2i8jX1IwZEa8PpgE9wA7Y O7SfEnyFkbEsFoQ5MLtW1FmjqMKAntqAsIXdKaXGA1lSI01AHcunEMMiWfIB2Rfl11qwAk7yphMS RjbYq0A5PJiPJHa94tJ/fvcensxV9wIrv9vU35dWKsmUXnLeKzrdTz8MJYY8ngRL8IAl/MNJ3FEm o8jZFuxwJmuTnaOg3t7XMxeASoJvGSwDn2cG3hn2QwNWGt77mzCJ/htBtxruISerhY231gGeBv9/ YCaD/TSIoAzmF2ckOgJWexB2fe0qHpdNwQ1ZB/a0UcDoYixQ9tCggIFiEoRs37wRoERPN7bOo23c fxWkoT9mBraPTxj/x9QM4qAHltykRZ8qDurm9h8owAhk1cKOThZCyoSj84MSRDxzILZLjNlXf/NE CXIfg61pBnuHQeyaqHhd9xXS3kDjFRY9etV6Uy7oj0gziWkgKpX7im3sujrL+Ec+ZQk8NmPX9u/Z tDTEwIdWUScI6IBfT+kPgfNG67v4xb5PJgGmsYRoeFquJbNpcv22pmRPhKZ1hxJtu9PlfjrBa/jF 0fM626YkrS7DslxN4vXOHcV+iHHuoc0gTNpPx3K74wLyseCUdYcwbS/Nu6ksegNQgEOntDqG0fpX ITvZB+5uVlYGKTI9pUrrKs+cnsYN7jicsz9sgAhajQqAW4Pa8sqRIMsSPxs9fQBCteU/ZL6sr2ki cdAQAoHuF8TKH39zZXHSXFBn6FZcjzYWJPVstmljM7gzDjOhNFWAAe9U+dFZio4vlcWarA65/42n fdGENDwMR8zudwQEXOvLEV7Gt7T+RXOlQrezfvZXJMYA0BmLZkq2QiB3llvP1zhvfTYEkJ17//5y 7w+P1YAMtf7auQXUD83LHWS08QQaenmKWpyrKmCdvmA+8viqidAvhSfEVfj1kEI/U7s7KfZ60uzR U3DtkjD0hHkRINoWC1JcxeYck1fwEG2zC41px3Dl+mgon53XwuUQoZGTXUrP4RACCf7k4m5DmXhD HNzq3TzZ2rFfGenzfOMy6iixvkG3rQLq40lKCXWiLWzhDBhL3vG5X8Kd5RMMA0uCKosGHLNAZSuP j/Gg6qHptKZy/Db1UTI4CYWxGb+OUDjaPQyaqjADuyze23fk6QEvScRsT5jJTNixYxOYepBSG5gy QETWrGg8EzGYeM91tteb9+NSgdTQX2ZmPPfB94uMp6j3ml07fGQ+j2S3eTwV+Umq8pD4nKkmOXGi hORikWc6RokwYVeSqbPXj9QnJ83JNryTcJCspV5+E2YVX8+el2JuJ1SY/oXzTU3ZEgOZsVj4ykKh 80ToK2CLum8dkvSnnBwsHTNpLOTG5tDA9wnIV8AdtHuUP1IfBpPMgtdZgfIQxtG7OIOsTIMfsPmy uiv1IoicneqFoi6wG0WeIXhtAXo3IV/mham3zTNnMkhpzEaDrUfQMTjcVVrsshsMXxQXEpXPfZu6 l+XcGsn7iTCa3xWBSej6rG+WtU0SooGY3/SQS/fsCUNqVjuZqVfQYHS+GuEf91dUYY+5752bX4Uu afjgkpZlYlbQkNzi7GCmEQtI4E2Gp7HxD3nIHurcnjNF420tJuqxZPfbjXBbDRaf+UI8cgkrMfMh 2m3suzQX2kk8Yxf4Bx7ZQRkQV5o5hC1ctgcHt+mfr/f4jEhzLjbOZPFHKmWXG4a2xg7e/NdaM4zr zloeAf+wI8R6zGPKVuuai7L7DGmI2Whd6WGF72X4iA0TDGXR3RtDiOotZTVY0rzIYUTe++Y97n0T VYnjY+r+njt6KbR+C3ql3AuEyKahBF81aMfyhnLGcBlheQ1XwNiPbOh4Mp4WLUPGMhB5l3F1yrt8 w9wd/QbJ3+8qT3rYpAg3HXU9ahE85wIKwoL3jvUXSoNeXZhEBw0vpbX/QSwLWiDsnl2sh3Ngl7AY jseWmEjBWWd5xdTKTy/1ZLnkyv4Z44Zj93tCYdxSVDDNhPMxlpvD9SmINCdcTYci5jj9FAdBQCoe aPcjeqlVskvz8nTlA0KjPYZgkkeYAC/wg9GUzczOjJI0ql8gTSNW8VQrOXPaEKs3tiQQBeV0wQDb X2P48swhxdMTc0bJ9iioUr7D8XxdMY52OTgO2UOFe5ohlKLWbZgI8vcItmlN2L7wJKENG8HHwfCk TGfHgVFHe3f1Nn3C2ihKH09jtAqLaZ4TE7SCNo7it+0Mdq7tteswm4yk0RDIAaOGDn+J28xWRq1S RlYZwv288kW7saz8lMgkDvdKjqzFuav1eiu9uH9QziVnSSu5V0r6yL5OgO3G+Hqh4GuU7ku6gG9w E2Ta8H3AGDSqJ9R6Jky35rgO+UbEt7l7mKf6BHvYU6A1bLsqbocNtGFNxHTv1/5sAm3+grEPCVUb KFCl9uI7fcGu4Yup5VJidDTE8O2HOTQNzadsuNUEKmH2HXRiw/7BTjC6S0G/oL8V13vSh31NM1Bk p8bbFiiBxwmwHdA9VJ9R3CUG3NubpZZcxrqkfJFC9Q1JMSShLqImfiq7t8Ha8xNwT8nrURPUL9CG nHqzQTOxAtAqXGwoG6+dXlKF27Xeu9a7A3nEbiE7URFk2F3F9aXcqPK0PTHNBWElQdGRQdhvp+/0 0sTbA+012yh+0yf6Gs5/rl8rgxiefjZNz913Gh0i1fCQWHZUJngI3eNByh9534sfb2zvJOQ63Vxe Jpp/Uh7W7OWMpfGx6jI1pAoK9QeCWbW8FnkLnGb1KT+4oo1olYcdbKEJkyUQmhD06EBhEMgjj3X5 PmBHqdjjIKZ6vIM1MidNGCEeIyGIc72eohuxGZn4ch2dyDaz1qT8iar6QUzI3Ed+DjabXJG4fWoR Wfh8Z6qpih/vazgSwl5j3zE4Gjd+JHSblIB2hQEVN0tC8fkuFn7ElKRIcfroTeeQNuOiwtuwBn/M Cbud9wJ1E3+QSjrSsAoIUi6kgIVZgHvIWUNKF+ehmEj6OuF3vj7KHXitEqYZds4fqxEai3W6Kx9P ylgfUPouMr6wbDdALuDhb5xm7iqcfYuDFgQ4xI2JuYcwlN4d+HfGoyzwYEGrsUF5GaGuDQwlbwM4 k2v6QM/K+z1TfDdvnAU1zXCxWoLCEs1t7DtIrnKon2B2PEbNaRi0NZWOV57Jv3ztfnUHcg+YJZ3Q sjt/YUvCe1D/Vk7nkmAJEh5vTsiX56WFQRwrNWdRcJ4AA19Fv/pw/9wBq77ft0gIP8HmCscmmBA9 PHOB0gJ0j94bTt28t2KBRWH4vl9vBhFLh4+wugvkow9JP50+Ags0x9YbrbHvvghQcgWfqoN1zGPr Z5Dtk0fPsZEyG4lDashMKukwtjXwQwdLZ1JLTSU1TEJh9b9/2rpEU5lwoIgv9mbdrMdOwkYDAYaD /3o9lX/bF0ceufD4czWOtPC8dKT1XBy3CjL3aVqgokXE2OgQ4XAa4yeTN+l3zYGpsK6UAfTutgrP b1Ug0St2L+/9FqqlJUxdbDPEht/YPG9OaTsuUf9GsU1Km4MXyYch7oEqDR7AFUnKFh2wrlcpwNxr X3IbFde343Xs+1AE1gXSnvO0pWhTrduazaR7tB/FQQA0KoC5WkZbIPGJDyB9HZv43kMbByAjvOj0 IwaCYWBN5+G3N2TzyPIwYkJy8zqcxVqRpTZyu6CrXdOD8d393LLwSoMtGv0ZTk5es4/aG6poTPOY 4enGL+V866xoePLq2nvBQ86eESiy7Y4t0frmMu642Yv0bCvd8XgTYSw73nOsvwdGbflzh0xLy74/ d3A2P7d7udZarF19l66F5zjHOKhKrZD1Qg8vFuGUMLB0bIiE6txSY4v7iZGKN2upgVS31KPqYEj3 wSoQ6jHRuUrXzOykyhJQL1wNIojTgijcQ6aHE+DkaZwGJnrE477pqkmTGc4vvkO6dVTm+uConTa2 XQqKuZQmrS701NF0oXIWT2bnu4ElXVNXtXVLOm9t8rRheLamCRR94Wi9fyNsTKOUjzzYB0E0KBAE cRrlzoseCf3QdoivSOzJMXraKqKkNmRAh/udXn3mmf7ssd5P8lydsYw+qotgd/+1YC6i6+nIJrHz zae+Xa1+GY+RcvJG5j+/97rhitahmuG7VeKU+k6nnLb6jDTqX4EB1brLAEhtnGxOSdjBNMNdWZdS pGPovK+ICRtYYkNoNXNHsbVXn1SRSVOArTxGejDAB7DBcxJs2pGMcVqW1sV7jD7byPF4YW23Sdal eghlPz1LVyuctSfmn9VbMe9f4FzpACRj71aWlECHicp/bRlUMp1YVg/2+l06dEixD+hfdacM2yqj todf9KrF7j6nVeNgUUQdUY0r2rT8z9+RGWojfpSFYcLafq39Mujw4kim5ERI2XweK5loK576X7DM hTAK1WNqCT1xNkBv7J+5OuLqQnlMzYAey0Q3Oe0eMjImHElKtgpP45awMEDpLqbhiVM1UXbf/KKM l1HFaPzawOWSCUCMavLUyWX7PTzyJXWV9PLkeoVEFKNK9mRCl05AqR21OWfHzVotSGPfM2VhBxZZ LJBI29aHYNCzoVeeFEsQGt0KrDxozoRh2cqVIiwFYPYcaW36FVB9psvOkBq8qIf1tCO0AbHKqOzA hOI4oJ4F0kXMCvqw/vix6bHa1CdjlRlv4FC5Ru/tVnW3zmuig+fHx4f+rgP8SxW8e1m6FA/eWY2S v6Fu8LESnVYrqRoPau3JsDek2Drr4WZwSHLlpUzWjz4q3y/JrM3am0cA7zCMBjJPKl1+U2MnrK9R c8Nm6+SDBQugO+vTFDLPpjbVJJBkkC08Ma5IVGhHKwt4AH9glZOqT3U9VivVOpQddHrLHLCJGtut dwngtYVytICP2lKzZmiiQhn0u2PvgcICxmY5d6Wf1p39fdxK19nTxVGLVPym9+IDIcNtu3dBtmfW FwP6XOFiVNOZQYrO0KfnELdqZhdV16IJsDHzlItYFl/eCzCnjXIRHAEUDZ23FzIo5nQosVAZUcA+ gzfvViJpDE3WI7T+5oRyxbmPyPA07GSr6Dme/Gq/0xM71tXQDAijmWvDnPwxCMqoo8RvnhuAX1+R zfSK7wWT3QDt5yMKYqtoa5GA3ux+P8f1N3yiI7dcxlGcEaG6QMUfBWA2BrYJefIlzzFA84X3p/iY BKzFuon+rhn5OxL8lxFuge00o2iB0b5MET84Snac/mCsNrffGVhaO/uMH7ytuYd+r/WZmfqOzzFA vc0HMJsHLwMG6K0R9js6hV90l+K/O9j18YAE9VrEVa3zz0OtZpENo1gmHYDc5IgKHE1CDGD8OAhl McUDAULcnecRESzE5LK1MD+GL0tgH8AMStOzVzKg2iPOmy/GZBdMC5L4igKW6wvUnwjC1ip9sv7G p0URe7TZLoZUfQLUCeELnWZy6FyeXlax7VfZFH61NYotUGIivEW273QlsE/P4AAx1A4amrUlfHOa GjqQkVmB00pii6U3wDWrpHttp9/IqJzxd9r5II1ECvS4fL/rji9Co86cruQjedktLHo+NSnilWOO RNWe17oowW2U9A5W/K8VpvPN5LLwS8kBo2ggZbqDJaXLv74On6V0ucFYJmBAjI3Gi//+aYg/97uL EfQ8CEAe/9RYcv7uZwtpfRUSX7Q8W4VRZ/eUOM1DMuqyV7if4PcK8cAbcf3Wg6Zg1uuBxdRJzW+U fbS3u/KTkYFxRdInNJCZ/Fbo2578/T2OYz7Rd2HhxdR4QSDBtl7FAYg7HSNMT5vCivpsdVlBFDYC 68Bh7iL4VoBauiWa61Jnd5//Hz8gALIrbqql/wMdRviM+J5q2d6Pcvoj31ONSEFUwGr8Ze1tfKP4 VDrDo4+d4d2UL7FzNwG3N0GvqjgKKf2TJCDEWAPpfPiRTb/RHSPzerAuwdH79Eh1F8oWqyxYm6Zl hpbeoN8W6RrX0AR8bf7xqqrqK1jBjHuWDVLoJV1wKRcHCRHYJeFxxgIQTVJ99NSya7DBH4artRtM 0CJaJQaYOB6CTAFd1aMe3yRnFX3JTa7ZwcgP+gUWAQJSUA1ziVjLiQ/RYPuGebBMd9Nx+RqNpsH1 QXn4Ii8QDVb/6xCuNBvghvQ19Fe5l284LZQaZajuwbGlsNK43+5yMsCvIcXzb7Aa3+0EkoY7hHII MqFNeyvcvvL+tDAM4pAUdsYUzbSOumIn5rbV6CdLU2MjHvJjJzRvcJO2n3ruRIsHODN1ptlEDTgq 4eexeeIUdfXeh4dAMJnHf66FEd0ZjT8cHGx727vTk1RApV38l/bN+6L6uHMm6EAsjADz+oO0XcZM AsE7AR0cBjdTNdt+kiE+iofwL1S8MoE95ebQ1/9u+ZlXNRElV3pLznLBmxXJz2SZlShpztfJzrgD 0QPihmjIxkNbTWq7JuoZ0HITmK+cxHkVBVrik/NmtI2zUNoKvVdb0pNaLklK9qbgZI+P/FQcXQzi s4oWMIScScDsoQgSRCG9y7BCl6emyJhZb6MBrww6aoAIhXFwqZ1/X16bjCMWJ1P3+KVQ2ywTr9gU Rj9AL0+1hUetpTfdPBqmtJ0510TzsrVWi2gWHL0+JjYlv1e1G+APaLOlh9GtMqLYSaNw8y+YRbF2 xLqGTPa8JCxzaEJ5oOeiEfqC3b3J0g7yI42HvlaX/C3RiJ0U+zUxAk8FWF1wEr6JQP0AguvgCasL l/o0UMKnw5/wzDGFKAtzQAKMohAo6K2ER+U9xTD1g9dzn2FwKNpuyDZFt7X5t2zgqMdFPJIGA1AQ ZCZLpb+hU6wRMNaSUPPPKVk9OwJIPAL/Wu7tcCOZxn+YVd8yADVhLvNj5G4BgcUob4ZFxeThkB/f B3+aV0Y1zmbuRIdBCeuzm6Vs+1A8FZk0xg56ar1qb2vdSKIkTFnXQzD+c5Vy7fnJKTcwPm3YkvD+ nnNbRMwefp5mtIJHdFCT0ID2dF5YD9rcaFbfF2FbI5PhOEU56g97TfMHIMSnicBL7WPgSZUtGfn6 kReKucDbJ3xWKag3XjHx4XhUozc4B93gL3LsRxbN0cIEE0vG7P+h1V9MUex1iIGuwwrxos76yEwh KpMvPaSxsiICJ8/Hsib4uW3s70r/qrpt6Rp+abVVOnc/UmAYX9pwA58MFNOwdLTCJAH2VsM+IGO+ aeMawlKGjroe2mGHTT8Nni/C6EKGQeLlWX2MSiLEXREQoE3ykhwjtIWKTFHubzb5QkgyzlkNn0M1 Y7d49dLl2ci8kzO0/c6BQtkauxL3oqUEfMeJTBX1JTboLt/YnevW9J08Zgsp2IMvp/Y0/X256ghc yPzpGwfqGPeE68B/FQa7hKOONnu4CjPWqZNeSA3hBenD3khwLeVQe9A4oND49dDCSvBWoT+OU0Cf pGTFmevXWp2lYB+MrTLM2Qc+4iJE+XTatC/DeKNSFPa+goppSbDKkepweMQSk0+csXDZkExx5y03 A1yY/zdpapB4Cbtam/2Dt/efwSP083BiYCJrS4Bjq6P1PYDmUEiRYoFvWysGaDecVZDR+LJ7iE/1 7/LcAMIIkEvebfE7qUNxr0oRPLyFFVuxT+bNDpMqby8h1NFXbd/zsyIlfDZCIaXhvnaXbfGRIV5E RgGWOBnZjwRc+IsGTafAasHng8gOcs1XYmHM6qKW4z9ESR3byIaouDFL/eMKXzci4k3XkffBrwQ2 E3v+2qBPsc0oknX/4+KBKbq0pSH0J6gwnBSIN4FZYLMWvDtiXMYZvCsPuZ1Le7PSobZwgKqCKaH6 hrnXCgJpPVB63T2jBW2sOzeJnSbdMrG+fFp4+NpgVJVnwJOns5JZYXbtzCTh8rfuEhMeku4piG96 FNdwou72bc13Qp0l2b2mvPxehrKrgwdyzMK540LVydjCh0cJSXce520PResysnmDji2VdIglFd8m 73+h+6Iy1SXqiXmuO8vQ2EdJ/w8hthEAD0zzhByPcEdd81rOt3Lnf/qtEHf3/q7C2cbaWTOZewDb IXE+ilqc9bezBp2GoTGpMWdlluxJJqnLnSlC54Jmg6oBh+flu4WJIq6CppYVabOgQKe2G6I/EN7r F62Q3XoBqwPd+XBk8X/sIdIAwkfatVCFnuAMqltacXm02q5pTChli+byh16RWdZD/XY+ZKMomMK0 qq5de2iGGjthtWlZbw70rYsadbVKv4ipDa+sS61WX+RWiZbx9ICgD7k4wfFy5anynPmoQ0VkRI7b p/VT33FYLUz2HeWTkMOy9/fnZufIUVweRb/h0bNIQUjmRlyYi2bnBfm8tuJ/VidGcZEwNE/KGqOG 0alUK591P+Lq6Z6zgvcwiL5XszRVrQg3VepGnUjMuegGOgRFBvbBcgonNnClFrY/AkD6YHKfbixA sLmSVGAoEIn3+P19n7yeoOn9fx+q5Nn7Pwoa7ir9TcvXZmYf3F0a11plZLGP/1vHgu5CJRJwNzFn aq5TTtkYn1ou3Deppm8c9InG7q7y8iS2Sf2+8GZuetbGklayrtn3+3ipP2vulYK9EuZy1dXd13DC 2F3X+lvfwFi7suRdNjZFBH0YExyDt2f8UNFiXxofjWxDCzrFJd05sknyshwmT2zLsB8aMZkJXIO6 8cOCBgwJBZAB/KLv74x9PZb0klfJ0pFAJGGasK8gTVwcHSpd4QR07nfyJsFvaJ0LD8M057ijR1VN HxaGZjygHzdcY9To6eTDJLxBR37+UIQHSk7VGeTuYJCt2btr+45gD236VyTwC6hgUvsLFGARD/uc aNK9RgVXbZIupOt3DP3kTXUwEoguMMiqQ1NPNtQAbefOc7y2XYb57nW7bkOda8MxDORXFwQ9/EMx Mg1IWcQzx0SKCuGOqS4kjPGM76+N2FWQAOtfFGbvciRYkhDb2CQHWNc6NGUJlLg9FbEEMTyOI6Vr VFaCKGJ97W3zdVbpQuUARqiPvEEUvmdqRCprPOChOibBQvcFQeq3RPR58xnOcCoTemWQzHdBn/pB 5UQ704oG69umjShWAhSg+Ui0M/lj7HNPx7pCepdjlYxjJln0iThGU1TS83LC+QQqGhVJkM0ScDGV k/02vKk9DR467xuLwfdGtz9g4dSRg411p25v78FG8GcF8EvnFZ0fi4bhWyF/DW8AJEijqiZzjOga dYWilqaGEvPteVZyJ+As16ePaaLNZPuagdjHWRJNGgWopGci7lyFlEpNFw4hh8oC4hZ3U/Jop+m2 6K2e7AHvcKvfwSg97KuPyg8BKG9yG/2pIngTPpdTw+qsojZZQt3v3zqM0LcGBkuVZKXm1jLC1qhG QT+oDCo255rAkOrHHjpOIFDBd3eRhWrEWNtZAq5vyPgB+T8WaOPqAq30zw/eR3FtpBm4zWRStdBV I5wgj95bX82LWz5EqFhlFcp2/3V+8hEKPX39Z5RvHIg5JLRZ3V4D04OaPHUNCfVE7CTIchSXG573 +gNO0RWiuDH27P9KT/Gi/wMDv5E9FKdtdcnwolBSH+G+lIFjpL4aYbshTzgsZxJEnlgr5aXe4WTQ u2sRCxp4eu8h0DyJQ5ix6QcxQ58qbx4ptUz8C6qVbqSb3MkxklxZtj57JreU1WKNGHRWHpgZIPXO 0nUJ5VOILKksqMKcJGZlA2GSsEupQZiUy6ZwCg9RSpl5EN271OJO9rDfSfWV0OhZzcBU4tSKTd7Y ZFognHYXGPCsP8eqjo5iDAvWS02hBdKfPdL0lk8GpXG8kZwPW09NcpIFzL3ZvXa4GBs0ejAq1c+2 BG8cM1fCoAd28jT97Fyn9Styr47Qaaz5/eA910IQx4pKvbXK5N89Nry0Qp+eoxaw09z3ZEOug82D QFXsQo8xqkwGPz9ioBIPI/RxOori8T5p2NvQADYPGfp9yTEG6kS8pLstdMMjbPRyF4yE0Gl1KRPF ZTDCpxewz987PtaTt9orfZV/YkXoqQin9g3DJHrLK6Va+HYgqDg5nO12vSrk63S5SVRZmZXU6WYZ kQHDfJjuGIlYm/ka4jBXWYJDRxEi34wMAY+nGhLZGQ9UjE56CghqNJiAlAv8o4IvvbLyqn8/D1WI QsFSkDIAhKFHifYu1PfgXhsLPhHonS3cdVSlE6yJq/lTfdGYzgI58xrr1xxb/jwXqCayibndvDXP H3cjUVb/qGY/6sqOcJ96eV5s5o9S8GbKVUCNKZSkEl10DSIYskH5Np0NwvVE2wxMufh1BtY+ARD+ yK6aUPAu2X46LfqFcOJ3B1gvv/UPtyENMEkZS8lOY5Xbg1dGpRw8160VjMrpwhWVwIVHI//l+pVH Dl6fPZSDrrEo3ZDBYzi8MfN1ray/TohVBEJyMwUrjf+KVD/9rHNblFuO+GF3EOHP/8NlTFnacfnH 1hBcF+bGliA5wL89Ocsi7OKCL3Z44/IwrXqvV4Gj/CYNbguWVmZv8+Zq2w/dlHF2MYOaORn4Eojg D7A/PinDQq3Ge0WocfkZtMRhYzf0AMIL6k6Czfs4t8OqFK4yw2BYwCdpo8q7tUW9kB9T+Lfy5jpq sMNJ08gjNuiVcf/W71nzGdkndaQrufUivdTCbSqv0AWsyZNIQRGTOWHO7gl+x5Z6xbUYW4EPnThQ kXR/8o06uSOxS9coVlQxaEBqyoXwY0/Q7yyt1Nl9+iYVOhmIcUgsgRUAtCuWTQ64UaoRcj/ZSWkg Z6R+V2brKIzxJfO2lD7m23gWIR5xbHfyLmE4FGOzyZSFjFPxYgjPfGeY8CJmYyGQzI59n1bvdxvv ssh6IlnmkEFqLzY5bx8X28iF2PbXQVVWfnvZS6l4HsRjx4tibLoodpcqXY0BIH/7y43+ZOsVbIVq lnonKCDN7PFGXcdzxVpkjmI/sYSYyjBhOKdWBgMPTBkFGaFa5gjXkw4N4iT1VekyoxMtX1tL1Nv8 YStvLmieauqZ19ZF8yZZqteBBidAW6q8IsPUv2vx1XTkuw0bo6a1gVQrzwFbfyWN/lljUCxEwNnC nONqoA/zk2n0iyJT2WvZY4Jlc2YU4Cce02YJrHWFIZ6MCIgA7xCIJ506MZ/7XCjBAE6yTziapzwa Vh1ynU7SDs7d6lWWRNaKYfiSzSfvFMxJlx66zGWqqai9dY8B7ykG/wPPMHkNMG5sEB0CLZYf1+0Z PnCQg3XNN8J5Dmqo7gDR7WVYfkExZgvSrD02s6xQH4k2iqYch+QM11b42boaHyNc/HfFA+2U13nR 2yaK7AeznDQVmXHidJct+8tMSU9D6R/uAkoX2AxuMwNQMHLUXRmfpnQyeHNjboi4P9nDjFI70ju4 rPvCPQRxMVNI2fNQYabROhnW5oGIkqYjtl4D/sFQNf3JeZ3wjzmGNIgzCUqFgw4CEX1cu6J7jVGc xGD9VFZw5RrWhWxhVDX0GT8OAhzUJPobrHSicWuLqyVrnovZoe68tCtw3zDmVESjrbB8n1dUYzq/ vps16qem0ux57HKYSkB6JPk2H1a7oQ/ZUI3royvfUieW6/46aYIzDXxaC+OuHKQ+MM3NqbL4NbPe hB5pf3WfTMwE52aqe2Nk5Lu/YXpWxITLucDcQ4yueqZ/ASLbkkqOZt6YQb81V4wF9K+HooxRVvb8 hQ962TJv3mEIb1tPVvHkyfaWFgzbEgb1j+wI0/6CcG5JB1YMbSZv2EvMJKVNrdTQxxhKgFkACLE8 9+zZZiM4f6LH7hKaYw9+RSxmoXQL0XlBuiLJMZtLsnXuYvRoV+HpXuZW3SryPn1JJxsS57r7jxYt 2oyKw1jTTOSmf6pf5Sh3daCXIX4L345tbmBmvsz2l6Q1GBmSbA059PmVIMrhtXsjzGgY/H4HUeGN chC6GY/aG24F6dF79rLZNbVT5iRoTASpbfWg6Iti9zAgyzMBbV3CDX2NTKfd0MyDdh5PPCcpBm3a KKg8Wq6DT00dQjSLObhZaofhlSUCyquU/rOKGD0GIFm9xP5MNiV699o5ORctsqAmP3Kwoc3SgU95 2lE5u2rYHQ7pdYuPS4NEhQQ/moBkI20lgWIITtvrCznzjQkz6qpRUJHPru02157ygSMcCxm+l8v9 rt6X9c4yJ9+lG8fmk5iQ40K9SkyGlexq3xR3bLeCRM6GJg0Ae+dqKktf+4wsJxPXYYczv7smmE5M 5T0tJtWHDtDF53R7M64PcI4zmgqXSVZO7yLzok0BlpQ/9mUvHAYSLJUR0VhJtqsbuYz8AAhAxUuP nVusutT3di8qksxLCdM6qkXZ0+4aTjfZBLQajSuq77gq1MZzZ5TPszscgeisY2CuPnxjPlQrHrHx xLBn1RUYfT5p3mGxqNPtQLQxRxWn89XPXrcTle3i4qcb81lrEkNEA9iuJR1bvv1CxOkoLxKW3/3x UNxxSKEf+wU8P+wRyCoVi52/1gO1ZXXAWFhZa3ibUWBROcmBRJVqSKRibt+2fQp24BgxjKLDpewc aN6fH1yxga20S8dJjuZGGWatvIhoVRLZgT0rc2H/4nsbSu3hZc6f+8S8ABis8yFAYTvpMMJnBYEO U0RB1N/xkxV2ARoUHuAee6kvAv30KIZ26mrgftJh6M/op4ZbHX4YUO60oX8FT32TYM7+Vs3803qE l+pCNgakLIL+++sOJ315bfOAtKUOSHom6U4iQ3jnFbbnF/motc4Sr6TOk0Uo/UBbgS4chTkgb2cK kRB7AGQ4PmOQWBWlDkElWNi8wK0FFiaxmaoJq5hEyK+zdg59fb8VGr8R6oO9hotTHBEAUHlYpHM8 xepetlmKSnW0afcHCY+TVKzPFU/dvCeuk4oqJdPfbEPN+jKIilcpFh2GZGS+ob5+fC0mnhIKa0KB qwvvNDTNbqX7Kfhes2grwb7BmWYbkglQlj++MAnYwTYl0GjlF+YV2fDiPWa9yb5uQd+594Uubo8e Ov4OaKGXcNllqq5iaElAgZJLODL+KoJpINQM4Qph+ZnqChVj/Rnn3ro8aB7TFbPV/IHg7uNZsncl iOpb/chxba6j2LvuL+PD0xK1p9KX7OwKgxAtXjfJT18QFj0E8KIGoQMpdtrOGnKJD2OxlI6N1+Db 45x7NrjaPL6WmwPbLEQRCZKcmg2C6xQBfiNL8p3W/jYm52PLsTN3l91aoFSSM61Pg7RxX3GqN94F evIe8+GgNewhWHk+dKJoBG17CrzKfq+1qfeulKrQ8Pl4d5Bl8lwX7ShP9ywxV6Zp5rdInHuY18hd ctllQa9g/Vzh8ICPu46fHFGf+9PbFp6/gJE23JF9OktePOK447kljQsGuNqxEdh99HuCNiv4FmmK uKzBQN9wtJ06zkEnk4JXSckILUgc52B60nqKcivxHd6i0gnpoKiM7B9wxJJtuKUxyMQco4d7jxTg p2JVFAkIUJsz8j3HPRTnbsFElpbXfVn2fVMa7O8ntrgTzkeV2PI4wp5tH+Ab7I8Xz8Ohmini/Qg/ bvo45M1EjYDskliHedUokShyPauuQzeJLnHksMiOEDtuGoCYURaJWxK877i8vO04G/rNUThSB8cq Gmc0Vwo6Fa7mHzkyCXkvV8tJtHW3RdpuAo3iPWRZEgxlDihUtPoZ4TH2a79PuEXmgs4KjftwLsS1 CT8XTEkz6TAvw6hjbPYI3FYBLe+uYKXDz/ado2Q8pT6lYjZaA/Kw2kqPq7IzebPrXcNJvIVMNFAd GwsRBcRhixiX5UyLIyzRahiR5lmfZTJIn9k3iL31d0QmrbNfLd/IL7p5L7p0kKrXPDIbZvWAGTOW UhZzyGdHvPfswKnR5aQdVGf1QAK75lWxeF4Ds/FgZk4e4cDGXsBtd7XGyJzUB1W+VxnrjHZDGpTp cDAeVlyvroVoZdez5w7qYdATY9ZCGrqCDg1LwSIUGoGwpE2vG98WNOi9tq7PVztuO0/rCQ4T59iX rfVOEhcCy/dPBq8dB7syhiXWevGbjylNUix3n1/0p8GZ+DVDh+LcaPgbrNB7mdOYVTevJ65OzUC0 mQtlPNXclcR7s5mdwJF90asJNFNGJ/Lrb3yO7A2K7NxjnIpsO1zkpUhgHKDaxHTNBrAIf8qNpcWm uHMT/YQ1D7HxcKNZ3F2ZhFp3UJkM/iXsAWeX1wDng2Vov+AmZhQaT4SPOI5JkOg/IXJ/xj+zoK2F 3ozcNhveJh3zlelye0HegiBIdEx6Sr7wr86wOLZ3JfRD+4Wy3F7mEr0HcA1f2T4zxQV35lWvWSXx V3mUiXKuIb+DXdqlwEB5pT6uxl5qIkGC01qwzRFh7hWhv4o5eF9zt/AyGUyFJPpYUb+9o8wurW5A 3cErjUuSg/td6qSYL0jcYDDCCK3l/qAf/HjNdFH8vz3N+gtKoDDfpiEu4a7eRTZgQLosjP0JFDSe ZMICBnUNaxGW2Kd0fmzUVNeGQ7ZBB9NMI33vHPnvMTp70yNm32mkC0LUmVDap1ROurlDs3gr5GxG 2L/VpE834M6Vwe2ikEsKBhixm8ASVOJICppG0XQBr9lOhvjGc3ObmyCMu9n75g3YRKGDmC3J5MHe ScF5XDvPuH3j7gCk6V7IdI5i3iIRT0S8Vrp/c5SqL1td+CccOI+KMTwwhrZhS+8XqdO9KS9ts51T G6oMg3FEePOZdd6Nqpz3qgIkzZKvsATRStgYSCfZeIIvMw4buj1XwOVTBMVPKwgdOIQ1HEJ26ErM t8pYLSKnzW0Hvc8OF/q/DkxNtFv1jdNPEx/tPTrdxga3kZ6hOtksAJBb5uARRN/+vNWwq75wrHKV 63HPSzwTimURzaOdgAFcJPsG/OVeUWoa0ve2K4pkNTo6gDO9tkSv1IsDpFcFWepMJoaM3wFN6Ois IRtvdYftlMaKJHen+pil8STmGj2s0Z5SRSlNpWjFQJP1FBBIQpN9ZNGi3E+iLSH+8KSTcV6mlAnr k0mWkXO/3dhX011mR0rmOKW0MGcEHg4nit9PwaYQ9lsCNdZXOyn16BManBBTFLd8DwcilmD+hEc4 /VwWJOVw1SBkekJdTxSQRLWoi/2fGnzPgnq6XcxSwcoVTr4p058r1vQpvxJQ5tgfIXIZXiU46TtZ hwaILpMxEMu4Kcu3kLLD7RUiYFLDmrwrlIkZmoJY2tfEsk5Idkn1ckYBSLjZHVPzG/31OIYlwfkQ ZzB0zQvP3Z/IZ+LkkOgkJhUoBJs9+2u3C9nhHvi3O3BJC7V6Jd+zSMGLb1r9nj/8bEYL53AFLGKp y/u6Zyf5SQ4zyD2d7s/J0Vp2156SpNnfQlk4/vCrYXzI52SyyyxQGNHgYAhD2fzKOHL0Rt9x1S+X 3FxnIldFdcPF0Y9auSJU6Wy97j/dJyCuIQ9V9PC3507BuXPY67+jghIk9m5fhYZKA5ovRCRJf1cO CcZ58TOBDFbOOu3yjjYKdswKzpXFbGMBBU71U19q0InKkNwQ0IDYLPIUnyZCjrv4MdwerVW6/33M loLRhqAp9v5PuXE9G9N1Tj75R6qkxe9ih033T4N3cevDJiWLW+eMLubavCUOZ6kdTvAcj2hsK5GY tOpZSiz2tQeOqL+8l73iWpOJfW9ku3oLVOQ4YQSsBRzjRc17mO82+nBSKH/b3QWP0iFqengdu5yC m4n0bQ6k3tE10WaUAL+M6/pmkoRr7VN6bsEm1B45u5/ZVQlmRsJ4ee1G6fALyXlssxhsd70hiNa6 hFC++9FiGR2aFyiV9QE8M+YG1ugXJCvk8Mnd/cBPrI2b+uySbV8tDduakuGacAhTvfOpNhapPDEq Av1sVez4Z5dWjm/sD+uK96RvkxvOG/ii0eXppOpBClUS2aU5BTU3bljAGxaYkkw1SL7D0urSxqd1 LtfAHAnTOx3tHAjaLfjJ8GqhKBfPbuHPbmFQChVMx24G6THD1ul+oeh8RLa+7UezT8hr3SUM3dwD 0y7gU80nalXojed9GLMAAqC13dSf2a4gbwOFwmN8Mp0OiDDnCnzx424/b0QLeZ9/amwTg0Wnh2ep 458dfwXaYxUK8qIUwvCKkR2/OY/e+euz909rbLqHk9YoeK2gnYtbxrQ3UwAkn3M7uFaY/K6ICOnl zi9dSlgg5x4Hvk5ShC5QjkOHwxvkYsV3yfmUk+6Yv4PlRXw6NEYsZFRN3BgjyQhLf5rfXfHd0I+6 sfBmvNJDnUmxUcIHl7VJOaupuu4Bc/5HxS4TmsouuYv3Qqgj13WAPZTH8I/kmbjrcBsmTrWE2UI3 vOdgbnlQeITGGRzlszlm71xrqwSy4zO+CQVQhuwuS2SwF9RC9X3NWatbW99lyQrv3UHxAQl+wWqP UyPvNaEOtif4HHSAo8mKrL/FmaiPZKBNEdGWCkMQ9PXiI6hLnWjbiruaWtMlrgsjS611G61yCTpf 6Swlhe8fDpYjAXYF4RKWGfOJ47eEy1DJGYBuL0VEpbUCHYZ4oPLCaeDt+SV4LoGVUO2ueKTWzPMN igULgYXSQQHlNtDOYrWQ/WZA/O2Qx6wXPPz4WqGX8t2AGqGHdwFxBdPd1rLZV/jiVn0RqQf3J3vi FOB8DBc+1yiKXiqUlrnUyy6+G98krrOY9TCYiedKiqCIduFQM9Ow3Rz0vi400ltUPQdqYRrye8/g HHT59AVNiczE3tINmNHQN8LWogw2LdsrAU52IbmbHSYhaJYMg8WjyCx3We6MC6qF3Lz+pu031KX5 CtcTzYMy00hLzOHossOUVgfWvKiXRtQDXW4b97LUI8tTXl5JfixmQ52BVUrmlx/40cwPfovo3hnm EPBUXbs2uGb4+QsyKoj9zP7vkpuzasT9l3qfGl5VzFfSerYOT/0Ka71GrAROvdIcGK+ekNFjXM/f GhhFLGW6dO+EE+hXBa35X4P1j5tkiCLIC/JrDzablUjoxyv3W1r0PSsbxlj6BIx/Bsi+QSwsuMY4 qHwhW5tG2bGOdu6yJb5bcM7wZPPqR5iJNcuRT58Z3aD1uZAjf+8XIEE2uIGAhAcDsyfcIFVXK8Aj YG1qONqpuB+EIwZBdTsARFlWLKwgoeNiuBonnbBtZC+IYJ/Kem9uNvmImnDDroIjj9r8dVPwMzzw wNBOtAe1waz+k4C21V36y35TUxVkQRVPTMEz/hEg7t91SM5N5Am0h5rgV8M9TWCZceD9p4Y4Rak9 3OYk42YhmSP52YfS9lW2FTvjFEgOpnS0I5mBp7AIZAU3x7Ft7lE2OnMkkm7Y3w9moiGGEeMsMYKL TichTZcWH4BmFg2udxQINSWxKSrgVmZ8uQrmAmHv/R2ABym2oxd6DMmL3RB4UPld9TAg+9gtmR0T AtMl8nY5PsWqglLOTrr9Gbr+xvPM2lQmhnraDb6Ju9k8DzJMmvX7STQV1yH3Dy2AyrXqkvGscR+I JRruRc3umEbJFMYuXJNGNYy8n2HWAckpWtxceDQNYCnjMZJ8h6eV5voUyqJnoJlPSK6ou5RXNiJH AuG648npHjGAohpJ7d6mG8oqSHY8t4sR/m98sb+d0aLAb9oaBuVHhOEasGGpsTqaNfPkKGtn+mEJ /aU/MZ5FcmKv2NP6CuY8uqWPZof1HS478bXU0l8zLlf0QQuU37bLnldQtjeh868cTBdfex5e3hks yfNmE0HSUuQmPagOKGTEOIwGojJwu10jJCarWdyyDhbZMoSsrO0JcXSrMGZdZ6kbSbXY3Jzl0vNP ooWTHD5ick41YV5HXJejImnB8xgqFEttyPp/KUx220Gt8Qqb7P00U7UZkV2XH2Qm7quAd2x5RQHN 8BAgAfJAwOI0IdDfz0SHQMaYk9tpRkJZGp9zh6JyKdcHZq6RTzG5mk+xC/r4mW3a0vCLlcdrIX1Z cby7Z1GdVPAMN3tLpfmMy6I3jjNDHxw5/8ZeuatJYlai35a3OB08M3QBwAV3NABOz+/AEnBKik3t ObLRHGvjyMiI4K3PbmSnaUqnamiMbgAaZXgkvJK2ppQ2tgiDGImsixA7mc0fMb5L6V/StOlmapyS /LJ84fFdOos9RM5/GBZDmJctvb6Iwnyk+G/vQBsFXy+z9RQVaFikgVr6MRkXII1gkRA//HbTWzPL uQK4BBbdkmG/kUc3FAwU7/q6kB8qk7DVJLB00GiNxufN95TJwTx+iYLXmpvgY0NJpjeipAlCBATn 44qj/cC41RUToUtO265bSMHCKJiXhgA0O4KepE6dMBYkqhb8OHWOYSgyhXJ2J6CKgHucu1J5OFHZ LJ5tdsmB1Il1bg/wtAIl2OJvfdLsNOX+Zai/BiYKVgwLXLq6faZGktpFZEUShQNmJE+5XKx7U5QH V//cdhM/7qBruyfXj0uyToLKmvsT3PBW0cF1wH661BpWfjqJKT+vV3ZjrywNEGfGhqrq1rp7hnf4 +hzQYJ5+2USiTdJVidMUMAkKeZKHVk/GJqBAGZXn/TeQbZF/3DZF9J85WszN7h17VqUEcD6qnPS2 GAruTP0gvqR22lmpDNuvsFkoUKuAY2V271v7bVPVQ65sZn1SHXlPie8bNDLRc0JUPeZXxC96c1a2 jOeqIrEU5R0D16Y8SLAmF5F9KSyXcxgzB43dHRlIkr5tjcMIMzFDtfsOGv+VGCEfGaOAbnZ9TCFb E4QY2Kotyo5OlvJT+Zmsiowxs9Qg2Wm+qjpj1cHEMsSfiajNHlDIrrnain1fUxL7sjjZWd6h01a3 KyveYKwYGOK5k5JBNDV4noHhuDNQoIhpxb9AFPJB5xF3GdatYOwUtCaDO3NvQFbAeRNnDBg90c8z O/yONUspvuh90PqLrHDY/JHIAuv5vaL+JfCy2jN5YQ0dFDGmItsCKJQEl3xTPbia/k2SCKIAqysm LEZqiMdsagBNQDqH0YOYMdYM3bR1wvelyJcASiPC0q8hwBfhB5NzYrG4cNs5H4L6IetqogPVXUJN eI+NiolIaPgUuxPXGEsWcpV1nyI04e2wD1TfMmmEsEKWRqfPeOKaq7X9bfh355SEx7mrMnSqbprR ZnvIn5XxDOlGm+2Akmd26gja9mLqdOZSjz5ZtXsesZ+b4zMUcC62VvYv0BwRmTVW31xtlMui/hO/ AtMLqpZWZe537vLSwg24Wy2iaWIYoRgOCy/i8WCxarXkxNiAqhI1LN8oL8BehJVAiLo8Uik9ji+1 N6mdUbVFqwBAL6JJ5XdgsP/uIml/0Cjte6EeF/hp94cPTnXELpXTDaGg1lqNyAJ5+lBfbsSqpE0v rR1N63RU9NA579hJaqgynaJpHnp/w+/T50bcjNY/ZWjKpGaDpI0CQ2HuEbe0cFxMvstJ4NxgJBzF AIr1DaG+wkMVR4ejtM2MM3NWTcMcLLurclw4H7GL1QnyVu0/IFjMUAKIGQ65FAx1IY1Zaazwtqkh Iw/3Qz/oo4Kh4pK5AIhMzLimEZLjWsBbgEVkIzZlVgPgISP60jOo4XoROo/MIrf/661EBJ2/N1lo 0zuCLM7BakmlPhlPAebNMCIG4LXrb6uf0ELSYFDeWmFshD9hG8EDOOwxa6Jr/OcmuOgzYlasaAEQ nn+K8Mg6LGF9IYFFIdhd8iW6FwrcLvk5Rs4pkEKVxexTyfEtXCMuRhq/5aKkd6eBXy+JHW6RmP/Y SQKZ9wB6+iTSjCUxEY9AEeexW5RGIRixMACgi4c+G/rNkDD8hRC+BrQ36peJjYIEqxkdmp0Xce8T XrK+sqb35snN7LHI/+ZwzVT7f7oLoo5Psvg2h6xu5YAVOp2f8ifkD3M3AQMtkxx4U5l7Vn59CEIA BAK6fp8tF+25mIcLs8TK6h9ICU3OGMZn9ESz3NH22BWthXblpNRwD6L1mJ0RB3sZuCsjeha0OH+p Wvl7jZvpE1UlCZcl+tJBnS+y8fmQdpFFTXdUvQmZwFs2Cm9FUoidp9pxmEDxZ/b28Yi+fWDF+QaU 70mQUyJnJcizUDpb+mRFQupMmSkrcZ77G5ZThMd/r4syOyEVzSUePg7VgijW1Uq9ue7XR+KGDYB5 eXRpEDuCMHlGkOUTUh8CBUe/s013N4CQaofpkuTrRgmGwvWBoAaYsfgYyIc52E0OcT0BH7E/Vh8Q NgXKXb8N8gXoeqTlAWyScTu6eXCo6wf01wN1TTgWHGus/mNsHCezcAy1I5CMoLtcPrKzgk8C27fA UIJASeMnQPtHGD6GUeCKH4k7mmFPGfE1Xd5H4bYkOA2rqTuf21eP6AzOJvv+uUCi14GDBqtDN86H LWGQogj0rucGbWSuKdV+/hvq9VjYyEHguE0H25kyfujXQ00ONuFEY5EYGcBpcnvaEutbhG/0eCOP wU3XSABrP6T9bzft3v8+vy81Elxx1svWuMRMcOkSNVO7LnKE9n0+KyD+DMEvw3qcrbH+Lg+a4++P U6KanXHv/yz/K3P0S1qT6jhCYrDYPjI2l3+UC+OvuutXAtMoRbai0e7CbCQKHuhHmmP82ld9/XaB fwbKIkqqnExhX/FedPvKbUeyd9iPZDIWNBFLn6L2yZQOTMyi+TkR51753CFj4fnTw/fnzDYyiI1a DtaOMqT+rZA3m4Wsk71dhMkCrBMqlGVdvnlTgh8YWPrYO9QHCf4/9kyQUCOJHO2GarmTIJAwfrYR ybmvdTWEqaWT/kMxkkVd7PcsgATz8RzkltgWjwtkqv6pMA2xjjC524Wox3aEvHI0cpTHiYfYzzd5 qSelm5jfZE4FZhdqZjRYFx3/sIIzBWb5wxyDlb5+90N/hn6b3II56U1w+tY/btHpkn+cUyJsvCG6 NkqQwWtSwkwpMcAva1Bs1rzanKFiFNH5TVJGO94I/L8RI1x1qHEjkDyUWuRxl3oqzlOlefGHKs4o Nnygpmsw+2MF/gNVGbPU/kOUdGYy6+YpFA8rfcl7N8xCYB+TjFF9zd5WsaicC1PhgCkm70KQXXka Up505dXsTngag+qLghEyA6MwTYeTsWvIMsXD3JQafM4e+H8lCHJe26g+Bj+87kDXvfWf4FYtle7u 1SNzN/govvHNK1k5dE43Duu/ISeP5IUmKu37nj+etRS70XyTKTDnJTBJD3/+g2dRlgRdHxG8F4US 8S9UM7ctjUB6UIzWW0uqjSa2RKTvudAYebRqdNGtpc4AADfipPK+wxi8Ine0Ve9r94YDI8TkEkG3 D+1W/brDnzA7wiF7fmAMC4YMArRSKDakmjXBvKzesVmTKwDVgWwwQxP5dKhRLoML4yWhSMWfg0oV /YXisniBS90DkJyzVnaSRoVtrC2a33jo+eboSw3g2QTns/6r7VLPFOKz/Jh4k9G34KFqXPWf3/Bj 4qIez3828aASarOkkh3Y2gXFmFth6YQr+Z5dQ7IESW0RToN1lO5J8ol516IELGD5LmfYd6PshHSn k5JKiq3FPd4mKpPxwXU4yzhHgQD2rsm+MWgglrt3kP/FUhvm9PFR3rbkxqKiIQ15E6GLG5NzgVg2 HAc3WDUCVB1DNGGsqO0YV7JzT8d4V6i44QVh00XurW0ZMwlEEAw0s8+4QmHz/l4BHGPmOL79hj/4 achRTzmdVcX3/G0BWelxqHK6Wjb+0sBP6vwS7UnfdLLJ+OUUGgvZgBHNmUJpQsqtvzjYSxl+VywX AYLFAaB3BmDj4FicAxicP0nZTZ7KfolqjXwJDuT/HDK6NIzYmHFL1AUGONPMj8pO5F8j0N2qlyFj 8AeAvI3pnESwG7vTIOPuj45eD4lNWmGi50vlf0HvwTCT9UlnyX58sgbxQexm55ZuONfdZjd7AmNh 0vr5BQX+XZkWt5kL9bHdcZVCyiGNCqqcIsXMXTx+4U9TJIwQsuXmp+Y+bXqDZNK+EY38yRWVtWnh vyEgf337617mFxodo4rZ0SfN3lFs2kuGNecZn1wg9t+QjH6Q9UkD64hZ03k3FpaPaVRObTlzA0mF huCcrK6v71IX9LirAlMQAATWP7wZnpEsxZ+htAbL2sfjMGjavMA/72ssGvY3CMsvrEzst4P4O9cc uULrlF7jawGY90JLJENBOgryiG9nnDh3MFfM7RxaSvyFFavy1OQVkh2H+0qANo8EZTJeiKKY1AII ssPgBbjml/CsEQMotwbqpFeaK9Wth4CAWW2cMagIYGn1bWYt4xflI+Euys73jnXRA+XZoCipHStH uXrtYXOxZUt35hqvHC6MjeYe8tFhLsFH8d5l1lmVndRcvhxsiyDK0tKTNfposSKx1o6XKxylPxgi EKAEhKn23a2gbIgIRcc0oBJH1x+hotE5TopTzN96qiojiY0tl/oOE6xcQoIZHOflHA+bb1oDX4kZ c0+4OpjJsbxITqOzb2xrcSm+y1peqiCpiJw19KEtVdqE+yYFP9r6nzVhJOkLs1GU7CuY666ycLI+ fO/4n6rqaV51g5yMQ7uArdKRlV6YK6YNPq9DY7AjbI9bMIFCkIn7ik7E2Dd3WK1f47DiSGcZv91K waIo7QIDkTRKNQx54Rnozj9izQam6unsTF9qxL1VrlPnCz8/2h0GKTBMwy+YQRlhuZBzLgiPGel2 WYM4TaPzVEROBW8GwE3Hc+VY0+GduMjqs8ysz4XFUrQvSeZRmOc2uOuhKe68M0vNPdIMUIpKceZJ XsY7MbrcZZqIRVEHkQBYHpT7doiVNex/tKC9sRdAPKu0F09iPwCgCgzP67hHs7RvO3CGZHtPGW4o ScrCJbgLwBGGIyqOPSuQRo9djrJYwIoFKz/WKu4n/zE74QYbvyFDwjJstUV+7t+eNlI2WHzlAO2E YrmHoWQa/lu3EshUXt4SNwPdmcJy9V2k/QdEx0sW+8zgogi7lluFOaPNBtOjNDnJ1dgncMX3PSRj 7KUGf1WN0s2KrDlEAqQRTcVAuzagHjsG6A222YQ6qa0Mg4dL8sWtDfnZkxkYgu8GJUJhUZb/ua+v 97OoZ5QPKyukoFkndo03+JFxz8uMsKEXrLIskXlRZW7yoV2eZDtLJpne5Ng3WTtAVvlH0dHmGWCb 4L416ss728BLp5lN1pRijzET/X33wEdsnpJzCY/r9rmv7/HPgRFcnSozQS+a8uaOanNz1doWrVOt ooediPujzGBl+nNBs6uMQIzZttQodUmVb1WElFrSkulzCSc/mrjfZfEmiPk30z1OuiVIYasuZth7 r8v3XkbFhEAI5PqgQ14gx0XeST8myCj8h6rjqn1n4Nw29eNQbuJWflSJ9SzxWbjEWcVfK1jeTuGe qC6togyedMRjPYqx0tpY/99HdOCBNqHYi9L/fWdXRleM6htD4H/Cp7hsmdFJ7eTQotkhPavM1Sz6 KmE7QO+8WwRzKWfun3WGdcocSf60Qz6aEt42Up2ts14Kfv0P5Djbq57K0ilwgvzD63XzLq52fKX9 ev5X2xc0dNreS/MgT5QPWX8Invy002ABdh6wYRqUt32kzdxE5a7MgsyN+YbtDQscX8cw0hOewqwc QF31jxdovNdz4WMTYZg+bRF9CkdOyyh4Zuh0ZrAa+spnZ29qFUsFCT2mhujioGB12ZiF2xWhI3j2 /M4QPCTDAOaig0LGY5XTzVENCmu2vONuBMU5krQWWHn8glHT1vNDVWGVg/NzrCRDsh0e+Anb09os cw2EMSXK4OHSmqUcrI0fY5kPW+DJZcDYXxQcgHqk6jE+hrGNz7c8JyLdbnzzJhVTsU4mkabf1YIn FWqXp/BveDrrodN7KWVwFhB/ZHn0aFUr9xUf3/MaJGd4SlFXi95A/lFIGqdhODD023pQWrVWZudA UNRquqQI4xCAVK0bYa38neYG3WUZyrTX/Z1HP8oULmxxZS2ol2sgMulE4q40jZqfM0N6bRUyR6Mi sqdy0yZ7zYLrfxdnJ1TWhamtf07P074TPRfQ3DLjEtpb23O1TEj/6ioq/blr7Bjorm1P4hAFbs/Q kZ0vrRYKoEAcfSrRetdDLpv+oYr7DelfMuId2f+Z9WU9ljtRJACrK1waKCpS+FSCJyNfP1hMwYJL utDoylhBIp6YlfOa85Ofk/SS5gL/FaSaDwrudUxnY52/bJ+uFw8nco60tPvDwpIA/YB4ntX0CeEA MbtKAhelQZuBjKAdjcSMVraoC2R3UVzRaUl1N+WlrXCye+2ywlQ6PrOzhnd/m3ykzIkgFeF5Os52 gpznQVJuXsEuyWNkamOpbeBK+mwq9fzaw4YlOZK4mb1b0a6LKsX2oYkma5OllAim19FVbGGTs+xD 6+qfknrVLUFDPpg4NSCXtfrx27+Yd77EMC+lQ4jagwv7EiznQOShaxkzI9fFjbaGpiKSjkmj+Mho sduS9hS0s0QikpCggLSs2MYWn+5egzN9NLyB4GLxbTL3Jf8mLCL+DhyaLfZlDlpiTDWogaVCgMJg PSHjbjuH5t2WPGZ7rx4myGzduo8Qn96aY4hpKI/icRfBX5NFVaUjPEcRYIq7aKUBNwLuGXAvJcYa 96mAB2MxwfPxi8UWVuDLBlURmNDa48FGyW1Z5N+IW/j+gliLCAHi78Bwz4M2uYhdTg940OZZf37b I1xMNPrG7Yd+EP97m474QyTt8r5yZrjoL+Br3L9LWrzWf7G72cmVmZ8oWSwJfQv8h2drzSHTCoNF kPG5lesyMHhwlp7tNE/R5sob00FlJTaZK+zCgjbgvAnl47OjArsM6gF073n0MEvdgFIYfpyp5HoB DWeRA+I0y7mIq/OY6tVjTG/ULBSqsqmHZXmaScKuJ+/zVxDHD7tT5lDXh++/Wbt4OA1ohnUaZm3k WLyfcd3KWIw8iBIt3XAgV9f4C1s7YKfPuq5fzE9OGTXbpDW8ovtaFa/AcVGfuw98I1Z9zByDzKjK GHZ4gRcHj3YAftpvJoezR4SHECRxJkuc251rE9oW4hbbiW6LDNswbeqIpky4FdQlE2Vonlqmib9V 3ug7T+7LHxO4iAUfXHxm+zW5gaQLlQeWW79crqMhV21uNKWrlEBwjMa9KfBVv8Nujhs7f1s0Gtqq jg4TJeeA5RYE5CqTpkNItBa1umSjVx5eCqiTu4X1apVia31qNw+6HEKK0v46XFazfrXNDPwCUcpJ Xa3Gci332iy5HxR98PGoY4lqd0D7QcN9WzWI9XaLinb77dFZA+3GmXuarjzfnxZdcnCC3DaXSyeo YVZvGi94045SFdm+q7nhNlBTwrCmJPDnkMuNzeJwfAdyUWbSg7LpQKcY3+oVokcTDuaq3/H3465q V30WpAw7ctziCUN00nPR8hCjHyH0KLnjDheMplIYs9/juUjZy+CveK2+kIwXhZaWcHp3rqQN4BHD ShuHi1klL4D3UugcNDF6Q7jsFAjns8Gs70yZg8+LFTc78G5f0+RfjAIWMB27X1HCmInBVp5AI9Ji wxSXi1tPNVq7cmO5/Vz9PA0seJ48xhyvKgjol4s0PJ+zv7xQJr19wzby0eALwQkP7jQ3bbMVBW/i WS+HrJcaUycKM3M8cYp9Tl4NzZ06vPYZSMvAThL1FCDhJJXCQ5yV5/wnqq1763nCVbeezDoLFNb0 Mrmj0nY+jYAy5nTEjQjuzctl1857r8byJRG4u9Xgg8xWZ7M9Ox7hGNEiMfKURuIdhgTlqvujGhjt uD/kPT5KqmGY6ejr4ZWZOTzOVNsbYlAzomPtcguStuYdzhyIaq+HKFldEg8qm3rAZA/OA8mk21oc F/BLsVYdRuE9ne3mi4pSphLu5l1ohv3wCUP+uXoM5oMadv2yJcpdmR2j/qpI++2eCC0hQF1BTqbM bo0/cVicAiFeGLP7YAvVsM24kup/pwya8MaD5/Dnk1zrBifTdUUpqZttCgOSzfC5NxTksnJB5N4h vjWNQm02kfr1SvQXhWeTUoaOBCfMyThvu6xXCzW2CWwv43/K+Cecgr/NFOyRPJC5XvOV+aT8eEjo /0iTWmNOBfXAq77j/Xn4JhBVI+rynPY00wsZFjRvMbpYp8OwJ61sOpp/JT+4g6Yh91Aj8/M8ZliB 0lkYdCXNFVmFZjVdaivIdvyjRjpet66IroZHEQBdEFctT0FYWEISaoFxPzS0tKF/KkxKr2oYoNjl 9KQ+Gzh4YnXduOCWmrhES8agyS8smwNr5l4vGmXF8/FyrA8MeauwgYcfQRwadjXVgGC7suSNb4hF vjf7wXmnPlVcDnQI5XHb1ROYnrmEvpkWFUQ+LYLjtGtqFZEP2qcK1J8+ysBTQpHmktFOue+MWYl9 4achDxjoOt9vVlw/+Jls7Agq94mEwvAhG1Q8fsjBFmokQYRF1A41VEb5tPPrEk8SN+RpPG+hK0Gx bTaBOMYmuklyxZEW05Q9fi4w8oIsPb9mrtvmvOjFrFihc83snprWQguLuTqqsO/t3CCJWB2cO4yI NCO76AutfaIsJJ7Qj3vQDogGNdQJVV4AUXwEThUT+kO0A7MDsbSPrWABLT5Xk94AbDIibn0X/2ya +RiWz4xlFLlpakJ8rvW1quEwTuOHAWvdbV2wB7ya1x3nDxtr3vZypzwjwcoHnFeQDEK9Di/k6RKP ah756/rDkpXVPjmJmnC+nHAvqC8vrTCS2rT1sPJyPawLhvtYmKQXyqSKqSru413mUkxajnZq26cR PDH8rPgED0Snb0nNVZU+A2tPOG8aMYs8IAXIWRw4f0mu/Y3k66PGDG+QUOKCClOAgbSec4Go6oPK cjJk7wRHduRrJ0m+ue9p7pDzgFa9m2Wydn8pZ61roPu5lFbtGq6Zrn0JGTfQuwwwbGlG++d9T9yS L48Lv8G4Lna3ZoaKTnvGiZZTUEZTs2KPkg14nwrdjED1+jpJqejf4r8ouYenthAY8OUXqAM8lA93 6WHzdZB1bnaS+AOteaB1dULzhmYYp9cbg75mux98w0pIBaH32+OCCQ/+K0VGl1wfBvO2sunXQdIn 86h6eWuc6YZEXe5aDS+jGmQwszRC3okY+J3cmF7moX0ahdoyd+Yrk10ClU3ZnTceCckLTqEbroa2 q7vB+baXVVBWfGScR0/YYNWQdK+w64c/s35QzCfu709gd6mbRdi6Hrg/RWj195/jQyuQgXrd9sjz hX4ZJZb1MKxe3VqGkP/+hFVIqEVjUuU68FxyGslLUOkTMG9heOWefGiLRPR2s0GyPtkxyznoEGLF iCbwLH0ntlhe4J8X7eV1atmSeY4a+/kgDoERF2uGwTjdVa+rD/fbtqjao14wuwoV/n1Vu4QHbkEX ci4JMCmvHJBBN5wjp8t2KqV9wowS/SahhOCn7hSLuUU90sfDZOUQa1AdllCZDKL07kxiaCTwFHf/ 2Sc7ocBW+z5r/y0XsJiIHPYwdyjXWdzsPibLsbMW3XFlyG430BHkBvWGJFG0a2TQIUJE7rUQB2U6 zwKu2hPJGTQhzNOpdUYST7ADMDzExztgdXMYRu8DUxRNwNKKCAg3nEDqYUc56d+PMiEtfy7QKcWc MKhiQUbDooAMPKv84lehAZpvmlJeylGqrTz1xDULOPXWRGmRq9JWWoCzFPpa7gyvTjnGJA4Q6TsV 8jqwI6hUc7Qh0S/2Xy0W/CWBEpt8m/4SxnCSoIK7DCl+j/ZZH5GEO38B7rJgIrNAfVs35ARUCpGz Mxe3UgGkzbu3SMlDQvy0lg5eH3+UUL3NHJGeEWqCBOLagnDMdxaaOKNfVUC3ZNLaHMrkeD67Hu1o /nST/2E8gAcH2r8uHiyvgrEgBdzx+sY3UviCbCogXT0aSNgqfwPz2peJrDu0KHjdmFmn0ovnxkHF J1/M8yZHh9Fy0j5ptz20n6wsfvgJR/2wk0GSfOpGfQQNzhJ+OYblvZGBUirVKiTZ2qw93+FXXCnz htvSsBOcj8g7j5fe2fsPPGr6U8S4SOE18xe3Ikb68U1S8S3FnWLA2627JTehxIiDrsnYUdh0ei65 1tdRMMj7fqDlHC9+/V8dWykAWW5usKaO3mWCRV1ED74wNDwUWBVihUBWU1aQJrlXnqqJhY2fHsjK hx1hxylW3za63eWCX3Op5HteBVirgcD55TO7/pur/uoxbdrulGJ0vcMcQXq/n3TgmmI6R7kJVhPC sYSHYc/nr2g0NvEZIF/mzTBTG0OUpqGKzlRz6qpuUlr/8MVPPBM9IpKG74L3LhaqqHDYpQdh45zF TIG9JtT/kkbcm9e9CsgbU42gxBd4gtErqawejAyJpQgiJLJqzm5K5mZYlOiGgpGWP8nE1BVXbLUu SZiPYWQlwMiB/JwTk4Ch9O88ZTh+SvjWMQLDyevP2JOMyaZgs36dPdJx2iPbAX8rtjigW/FQFsSe LnMmSCWUkbwNaZfMz+gw1wUvGX0e0CVzy8EpS7tWOlwTuVPSMT4iKgKqPGv3v85HcIoaHaSMzAeu 2Wrxiy4GHyLxWogy8bJv+j5ruyBdXNIrWQCqlPdnf/E1ZpcFpYkZAdLz+uVne4T+hTxn7On0WpOO yDorerksvXCmheYKtxMrRpxSQd8KNAuw4C61b5KpcIVQhpoLm4mcGdKQu+dcBPJ8uv293jXEHW3U mc4H5UtPrgZYuxktsoDAaF9eCdVothmwWOunw/9xpn9RcxTgNYVUrYqNhuekPlGJcr1HnwZ3vFuh EhqI3l7nbvowoZ2Vhao41CEBRl3T7dobv/8AdmpYZysXVO0sDG1Hck5P7PwL+/C2ux8osPDA8sw5 5TPEDbVk0qWvvKern/4dmsHn4IFm7VGtC54sv00aW+S9bgNwI34KZckvIOERVTBaNQZtHgVoae+e 0uKwKeWfG0OzIyT3O2u4uuUY51qUWpDYJiZA4mUHrA/LnhBaG6KsSqALgk/oQNSUbr7nt+HcfH36 tyYGzPlkltTaiPranxzvEH5PF5T73uxw7r6xxT1rELE4R8aztCr0DVk/Ri9hSnPM/BMXej3e+3yJ VoaLhcnfcvFy491BJtP06SWtPxPbrDjiALWU1owUbUySGXwlSxWInaSXTv3DOzyjzaFYrghYw2b1 bVZWLj9mJmHDefqxUHpDJJVdHG3o3SssHue7VY4tYjJtXUONlFxiWrqPhmvqjA/IBojCOBVgE2a7 pfuKnH+dElmgli0KHVnoLdpoZYKN8i6BY42o6UBwFSvjPyPlKf5Wtpsyg2FzoRIQ4JsIkO2MkKG1 BkxzJJpI1idDG9qFJhP5CkH+DmMyCT0Qv2ToOorsBKJ4sm/ofLvD90MZXqrdTH0Jt0+vQioNeQAc IJdtYyF4A8szx7XS8zthsbOFdbWYIb5xm4ERLISdHI9qSdT2DFEq9QUQJ3+6lb7OCmQNeKq4uT6O oipSeZVnhQLJPd9R7LhQh50ArHG/85MKvc+mCD8KAqUl7sZNdm6l+1A8QwrFLj512PfBMfuhEzF1 FH5SGr56+nNFpcb77HBqgIECy0UIE8YFEnktqukcVuoYKc3z2XxCUArtN7ulkZueq2a4r4vjnYAs I/EeXOjwlRRNfL+6VHYqc+lr7k/H3iWB02SKI/cdw6+f046cHp4muDH05lIaaihxdfbcS2youKKp nmoAhTb2rDjDdTYQhKlQj4RCNCAeSYMeV6o4fitM7JvKltnQOWWsgae+lqjtKjdpiggnMGV16JC5 UoxaPzVSB7aeNt1KE2HPYA/L3u8dQNcHAfHsef0wvAJOaSlH8o9PdDZrncdgxB87rk0AZiONB38A 67iYuLHIauAtuYgrny4KGm3VfgmXnpJUjDq9Sj1WRRl4WK+YMpJ95vOVGf6mFNeqpJDAX2m98aQ2 PUXzG1hwnF78jJV9sKHhEMlsf4aJwInaeaL30yY+SZyJkPr/c9BEAH2sXL3qB/nLLbEmgSbzW80+ GFWuAQu9ENLRL4Onfuchd+/HzSUfL5iFAg7PtPcdhPz3rQJ7cDPlDhuseKMU+O/KjdLXQqtEw/Lx jURoryRZpG/Mwnj8B10efwd28phy8f9pk8pG3+CNWsYzmk8NgMFh/NNm/E3eXrhB0QDX2QYW1k2Z 2UrEfz+MdWccE3BHH4CosQDHgogPzPPyf6WDxWfdi0shkqgjsKbP/MVVm/dyqzi2QiWPUZinfny5 FhMD2EmgZlrE+l4bZxju5LAaLEA+9IlnP5IIMVM+ERXoLKA4N/FFqyQbJcVKN73P31OxxhwTJd1t m9nkh4Z9Delojru2+FGttlee+KytMSjIWUy/6rRkMpb32uCX3dPgziA/RbfqgvE9EQjeNWWXFbNZ OXIGom2Ma7qoXx4SNNJYJPM6sWe5CcppQpMWuuCLA0JbP83DcocyXFo1emfi6/4yuzWY1l+TxknV Nt/UaNPTJDDCp7s6NoyzowVnI9vKVDaODdApt54tRYrX+KUkaMoinxu3DR9UFPQByh/Oi83D0uQK vkDujGV/b4T7OGkwLAIa63pXnzOYPFNtIkZ+Eauty+UHyIZ+8R9krvvqMttvDQP8fmqQTxx2V6lU zEl8AQjaGumHbNtWu+uxKcKGPaLdocGfrs5E2Hwkfuc5XH7MKf16asUf/VPsbkZEXH74fMk5BYYU hOYx0np/DcsWIG5v0Da387+qqYWJD7bBTLhBczwt30lJWvwTOSQJDDivv5T2bRv430OEQW73bJ8d nrd3i+wzosy7JHYhby50dAmkaBya40vDTEg2jzcatpCVdGjrApMytECQz3Xqy3Pa6KfW2pKICiRA WK5qwQi32OJ6CEi9TfT/OpX/vLNCaAWhkPz2oCNjaFcKqjDYdoufBs9BKSNd1wEodAcGaWTOPwY1 FkBLteWj2cHwaHJ5N22SHzsSK4XsQpo2CDnI8tv11UI457S2PfnAoh4qYZ2k5fIfHG2WZuGIdomC KxRh8zPGSauvN1qpJBZiHau8akfUXPkcN9Xhgp3J7oe5RvjSlwSEgz3djNHhf4Wvy5VoT5ZaH0co L2BPNFhuCAdpglenC2OLOPwi4G8MTuUwxMGYG6oQ0mhlRAbNMOQl6nM6vCJDnbULZHpsMCAN5eIl LMSuYYJDtaS8SPjKaGvB5khD/zfCIJCvH6lUWTZYZgGn415nmudMO8ouDjITM6NScw5nHZ9LHqsr T3b8ZOHufBU6/gzk8ATNRQuKWWKujNlql9cxbMLMa94tRNAAYOvAicSRFJNDS0LAjvZBJcaOOFfs W+Qez2cx9LrLk5WKkuHOZbxLLG097eRH+N76/IbYNzGYvoWR8SADyOLgmStcdtRl9BdRzNmd5NIt coTC/kHu1wu6TO3p8Vp/TGLdsvH1Sp+tDgwgvuwOTmWnLdtPtwIg/lHt5+DRZdCoMo6GmAo4Z9aD RKd1Co1Y9MvmTZoIsBW+76PJfHqEVP7gKq9/qusYIoLE/LJN7uYfjLlYbgPvVALpNfpy30a18UA4 JikjcivZ0oDXMqACL/dM4q907MBR0b7YdmQJZAa3YF03NwcBpXGK0jgZNHopThf6/eHRTlm0K+My Yr9stuQ5Fs3QU6qozvNRKqK13TasY5owYKSBsjJVurH/9G721pVcVHxMbqEOJbWTPd1cRS7mIoUk QnUVSGcA8tHsEgM2sw930scHbBxOiyTf3lUZ8mVCIp4sVwvSh2NKKWszGdVa57zq99P+d5dW2XyS NlBiiX/iVd3gwypyimMQ3NVeLARF3rijJeiDaCBKeuy7bvhe7aSb+zm5FyNGkNQkLyhw15JgXg3x Toa+cLisjoWi9drQL8kCB2S1MHtQ3FD98umqREeNgJx2YQIQPX621Av+fOTbhkHXoKDc6UCQCkCb R1LrkHgIosnu6HP2c0cp822apwW71KMlWnzSLZEzCyAGrWNoB4q9UnY21yIoDmrGEaq2pODLdqSX ywoWmYM7CzZeCCpxmhcmITagjLe1XdMhvgg+jDs3YoitmxYPrY7r/XE8aK921YBzitXK+UE2dGrh 92ePVAuTKr/1fzPRbd0uAXUIJeZyQHtzBd/xQ4dYi9mefVRhJ8+F3pQnVnbGkZFwK6RqyblMMV9F 1lmKZ57bERF2oZJs8s2lmQlsQ7Kc0fqeRcMlPY5gSgGcitz1KIakDQCdV5gjyjiKvJ2tVaNXWLkH qrBN56UFE4sz2xKODx0cUZJSK2aVnQnqoK1Y4gqO/ezaTf1gCR2aaZXuo7o13/VcbDV7iW+EYJmd h2HCWQhLAj1aGpMPaD8MD6+VjifT4zQlpctsFvQJXmOdGphZpZeTrQBwqI9KI/f+5jibjE1gzh1b 7yZvdMeoa75HcVdf+O2geQVspWEmckqz7TlG7KZ/WJXBuD9Upnlm1d3RupVBMV6/2RD1scWS5TJK Bhv26pNHI4B8Rp+piXiqtjE88mJ5Xs2vEaMqfihS8c6odA3z2qQ0MtbjwBsJiuO3Zezu8YJM9/NE yKehYOqkD6kEOuyqsT7iQ0H5hO0CYU49Kwj6BaefISBbMhtVCn02jGHsvPlcwCllCNALJS3uiTkQ yihoOldHdL89bkydYnyd4Zvaw7V3evqAj0x9VwXKrymKodRMJNWhhnOlWCC7lzAMolzGrf87qa62 5JwiCcXlXuPve100+1ngPPlKLN/cVGZ7bTlRTc3mfoZJBcr4HNfhvyCxtUoKVDqTy6VRAfA6dlkv lIEep2WWRLYh2uyJpO+JiTJbLlcZq2lqNhRmOvR3J5meo7W6pNUIJxzFjISDLtimXVaLXP4QiJ6S 1tEoZv3JOV1v+c90TspKDg3tUxnaiEIOjTb6IBl/2/zDKaK2c+nF2I3Ib5Rygg+U2fgvtuZNvds5 5mwROrprOs3nFcMLT2VTuThCKa/VY/wq6u7sh8cxOI1Yxg5szsuf7HmL47OUeSiEob6hJVUBDtaN USvdn8ZfEyEOLgkZ8mOGIU6B/ZPsKmVMfViYpKwZaBD+epIOopCZpP60HpL/mUTirZuaauRmoOpV +k0Jc7AZIiiOEHHHHdMWuU8TufTr2k9q/ucou+bjnVcuYZAs+JVUW+ZasaJNuD+B4kAp+1zzlO6e eNFPFcGXelcbrGchNBs7dDE3Vt+42QLRuTX8nblpTEE7asZa41xOAAvGll/+25oBNsnWu3ai/kag cEO1ogjA/qYcLEs3zDKrIewLOhTTtuqZJGoglQwVDONc1BRP/w4/TNBlqoyeyojRfq9BmOI6cV6u cGyF+pFsnMYco3B/K/ZSDJmL/KUZdhZC9t0S4IrPf3TxEzkiSsiBF+ALSmTet7NfX5OKNxm3aK7W pBB6EAh/s+MogIkFqmWEW/yrJIErhfCkHvPZRNJQkAS+wlMHSBILbvniqmRiNTmZP9e5iDVN1dxI qRIwbR9WuY/fInC0gR+UBOYHEEq3qGfMNtMBk30RhrU27/JgurIfuj/gdesYmMeuRhulu+6DCcJI GmqH7EA5YPPF3RvKBSSJ8vwrgo4Fiyyk6AkVddHyHUvbfM5mzFpjjVqKlN0befJClpvfpnH/dDW9 kTYyDz8M0UFzcEp26Q7sS6MLDU+S5gdKRko37BpWnhfh3Lu4R08RshCifCVlNnEQPqcTxiOw4SUM hTxFyw6FdWG2aLK3oJRrUOmOyKUIk7Usx1gZjn9qKZaxvYdq46CNenHJ8Lqunx+rAkfOupaFTk9l CARQXFoRrGtZ1OQhSV48e3NXwVhTwrQm+IuGu7dloPS/Yi0E5yZqGFtI+RpTe1TLUYXgChZcDk28 AJIq3tBSsyeqyNShBsKxLcSWGd018H9olxlcazmCf2vf6uzreUgknyOwT2FT10DGGHpP6bE66rsF LsvVqzXQur54D8J9ERLE47U77c2KuvKpLvOCrm2lZJZx+WpxXAli5MAx1nwlsjXVl0XTxfhL8Zlt Mehu0WmhQidP/2M5oEJ+kJiNqu72phwzw9zGKfo9jdjwwpXKxe2zvgxR9jmX17WxcQ/5Q13D8t5Y c0a7WvbmrwjYDQBqBxTxhEo+4FH4r/G3MYBan91tRII/h9gp1uK51GDM5XzW21CoHqEciyJ+P/8y 5CJ+S/OL2LVvt06Qr0pQ23DnlZkarHD2p/11Ohh/QQ6H9MYhZvZdi4Ua77VojYZPRQO9g7IEe6h4 HBVsX/kp+B3u/vAv4KooExhBggPAhIZwUjCJyjKlnhm+IPqavLBoO8tT5nzJWuHfNg7zkYML0tD5 Ky1FCSuNlAnMJB5uR1b+vR64TGecg643wCfumrjYC9KCIKh2OWRdmZeq5AYcZflRVs2Vdt8tf4CP MhFvfVyanbMPw3yZ7qp0Cn1EU7WmwNJtsSaW5GIGC/4zjeFjHt/9n9KdOXDeYg79KDscSq+ZdRK+ R4/Y02W5N+cewrTAC23oa0hPjHE6yl/kIshKXL18atrNt9LGy4KXkqalACCo3xB+gqTj1TFYCGth MPkt+69XHTu9Gw/Oti7TFY4Jv/zkc6A2kGS+DXH3R9JLvQzfq8vKMbLPmFRZ+Apd0Ho9DDuzK9lA lAgPJCmDLXGo0wgiLW3iO36YOEEd4lrdxtMBIZfi+lmXcMajq6HjMg0P6p2F7fnH+81OPtepW8DV gsg1PC4J2smmZZHmxgxYUGSlLcYE3jYB2O0xjDMBpH4hd0UXMYIF4OE6+d9+05FB1Oydn+j0ozpg qxKNlW6VhybCnxLxNHVot5Vuf3ZOMobDV2yifgcCuIU7l4CskMhCBzl2/C4HpJo813ePK/X96cZ9 5UwrYtk4aWGVQgZFrRh9Apc1Me9/4AtCWFUiO3Xf1lX+QodOcDhOzWLd19cLzrWHn6lDNNYYJumZ 0iquLBOKfU/vqAnpJwrF/I/TGvF/VGNMLZHox3ANluPMZBWSD34P2177ii0Nb8It7/odS+RoSfVB wEFjrF3cj6Bf9bWTesMrqe7JSzSK9X4GCysmerp+FJpOWPVtdvlkdADIq/wxjt4t+xgA8U5R2Sn0 XKDbQgRjCJEtJc/5ce1qRTz6ZjrlHmChzpn+7vHXCT/uPGr31Hp0nrVrXbnjuFyyYt/KRbm02VoU ptTGr2WleLTt0QVdYYeKWBGuvl3aI2R0GV+8R6TaMFxkSBeyMMhIlcc9mcnxroaobF2yfTgM9eCJ tNVxPVUlJgOhAhWYzKPifWC8MleiXab25FyiQ3U5oCWilFBwsh66aot8XvjzPa8dqGx7M0eb+0i5 uhZWHXAC0yZTXYgJrCNaIhmED2iTnApSjfhH4xhzbSS98C+gmvjoF1z7JEnM+2WgMNsuXsYAHaNK ueq+Q+36m5BcJTTSc3xjbxwz7E3qgmbfPlK5JKDWsQBs82tipa1eqhjhWub1ETA15VN+D+g7lF+F +88ZA0rao2cIN+Cgf85UfRL4mahPLkaFy/d1Pty+d7YZj9L/i3YFTBTRGmOaHE7VXbfZNUQRIDDB riJsu1detvXRRyIlA2hJYYw3P6bGdVxxBhqdhDvUM1y6SqCWwCY/zXeRiNWU37CycXJG6nn40P5q 66lGsXpwg/zBkj0a+Ijv6sn6M1zmabbEIlh+y6tG4OfffqrkLckkNEkuKXrBMaK8abt5WKwP+BhB DiBQQcGT0WdT2umJAMFfd4OHbZdrMXgld8pfkO/w24sPWtcNAxUHFD3RLkpASoQM7xT/tbL/kJ25 6JGxNABI2gssN196rGkC0CpSZYw/+tlm+A1VneJqF9ilXF2xkuwrMSaejyH1bhQORbS7h2xhFo2O CJqt9TqXjLc93iuCL9svvvqXlgGn7AyF/2puBFVtRw+diLaa4KPn3o/P3lo4fZ2TqN48mKJuZfvs S+m4zjlAznzwoHIHaEFQSkVqQXuAIdNDja0FFjy2+Abg6HDDNrxCx1wpyNMALtORzJtxC36TT8Vw Z1YBhKk2OJT5L31e1Mpvo3fcHgw8+thYuIizSlEmL5dVb2/Dx82WlWuVzhV3hFDni7Od/Qzph7bK qgWBRejG0AnST7Nv6kST1owGm6uWN6DH6GHgy401ETw3ys1r9EawhBe1PuMCkmEffWROupc4Ekzf 7GcgO+nlb0wWrhelkN6ZQYXfua1BjHIkdC2lUIV9XFQDeC95OM0s/zQEWENmdY842G5+EMEcGbci 2sHQWQIlahp3rd/ayDOIopIjpi93hHY4f9U+IMT2MVqw25pZr3diI53jS9/6Px4MkIrQqHc5oj9y wev6DZsDMYi4gY4KOyqZkciPtzmO1g6WSP1aNFGSVfQgjVhtJBumN7DgDYvLwkjnAre173RLmdrc ItDO1f9SPgWHFWsukdaykBkXOVL+ML5lx90Ohpo0ISCAWWtwE8lA7Zccw4l1Y8rT7tCJNx52uBg+ e83fRLset+WZDDwLCNMaG6kWCtp6N/uHl9g6GPD3XqDISN+iL5o3yokLyYpgNsqoI95Y5eM+TXd1 o2/QvSvCE3qWTsDS4C2QqET4Yit7tlYUSWYJohHpJo1rx5u4b22UKIjamwhREEEQ+OwKu7GtiqBl ADtWGeJ889t07g5D0z3uzqmWCaNDD0ssmEXqUFJIEeVJUcyrKm2ROgKdfyZWN02EpC4ESZU5yyn5 smdVwWb6rt+2chPDkn90/rWDW6TW3DxML6/NVHJQ8ix3+2uFi5eqvSkV7KgAzd8LOJVuswVOhMLN BGid1KVOt8l/UkQy+NHJOSiBPir7cyKPiF7Hcd6xgKLEQucnjHKn9M2jxYqc2PhqZjfkHVocuxav L2xvmx0I1DTIviWxEQj25e5lyfe1Aq+CNI15y9uzW6d2H8KJ1ieG6H4R2JKHVqgYVzGzl2jX/MAl HWvo9KhJqzKGb22fRq5M2b2lRhzoB3A0JwkFJQFqT6DviYFNDUNB6JtKEjTcOhNy0WGB/1a+Ye0p EgbaCLF+YaZcYFvI3GIcAzwbs4/tJO79D60Wt/gdDnHq9uKCIeG/+YR7arUWMffK+fKI4rZasSHb ntVB4h3XI7WcEbBHrGPJrnUGBvNV2PfGlWXgsG+eBL00AUdy94NDnzhJHQB7HC7e+6lT5prFVXNq LuNnWtbumqFKjLoezW7nWz6l5f+Q6KlDNeek9eliKuiFaVlbXILhe1eDxn+cz3EZvOFFx163KkVv F1DLiPwqu9lyyFk/8hetLvkUbH04Cey3zgujjwmuGE01/XP66vUkx6daRO7C7u1FYyh3P0NKgXS2 osD8YAooIKYPY/0PIF7hBRsTBWIi01JoPHjQyUzw7TrRpDhf+/SnnBYHArng2pCHB8t7vxXH04So 9EEW4RvpZZ8NfPXAqwp/hiBEAt6pu+pZVztO1hGFYGowD1OcqMKJvDPxIcNGzKMRimGd2RDsLoLd /5iak1P3/yr5Rb8ZefPnse1zOcSMiSf+9cSy19PlwX2IAxBUZHlvjJMWF+WIxtLewDgH6nh4XrVr jOXAKYwyjOlP4IGVszvAjA/nej1hLEFbXMnJqcgUGYdTFh7BvKUKeek4PpJwtcjwk9l/oAwJTXda VI4Co1h9yX2x58+0ACTk40A9cF01wtqKkREjQ0zyilanV5HuAbt1Ox7UY0oLWsKHiH6fXjnq4xm8 d4DlRg0Jx3dD9e2uqgqiYsagl/S5kUd9C7sIYqO2gUyHdv+g/ONS2UZ6akZY+dewpPaJiaisqWb3 zPplDKrLJCqSXEHrjqDmnVEItWco4SwplwNBqlBhCFWcgoWQ0aRXwA0xbBQcXSxeA/AHysfNO0zG IZWzP/pXtrNgQK+eABjnFjXIM9drgKqNw0gKuHRNuPDU9eqmHDFHMxt0ViRTr70T/t/t2L2ioYww cNdPALPsj/NEyKdP/YrkzvYAs5cCqbT4fZ6DH/Mn/Yv+xmvSOopYlBdhAlFOOayjrBOmjeK8+6Ao zceCltzDxPE9YdQqbSYry83bQQyRLl/k1581c9QTV+ZnEwuZ1WRsI9KA3367QRPDSbhCy3bLImWQ VZTu8knjry09SWljCzJSfW7WaxalCgeJD1sKtW1lYFzB73IkG8LVO2bDdV4CvimPotSgNF+wF1R4 C5JaVg9djGFvZnS5rioY5Loxlvav3wi5PWr9vEcRht04nBqdsUbqA79pfGnKCImdPdd+vtjQjXyJ r2e1ykpGPMKsS5BX/ZMuWIn9Y8vbYsHlv7OwrRCdFWBY3FliLI/qWfqVU58vUFEYK0jVfH0xvmCS dRiYYdiUeX2QXWkBI2/nruB5aXNjLE7t71+X0xtL3t9xIrgKIGUfYRenFIa5IPy0H3rz+Rsyp8bD cFMoQH41kewDN3w5F557m0WXxvCMK9PJnWpl2rk81FhTqqRytSxKsag5ZVuAeQ3jfIB5tFE7QEui 8X/mpVvIbTMxejNYolc+Yr4DWMZi9C1eHwflgir9NIwQe9SVQA5JYmbaTCPJVHL/5OQ9BIUrqCcD FB5qnTqLIjoWnaxCilPgIcvCtghXfUtWvrAiOCfHQO9n+YkchJhSDmomuTPs/D/b9aTBtVNQAcYl 9pt52j65g4+ZVWJTEzkGOTq+wCARSf7wCqKwzYOlbDpF3JZy+6zDEtWvvZ8g1Rus0WrvbgYbRRiJ gIApOlxlTgEuTonHKSGA7ulUuVWDtqNIplhHe4z2rELXlaOd8avUp0EpkD7WAXtvQx3ik5/qX79o KXuHsZdyTePX7JD4uJKPtSVJnCSEmN9B1JAv+XIZd6h8/Hi38raaV0aF29Tf8+ISaNC9P6Q1Kp2i pqx5gJ4gunOVlbWO29AzA8zpzqoUFe7UciuIlNJIL6nM4+Q/5kDk/HPNV9DIC31gG7kfEf+RIyf6 clxFe5HElYv0HpEUKO9gWV3eQlYBUWsE7ftooOd05DNwgJzhdvfAtcLnZMh3eexar3q64G3c1iqd lcRohxtwSvMh8XSnWCydiXE+0+7iAuWWuQxZP6BxBZl9CNWtlRobb23/8ok4SjvvE+O1gG+3MDmm xwk6jxPMRc1ei2hJm7Cch+39VubokvzsY7F4A6qgFQAxBJX2rL24OKDho/TWM7youHQOd35ljTLX mloo/t6Pd1nr7SrIVND3XdEAPqD5dqjTY5zTmVWj1RnZilUJwNTeby0dBnwPG7q1HZomagTeENGW piBrwmjuacR7zP7V7Ko/Vc96X6nWe+tssVhWjDfViWWvlBaz3UsT9acH5fZq7+eK2yWOIb0w22ZQ 1bCZeTijNHhEuW/YQUUzA1aSliULPuqXiCwdljqst01LnBjVLA6IAYb0TmqWg+qI3dPhlMQsKBUD WP/5nycg7Aq8yluHr4JbjHVOn3DZhFpYseCC0LW+UZHBdqPck0/Pb4u4K9HyFSc2uGZUKK/W52kq sXvtw9OLYTKcSNpMigRNYn17YEWkTx6NJVrjDhzVZ1N1dFdHE1nhT4N0G3BHxAxuy/0M9sk2ABrQ Z/5veVc+K7Ir9QfJ8uea9KRWuorLGGMs1AqJofBcBVZiaeq0BOTRNhnpyyt/9IgAblugotgv6PW3 91To3nC11mIQMkh31NBg9qonbCa9V/v6oE+bDzGEUIOaZVKdDvVWlJtzje95rwIZrAxADcwCTmMx jf1dZaarARwx8Z+M1LAOC1uuqh/xgoT7SJ1bZ68acaw58CzPzKa4DB5bqIU9BqWqp26/ECkzjRLP lCTWxEjUrdWbV0JJr9LzmjxMUcc0f/PqFaBQd/Y36P8n5ZpxRy2M0VEIUZM/uL3+nKMw5kv4eppx mMMtQ8pcTUV7VW4e2deuoFsCpI74tFi+Yf2Jalx96VHIi+OdppadpxfpwzdHHMVrpU946uaBC1Xu u8hS+u7665xgb6nhMT6HTRmjpxL5lF/cV0MXk760wGDyJAeo2/sFQaDUci4g0dDRQMhzHKhc2Vfq nxit0Ok8D0+qhgWm6yHDIbJbPfLrDbb5rAhYPVhJ0Gr/fuc0F89+/vU/CNVre1LlvMZ+bPSqdYdT HsEA/nYa+/j7Msw8lG31HrsG7s17sfuH8x2nqsWz3OxTtdwTaaWkhke+t80ouOW54lPwlLSgNzm0 zyslLInEiB36d3q55ELE8f+O7LZ0m/h4ygPfILe1zwmXqzlU46Rp1cHeTSacha6tvfN3+OcpymFM R65kQMsjObz85hutmTSKndORo9zcS6iveb3UQo1xPFyWCYu0d/ZjdZGfokv8qnBWNX198hyfhJZh fNww8TUWsjXfp3aQ5m2vMFB5STjVoWnt0wG4dQJjIPQt92iGp0qHpnfQXRX40GkQj6oamc30cuLu 0G/fjO6KGKfTbkUa6N5ZTqNxaThK0ypJJ2H+T1OAuHRnhGYMoKR5ynTDU5+xAqAkmy8X3/R5LUIR cPJHrHfZRCD4d7a6zuzdRA4a6xqxtW3SyqFxP8N2HS94x619q3aCVF/Nu2WykNuaOyulncylUUPk 7svixRdeNKvZodjVhMQFuFZGu5SZmpBx3q56/mmGWh9Rxi+FuSYco+c54VGuc+v41A2cS3gbLvX0 nmdOpl8ky8WSoSRDnTCkzsyqPoYi0AcKuGyOe4lnwdb+3XzTUzIEgzAZaIL1SdSo4ay5xsfbJsjz Gi+q7uwaavdSOKmXlAdcLFrxYnkHbbgQxEUe3fNS93Mi/RQ2p6SPr5mCp/V50sbI5iBWiVxEjFXe 2Rsfmntn4io9VELhSqB+5bPQiGzE/i5sEmlZ3iPXxw+2naMtILwZ6p91OI6NtI8sL0vuoU0/5kI1 3woBgBQF/dS6EGIP6Mcn/iN4VvYmMPBzzuRpQsQudazc7xZT2LgYT7oJKndPvVdpyiwGGPv3a/AQ 7L5UnVaAM7Hp496rprgd5G4M7z0GdqabZgAPJp+EcrtR/GMhK8SNg+OpJZ7EpiZbXmgNnUcVLLZS 2ZDvbc9ZCMT7BbaOHChoWEC/479ZmaQNVLFwFOijQFEQei8X+r0oArhd1yi5ON9p4pFqEYG8yNCZ zQ7y/0RQmLQRitDY5uKg7SUqYaKbgXfJmvVQYjbEQlmW74lGu0RGP7eWYMysEfsg8G0ILCxZlkch h1aqHLXFtf4DbB0LX7ixvrh9sHvif6NaixchoBtQOF2LuEANXIMKfRh9V9U/oBl/4Ll1OpRnt3Uw qXZnXt43QJj2dndg0+z1dRQjSw6H0F83Sx3P6N/HS3d8eiKs49wNLmZNCBmUuprCVUPlRXwNdBDW Cay+TNmzC7HFezE3YjdP3BDszXsQysvQrmvMJmLdXOcia5jV33PXU0eQ6uHfi+C3GgQgNtSxckbt ot+mmFBJAvNtk2yFoJTsVUGxL3UBsioSKgq5ZeBPixUwNs7G9FgloIeawpe6zTlH6+Oleda/XIRN FLKb5Gbv1JGirjHvN26PIoXzyEg2ovZOMs0nhn2W6JJfSJUW8d1ITIYOAyy+X71mUXTYduCxHIJJ CQpiqisZFdK1vYWqoY5qNKlJeBSO5oCWFKG1rRbj9s1ri2MDRU8lNrQtalxSQZVF5a7f3EucGytp qeiT3SnlYFcub8YlNQv5HHvxcnk+zhOjbnfQK+1dAZ6fZNumIs+S/D03RtV5HC71Oh5QyFxdnHA6 FQRrpwFfcRT8zAaOnHy9j+mloNP5tnFbYoNSF9dbO7+qvaHV/qz1SViy7FriQAZ6QzlxEHlLfbiA WwzuIqtUNGk0iuJiBKattDMRnWT0iiOFBVyu8b2S9E34O4WvDnC4JctEVHSen6/Zd3n9xMH+OVeD t/zzuX68yFWzqfzrYMlh/k+pbxRKKQzQ12IX9cVqsxY2SZr3QrTxckmzANRWuJujtq69RExIj/ty Udma1weKQmnf94JIbpNT8OmEJVFuGpAG3CCwDEh06NtK7dUBECdIu91yrPYFUKdMmcSoIET6q5XO waKIANpH3qc0SylJXmOT8S4EtAuELu+E9g0nrFka2QGLc+KRjMZ0JeQwbhFbxjrfAYJ3m6kB5B8v nLVufzOt3wUAuGOZTO1saUFk1k9cNp0Dnqa+Gu/aw/bxajIU7PjVEHPk8eHaKXAlqPTxwuKhKVQF +r9tuCh6newXgebJhViX7vGlfH9jYOSFmlt+4cnsVMommy/dsmP8rnnp5++3SGAg+ZedRFQR7JNL PCiQRvuByEl+UgV7sn1YtawVXVcFC2RU3c9HFkgl/lNDYCJANkeMEZYv+FZAGACcEGpB5rZ2vk6m eCtpjudcuprmtvI0DDC6ZhXFM1ldJixR5lyqYQeycGlxWPYsIQcy39uw83Y5itGNAlQXYiGIW/VW veCSF0fl4XRp848NWrUWNV3pOXUfIoYnc/g2isO1NR5hDeOJ5376eQYGTmQAbM58rfffJU3UsEkJ Wv7/fsiq01NT1pb6/ddQax+lalAeTQSfcxD6koEz8eAP13wPLGGqYWedIgHChQzHdLKGUSDEzvaU SHhuFPX0RH978U4tK1pn21Uocj9yy4nXlTxz3Ya6Z3HA35GJaVgywZnVP65l8HFpSUUV+bNDxcx/ Joj1LiS8neAeJ1Lit25J1tvsiw7KaVGVWtV7lxOZf3Gkrhfr/XfBEmeNTv+H9Cg8WvmP3bnLV+aj dBHciFoleezUnnrSNhcXiKHWbGYAeq+LHMW/YLQ+MV851PyUFQ44fbdlGvhXz+JOhN4SnNkWrGWe 3cKkK3x0RkT7wwfu0m9TH5ZqUhK3E8S11Xy10i38xa0hG8Jh6Us+MGeRwayGyvS6fDghhemSI3yc 0nZg0O7/Ovf6FIVlrMkQcphHXo0eSWRTs19b70IO/Xfuh52IqC2s4jMn9+2cgiEmgBXGTm72Ufwf Lk6z78JXXgWup+p4URUL9XrgjTkmZzx4EXF0HpL0hwAODqWI7IA8rE4mM4bj5OZre8gVH7ZzMLcL 7lff7Pwr4PRok12zdtE9ACXpqDnPiQMmW044m8v+eijyQmsEjXygBGGsxFkTrYI2xKkLmV6uDjg8 7v7ALSykA1NwGnXxJuQJG0+J56NMuhLCgyULSdEkBnFiIk3y8nq3qofQsz8WNODSzxaJRI86RZYl bCL9QWgoh0uSgTCUJT04/WJK3CRa6l50A0ydWPWsMDYT0au/H7614usdAUPM0hRqvi1WkUrunsBH VkFmSHnHbl+2zmR0HxTJqZEu8Rl3cS2AWrUY3CwTC7o/utVsL+EN514cVICGsKc5A3R9mFfx5cKK CXqyzVgEXQpIHXWpPndoQN0ljJAP9IR/dOnCRY7ssWCwTyKP8SzYmMKe6Rnv7N7R2C8/LxyAPbML EN4iOeDuuZq6dT67HD3CF2DOsGcxNuCQE5Qn2zd3rDVAv3i0xds5d6DNezPlUSf3WHCUJfacH/3w labJz8PTiYQR0bBD7DwuWnqH0wjgMCar+kNBA0JSwzl9+s4LlyAOnMEX8MCE+GVFwJfx/zQqwjTM yTWIqO9SXGV2kfhbXr85D7qUXFcqURCeUTMdJ+5Tbck5IyURMcQQxtbSIJ3Y3VMLxGKKAfqzCJjc ydqi4ghA1+2U5gzPBVQRDKhk2NL/dxovKryFy1DG5Ksl8CzLqCxrgHbBEzTklPEu2e3H9LHP+W53 17q/5KNXZ3UyzI91R78NAFB+1fR+KMMgkNivt3lFxj63dGNU0b436WS2iYNzm0lyYNfe3H71Ju1j mEeyY1TNPL5TK7BuB69GC2tMD3jNpHQ2Kg19NkP137WD4a3fU01bMSeW2H6wEChX2u03nscs7Avy szBxTBWX21LO483zAMh75FUeNx2iVYX4gHu6o4uvV4TWN1GsREgMTffBEAgGCio8fYi8Stb2YFX5 7xtlp28ChU3ZLWQHWBGomjwYXkGAepjO9zLvivgwHHK8zOTL8AE1yBaJIYqgQZMFTfojQ1zDEUAn kaiDZr8s0dc6/3KagCv7+VkGtt5pvDl/cwtEpf/S4emoLszn+j1EYjyomzpH76prsY7/Z49Eg4lI WFjS7Z1zAQ5//VrGLlCVm2QXhlMhg05eqHBfEXRk9o75xDRE+m+tdfR5G8BF91wsO1185eaEd+Ii vDk/50MafijiG88GtsolI3cPu8xxMKpUV3rsCSjURgJYg6BHLtjDCjGnOOt33Uy75Eo5DLAYvEda CJpqkvMGP3KNfB9g4Wy7+/I+KuAPwRG1LTZXOlg8Euy2A69/pCdRf1ApBEqmCpfFbFiN6YWYBKMQ ehnChTOr0rVtM9/QGwRXujTMhxvMyMyPN+YIxOKZr8H/UGNMZxgCkQ4iM0N2zWECPMWThgDaov/l tv9NzF8HRED0ixVYyXsDawApcKhA1E2/pqj1c8/ScbQGz3M3OLEm5Zo6+I6k7RY6WRbGXnfuJBXt FQFoeFa6PlMR8aoi/ph8oiyKAUZ4cZXQuUuaK74DbI4/xqGsJOumpZvzUCVh3y4Ir1TIRq9VNN3f jlmr2ZWyCDjrjF/MLUhfOEoOZK/7eJ8qeBcoqNgSjhk9x6I4ZrzUx3ourvPngDbhPJHnrOAUTGSd NqKIur9cn7bNysMdqRp+8ic8uBK7jyAzOV7jCpD2SBeGJoLLr8vDtbz5WyNEv30yA67K1pLB20NU u2XGxeq9wYpC6fvfq0OYCsEeHKIN0h2oEQqufAQWuRFx52B95vKNv2uEN8kH8QtrSEJfGWpf9KzJ tQg2P3DooeaTgLeR0wAlFg/hvkokeFEl2UgVvw7lPQKGzfF27BExd0fGGtxaXvc9PSuSg0X5cRMj d6u1aQUc4IQhHiJfZdBR0unAZ849bZooA2Wbtf3Jn1FXEPWyPs7cO2Axl+wpTFHogVUFiYj/9oBU XahGCVHo3I+bAgdsYXdQOEACWLwQiz/eTAgexQbwhfaDnKeysN+BedgeVESoaWQ6z9rkaqlN5dRV OVAOaimyc/WfJtuonvukP99rX7gksYyHBfNvAuT9LSin+D177JYAFGA0RqFyc8C3xxxR3hXQr8R/ +zSSFE+SZOW4oNJ1+wtuCPR4ci1OUbJAid2PSnEOtcMepxn+cQTaMN7zy51qr8gCeUET1HDuy0w0 FqDvlZZgC/rqph+T15OzJX+Tq15FxQtuVi6jO7DYF1N84iM9aCuafC2G9nuB9Hq/zWmbvZNoqw69 3678YLdYpBIjqTmHf2tAup1a0ZOEZbiMzGWoSRDzR6nY3SgaBY/bZoSBn1GeZdQ7/RdYceH+SuFW Zubfzocnd2GUBX6kdNR8GEA29yQ1nGwdNoBVd81Fmx0+Sm/usB2hTQbluxfSlnDiVnSfmMRRYK8g hM0FYX1fHaePvByrJaxr+FRJV310JhVDNeWJmc/9ia43Wafm3GFxIgRJEpLHzxCntHaiBrTEgkcB gEyIT+h3N3cWCrSeNHjLU0J67xeooWOwdI7p/S1JfKNYhanPzRorvibRg15CqquYUXeNwY9UAuXp wweEOB4gdhQLwEwO4DLIajWPCFDIIeh+OqXfs/ZPt0SY96Zr9HzRqcYftvwo00AiC4je3lXmU/qn /Njq9XiQ5ByMkoGYliYzZUIZGKxxtPDKoqkhoE285UbGaOp/ksPhMFrCIzqTy/LXhyXDPBwmTGLi Si3qMM0xfFRt6tIYPY6b5YvC3ER/fctnyJEJ+Yz/i5huhxCbaDsz3gEibneE8HLPs+D4recnxZKA aeJ535ldgytx519DYhOrVWylEtXxbaOJUO4pxXEdd9QF1L6O6oiblFbIQDyST9xe2NQZ+zyAhLEF o3Oh2Mxwgq/H4B7z0cZZu0kCToqBKbY6DWQoNLNFI/ujYPJMx8xOiRR8eLwpDkdrK5D6DZJsb9LT cAqPaKM6xcm60II7UbAX56Eab6wHtfpnOiuQ9nxlUhsy/jpJ7COztGaBZ+qBCsZUGxeJgK/85k7+ yibbdoplaWpb9d6tcchNcakpc5spt3FPKfOhCFnMd9XRM6RxvT+Bh2YT7ZrAxgL5E1cB9fBWJjSj 5BRTl8kO12PdQbF5ulMQYWfnsdp9t8arZPZmlydPAx98BSoiqOpck4xvSmCs50enVUdWVzC8eGt1 ZtG46JAxgCbdnIp9lZkzgfwDpS7qV7q33q8sWuTPrLDJtOWUmRq1IXeK0Y88QyhLeQCD1UWRRMPI jpDVXwqT6VAxJtnTFUDoEt+3cEi+y/8tDelz5pyl35SyXUXcrIni0qlE3Sumh2lLemqjfcaTO6dd 6G9SjxBjOnIDWqsooTHA4FAnMFBXAw39uMVTXa8FAL4Fvqijw63PZQ0UUYeM8lan5UtKKJL0VqzA QDLqEIHf/ENogtaAk79DpgWOAPbujz0JqxhOhQ6d8QqGjk2dE/JKAeDOMjcmRY6QKLd4a6/1XPRZ NGPd8l7KR6uKGLakA1nZdJsetE6nRnfmXshEnOiQvfEEvczpqWLYnJ4C2eW5G6BXiOqa2PcqqG49 cZwhT9jIkak6zxsi4RE7RteDdrPJ6OYmziwOwQriDxYryAuZJ6YJM5LQgAwM3hnf5nKmeuqt+NxQ mVCs84mmsW/XRkRk+L+Q1H7VOR6L+Gw12RbnHEfPoXmvj1Qrp4CW9LhFrQ4zKFhuB6xhX0jCCajX 0W80yyAX2g7GEpn8jdVlvA+9D2WAaCLmk7VqiEo4T1r+QPTg0haJyZ7dJf6DBd0fXH7elV6y48hU G2g3MC/DPo+8nhs0+oO+/w1LE+TlXNARnzmdhV+467UmU8156946sGpA+PlTlgFEcXeZEJOS0cWc nGy2jBTsAH05HNWyWpVPfrc0qCBvAxlZxIoqe2QHsWu3gWbRvyoPuB6IbkLFacJke27eyUEja3ZD 8KyeJrjZcoxH1C/EliL8thAVI81K2nb9fzSJpsqAMja1sx3P0NlSyURCeW8UMVfwDujUwH3lgl+z kLZ7i9iansx+V81BCjn0Pd9jXGstKyWSg1xJmXpy8lYNnDlg81h7XKgvFwT73PpZbY7aYAf9CAq2 MffEvwd5XpPbPGRFX7a6iRISKpGAGYguG7nQ7zAB6mfOXBHOA5AllbjvF8Cmi22uyCxJUxv7lUr8 Em9vhFYHjThVcTbeR3a0ox9llVI669OGAhVJnaHlT9WGvUOYrIJNMg7DQ/tDy3PuTwJ8yZy+zBGO a5XhFfPizla+2OQYfOdsOCJO0AFzoo7fjn3mq6flYiXNPP89YkCsOPKOrI/FwSR9D5Cn+65d/mrh vfjPXvItsv4QFU8sR30HmS4XaG3iqZ4zdlGFR61Rk7yC2sOqcPRPvEpkrLejn/t2NFgAXse3KQt8 6ZXPK+X7mpOOtbgXRd1YcuOVKcOt0B6PyaGgoEaT+Z6d0q4fFW4FVr8UQS/KqvEd4tPnEmgO8Ao6 7zP9ggZRovEDdh+YYyy58HOo/EprW1wuWmGQLh7LvgVY3RDBIfgjgNvady4aSf9qIG6vagqXGFDr q9nqpU65uXxjucHvcBO4dn3t1uPTrPn3bKWztx2abMIaAIlMfslr05LWlTlN0ockwCzvshnwdf+R OuxmDhMSE87SsC8ROPRa9jss2YM44+yR/ibKS8FRAGI5Qbe2wV+puc2/hL3qkAMhg9zbGGeZ6V7f OQ1MXa+4kPZ26EIdsW9COZuSZXOs/3yAuT7Iw1/wWn4hHjjUbmNTTWuOtNwSJu8Ev/cT5wTzHwkC RfdCDw//DLshc3Yywdt/TcACNKVwEWG/O38oDmG3Mf4zcz4QlZxPZphhi2JldURT7qjOukNkrQQw BgZpwhka0cYT/L3gRX7Un9X8mxZjcDfRG+3ZmknW/yqbUz9vd/UT06SwOAQfWSjwAh1iGH1gfduY h39fBnrKBQxS8tU2GhH12i06U0LnIWo+guVASpTb+a7clvoDnf/0d42QLrFCuhY06s8W1Nfqci4V bcodqdRa7tdKZj47GIXs2imbwtdXrch7yKBJ2gkZgKv8tQt1qfTQQZlnwFUxgtQj/Vyq+TBELASU i6Wg+nrAtywTCPFkZXNapZI77+RqmU4zQf6WsNUef0OYf/RNRO5JW9xumwM1xB2Fde+WJeod2jDw QBsuAwdWnqq+wiIFEpLo0+qbzJhRV4PDDHEDsZKcZGEBlsQnLql87d3/CNF530nBCm/oq8gJy67u s9GqvaKCLaXx/e3hDRpX7g3ocX8+om3tY0ZEuuf1pfI/RjMYwIEbCsOh8OeiGcNE4I0EPTRhcgy3 iKIVduP/vxnQ/t8CFVje6rAYMpHpinyUQyUHAYvUh9c/hZlY81k/P/LKssOKKY4f2ZEMg0os725C Lov5zFuPO0t4IpCAiyh/WDFNTYfZpxfjLVVinuC0uUJCIagE7h4aAC8Gyuj1d+qzG0U/Wc89VIiy a7UNR67s/SWvsZAxy3uXzMiFjxjZolMw3GSvpGXbMrR3Bv4AwA+ZyrVbbPumy08pZec16MoaayOg wCTmZqSzWXuE7j7nui/5pAkOXYBQbK5qeXRyi/MVg3knGAqe0+UFlaCOx6xLjirLuWymD9w4ZKdH tb6Fi2n7oZoV1qUmsSl7epxdDofeXfFhb28Q7eWdddRwA2Y2ojpm9VGcRXw/yrG2ITwcF+PfvQa1 o7v10ZQIPeSx7gT3yZ835z16eIWLuU2HAkppcqQkhyZxPEyUwZXqwOeUNns3uuDp0OkQ7kya3skV zGX4OE6KWgySpNUW6kSy3AZ/OGdfig23G1RTShmlLJWztTGvwDCdJvfHV/wXJz24JN+rmAk++ah8 87ijv+ZKKWFWXLw6JAxvt2gA2afORHdSQKyWMkt7AuMCoQ3hz3sSr5VVX0jnCyOoEKJylBRWSpHM k8znDJCWgVYVNfAZi5v1F3c2sgqWM633rfQ0+sW08sjK0/Tw+kHP8k/wtE5si/qThjhIO8eqxUco rlw/qSRZa0jCWXtcGUpfDLc9hbGlHvEUdBQ2vUSCDwQdaUPiSreOMtVteTjO8QEW0brdMqPvQEf6 KXT4hCC4eGyhJcNliTyyJenZyx4wVcSRmRk8q3FmTF0geYLjsRJVOCDRUCR1wWJpBIn+nm9xxCot 1fsvAm4WDzh4usMUTofh5VeRk4C0c9PGQ8Lwhq/T6pYsm8cLPhl5MhO5Cv+8MC+AxMRhCYE1s+E+ huxbHMlMt0bq1KtU3n2X+i8i9q1IMblkibfKACpFQzsyDURf0XAWn39fRmGbci7bKjWK/FCevjwR NpwSlM66FS8CaXyFOGDjifJ4H8MOhNneAUR1tcXzouY7LOO414ME2MB12gaxBtzFH9SxjN0LALZw GLudPUJJcBq3GvFhwToaLi01Wg1GAziZSzYbUeGze9KeID+1Qov4oeLGmUkb4PH3R4uSbdy7hRiP yMYlSlaJZi4vYqWo/NRjhVThQiXHVbkfBBtHJmrJElqC77P+il/r/kjKgNHK+NIdp7SnZzI5roVl TsAE0hqu+LerU9z5oH14+b9Rzd/Jdv6GDyV6T3agDSevfYDA7FSYfRffCjrOQHLZOYkTcZvFH8tp DyD8JsVe1/avnavLPg3Ve/gIgKXGQzGEDFpKw6I7yuEfPT/TNI0VMZWn1dfAPhMrb0EaHgGkcKhI sALL60/8ZEFxGKYt5llW8MeyqP8hiwQq7ZzdQ2Tmbny0yFpupYR6DncJoV+/BYohkrzEp3zTM41N FQSaMPSNAee9MTraGYPBgH9TzY425S3UmX443wR1i/xofJ7dMvJjvLm9tLyktTEllujv2ajf6LAP KDKselttQ8gklK2UHEOp6MLBqhfdy4jTXekPMUtMU8JNGrdIRzFllojligOnt8rsMsFcq3Khv/+T NthmFLbcfKpMnlgwKA/rhWnuTY1JDXRufPpuH6DHRKn3GlzShwIooiNqrcMh7h4db0mRYck/J0a5 3HcuzQVtiDmAkQznN2WFm/AJLNA7xbwz82KfVzrDFs77Z0HtSTvJQn91RetqrxLMgvz2c0jepq4I X/T2QErsQXLiVhTCtAyF8d9HugOvVQkTk5Fh63DZwA7LCH/IQasdDAUAtNz3jyDE3zpuXLwUrlr7 iXZJBS1voQwTrjCVMXJqk6bT0SecHTSKtCY03G8v0hniLzMFpQBNLtIY+4OiLQ+JpVYyWEwWkb1I dqV/1Kfzma+d4O4VLo+MUrlzYgHnec79kJIKukf19my5LwfHaCLGvsrzqyJfO31DyUqejMYwHyZY feHWXLAMQrNDGPa44VizFajYJsEv5xpS7gzYEK7k2E9iCdGCmOqL9Oy0EdbyB3ZQSGaRn+7CYNcz g9/zyFACP2RH+CJ2WjTDsY6fEqoJD3T75uMbJ/hy12MLjdMwLdsOFHyw5azU1bvQQKa6NqItNSEn d+kNmvKm8CbOM8A4JsTwggGreTUzh3U8nCjtMt1tc70mStvc3X258+OEbncMhhlTuKciWGQegvIK CnZiaxE1zMWUts4DdVT25a20BrsC6u8UsNkgzzVSJjauupAMntR6G+HBlhRnIZf0dmP2UgpKSLcR YpZG/ian+ztyHdoORwG29DbdVRh0kY6Zn/chC6ySqQ0n41UzKWdK9QKROxIASn5/FKvcGYJMQJ2o L4cBvlKEg81na2oz6Bsdh6AFJWHsIZnVs7F/BqVjYUN9L0qATT1AGCWY8pVTsvoRUD1nIKYPzLpY XkW71axoHPasC0u4/c2qNNKOVk0QHNzaXvLxi9DfSko+hA0gWsxDliG/MTcCG9G8q2EjMCJ5W+2J bDLLTHzTWaGAaUTmAJa9uwTweSvuipXvErKgwpuQwF/BtjlMsdsTYpPWkDe06KZIdJKhdAQm1AvG Q119JMeFGcUWHgFhw15hbUZfHCSYhWhypaJ0yyVS7gxxXe+zDYhkWA5zs9eiA4Miz0fvOPPpUkKz jmlPIUquOrJNM8ugRFs1R6Znxiiu5plYZQijJX84K3pEkI6l+Kbsq6GS5K6XzMZHAPGInutb6cq8 GeSBBbaQ21Ku4cMwvGNlNSe+6T15r0lt5FrRugdHg3ev3AcMEEketNZYhHOfvFUveDx+HOsovwLw dH/S/8eilWIQDe5EulrihYdC+BW/9fsNvDRAfohoTORVT4Tg9HOxSryqt3GcgBQSYfIBA72LOFpa fpg0PyykgOCzBba13yOXKpQCQ+LznxwB8ViQyEuz7taALZgllVl7SqVXof93Mp8QpzXD/t7j+3hj nACfZBBA/aKJcIJG5hGQaI5q5LbZbR515lJ1vu+ODYOs5VWMsb1V8pn26sYo0Lr6wm8QUoHOLOPM A4qqicp5sMHYi5d0s7Q7suWkzXxxDgB/vf91cadLsFGXDc0g0anRaeOo3tAhPsl/Fk6X1ZYEXdXk +TleCodPOZWdEFy7t9v0ywpz/4Gy8ydEO2XbOwkhE4xa3G4WV1hcyLdegNOWikoWweaj54RNai0C oZlY7ok7qJgGJely2eZyMX++V20OJ686QHpJZ/IWA5TyKmOLGKH2brFk2nGeDPIiEkfC6kauF1/l psKEI53kilz6tQ7aWfvmjRaexZPwNXvXH8/rjtt4BOtLbH85+YH5mNYWYc7gcqVvwUM6WkXdtrFr y0cI3Bl5IsXiRtV2cJhZCwl2cEKRGkiR51USq1RmJIRpKHJzhqs3DiNfKPdBdE37R7bcERpUvzab 1/jHUiit6nZ8uxlOMFL+pQfyrQh4UkV6l/9upFqpnKGEY9dsbD+kZZhKHwggHIc40y0AYqJHEu1G QadfHg15sdcyiXBjtuDlJGZki96C9iFDqOYTSbt1aqJ97kMt0Dp7PwNkJF2pq45gE7ASwEoJD8Z2 g+j8RManSqmA93zltE0cp92OAWQJKWczJ0rkMa9PmyHdTJB78p7a026dJX0h5eix+tcE2x0hDTqq sN3NrMXD5+pHe2Pbi/dHEqyY7jmdC0cqYLnY8XkzEBu4afQ/1GQSjtf0NZGIONcgB98MFdG3Howu i0m6O0+JaIV1yRJXwh/ZbjpBQZ5mX/X8IjHu+OM29R3Kc4UN73gKbEsD4M7SN5mm/wuRV6Tg5ggO pyxQwOjPDkziOHhhYGIc975Hij84rLmHq+cQIKkA7hh/yTC0K78x941iqxS8KuiR3KTsLm0RQxp1 4pn8XLCJZiohpw8w0kd5LukglRGQ8eAawrv3F2OAVjDC/zRgw8Wbr7UnscIdWXLKf4xoxvRP62YF jBWVMyQbq/RqW3Jh10CUnWDO9ERt0uqemh7r7r6GfRnqt5tjJD06tV0/NKflHvwWG34Awu8bkhi2 j/TDXqLIK42QCpe2lc+j2SfdJyzrbI2Hc1C5/0bPwsHRobaBPzwINvGaEivQhnAzC0E1/I2PNNTX MeCeIm34AP83OCD0SKUhyKFX+zykVIHPDRwbfNDVLBnSyHf1ptylcMB+lybuAzYqzuj/GWhW+m3F yxAwDw7XSIELyV8gc+6crkPGu1vGPzmPbfcMvTc4VpSEDxR6rdYz1XKdoUH/3OgI676Px1uNIxUA REo5/mXsYesvvHqyTwTu6rLKdG4bAWQwDnloWN3oV5TK12Y987uXxjk3tCrKd+6ZBvaE/ZYKH+Cc N1PwSaAH44IIaZMWsQ55xVOFm8yf/LbN1lTnOKnehqXyU4Kp5pX80YhkWVroFyI05GoryVmRKXJX FBcqB9exOfTqdt6eyMrsDNIq8QAU4bwBGJSH9+3GK/lcNUR6Hh1+SptCnfJwUfyEer0TVuwlxqHc vL4b34uyTixhOmOWgZ9vFZa4Q/DWGTgm5kfsRyjU0N23igySWp6rNHTiBXAWPwtH2f1H3cU9Ybr9 wqiJ5PTozLUXZQfwUBvZcASUrkEjsvSgsZalaTSgo2UjZ9NI3SfIk/yV0M0AQmgR2rl0FGTSHn5N 1VK0XKaxEIsYQGbJJ2a2jmwXoCXHN1/miGl5jQ0HrYyCDnD+NVGhc2CDge0hFUo8Otja6l4UP7TW R0gtXMPUOKU2HwnTSrUyX2jELlPNAoaAsg/f0qWCHFL4vgsNh9GyqEoUQ1zruf9VTmyLccLhgJaR 5gb3w7YduourkACUP5yZXOaXXZXL8y6+00IOoJb9lZz92Wn3k8b13B2vT/Enteie145Hao92LM0e i6guWgkLJf4NbqAENIVaNIZORuAveC9Dxzapotdc98czebyau77VN64+CCDWGQwX1ZZdj1DPZc4R KnYq5DZS3v3wiDMnaH0vP5D06hY0XPW/W8NynQl02ckfYJzOQ0zLWulH8o2vbZ9zHUNOaV5EKDGh 9EjUWK/PLInNhNLzW70PVEyfJK197mnouGCXHNXvaT5xoi/2nnZwkaBzQFtVF5zGPaBIG4tcW/y3 VIIceLdbR5ZdwTvEQV/8dBvfS+huNrZmrbZYAXaR45xmbmgYs50d2MGhtM1y0Wt16SJRTFN9pY0O SY3QgmB827nol+78Hi9GrNrm5mmfQL/KmauSq7kR81oZge+WypltKfKbQlQDX8o04oGS+6+N4UPW +VsiVe3GbtTbP/EnXQrv6oOU5CvYfEd+OiosuisoncfwUEgnyZ1baHrBeir9pgvuIvweH5x+UojG TFemBgxNX+RgApP0efn3fCa/YgXn56Fm12+sA7PLow0ew7l+TrJfU0bP6+NTI1Kux+1p5vPOmJtd 1eAhG03F2dJ5ErTEjQwmyiI/Vqi/7pxkeHT9uIgtigwaXlGnvpLtauW1RVwX+OKGQ5ZdWEQ0Qjiw n55RiQVkE1oOjI9NM1KJnyw1AItkPZfeZMa47ujJxhAoaG5tqOovTRg+VYVWX66ARrIotjNW4HBr SLgh4UQeKMsh8v4LMVnfqztOLmZVU5p4h/K3hpwEi6g7WjoOJg73XYa2DC0ttdHuxlEqPU9x4mgu o9/p9bRDBUPQzo1fqZ3JFJryD+mq6+7+0bpEmp/Ov7G9aNTmL2qqlUwAEEPEY8QhoHdLjti2WaoZ SIBZ1R/sA2y4UeAjigXhN0SOlfiBh2eK54PgHFlSbolS5g+PT6ezYcs49m1MzaxuBlaRp63cxExa +De/IMtXPQzYSgCiLxXBbsgwywsTb8Dpe+yb0Wgl6lczoIc3W6+F8jFKE/Pj/5XrmkPRJ6bFk9hY ED+PfIFNPweCwa+KFtAPq2xkvFt8b+h03xS9ePveTUZPaGBZAyvRlkOFZWRjZRQmWM2rRqV/M1Kq O1jzBjbB6++vMnIwX/I+K3deHeceunbLkTi8dsJOiNpqJL1hE0wa3s38FIpgNTE+x7tz5Ta/UARu o25ZwNr56Blbyr8OCG1NgAJT+fXM+0jqh4zIAX+gBVWHm+r3bElykHs9xqK6q6kUtImrWpSfuGaX IalFDDECPhDOyVYEG31dTsaenfwFG2E0qlRWu/UIHMQvxC/gQuFErUImhcmeCbTDObCteYeEoTtu be4J0vrAFLhaWxgacgNTvcs3lbVp8FePihXiD5QAC8fmoRF5/dwPPvp9Y4Y3MHWMrnnvZrRUIVbi onss7UUePasQWir6UWGR2QC63+KkN8McfX75DhDAgAAalkKcRJ8Ty68oKezpI1zvodLKaw9xYRH0 tBOvU3WE/4fMcgzGaL9mBTgXRDLoxnl0odvk4ia1F1GItJwzU1xd+Pqej0kh+W8f/8h05pLXBuqo VFwz42RW5THDj4cn2NFDHQYQPm5LmVp/NhdNRqLmQkp+SM+7AIwTTxFOo8k+HYyobyOUeOiVd5pA Q7RAtZOcDd4+g/wS9X7TY2fJd8vW178bJ9PXIPMcUUpJcjpYY5a4axwvUU4cAvzARrWKKRyrMEyx wLRPYnVNqay1XBMDAXGCIwsC0nc1LgVX4zQD72k6WPElvCpbpTVzIt0Z3DE2ntN+4nP415d0XoV4 kZvoh+AmqgVjv0w2wkUNRGKq3bI8XPHjmwDZQj3UJLUFC9y75iqNsOpyoIxWKQAkr8uK1MzR3Iz6 E5hc8B8b6XxR2YyPa7zGxspUrGw5t8Qd5FH7IOw7ErnSwoXxlyiaNoAbOLSOcOfk64FzED1eHcsd G0QumrbPUEXsdRkb7iVYLvnCqEgrQTFmn2BJnL+sn4PIhE2/ZVcZLa0N9a+wApM1lo12zL1vtb+9 6QpkjaNa6Wr1JgE50nRpv50TdJbCVALRZIPVPLmFiPbcpsnB40JpG+MFBkovZ2/Bly4nyZ6xHRN3 zCVFa4pzEQ6YyIA4dVTpC9hiBCToUUVU74xWgXQ3J8frlk37PqF6cLv37ihcqcJpx4PJ/5fv5fJk uE7SWAlEqO898s+5tKE/pMkMeCkq5hR5/9d1rDKZNt+YJ+AWe+4LUEnRM8kr2KmOd+wZhL0yisLO vcajxoZStzRLco5WPfqMrvSLq/zbREhjFsUM790P8J6JyGEMcMdcNak5zOmG8BBObi+OzCjYHrAX j+Vx6TtlCqvvKOOZ/60b4HedchVxoKXhhy0DWFLBv014dHkc//Lg75bQSUYhhXF3WURVLdcMSvi1 ENFnRiB7UbKGI0h0nWUG+ymCGxNRfU4dbKBicOoUqv59U6PSFu34NQdRmeCmhpwfbauvvjvL84cZ N6s9BRbSs3auYyoUQLMWlvMDItr+GzT+LN4X/NISC/hKO58x/8ESgP27Exri08DPxjj4Xiyx9BGC nWMKK7DgyH66aI2+WUPvCJzh3Vc9+Oa4qZiuSyAY2UZYiHzhfy42+W1D4BKjXdAlbHR8Bd3gkAYl LBiAvZhsFAdjMcp6rxh3BFwurXjpR/QaAC4zxewydN3h2oE1uwAEHTV6FKETL4788mMvd1zdBRsB aO/qC2d2jY0I6ILIODYk387HdXy/MY1QZCj/bbzlct1JCgtIsyFpFh8N97K5FBmP2wsgdndeMca9 YyMmp3XIUwHWJM6yQvztByvTDXTy+KAiqP+p1WG8iUVh7owFAT71tAqt8w/prQ2IQociZWZAIMTW Ku3gH+h92EG07JItLiQiETcRAPCySeXUZxdhROz5aljiEgH0APh+BX3YkKO76MKE8TTbd+k+kzOr jPaJGfbhXOFBGKiLAPRalvZA5NlfdtIjgXoYix8MV3xBPAtRKdizfd08hPWyS+sGKW2McPVCbu4q I3BqKmYl/QkNguSaMwfVKbUFbt2V4CtGga2EBwXIGljyr+FHUi7li7kAMbUGqG6yMjlhwSejDxFF llMqwc9sRTWFOmeiZA0myTXbwqeHl5ieLgSs6MlESg2yyUT4rP+8deO9Ytj+fff2ZFExDvGePjZ8 6NrLbquX3hUtvaE5t3Q5ZYfPF9zWSotRGYt6MwWhudClhNU12bplVhPvBBy2Ct2urErCiNOgoX47 PSB7SjTwtsEZOdUEQ0/1Y0XuANksxiVMzPWiZ9XGf9qJQUvjJrE4ur4qLCx1GKZl3x1QXek4AYxz Yi801rUlkVzF2iBdJyFkEJPSgvYpMiQxSCVaJSYxGFONnIQY1aYk0Z7gzhJhRuM70iWy9mAyC4bw iZa6MoKD2LToZpZ4bmLNAi4OvfBBk/pAOJhYm+FNddR6bCkAL6YBoRi4TA+HtevwbSZGisAgaIhI Ui+yjCrKF/8zWKn44NhUpODFZeLplxldPKHUql2LyLhBBfEfYfLJJS3GRnLiw4koy6f781oTiT+G oqJqlX9Na8+/gnc1GDKga+ZiKXaQTPTzBHhEvkRqALyHPurTYaYJGgtyhXaaSVCI0V8LgTtYHmSY aC1LIljTzKcOsaGkhtVyuy+6OF7entWQzsdXYiQdzZ1+GeeZKzHQcxhif+xCvycZILHq/c9Wzxmf VST8Nx0GcvMPNxNMe35O1SlEnQcfWVjGf0/r7oElo0oBE9TNPvFJsRST1coR2r+slmwLT98OomK+ nSsoeKBqt5sYYJzgVAMKLJW1heNUEr0AeAh1spQ/RrahA/qEfmsd0jNmlI2flsJht2vSXsWdkfDj JCIWUYSpYPCQhBVraz7nbZsBOkOGauIiSv2OakoOj5UGmynUtBep/atYmtcyV5nO1cFt2VYbfh+7 OD3GRd1AJRHyTssyXZTKNFLZUS18COwZseiABICTY/CCAfhnUhwVXiXlxjlpQV8iLGsJpnB9hJQF qTUU//QAWShiNsI2o6BWxfFOzlCCCeHnmgMI3b+0dYk6KYrnh9DZAvBj9E6HxyEpgrAfNkMni0K8 02q0WoE87jPkrfvuLVHBtf2wyXlzeMq31KR6Xx/8JEuQlJ/sTycbU52GfN93zIH952i8/3TFYVdV b32d58lQZZi1gIDu3Gdl5GwcxlnewDNOWsMrmDKTc9DegiUDnQrGTSXKmePsVfRZudb1jFvEuVkq 4unfRpvvoHFlQx4laOUmWhkmNym8siM6jY5S8PR91+TxTCpa7K5CXSYxBGaMDuf0NlP/siqb6aKC LzZ851DDKA+qEI5Ahmqrwe8tlWzo+PhFM0DfR3/xM2LVINx3ScnU3SmBdnh0IJsM2+eGN3/v23NW dfsDQKl8GgZMzyZwu50mWbPtXHiUoYN+djk0cQ46lQVCHC2IFun51PVB1wf6dDk72WaOzfXePyLT uI33QhkrSu8i1BkRb3Ri2hr6VzYbB9yYPW/N+0jmbOG3eCD9BuZ8ePs3iM8YUz3WswUMQ5AkklUg HVn1sG4C+xUEWjNoxBD74LVXXvFmMkMYKx9wWAHOMRZBoAhBjZtAqddXdp8nvaFpHd/yhxnP/hEC ejw0SMeHU/UmMraUW+iJsjRvAIEYp/Mrb1JgE6p/uXwI/9WMJisk6FEiXJEUfF3Ri8sDGECjK4cv 3SQY4Y4pgsrTWTqlXwgtgVvQNNBcGds+80EaArbGNsjntbY0/Ag7VRSOzKcE22tG0QI2aQGxN4io MeUPqu7LPeuXlIqO5w6a8+YGL1tMmkyhM9l37mzecNi/3lwpgADfNIv/zm0nbrQ977zBR/y63y3f 6rheijmyUH/EPpH1vRaCCTlS49hsqUPQxjN5sdN5RlaBn4QQeIzrcVPIPcXc/1sYHNPVNzYUDe0V 8QTTjMgShLQ6wHEhefPx4Hk4xCURGVtdrW7UNMLHaRdwB9XslGYW2AootTLoJCbfJCbJ+v3t2N+1 wibKvME2w7RndsjnVxkHqOfuCEYkkuFoacInZMYlkUlnAJicUuZJ6/KSSHiy0FotUmq8nMtXux5z mIqVDssJ5T0iKahDptL8ImXeO9qooUIc7LcTIWJzaS9MOYP5wp7jkqwMBKuWJovvrOzhNcOdKzjT o8LCZX5DNDJGFVXMNr2MtyINFYEXBRsojtycy2aekDCNixMbFX+aMapEPARXJ5DIRVqyIYsXs1PQ DfJN9LOoDDMs5qGTk/q7zC477Pf2UQ/974smrEdZdWa4uMecXDF/4ynfPEZSmyTZtNcdglrXHaWQ zZ99IUm+y5JjCdfzGmGLSh6spvUV+4dIitymKWojhtffBRk920dKT9QxYww/BPzXe9mrDVWA7W6y RnPCMuXVcdfNpIlaDjpfN4U/xLqCPY4iBS4+rDBTLASFMEWns8GeSWPG1JSYsJPm0WiDH4ALI/E/ JJjl2yiStNNFhSx1GwMdo8PDoRdDZEspkFRLqPCr4Wi7s6ZJPXdTcRg5sGwoPLq4RD89L9DtSDTB IgzoQVTDNn6dTXuIBnMoAPZzULz+vZTV0XuuDGAjwof2jNgG/QOOTqMP07vb/PKQXPNgyzTCj8xj QswlqAeWxR8UKd9NId0ESX3VYYVb2ZHBdFRGOuqdSRaclPYlMQXtqlHrZZkCs3NjKuG+sLN0yUcH ukYGeYB9XQkvSbtzJEjXgc0Y7Q3XNDiv+jEGRpj/SYoWaqk6UqDQnCY7bFsU6IgySp+/TsFSoPdF Xu8X4duZpVM5/dtSuKg7KhVZVPQsNF3fhoL2YIWTEziToR+wL35pmOlXdFPqYiQ3BR7l38zgFID9 TOPJP5Z7AFct3QF6ctiTvdlJbp3CNXLOmUc8a7sgEYjxVNlpJ9/M112hKKl2gdiUvQbuLLWT7aNp H7vNw+OVzlADXWTo2/DgQf/1qqjdD0RnNeiZ1ylcI14oUsAG371+EjqMVxj4O6Bm9Xnj9guXnOIL lpqQ41CDPvdmwrBLgZxd5mPTtKZx5lc+L4uXz9FGdHA4TMbrwSKSpKuivybRY8wz2+T4Pfd7DR9G ff+KqzLk1YunCOifXb21SCV8yalnUQoxb9een9ywSQhNHyt40AlinkZ3BfDyLawz3B0sykQXTIMr L0h5tIaWdxMda6H3rH9P2jqZHXuvrjZqAs26R07xouc1mcVwFT7Aj6rnM6yeZ6nqGbq5M+YL889H 6ffgEYZRWbyTN1nfGL0M5IyyquWNcqCoc8ViYyoXJhuENZefSpsab/5Uv2BLGGWgvRAuBGX6LyJs RJWtDRbkF8DLAZGnLZlvKFLHKJZfKasgWOqzcgPu/P7wQGthwt5S5LFezpfXq0tk7qRd1OlNEy7e jDnv04zSpF8FpYBvxbXQxkZpejDqR96+Gw1XqsH0xZzCLVM0bXGoM/6qIDnWtTLkH/0w0zvXm8Er vYSxwVWqJQr7mavDxvM5YzUuQXgA/0GtYLByOuJnid4PTk8JVXLEMoBwqFbxv6mgCfX0CBo+eDlu UqZ+6dobJsRxovLt0vnOEQT4tgA7a80IkfqIXeitTWhgb712PtHSqZ3w2Gd3lieDM2cu1mHB0T8w PB6tD+9VPdd5SF24qpbCJdiAqs8jWg1sd2E+uCgobGUeuxh3iErnzNQStb5sesLCB3Q+Ic/m2Jlr h9TbtmGHxkQEls5chNKbwuwiW2Wa4zrM0VYUjNm4h9kXPUIeHH/wmOV9XUjE0PofEzoMDdvblmfR bvmJy6CGjglLx9z+OE0AWnpyJf2aGHbGHKif+CKOOyqbSz1seJInnAu5lTaKaJkmLvIObV9t4xK6 YlYfffzP1wJalJn10SgpvE49rKLivr7yZcfyzJIGqZUD7V1mAj42bpuCx/upNBWq4IKDl4ZlZeUl rLHU0LMO4Z0O12d/ejOGO91kakbXD1QlyB3HoSk20/5lUGiLFDzZjkcz6rgvJD/LDrHyKy9xz7xn 5Mo4Ao6rBk35r9QXwVqEa9K/gI084apF7csSSgg1VLXZt4Hd34GDUHN4OQdy903EMqoFT2Pl6JrC 6Xjmcb6twzrOxgCTkM64J6/ptH+Sxt/bn1UeeFCEykbgX+3UIxn0qcgoOBIDzoJbLCSOcYdPL/4D W1ti7fv4P2ilpRDB1Jtd534IEyp2/kH/2/7LXGby0Lrk1xi5YWkHVv7+d2fx9kF7X6lZ7Z3RC+2/ 0V2t++/t+/JyRd/N13auOXnPOcqbw6D+rWe9/RRnt+pRWAhr+KnN48uMdHNyELdZ/YIWJJ/ai81l dNxNaJ8ah6gUDcpDG3ZuutnPzj2AnkI/OAigRzN/ltJXP7sNYgvsxPAxXZYGM/zd7KAtD3Xephpq DLieTROMEQrMfF7wbW//d9HQRnKk2ZjaUf8RdFs2N2iX58ZOMi/BrOJq9E5XlUzdEUFqLuQ5lDYD fOHl1NwuGXA2qnIsN5D6P1tq6Xj2t8W7vNwQz+FI8yyIN1JxvbqYfvjRU+0uYKAk+ZTR/pm5k33A jmBj6zMjZ7WBsVXu2xHGhLfqzNZzf56uzKYPRiPT+Pql/AJz5g8t3+c9uWQbXi7tuONK8kBEohvV Prj1c/qNfgq4CO7OEAKCkJPeS17Aq8U+JABbv4nV9ByRiNMAo451w2zmTInDxjZufqe7QkccDgok Rvrd+KlpnSF4K+UlD0lKOn9IbvHt1YBehby23lo2hckkV+zYgCyarjmZSZOsVqo1abZB9P6pLmuR 0wxI179/s2w3+NUv4qYIy9rHIRglNjl0IEpqZFWA/REkIVRKPRhkKJUZPiB1plWZEK1gXiQiVjof Ww8staGMwF4JF90h0csby55HQDzIp4mMJDAZFp8jgXNFovVINkQVZ/W4kjT6evLG66YLVRIpMrd6 Dt1G1OBdAwM4b1e8ZbUIlwa6RLIvN9qz+5sdcsPUtovbZi47mZARhJ2zw0HTInpxgw1sfVI9xGtE qW1iNOK9Tavq/2l2iyyNxgHtKJJtSbWlbzqDR0UZhhDhLe9BU0giauGh0WycXWg86Xcexf4Dukvo 9TfAj1p8zoHorWu19rMe+ovk/swOd1Yom7//PYktnTB8WrdKPzbyDg2pYq+yVlQbh0UEpPL26DI7 bCuadO6wAawTt9G97Xuk9xneVskUPhZYAVqS5fKHtGnlaSyvlVTwupLkwmAsFVkEDNpfY5sDC2f/ Pz0+/n2UuH9uiI1chhhyCw5Jp39e+dGKf1BUUZeTUIYo6Tpv84Yf/8zS7td/Wfova+MvqFRNpqFp TbDlTk84QQuSnCEstVyrJytwNTG9yI39gOriWZefxrEVYTtsBCth2rEjqoO2axsf+JHdfjsWXacN AJvtVrZJlBQwTpe41kkeVf3fVtAz7VQP5TjU1bQNxBNQOPN7daMKGM+e0sGZq88ldlYwM3ruud/7 j7Zyz40bBCq7iD4OMyghUFmR86c+XEC8GnCFByJgGMeH7ahiYydVoEPeSguPHy3U1JOoK7wmmKrd EVl07Ilhisv4T1UhuEZkULAW6FtI9bPOXUQUKGpSxAe3t9PhCFq+8kh8wd1PjAPzIaGlL1tB8pxr 8mGlYDV31pup00Tj51g4rmJsRqVWO7uwZF8FTlfJrsU+8ZqLCF/AOrhAdS2BBrS4EAszAYv+3D24 DodDtZd2FZdgTfMSk7mszpievxIjW8csZQcDWCBhnKFqkEPRwlFQUm31RdA1TI4EVkL/Z/hk/YhK 8aL6dZTM+i/WN4lEimWv+7iCQorSbpPQhaxM6YACvHWDpE1t24tFE+H8ajLso0XT15BkCrzNT8EP UWqYqRmDBj8GFHRIQeTeTv1zBto6+Q6QKu/AiIUZefnC+oVf5NMvOQqy9c8W8nVaZnpQe6sJULk4 9O5VEmZLwoII9VJJ/EhBlaF/mFwcmALnI1E0WoGmbEs7ouDCGjxM5kszNt4/R+IwxIPEKyLZ4av3 RYnDsDdz+DsePYb28Zh05LqQ96l5abIXMJC8fTGo+jmEn3cB4kIuDfnncygk8Kt0Q4fTzjN36QBY ZBwXYMfPEFYeNpAvqrgGz5v7I9KP1S+po0ELR5VWOhij2h/mD4f3UMBazJJpYY6nUZZQarx9jQ80 thwTsXZ5sDzjUVmbkBXgVreEplQea8IEgpFrzMniNlElcGZU6PG0V0Ge4LvB96egedrY7+rMQmBc qlUdgsTyboDeJyz4LFrMT0I/J2WSeST5fzsTQbKgG3RwHuez9LaqXpww4etdAHY8nah58Jefyt8K 1oLvQdbYdDCCMVRMn10Up4NsqDA9tOvyCLgeNpIip34lgix5FjmhVuVRy/kHvYiK1fLH6y2OCvDG ba0y9DlVxS74OTdB4oEcPbdZoj/l0eAtPURLMx0dhdXPL5PhtHLL8zEdRY8npOZuliux8g+TiWkZ vGT+rKX/trmKjDSY1HbowEVDO1rg6wQyxUWGFwXJHfCb0K5WkWmNN9gIpUJgmLYUwm68MSC7odXr 91H7tBOyMI4RgJFhg36c1oyIucVoEgx9XmNZZPlpSzLP6VsZdqSeESQNuSeMhraWB1YnhHQfRVyi fyZfuxcZad0bvpQRgBzqgD11VgEtM+gk57r4mlTf/r7LJIuMjRjTtiZ9GfA2Cs7/hlkpVixSqjLT yt1duDNtolTJHL4qvccRCSpoXKgC6FWZcOUYnDqrzNav+ZrjGvDSdxpSEAUDPNnnQ8dJ+KLs9306 Bbrkffl+h9igoTcRBBPlehxJuWU84NjkYYD1eokwx/HtozHPiATlfdY3ae4tvpWw/Hz3awxfjrY7 +YO6AlTpHbSQZbZCm+AoenulcTQ3PMKnOEcHfKiSm0lJrmRQLfw3ERBnzSYwrTJNQ/lpvKmyJphA k1/EsoddnrB6nS9lTeVT+K0n8S1TlxJiytfk1Us+fxYuSn+H/juLxDMvXJ6wNihvklLsobL5xMzu CYcQnJohxWRpOCIO1XFLke7Hn1WkvdVnDSmVg6ZNxgSUMrMK2aNUo/sdbfH5jeVfAP9h6QMOuqD6 MP9DfITynjSXPXFzTAMGFiY4APqX9BXg7B+xfvde7IaSl4AiUt1PcQoVRijQNWCbDG2yUWnzyCG4 XhH1gI+kH9Pjc/OxxCRV3s0q1K5KMA0Q+mhmmcyhZA59VpTyOD5OyLJKPnP/cy0J4SK/F+nHNqE5 zppmIEFmAZitn/4h4TF/2isft7gLZWWEwkautwyVBQAuvrpdMeaeOGnVMOqyaQmenB++MTs79oAW lONY8SSi9rpE60VM27kNq2ulhzvY9IUPmqzKsobs7Jq02kqwjVcMxqzDbz9MTRR4glo165VooGo0 OQwvVNQlo3miELUqnwiQPAVFFeXNyS1XY2Lov9TjnFpqwI+1Mr+zglqeErkqsB2zNK3KYu3Foz+P uhAYcl69Xtu+ohOi0+AG0tjZGBqqzEv1PIL54OLbzzMnFG5fysMVKXOdz53rP+Os8d262dTgs8nl 7TRR2yDWyEiIyGUyLNFlueUQtiivemMVdnzs39BAi9vZpbRmj1XeCr19e+GYhbNrVNbzZzUm03as QItABWjJCudJlUnGqofDkH+MLzG3M4m50b7LhjPQ9udnQEAXFWa2glMWxvbhSZCj5RK/xT+KAb+z JMjw8hz9c+D3HRH8Tv7fHhMCYjrXJDd5E+Cz2oUEcI/CM+qs54/VGCiO0rm7w0Ho/QazDKNvX6xQ CXuZmU186oHhzkf3Px3IThooQ6NmHCUnraF33kWbsX5ijg1c0TWTGwDTC5NfZC4PaALdViSl+8FE dsv4E7A9wHIDh8kWxI+UgpIPPMbV5MWebECVKUU1STGvRVLZmcHTPfviuY8K613uwLkUrQtz/oNW LfnYBmXGV6cGlQEK3CD0U9VXQXtnT9UwhZnz9jZajAcrsm6rQ2ilFnYc8HU3EOQNSPKnQkk4Az14 IQnzJBO2dp3EAPZOSvXrCAqdJ5yCoisUbBFktU0b39OU5VUb/Cgjl4R4chzQuwWoaJfu5pI5YmeS b2lqaVQTvUTNxGXHJyrKOvWsj2Y/yU3KkO0h6BcKyRP8lp4onCmoLw9Iei/7C1v2ky9TWeX6MssS 261YUxien05XUuPWQyUoJnfWy78oRdbwPkKhSx4h1wV8FGw6vVuYtIxvsBg/1FuMbrhsmptFEj4/ 0hIImY+6KtpdiaTPeQ3YMQS2+llozsaLWb96CQZoEkGAFeiY++vnrIUBTV8PrlKhYQUvemG+kBRR X0cnVCsdlMA2l4a1IUFqm4/DsAn782svUognQCpXroeShd+RJkZ8LkHdsmcuhsyrZoEWiS8oAvx6 nnZLJ9oQRWcjdzi2AwVDEWu9ZLkt0Cc17Fx9v00ldzd0Rc7pWgCQiEBzz/78tp6vgtD9zFzNrem9 Bt0xWFeBwce4xWPhU+wcH9t0UYKgW8FVQ00ArYirA11RWFQma8FKEOIdUPaa8pOrEsp3TSM8aCbM QKjKVAMbmoQF3ZWTKIWoKG0dsmJcqf6KIXe7bE13kSuW2PXCjqvrSWBzuhrp0dp96z1zGQ9+GJrC N7z1Cj74wHO2jnJ4wiHhhx2Hz8feEcKybC6F0JcCK0xhtpjRBN5Hsph3J6IUN22qQ0U8b1bvc44c VhGHUio+JtzOLo2xGrUB/kqzyaphQqjX0aK3kYvgYTLwDT+ZSRa0VFN2k90u11Vh8SeDSiwRaOC3 VdRBk5uvgKJ4kUCAWCDVRaMCt2pKwcg18LMlIehIQnHJ6XQWkgZIpSK+4R+Gqo+B+jbLVyrRWji/ 0g3R1LXkPMSTkUHGS2SEhNBhvEtu6MWfPCVxkQKrUmeXyIiXKUUsc/bVLLl50G6L1BT9IxaMgiW4 ylD1HLAGjUUCJ9W+k7rfWd9RbpfsNlg+UTde5BxmuoD/qDv1EX75xM+xLppuHP/xXax+BoLFYLBx u5Z04e8CX+nqUqcen9kFagkvgvyx1hhNmYIscOBdKKLyuOdxgTbti0nEtEKhF5HB1evdX8S5LHtD jdvU8J3K3O6h+PUtwHTZOlnGLB9hftyhfBSjk5SJB9ETEuac0K9SIsHsLgn4kJsnoD3thodutayg VL37N/XDYLU0emBc7FpPdsWDUhGuhA/qVSUG1lVYk9dHm6AJkhEcWFssRa2EG8JPchulcLicICmX Etttvgu3ptm+bZsajQMWOu76ME5bqLqc+2bSuFwJVi6SVX9lnoy3VzCDNHznXOto9Zrr1D98HU6P SYKXaM1sXoCUF2HTB2hIld205dwTLgIK4evsja+TLA8uWzZZoe1QWuYWW2qGhxVRcOWW7+q6qGHr f4IRrPyh8rvGFuM+21j4xV5lEpCC+sjzIwquxZ2FsGrSI/84dux8ss7yXDBngmnO87xhqzwuYHOT RJ63PD1ecPZmi6jT2xK4iA1lrfVKLg9JhN8rJXB0RmB6mhKjsoiS1VcBPwcZVOPgLbcftoGXPBjx FVwl8/PylbDSvNt2lT2cIS+lqctfJSYotdxA7PA8W/ve/ca9s560VfTkB/x9RX+Z2+o/fFavkONc CtRhDpTlAlOSpWkHg2mEL9g6mvAoUlUiz3PbqYeV6NC0xLZydaMkNUWgnWZfPSyW1z5s/zkY2TPP +FNPN402pLMLrRWUW/e2Py1XkXeq43+XeI/6sjkk0ipox0+Ge3nMpXR1AA5FmFoEy+6yecjSFfbK SrNBAj6oWqbFK+2adL6rrJ2MKYRuxX8s2BZb93odUe2jh+1fKi137/nO+0frBl5NvZPK+JNY0atm 6hHyOvKsV5iL6HyLGyB3xC2PLBXUaJhVQDnraFnvszADtHxTk+tX2ItCKC5dfyqf/xf0o4IhWEQa aY1m/MjW9Yn0iObcs4V0zkQjadmw04pYrf8Xs5wCA2A9BpQfwlT2TEs33/kXT0RPpcmpLoFpKk42 3TksP5y/iCL9/38GwfyUDvHvQAB90UYlS4sSfKLrGpVPAW7aNuJH0nzIMm361+1LcoCEpkZF7UK8 EgmrgNoFjD2KoITxamEy/VKLT0lI1QfkL/qiyJWZ+Noal85EBYOIQ/mjgKiqCk3tuWNuefm73LkE cbtwPXBr5I/yQofBblnnkOQYeSnhiExnRV6Kadw3UbKba5jKBYTLA3yhFWN5/FcGGJ82xM9AtRCr RXM6ttG2vn/hK8OrHYyxR+9l+MITBe5+eSmKa7HTcCisDetmeTMvXsegwrkPrRURdFlLxwY4df+p B8UamY5QH9CgreIVVKJxv7K0LpcSnLXc7sQKDllPnb93wkC3kGStk4ZM5shBOsSM7w/QxXKbnd3M 8p0IfUFA+umqseG3iR5W2CUQZEuvpgdEnMPGfD4DKuVfCFEc9psWsmdqA2Bo+fri+KWjdaievwRB pnx5KHOk6OMxph0EXj6phBarUtEHevZQDQ3Oq4LEor2B1EEc8+beMOT7t+giq4rL1K96fa8Jjk56 50OTfh41+AO/qiNVUjks2A1tX2VU8hIOFFdL+so0xNpO/z+oqEy3xyO2L58HmTqCLrFsz1c4ho6B safifV8HfKSLT3i1ANdQG5wHu79sJdqhzLPIE+dAByqtsKiYowgi/THfGeuzm8TiLUzJKgic1Tp7 Rz87Cal3KgETAhVsKmQTh9eqgWt4TERt9dIBj17cxywB1xeNvrJnN1BWw/MEXk6oBVu+ROm5aJ3W BIDbAUSCzookYrPl7u6reqmaub6OhTSjuahwVsXxUg/469fpG4kv4SKfKeTDzWW7F6xfBd1S8ftN QiMxqdEVudgLIUCLYxQdvTSxRCNR3fDJRIeRjiCa1+R2CuZ4P7N4amkc72aRVieToMxKbKms10I1 NE4ZusmkYdG+xp/gG+aDc6Fod0XsMpWrg0fQNiu/b9wC3sF1l6tdMhyZq8PdbXb2x2E+nkLI8XGF BxmDcOskzcMUUPfJlxNo5UV3Ybtvn7NbcjWyvdZCSOBAr0D8AjaJ1Nu0sH0bEpy/hdmQ+Q5xHzpo KrT17S0Gzp0YU2vyeNO5Z1+nU3Bw4XG/hmeLH59oQKmctwdgemSlgCxIMGnpGHl7t7ku6mBMqdgy 2MHopIUdRNKABUavBYcV3MEvZJSN0tr1nAsyKtrd82kD+GxV8bvThq255ZgkIPEpRQ04yDIhtTkd i2Dfu3vb5EgmQDCVDSiIIG3ntdsAn+i6qZVvkJNvcc+0xuREzrdLD9Lucb3i8cnsMQ+Q086x8zUa mj8YhfSYNdxdhq2/yK+azC8f0P3KitLKNsSRYuqxhO+AfSiELfZRQkyIO1RcdwntWL7lUa0azu4H EBSeYDWWtK1C/zKYXXldAoQ/WVbsUfl1o6xrbo6O8u/0u4Wfo6U8k/lU6zJduti4HCzFQynjeQiT U/t1N1Jya/iPxzhzNfr0UPM1BgdyVBa9gyV1drWv9D6t3gtMTJfa5XF9GX6cEfnttIL6G1A6gitd QYHNJ7q5L6gzU8OOXVXKpaPZRe93F9FCS4qkDtgg9GPeQwENkbslOqScKzGT5pKZLUijlkspLz4i /0a3ztVdX+17qibCnG4MAxZ2slJClFwrCBRvAoD12RA7X8UYDaFqXMKgoybZGbvuAWlclXljLOs5 169D+BOUiMZi7mToDrY1zRKwLhcY3sL6YNxjvJZf5HNBPaP3I0hxA2ZI4cBa7sZMhVojHhN0VNaU hSRckHUEcUyg1/GRTuUMCMWDiE+cZevOg1pJt05zV/LPCW2mjsG4FkwpMy/YrtEq5U+fjQXvuuWC 8ibLiwvIJL1KdigKcQnvFCoEZR1wh+JVO9P5wsfqtuMsNe9YgAW4JjwLguDr7d7R7ZrlUVCuLROS vbMy4msJO+fHgigMuZFsvp4Gct7iZLltC1eP46JLgOkRNZUQCa2+GXxDnN3Xun6RisGdo6GV5pr1 QRjkQ+SRsp1o9XBFF8HXH8lP94iC6xvAckRuhVmbeGad4Ez5j4c5mguFAJ6ZFM3kL2j/ZeW+SbKq he42FVYtJalLusuqf7PzeF9+7GrKlAqNSI15ARhrRvb+oWEfYFNE8hX/DvHMQgpKM4Qhwq7hGDbY 6+IY/vJX5ScKrr7wSxv1ybYcwiocgrp29XsC17SNzKdhGvSxL27FMe20503ejhDyYu51/wsblbCV tJP5IicykhO0bmeSynyTgPAx4xSy0HRnJ8tho56zCmEdSjCAtnNcc2M1wYkmkO/BfmV5ZP43Z5Vm DN5Q8pQtv0ics6oMaoTUcgRQWBbo1yN7tIQ9gXUTtrmGuRFSSvvhBuwew8SPfHqh+oe77GCpoFUc JeEtTNK7oeGVPZlBECgZtnftvoh0S5EzCM6teElnCuq9XTyPBdAZQw9kWoNKV5glNhG7NHFMYcRq omGiMbh8VfwLjgRao5dmKsLEp7SmQQ7u6CrhL7PEhVjPHLWwoVBkfH6mAhHMaO79wlnszE3ZqC2C eZbG8daofzmMASc7lYOUXOzwSw2Zkzkuhc1VeaTNUWSOciaFpNGaGZvs163OlKCh1Tlf/bPkVceY vm/cTFx7ndkGi4hzJGrMtJq9PWd2c0zLtEZkPf5mPMpGXHc5Rqx2ypRvYbv8t41boE2REiL+o0wF t+6z2zV/DOl/ijqC1KpR126bF2iD3eSIlX8FtYJC+oOIIDGqy8g21kx0V5NiVhzB4akWxF0dCQzp R6VWwOS1QNtbgepYxB7mY7Y+xK2bqz20helJS/xxVLK+PqttUBVjqepHRx/Oosuy1UrYclM94dRB srRxzT/DkZch8rUVInzbAQs8YZm6adp26HjYsU2QDSiBUwuQrN7ML5WZp/kbBXfr97dFUKuLbGsD 4d48yNda8wXJqjOr/a74vNjOgSdZ2F3BdQaF1aHdiYEB9KS0rvbkmJxScL8lXrX9tErCST8bdE12 +konpfTTMYh/bxElh0KX94uJCKuot5p2PyD60LmAhzwzwgql80i1c0lhTm16knBFYi8uQlDIC+JA Z1+Ah9SZQy+YMhIF5nlPsDedbChTZq/yezyscosW6WP3USp7oA2yMVto+2FhPYit5e+bAhmymRDO sqjB+bxf5xcGzLtfRLzTIPd6xlZ82mkJOY6jvqNnYXS7L2hSoaW7TRs5656hJ8kTVtatxjln37Z7 jbaeg3SC9GwRDuEHF5VfSP4Wk2Kse9HHpkbbXMpDMRWGKC7cMpRTTEUY0yFkmr4JXZht5kuL38ys ueq3RmpPBrsc/TEIo545X2vq94RHbrVof9R9OMa9D7fpYtmSvMTxkpDDP1GNYBVKXjQSTatSDGU8 enATBeTuxPB3XWOzHFS+jEBrl4UeTjOeAUFnFiS1sHk6mUnL03hksj1ctr9PTnFHNYDr3RGiS5Bl +x0R220Ths2UNfJ5gJZ7tZ/2bvUKjlRtG8ZufL+Qz/xYN8zV2Qb1l2M+tfClHl5ytntir6LCivff JBjGhZphGwvErJHGm04gpLPnzvN9X1TV3jJrBXIud+jcoFeSaNT77C7IXm1jrVzSQf4nxx73I+6k o0TINbCsEMo+2Os6vkENCwmp021vUdxGdqOSFaQLmYyVxSUj0RmmzR66mE1tCfn0ZlH4nQ2jd42h 2PpGoW9j9uMgj343OFRR0jdggIU6ySRS8GqibCF4nQ1B3MpHWANLCQFNbDRd5AgldSW5W27wPggt 0odPm0GNa7D2JidqvebBhNRwt84hzkeZwMHtOgIE0XJaTYOpYFGbVHF7HnDHciHHuc9n3V9K/xEv 5KtruJa+5sKjm85A1PIJrMTEL1rX05QMwCXXWp8a7W5n+1R0FAWnYt1UwmRgo7r4yQIkYS19nxkj M54vtzrAwc/n5k/8GlxbXl/ZlUid9TRTv56NYpTKrexzaLrcuf5oNraFgoTqfWd8+agNslv4KZ4t L4VnAM+fnarijkFMuhuwj4pj03Wf6Z2W3EO8SKMjT+QyV6O5ZrGXnHx4d3g4ITsNolAqM5Gw5HF0 ySnupOfVM9x7TOZA4vOtKrxLy/RwCxMyAO0QPKbcuDQxCwWHCk9uS5/+CAzvMww5lCopwqimLTsA Cb/+Ry/Um6E/9YpB4Sy4BPPsxePL0HFPcYw6r9I3TzZTpVfIuiizNIwShtTV1lsD3qwD+RYLraFQ +vzMDDrxp+JUnaxcsQq8Vng5SIlDIRZV/9wjQmzZCgsemYo50IMXACSTXGvyfEcm7pDtyoX5wopE QfY/w1X4OdIMxJ/JG0/HQZuFD3p5Bo+Zp0huKHRg+/IQ2SsUGV5gKH1wCybzmu/CnZ6Qg0HP5GQ2 /bcfRa0DEPySNBD56t4dwLLL/KG+4UwNJ/YVtBTVbPQ2HtEXC0WpMtVdnmxs574uOvyG+TY9k1Ps SjzTRqMwC0fk2K9MeI1gZssV75U/cOw8uSXnyi2PGxKZ12XpJJEGvYwjLGIEwY+sdKNwEyObVIjv vzpgIRdOJjLWPtcmQUO83aPPPpbd1RqCbIdLXMATcfFV3268vsipj7DnyjPAhOoK6i/Aku2xFUi/ OGpt3pYlPwqxjW7paTlaDjjy49iKsKl8axp5TjSOK+QolYetCgMImKJs5gFdM/9dCYCTLnCmnS5l 1GAz0HbcTCU3ZkmcoCQ0TbzX8O735NnsAoV9EreoJsh707pQ/8rE8rSr95eu/dt4pIorEOJcFbMo Ogd1/UZp2x9QT5uKaNas824w5lHgJbvVsP2kf4sg4Ny8zmgg1za4WbI1AuYebTWWA5Xsysa4eGx8 M96GBLdyElPpm49i5BweAIHGTnMSQGbiHleo2vW3g8kefxkcAMCyZ7Sfd/IC+w0KR8dnhYsTWrQB wo+/fKACeVM0HEMkjgj7QJB1fc7dkT+NtpP000QgSd2WS8TPbmEgbAzAd8ZIJzDOjm7Rgfx2Xl1x BKETNS6JpBgWVT1Ff0UtUedDVkl6gJYqrUkf5Fjer+y2eMxuobP+LOZCGNTRgQRjBIuKCQsy1/xD uknPItl22aItMD7oRsQyjopx9HRTRxfqwDDevjmVct902/wjXCR1gHt4J7SAduZ7PLGgZV69yYfD ly9cYuZPnt1mShxanEB/Akvs2eGzyXuxm9SFnO2BlIXDHnkXkdoxqWiD3tFv8yaVVIYMwbKzXqx5 gTP/v34Sxc0ei/W7XS4KiG2AxLw0ljfbeRNMYA8ocesXHwe728BUAAouc/U+Vf+jzCRh5fMP4xM3 Sc8/dmXzPHKuxaoe4/AsJAzEPy4YMN6zJnxyzOhBTHOv1sw2LkzqOKadv2EJ/dTT6y+5EDKvJ6Se 6H06Tq8wnBSOyxw707Z8kgmui94WYUi64Whi+/oRmjH8klU2TIuGNlTshUpuKQPBeTCoR1h4BFzN gcdIPN3tQbw9niiHfBH8T18SHJsdVYIm1I/D9b0PxjhWE+g/bseZMWu6nI/kRmzyCLmwrLQWZSV9 fxd5BJR23Tu2FeJWth4upu5KsBdFhWZ1gfXUxz8eIv9nFKLD2EkC/ukOXnLnMEBhC+l125C6JNWr 6uWGVfzcXLEr0YYgae1N+UsLo82etjDEF5Y0WScScZPvIgcIk91RmABmoYCfiu/uILwrPEAiQj3h NzFzTCOpmkCDr7l1R08Vn453P/FhepICQt3VN96s2d4GvSKxuiKfw3Jzb1ImqrnQsQ8GwKQm8YfM lMRVOn/w46+Y8GiQmfxzLWMYWMTtvhTWiE/TNJIykTFvw3Z25o3LMFtFbmXE91YCUEySRibkdlk3 DbzGR+8bVKhqoYMcz+KpNAfeRjf4f2GEWD41HoxAyAiNb6YgFqxmkA/bkB5N6XqLjSH7AABuFAm3 swNgCr2it+M5dPSjgIUln467T1r3LZxGmr9ZDhsldj7qcbC/IKDvPN+tUk7k+cEY2ytjx7HcxVjR h5SKRUpx21T52PAPBSbY9y8ptwLnGCCg5/6qx/LS0XUoBmeMmzRehoCWAkrKNnnt6trDNXpHaWcp QGftBkRV2c1B8+hYBf+u6BKYRF9SOEyOu0VV4VRmCKpJiHcuzi2Of31T8bYjeErE98Zn+GGBllWb M2aFL/+MAzh+YGUQUd8VN4llkMtPP9jeXTaUkzBs9naeI6585u4kHMN8JYuPijOo67qJBdIeeJTZ KSCdaqVzCmOCi5AlKEsf6tkXQqISXxV8WgFeXt3HAsm790PoaXQI+90DSlofdJaYeJSH8hpJ+6ur CMRlmovVvhwVh2q3X7ezFTP+FpoHbUBjP77MDHe9YjMqsROOSiAuLUCfhfO7+0iu0RRePyU4hD+i QY95tZk5ghnXQcNOxZWpm81F66XN1hEjP47pFUivq2uCtcFphEjEIhUxZvM4zlJ6XOxMngJmbK+P itFFcrrXXGLVaAeX/FVeGfp/MWh9/9Omyk/rDGjGLRliJ1gO8gdEoaiI/ihA/f/o81XcmnqyJ71b 2NjDhl1ayn4Lmnbte782F+DzlEYMItds/PiYccKG9gZ3YrwSdv1vwIyymUdhwXj3dhSv7eBZ+aKs N1fvNR69SfoQcK/3KVAcCcD9SPApaOF9Ua/Vc3hxSdFhQhKYEqidst99kXlUExOdMtFjh01/BdOK kUUOK0/yxJDTBRTvhb/QGyy8jE0znJtzfeketasaI59R7Ggpzb3tcMmI/2EqkCwNRvbHTUrnScmL eolPlWoJ7pgua8FkYTXT29R6AxaDR9b4aoPd62B9TJ6Yi7IU9zwfdeM29fHlBX+oqUNpxtibofSJ Ayf8mcwPBeAB5XbUmC1I4a1VVhkPtd24UXrd3R4zUPGRNYCFgGZwCzHMzAEn4eSwsodwEufjYHc9 YnxfnMfYo0DFiKv9YojmoeGLNkyAoBQO4MqpHufpy7qX9zdwdZs0poGImkgmCaBf+vKHS+Sj/DaC 5yj4MW7MEKVC0d2vcb+42m/hNxSds2vQNAPDfnRFt2Coyw0JIj+ZqZ6Xld7O8KTGdFHn9JZzisNm XMWbPpLL+K54DTi1Ppq/GERKhmVsTCzGzj7KSfLzdhCSIAY5gHo7zVKR0cTaHd+ja6XY0Ow7uE1Y AB6QnYIqQDZsJRLcVq9Hbpuzq+mEPuOAe7dgkl4in3gy1ZpxzrDQYVhfzufzynwvibn+glLGzFk2 RSGpCnq5kjX8LQt4BK70FnxV7hN3jJo3IQEaXvMk3WI3t5BYkSCxllDFc0B1FKPHvTR4bwX/PW7h KCbA2pYViZA2AP3aoyEgWZWPHR8XlZ6kvLbTDiAmdzJkWd7dJy22ipCaYWDDim02GROtWUDf1uO9 m2TykF9bP7NGCf9xXZ6gWzQdYTcQRrrLzGMwnhlK9cCQC+c+fSHeqLLQPIEUi5VCl6vwKNMwdSP8 HA7GBHRAHkfftWgFK67isgv8kTjrDf3DTtR1fBOb3/5KhfRp+kwI+GL61ZNXxLpuyDwCbpU5K8hu j2xWjXXzL2nz74bT+fmskdORJonTQsv8ptzHHPZqmo1zbC6NNEcKOuqrk2kJ1QmeZZyPwVHMyJQA YjkSfo7UMlh16bc6dTzhTvh+u0DyV5TgAz3EVqSUrmNOuLw7+jTP7eBKADMsCTx2vPHOBs8zOLzc hULpWcocCf2dSbCQgslWPVG87kbBDspqEkq2Kfqp/P4J/2C56IZQy0f8/gCHuDbLM+hjxO2DnHSS 9c2VhzbXngCViDtIDZvs20HmR7J47w4Ju8jGWyG6MS5TU+7pfNfGIhcsl0yRxuW3QKloxvFLhmXJ wwq57nYioLLV9X2ZZ2/Y1X9Vq+ON2iJrJRiNTnOu7PAK+pXDaW4pKyqxk1ZXoyQQKXWi/5vnyX3x GVI6ItFkU6haiFrMgTW6DBTdlAW/teQ2h47TQ7YVnfiO4lQuTWpor8KDHKZdLlZY18+gZKV+Biu1 4HjWiQilHC8R+1iWJTLgOZPYwiY7GXjVd6MeSEs672/RPnXAPzNSeJan4RXJ10510IcCI/yJUT5W DZhrj3squm61sQxlMSNF1eLRWTKPwUDFWFO2Tgs8aUv4yponPnVUKnrX9P4Oc8OE00o57ZFHaZg8 BnZYj+gkhPiS3OmcFD4/+7b/SVo3jp7e7J+qDczr52bQy0CAeXSbG1NjgtenOs6S8d6wJprkx+ah 9Oj2BuCMTPL5lawfdprZMTZXLw/QHHC83eCQv/P1ueXgralb1DjOP8VjXtBV3OowOZdkeVxGMMjk bU4sZYM7/4Hb0BUMHkKXifxaDmVq3IKLE3DAo5MGnCQPV63xqSAjt04VpmHT8Kcmm9cOBOAGd4Fb Ty/CYly39ODU8URvcP/myW46tX9T8BhPeQc4y/lNwWzYykBTZAdT05PqF8ePviP3PD6P+cnv0YxZ 1lunASXCeIOBJqeLCyBTzwGMPfgtwXOJdUxkS/6hAZBqRjdze2ddAWzKfh3VSbRXo1mU1pL8i3Za rlkHZ3VyGn0+FUMyraFjy4LzEMOHcpVr6TM2gi44xBw0/tEci0zxXz334W5HidITOolPe+c2rB4K lg7Rul8eAbwKzI5s5wlLYGCmkM/KxxWP/8z2Q58zA7ZHWDeBuP1g/wNucPBLTjLbk+FDUwTLfoZa vGvlM2BIRtyy9P8hvoUX5RVt43SJTRHzdQ2egnBOjSmArjhCZhv5OLDeQcUWypjTX//HSbwBL7R9 ORaa0/MVVL8aKqpu+8mDA7+XJpDIAsiaNQEwLTsVZoBSq5/X1obsi+enEMxmNDNtDPeB+j4U38Lq j7iflNuj6DPBmAtxJ61esA7pv3rWbqG2+MABWDDXsiwQl1lJQ4VQySazN7QJVO2voJTjSjbnFS8g Kw5WZpKKrVVBsNYkm09pgIS1RkIAxmruOqb6XIzrPvnxiOPojt8nulWukgbY+qF4ay5UyNk6/N95 DLFg22D1nN2v6/OUL+xgjolvAVHpKTbROil82kkKy4f2iGE8KuF9Ay0QvLR4/lcCS6YbH/bp8YFh glSTX1k0PBAIn0PG9shu/jpnBcuP3isTa5yyRqlhj1n7cGaK01t6xBEvN7aTRhuNSINVQU7G/FME EkutCPc5P56/Zvslu8xuhY6Le9hu688C39in5vXdGzGGHk0yS6zmWgWnevnD2gE+MjqMEDl/gOBg cUXAaFx6WoOemStIXUNRRL0ZZ0DNbErzayF1P+Yg84kib9qsDwIOqy2f8LwhcaMBzuJoL293Y7jz 9T1qUaYGdnuwwFKD3wlI+2d6Dr/rB93xZwU+Kh773BkoEONn71inL3ILFbEVVtmgmWWrDAVX59vk fgQa6rYShKXbHXVGg88hpDaeZWtPoi7+SDtb2B1fo/r4eztRRZQanNZhV2+mVslAednTNJv8OZP6 8f0mwydLTtooHoDmLsNyItldR0X0XARi1O+HeSxj2e4RT9TERE+Sdey71k9jE263EBJhWmFCN7FS SrPPy67Id1dXXUvgHBOna+wLtqKL2kOJHY79gbH5z1J/ylKUYspqZCihYi1kMzxlilvLjUULaL0Z yYIm8LmyZ7axpTjy0aw4o175asWsNn911nK8n/DkxylPeEoeuUHpVMAUpVwthM1eRDQPWRaW1GTU qDkcPlOYpZoCAmTtxnHooTxkZSnkAcJmZ+9QPjbB54l02Wc16tT8jUfDowaFUXvWFwLdd/u7FIRQ mDcCLAeliK5xg07GY8HPEqv4GrHzyv+pGNVWsjcijNHlSYnwpwZ5paWUJJ9ThGCP//TZUdSwHIjX o+aG4Aqwz6JXBa9+rtMIR136j/drbVcf1kZ6nk+6M1q9buy3O7bumwAc5FX4fhuenwOcQy516rWU L7UcUGj+VrEIB/rAzOJ5qc9xmAZw6w/V2U3oVYCVT0iWWHRGQoTvR8Ckb1pZ4G6y1PLfRoUSvIdF orNfuUW0UjqEQkBtj/u2VP69SrfAqn1xqiPji9PvGmkz+lYgi/pVm9y03COtnaIuai/HcPoF6p3n viTb10RPvAOeLXxSejc5XWXU3Bzkw5QMbaKetvgS7BlQjTAjd+iuoOanhcGw/SPYKgXoE6xnJH9E 9QBs3hj45mb4mZEZioYDfQTfDdUA7Rr2Hi3zJe1+gQX3cSiLfCYiCVA1ildVLuMurjE3cDna789i uqsG+kY7bslNShsDnbYSckGbehU7zQHuflfXejLzA6kl2q3Gromhv6Ua8Ny4/kO50/5UIiffXG8I ndya5/E+fi9ebQ9b0WAkulWkdnLSVV70YkLDX0MlXMLsEGvnVdMGON6Ho95wldeqXROulQOl6VTa FnnfbeR/xAwFDcSOMmoU0fT62eOZhPkS/t4YvQiAvCACCX45YOUH0RWsrjC/VvhapslpxJxF3bRH LH1dEE+ymqbIqu0/Jem959XBwQAOzAI0g+aYuGRXIT9A/7SS5DO1tHQBvHejLfasJ5FzrpAYtGxI ziYg7twA/0fGiLC953dU+Cm9O/NW+5FOy2bm0Z37cPDAk1TX3VnMEWyLUKFvqSXymWxYQcYFEb4M VSBBWcD1Az0Jf3NsNb3ZkQS/JpjqG6NNHhGA+4XO78VAnKUNkMdWUpwe65HFJ01FNywDyPjG8JvX ffYMCvaAQDCyy8lhDbS5LrZRv91uc3lCKK/y/Tcpe6dSyRav5wyUATHLO4OWukGYzuu+P5fOl6cC 2adHnE9G3pMn54rC8mTagUYRolW3drQMUZln0hwMFNgh6jcYc4rLgkdZs3YA9lAUp1Et0LPH4g8w ZqKPPX4kBi+i4ku7jiVDGwVusJNpbQTDGfI8mytUfiwmKWG1koXkl7S/gy95F7NL9ljgfYbGpw6Q cRAKDMYSzRIJ2ydSN80/BHDdO3fRRgTlxFfSt9rxD4Q/YvQDEuBI6qGPDClgfwuYaqHGRQmhKXOj TEbPcoUp5jINZm2kGaY5lpwLNU2PDUnUBpGB+bmTrqeawyYm67BT3bTe+2732qBg8BsuVpaRHka0 LdS8ewBxhF19QZpiyYmqnfLCn/xiP/TeZrfYRAfd2q3Uh7UV38ZvKn5QHnPQKyfRuO7Jx1LtMQhU Wpo+gkXhI+CsB8ultH6IljzMNOFZYkMcLQyYusymBt2SZe0n+GU6flPm0ie8jJec3TTom/RzoxkF kgsK6x6VswfDbu+Tx68cIwcEfLYr/9Sm+J+qLBoOJpDQqHB7aY+MxECtVmQhZN8ex6onYnB4t8+7 gvCpbeNtwcXuaUN4S3+2/2bzzb0taoMcFacTseqbQHwNpBUogaXOX0xH5Hqa0RY/nldeNDQLHy98 z23i330NTk2ag2zPwhP7T0RThYpQekrXKdsEqZbJjV/zA6zwEbMsWsWlx4H8BoIiWLU/o32djdGE OaTZjyl1mXvY1rkdPIfWSRE/MdeLwyS6Fm5AW5jvtH9gthvztauZwV30D20CRhHNcCaUpuVUGDJo QphEWzEo9vEJHW5HbNnMXhhKKDKerBQC1G9S3+s+7NVj3U81i4iGaW7B5tgwzNfhFhIihnoFRK6R mPW8l2oF/p1FiXv8qAwIFZAqA3I7n1iKOhbV05yqULKaI/DStL3vKiLv47ZIkKlsPFVGutyumYh4 HYGpHzdbUYA0133YaWm9q58NNkzeNxYUIr0FHmN6R+6PeZBcbaDkP/n1V9/WXN6/ZYVCISRWRrAH osCvDK2uCQaGTwumC7V3qbrM+NQYO/ktT8tg0JXe2dXsFyu9wTra10y2sNP1U5P8UggQZLQtPayU rauuvU0el3L77tt/ZGhoZauZTRDzKH5kbuy7ricqbNBTwxlYWoNACsCL8vVMC1JGKtCcut31lRZo f0czPd5PR4BRbE3VVHU7uMY+d2gItfdCMY5ZJXM8G6ocknDRqEjxwdrwtvOrO/JT2TCimope7uSI gxd3ISUL1Fyla26GfpTu36ppBVs/kah3kR8nb/j+qQHvJ02jZBtOVR/FkJ1l88uQxSYMXvR1fdaV N+rdnwCindT+myKaG92YcBGYguSYmvLZvqU1ji9WideMxbX2iiu+53imvbCagac9aFiNNfB0XGhM 1Vyeu7kGFkFPXBCmS4qpOBXoWBdLCpp//UXBSa4X/ERGolIxE7o922CNMlz7MDZqkeTcSDeodJXV XZXVN5+ZzhZh0W1rCiQSaIiV/syo6/j1pKc471ApbFzWMnWElzxO0ev3ylBdWANQ8LCN63vJ2Zxz wsTLTlaWBzfqt5LQzMAKz3kI6nANIFisOnvRL1za9h+srroDw6arG8Q9oFa9jLWJPGY9NMOtjFXx LaRDCD3p/NSHnNenkhwjkcepPiZHXOBCJbtc8Yk8Utj0/pyFjmAMndJj+nYHunc2kKfO2rX/v82n 7fwWv8cXjl7dOJjdDC+WuRGBQAv3JQQcOgLmE6tSSzobB9yLSh/WeyJkNi5IbpZhuFAgTd01dKTG FpPEQkM1psqyCCtynvNTQHzcZKnfgBYOPtqhimCKwZMH02QmdGy0G6VvXUMUBukabn2YNnqHQiWY R9skj0r8GEA7rDREEgAyJfVBuq4iUwF5J85xTlnuV666UphAvtNHpBvEqRw0IeinKOUkBBWZ5IGV gBj7Fs8KXJ+kzxs1wtaCuUwS4vWwR2Qgtdk9Pl/GOb/EcEAt3ViIEzuC3EgP8K/hvY6fc2axpVuM BOduGFVVTWF2mRns5Iozcw/iqE47o5nWt+R5hBkmjU3m7PkYLyKOVGno79B0Z2kkrN6Y/ZAtwZtF Q4iM5ibhJW5cSmFLudtxtpVc5i94bAuum1OcFaSmWwh8CiW7VHk+64vMqoL+sbvWpYQKM+3zoucc Mf5GEgbACo89rwSThzv9IrIvup4oeohTPaClsLj5YmrroQAVNn1gNvRKuZjv5JHeGmOHj3WREgX6 Zy/4zryXdvFLonxYQF1yua5MHimKGxzkigVTMJuqfP3IMtE9/Jeh2YQHA3gN3waF1TPDF9b7xbm2 OWcRqakAAJ0ZpzphVdU0Y9K8KRI7O31+n70HhIx541LxRBKciDfyjAINVJPiUymg482p51xFixW1 nmdEswYnmZCwh6YXAwaU8msnV+TMkWWFmiQ7QZwUfkNYoDHhlNzWMxbbm1c5jo83mM1/YszQeUTj g63+dsL/uMLHRL9JQB6z1h4APWkpNhSTA+XK8L14ktEVugnHwEBUrhj4ZrFuQPFPJDILBcpfmSHJ fhV8IK4eti4So5777VZV/FRtl9eSFP31tHZq3b2ZGHSf2A/L9LXcUqXHHASm1soEkLyqv7+Anu4T Uhanso8++ugLYNOg/rGl65N2ml1OqXK2agX1q+e7T/IpAP7akqJeVoiw13waC10aK6AyccxHDKVK 4Jw9vDaJthJySgOq/M/D3nCKjrmTMfZ9BrCB0BXyg3cD4xf7yOswxdQgs7vUiIITEMcYqQjT9y8Y S4TE/SmEw9f2KJJ+Ev+uhhg3W7Dnspg28f98onNOUXwpj2ismKwzhswpwbt3+II+Kc0Y5yhaW6/U mktvcbyN4rOGCE27HgwRzi7pTf+/ZOhY8ZuDrG0YwvW+8yqXtKmdrWRj8oXzpGHC6vl7Sf99R098 4AS6iZ/vxPtdr09bQPOn4Ni1/T0d9Axyi7I0oe+yuBKvFK2C1h7MXql3QL/LfFv0RmoQAtRyUmlI wnc6ubIfabPzmXX00oQl3IoL7/+yRtknGkwcDPh8gdGIcw303v/Oo1E7JbVlp5q7uv/xjB5M9mQP XWpjbkQ9q9aHTFrccU2BYy138yPzK1Ngu8fHpYadO06fMHEBQ+XQpuCgwgppvy8qT/71B1xvfqeL hdbNeIr0UXJX1/6zbpPRjjbEFyXRcOhkto0Hzo9XYvJJld6BjS6JRvWcvqElnDnXIynxorINvT6T Upr1e0+s2siyVlcnnNNHh8VeIO5ho+qJz4IRqfICfYPo24D4xthUEUgKYnuJKvzzr20HWdQY1BWU 8/zrZteQuwy44FPLDNddisn/xt+rl4FPqziZjtjDdEiagNagz3k2JgKknTnqyFEcdpT8ozHEzJZ5 E1Brro4a/h9Gz3elnE8Z2hh7SHbpSxvaI5zCrbyRS0UWvl6XmvjbOZgxnZ6nqnW9HcwZ82s6H8V4 tFQYuoLlc8tMArrPUXQ43+Aof8KWQ/9zye9Uhbfm7MXSqbNUDzbRWbLrYF+bQHEzdj2hKrcZLMNn 3hOB7bYu2CTh3uGN4irErKra+twELKtlCfADGo5HjjKsLvGA2O1KS72mBYO/NoOdMmpl1cvLriw0 wGTDFZVWU9lToQpz5MPHsgHjBLhDBc0fYB5tTgV19S4OTA5NO5BiuC78hB2TjMyFZpfKDPXtJQ8C xVUcEBYY2QP4FQW+6spKOxCQOAE06Kj4ROjMvWkKQNhkeMme60QKwJmr8uOWf3yECwFl8k9Ojgrl bcL4vPjSWzDvfscY0Zbw5Vp3+C/1/0W7zwIJdalUTzKMSKKQnfQ7oL0lPjO6dy6bLdmei479tbsE BcMVGbd0LTSWfZxQ/dcz+BHXxFnWkCoqI7NkTyej0XpuKLTAey1dPQV+U5/vLkID4ngP7XhByfzh gPq10AKBx/m3t90yNTkeYOET2iXAlaDPsn0nV+KCzUt5t0LxlVKeJ6iLrwmIDe3nH0kjJxKqMv1i 3DKs/aC+9bgBU2C4kboql0G2ZdBTVVToCOfRvMyVk1e/kpWVInJipSJSfMRZcQgAizeXRRxL87G+ D5td/u7Xav/Rc38v9oKCC7ABM/scOQRltHV3cRKYntjbk76vZ3aKk99pJ12YPCgtvvGwT+5Lo82Y jnmIRb9ZmJYzIh21fJjXXY2X4rAOoHReLRKiPfgIwZHYC6e0KnQRcwUWBiwKSulFyJh7SSWrbAQ4 REkivRBWeJ8LuO03+s/beV09gpBlIorRqUEJKhMSIKFfgXZg48xN6jk1NtQs3TFNt2fdXWAuYLsv A/X2l45IBd2e39lbomsS+U1Y4cJL5t8aSKfh8KXbtayu3FSakBsKJUzbLgI0hKmv1uo6g7N67KKn 2bFIXiAHMiUYUGYTra1aSnvOuUQLj0NCn9lg3bnLs7fXWo+cbDZhmDySF+yXAKbwe7dWpTERFLET dSaUqVpatoHtwvwEOrETUAfUhMczzoIQm2Rv3ZZjVlFdPDW/7Mhnyzwu2sqlFYXWWGIxSYgmas4I VyNFpxK7r5IxUTHm/XVby7i93puhG+5tLNTRR3nMiL7ArrdRDBTizqjauDEfGisHfWuBo9AZd3yJ XEzaNiS8bxa2+Qp3iIVuxVv1H4U3IAN9mZFtfemmXvfnKjOrx2rW4DSB6W8l5bRj65xUbo13bxMu f/4o1RDGuiiiWlrJbvbXEvTTuj2v13dWCeT5AeoFEb6da6FBCLKZOx4il0bJtMCu8uXI1TDOUUOa YeBocdZCTMU6AD8gdEn2P9tAAZtzg6ajKYAd9JLi108oZhT+pHlHaAUQrmVeoeoUnKj76crd0Xn+ vuW1Gg5hr/ifICm5bm4Si4nsaJm/4fpsmXct0aItD/jeeqVtAeocZedGy7JzNAwhQuJkkL58bQgm EiHkQi0YxLc/qhEQ2pH336PlcLXPtnVSBI8n/gQr3f24u6VwYgQMySJu/tlXpA+Ngh1FJtGUYNa0 m38CVQ5UL7VkbS/jvxdY4WovjPCcd9KDpshxQTsPSxh+gBksQG+OdTxpUttWe6bOsXqjYhTv5JeU RzkHAulZs0MfH8nz/Mi5xJ8uZ55GsYIuBpWJHn6u+2Dt9z0WbXTSS73ehpHwOzuEqbvZECr2nJYb YoqMQb41b6C8BDW9njnr3MINq0h2C1M7oGTvoIPeR4/uWST5BtZo/lygzZzUKXisgDhcrUFxlrKi ax/87fNVAyATwnGv8t5B+vf3Bkr409+llqASH8KERdbBeRdCjiNCbVv2S9ZJWB32ltD2sydzpdr5 dETqHQid08ZiwdGDVeen5u/dGCakAFiG2ldQzVDyEoJFkHveuFk1lWr/BWDx8PctdjUrkRGCW+nJ B7vOLbc3Q3vqXne5FMymb1FDImy0Ze9CLgymGIeHe2n9B8AKl9wViXbVTW3QbamfXOlR3YqrIkYu S9Y6EIO6V7OiNDB5miCmsJUP3Z6EZ4AagK4s7Rx2f27v338XemH5EgCr9TZ8wLPI2iYbTuda6nvS OBHCAHFJdsQnyF6IJBTMBWNxOOvLXrsbkTy2nH5GkxLxmEawMXBXF9Rz9G3yaFMNudzOTQIZYArs 9+teF8ee/woTTwdE1OzcPcGLP+Yh6Iu5BjG++5FIxzPZRXZc88FxWZU6KPVyqnpie0QwAV3giHT7 Tz1fikhHtOArvw3P80bPIC3QjwWyeXdrIO05cKiApa5hBpYy2UW/QdsaPf/4c7m7a3FA5srsnIEL Vb4nuawa8QGjVHXhv7TtXzSZVKVGwIlKfXlPYzaMu/Zq3Xbtv6XEa3FHqELuCGXp0MWUXysDE6uc 5dBaDl1RlOFs/3OvO9Z0H5zcXUMq5ZiZnM3sLgkS/jrL+Gsm+n8mTi2ULmq+zsjlrY3EWyCcYwt4 WnLMmS6nRAkpXBWRgVEa40Wgc/9pwpFQl+eZL+l7y5bH6ysyaJtl9tOV90PaaJeTbiAtD8q2OiN8 36DCXfv5UULpGlhSw03VqbojdO7pkWKYdeHwSlBFqNjWAh4xOIsME0V/I9Vw3UxnJx1IRP1azUKT tuEj/0mJLthsK8jYRK96bcJNFOlhGuLulFwUtzZrd5E68EYLnFzS2L1QNz0Bkia4oMpalGPm/eAl 4pwdliRfA/gbNXMlJsedKjDRXNNNFKGJ5SopiV/yi2GCQ4rwMK8ws/2ChVDqpM9u5V+gwPz5M08A 1wEIBeM3gAR2q9AmenB2DQ2P1543n3ebghz8IlGGPu/JpixEuJt5fkjL6i6A9szev3CJkY8FRkPa vaWzNOzfdM5a7+6lrwNiSIcxQFIWnL1stamtvsxT3ezgwCD4oWNLgYP15oIKDoIDlqCC1VV1IMt+ JQK1ryQGinPzKs68MLimKEjm3ot7DUWmlv70dHrS+n0Byyl4Cpfyob4JbEn32pBDUXfN91hDvvv5 aEAF9e8tUyETLPlFiGvIx4JUna+45U7ESftzZLPPV0VmTpiSQ2IgtKnSjc+gi/rR88mhUpGg6Ljq BjMaTxnkmc9No/9lHO/YmslDv+H08M/04p7SAOyPjE9fJyrMXg7jDROJikZEqn7BmmFiT8rGMklM Vwmj1jRxUFd/ixUps3n/mYV+JJQojtDH4Nu6I3hTqJm3qFrOxUQNuN5BeUwQ71iwZusxdg8aSEoj tLgzXXLeqtAhldWvbT1DhUqMl6exolUnVV0msgziqOp9xVXAgRQTxoBOMY20c1wttkFEJVjuNvdx Z4BnoEp+oM2Q4NwwoXSrTysEz5B6yXcKSpoXpZ17rtTtbu54QQIiAHGcjnasEA5b1nXJWQLsnY4X XUIpiVU3PA+nu7F+ATmxxacF/oyp3QgZa5gjiIBFICV3D3609FTNy14sQ+QXlnheyXs4vqtNMpk1 rdWDep+8HOE7ZZJdEGrkeJyw2NCCmWEUEe+pOfx0XPKxD3GmGw8o7uBLrHfST8632+FEB9X9AwfB mVhq2sUy8+MrpC1iC7QQl4wcMQNyVULCIxa79fchgMq0HJktzaG5PqTuvVyfx2n6OJKKE0UmG1M5 t0UICJhHO+OL7qekWDUY0oidzTTxgXKcxsx9b22ZwldfdLFWMRfeCgYvkpNz9ufOASz3taEb1fj4 KXekmHG8RGLv7rO7HNcRPbpalfyQ+wFhuejdWjxiQBWIEeP5QQ4PgZBwLqEUjpq8VPqB3mOs7kyz FY44+Hay4iiV4E0NftETGMw/T/qpmYqaKdD4D+YMfIdhemieLymMmVWEfmAGADK4MdNqZHMFFGgd tm1FMWot+GFQIM86gw6xw9riuR7ljpyIdklR2N8/DaD+lMHo0lcC1HyCwzW4+iZLBmU+NlO1XysX UIZDh6ADc67j4K3DX4rvGeig9Pgodx+QJnkLuCzuwEDe0/ZLeKR3381htpidYoFhSleA/O3EIhsh TZSM0KD6d5Hdl/WNfHlytOinBfBlIjrmp5wXF1erEbH8x8XyhLRflfB415OCNw+8+n27L3dXbwPz VTqTj3QNOH4TfgYj1hlJqVaQjbqQNdEF3imiA9tHFV4p46iI0XXwSh0QaM4TV520aLjgQv/wwZIp 1Uqu4kX9CkYX1VoQarh5CtgDbZkXCdMZSjmMcQAz0ReBgwYxbg8aFPQi/SVeYDcrdGIGb9PtX53H y166rD26n85sJguea8H6/y+bzEUuJQkz4P0oMU/LXsSQ1hZZy7s65ljrYdC7c4JTFdzuZLEsvLGI JDW0eeiKq+kSzXLvZXXxMByQQfdy0JnJDFGEZWlwBWviR7WtPb0FeqDSe4ewc4i71xkVuSqc2vDM CMdoGaIrcg/3tf8ibTYrEusujY4U9s+gMgX/JqDjS1hZ4ruw0BDekzS8lVjbhaD2GBN0dlUiEL8m pxDOPB4IySbh4li5HX44nwp4BqvZQaLpZqJRaP45O03tXL7Fl0g+CFaKmstRI+h7T1q+RrPGLAoQ aN7WlG7IxvOb3qRzCqWU4dQQdGMI2kAh7Iw4PrkSITpgcWmKGOP5GcfL4W8ysxC88g1GTL7kxiqs b/dY19/hlGQsiZ7/Prr+VUPdlmvloV+yKlwxM9OG3EQhrZuVLVPHxugbRBnuOJ7Nc0ldGDSLk7CY UFixYtN8DmUR0DzxZyuURhSvW3400ej9UObo7OAra3Gfg4cwWLhVOopzDc74MEaUB1xNK3/D1XS1 B8vp5j07xdsebQWOqpl2u+1HTGHt9Jowt6B8MNxQnhhYixSa5yhtbmXYz9qVuzXnEFie+DnGFzpl NGH+UAkBcvxmwy4l5mvFMQt9PI2gsdMHu7C+IDfvmSq0/pJZtMsczPaBM+uQufw7s+QfI7SAjbIV K5BtwsE+dImYyj3LJFkLTg9+/vGF3HCU0+gbaTho+pv/o8+tOMwjCCwRGau4GhoDI7M7w7RJzKvO wC4eOfiNqpzbx5NUuj0yzNbSCka0DzcHeGt4vu1S/cFAgXi9fnD5uUP/BST62qgClwUXyHfwAzc8 pzydgMh5QNwyrmgyUx43LUASEOEjnlmTLiCtCKL5tEPYOXE05OPF5RfKw2aKV4wkYKD6YAC7nuBu JATYA+a2mkDwFZrc6Cv7yUYsDM75gmSUian+wJ8JoCgEWc7lr6KG57yP11hw9Wthwo2J5UzEMqLa Dap1fK9vXm401fM8aLqT1tDPXQeZydruG6B0Gs3K7gwj3qvl9h2H6Cp1xdX0OkP02rt+U1E5IfQQ X+lSUphsT1PdUTjSYXO9P4keDytWQqmn6VM7GZdCPhylU3s4rigwgf9HADrG5ZzRlOn9Q3ukRzM3 6qAYasWHOxgoiC+7Ho1MBCq4NIUX0z7eu7U4SM0IA/b09e2kZ6BLkgJyealBO6PFeE4WOleiamCP fO8DrcK87UgnorFlwEBXq/3lBEKCgm+6RvNYPgPfsxtPpl8h+CabPdEz5m/xR0dg+y73F/y0GwtK /gBhASHtq9EEveadozHbnOjlbLxDmwFcRHCsnGceLD3xeyBnuO/GIulD5xTR1e9QlwJ6fcfYe3Gh SE036Ff8FuOuakot5cK6eNr39iocQhZSuiZm0Ast+33tzOZ96vKuN4n+Ax7ovl8SwQg218f5xOfi NUzfLniRHsL4QnUkIMKtLl6KWRAbupoF91wBblcSLCXRNHPcft0H7laIVE5XJkvB6vXV0Esk5/gT JUek4+U70OlNU28juRb1NapK2/2bXNHtQ9Rz8g0MxTnbKdSouVyxOCI25WxFnBGuUdY3bHYom2B/ BNGD6AdvHEhUg2j35aH1jY9RBceDmEAqszhIkcprycnEprT5QEQETEkW4vmMGuR03xVSaLaftP4n ZJmVgJvdL+gvaCxsRwlwxGW2tno964VDbh5jUjpvOtvxtRm7VYqt9agNLF0sB1INibT1amVQjpCQ WySVSz2nrshHx/b2Fo5nIDSq9UGCut5Jj1Amilkp3m8oIn0I3keA9r9ilu71G1vuk/GvsCa0AoKJ U7l6Zb1Cvt3H/amtmFnlMjuhW68i+W+7p8ZRwI4a014/CtRJld+1L5wWTJzzRSddWQrJmQ+KcfHe +ikjf0VqynA0a+6DwF/FODDpHcL0ocPH9okSevn49JvfuQS4MKT7csQVQyqlpzjnif8bzSmrcGfS IG3dZAdfpx+JkkHNNjH6OWjILWCAikR9RWWbl2CGLEdg8Re6IAP7fMPOPuKnn8n+RmeTbxNBswY0 nj/vyzxuGFK343s+3uj1hYBs0dVX7wqx+iKrrknagG792mI8DcXfyH87iR12WRHUzu9d/LHsGTA6 Z1YC/btWMWeNh+KU8r9J9tq7X0p5cEAZaMSmyIkHDkG/kCv2py6vLx2Oy2nNHU+h4nJy0tw6hG1I fybo0g6CylAdFzZHBGT9lsnp69sUdQMcZxqtTLZscaLtmaVszQnVAVQjmpniUuqkWkmwLczoAiuv 0t8pM49n+Kd09T5SYL1jBudm1ptcKSAtYkd8t+KYCkERZvaHSS7+mPqAS7JmYo/Y7atMVyZumTTM BNL3cA+yWZL0Lb+KWESPuyFcpZLb0PBHXmY64NKzGNimiTeLqpwDtmVbLPjvnzYcb+J7ir4KG9Bs Fesl6qRPHtEoi+acXGNL9eor2fhbR9AM+I4zbG6zImg6kyYA3tNo2KsmkyYZ+tVJeNmJ6LLGxXsR qupq2G8Gyema75gABcktfL5mcWUXGar2nNUPImw9/zY4oxg5mSjM42hK22zdl+6at5YccuINVcpP vj2nJNHW7d3+4bp29LlhpTkYGGlxmXuQcNw3srgFhPkBO/a4bm3c6/2htIhidLT1QVvGJd6bnylL KNpBi+p7X+W24CWbqcmJ63eQhVQ3P3fYt77C5+K1E02Ukog53sBDBVQtJHUqafpkdkjdwb429BAr BCEj/dTUTGJUVB8ukYCrfRY+ni6PiU2ZJY1jVdRRG4Bo3nidfhcZNu1HlWijBSzyQFfnPFIqj6rt jKEWEQbm3brDK9ErodNjxIL6EgCkY6Uc1ow+AcCJLenrG50oXmR+6GMsCvAFijDEAHsTf2UX5DF3 UQ8PjxuQZfDVUxIVAS7d5V/aDOblZyVfg/3yf+FkC7sflx4Lm3ds7IPqxORyPN5CwyCP4pywNNKi I79aBQJ4Q0rmwAstGXkCxEDGCAomA514+9L+spEuHYwmIcGJI7EQ1AsunWR9mJdV48seAlNlmmc7 WgGVmF3ZKhIJb8zeGkcF9tNpC1c4cxAC17B7vl/+o1oFTspHV905WMmUJIaqAsNVyedlTdol5XTJ giGc/ru4efgXh3D/ZTOlM7tYA8MMsKZigCI+yp1q/St4rDxbrvr3Z48yeX7RnXTtpS1KbAKTNPhs PQeBdRnN1lcNp7p8m47k4EYycGULUDawAM+hI2K09B4gIRflGI49QdONIqMPT25tRJHmxqS5J4OC GtJHuBT3rny6NAK2iE96OXGWpp07veBuL5bEWzhCctNHffmEdN8BlxqVK5JMoVNqF+1R9k5y8efN lHDC39fI/6O9Wj9NJyzGVOKdFUyLkM8GPymjKQgowTaIKBncxILqT7/LCWcioJBznaVMDE+nZIcH GeH+1xbVRMhl6PEQHWbtiEt5LsahDI3g92cw4rkpWW0oavkCL2b66f0wZXyl7s5KuW0+khVMRYwZ EftHeVN5koEwoqot56S6HHBcpveG8OIHmu7gELLMJuLR7bUCOIVWrVoo2vb3oTfsCOyecYn4PWCa cHB2dGLkyszDQ4PmfYOo4fz7cWBxpm3LfGIX0j1hmajAjVsfBRNrbRyo4qwmzLu5weReVAkGh9xy QCoKSeCXwDQI7D2wd1olRBYZ1m6gfopV8E8LHnSKE7KiUo4FxlaWGRr3xnDwd/XPJpfqLvT+IP7O bGrulhmtAvXtX/fPp1xm4SJ43OIaRGWrkRQLRKYsPr1J7ALX4Q1nqfytrOqCELLw37entbtKD3ih PhoPifww7m+3iiGo/w04cuRTPUXngXL6fnVef1UCy68liV7EK3bf/J/8D+7EdJcYVGzIwHMlv5OS CRxLsJWkYFN7KgdVSW2NhT3+gZnOKyxC+G3iYRalCbBVY+yZPjbd8uJJPBScooBIcy56PoesZ+r4 k53GC0gjscZ6pl7Kz7T+MajddvujGsk95XZOJZVBJdYxW6WzGQnYDgjd+FNyYvqgKJVy43tMWCV3 FINCrbL87C72bFp7r91g51BB6D09mghPWsvec49mqO40Mcdg7k+pTySQJNWpKmp+AcPyPJlpA9U+ pzZ9HI2UvugKU/+viX+oJy0wsJLZnzK4RXUcpsn/U2x5uveBdS1o29Bzq3YauKSvlsG+A8QHJ64i KrfVKmxhnbz7vBKc6K9wIWHVz0CyUOCt17A7g1Ujp8kawkfzgBSAS1nB3QUImszRNsAhnjmXMxSZ lEyAivIkVwdkeOf7putPHtP5stpySYD8ofCONOqRJFOqxLTneigwvPFxcxbaSCEzGuAMkTKCsDrn Q59zU6kgAkF1d+UOCbIId2dreN2NXnsNU84fdXMY/eaieaTYhz2RPBhh/h/wL4LgTnJax+sKF7zY NyJx1117pvxLweIb/cpZhG2Z1gRKs7vrNJcSIAqQ+W7OzQ516I9EAX4CpmAjOa7cM8kXA/7NvH2H gptZofyXs6SR10QDWyPehzn44KDOlCn+veTzcdw+qxNBjHVxbENJbdiIGmx/iqqf1ZurISwET++h Kbg2L72DSf9FsHGHa8osmgbtJa0oKP8Gs3SbxnHA6CJiCmkFlG7F925gmblUgBAMKFD1jNT43hez O2WwJy/NddkoLF1aGrpsdzfGFvD+/KHMhVW/OGEhvrmWLRN4+wl3qwWsxdeHovDFx3JmIYW/f0sK wtzHQ3prFQ6Fw5etiJVs9sqSHmsG7X0yKhz/EPM7BMDWk6A44Jb7p8LzFbbt+fSDhVey8x1I1tp7 36q3x1tPgLFIYNFwUcYYc2G+848JU52FksXUsO+rVbrQSENcVk707pqgLOfBZiWIL1hZKd759qM/ SYVABYbAh4FAMaIgPenIcb5t7oHhEJuXi65mmH2qX1IYMWBq5/Ej3to9KlT9yEvazLs6coT0+oM7 ZrnJWsTvwfnpw1sbH17XzF/3+baJKLffIsc1UGrXuLXfIxzqj1Xx1PdjBEN1vnw9VgNxJmFoaKY4 hiiC91QOqahSOavAxF3Wi8bHjJ8qMYBwAJCZxbq+iHiUgqrIRzRZb48zm2LiVQeZjVsrg8CXhVBf DqQr0qcjRbRLcl0/1kjzcmZAOz3xYGB45QWJaK8j/60SOnoiqT4o9STnX3U7VpnVYv/pv48UtUqt nUK1aMHkYkD8ARfT9E50lGwKG0zTp9tZYuKBZjTTNKluX6GxmbubSlD0JMnwYnQIWUVIYI+DBTP3 a2mcPGyOW6TB13gBDpPZbDqnxV0oR2gR09Xdw5MVc7PpzMKaRds3/lFIlZ4ql5WmTFmJzCocr8ic VNkQN7Tc1WTQAqhBRqueF2Abx2oPhqc7BMFlJi0h4nKYuk7R92SS+xp8n7zyYm9kOwg7CSY8Z/XU FSa0eG4kavbtpQO8TqopTFKPLxWxoBOSxRIw7bTvWbV9RWJ8V1QWS4AXOi7Eifmn1gBfj8KQLjf5 eeDcaR8bxli3ISG7Q693c7JR4q308WJIJDffFKm9/n/V8W+eVtbiJcQi8cCZ8ZDMKlcl5BFlBe1o cquwPvSlfpngNyNGH6ZGykvtut/8+t11ovCt3yd9+UtcCTCD5UR757DwUD7NhiQA0Rqc5HbtVyek SMCg0/xKX7EoooZn19VFIUfUVmHcbcXm3FJgKzpjduZ/mrcuix6velwtPu1ddM4ZsRb3n8hdNMYn lOaMs4Qy90UWws20o8N2UpU1GCs0jdxKE2YGBdxXYvDpsaF5vjhTM2df7tOIlDCpr7PCEXzJBi9s X8M6UOOBA8Eoe8BjEm4HvTAiaG+5RqLpfxZIFTLIHucRJz1lz8+HA8IiXt3b+P5e0H2Rahen5SuJ BlHC3fbAqLY1kpc8CEfSAFkUJv8AwNt8uJ6x5G2SEky2vccwHb6/LZgfVhn2mHjspc4NVDbFEa/u zwWgGQIibPZ1BX0Aq08rZ98GmJ+4mc8QpBDoXoFXpLNaD1qSPcnFG5MOWL21HclB7aJ8yiu+AuLf iXshTbK88B1IzgNizAFKj37ksGuOOJD4IrGjvHYEemw4GLxeHYCgO3ELFhMshcYPmWs++ZF/smkT rz6Rl2HvC0RW6klw7iOmZlzcTmdUDSBpzp2DJPKco+QHKjXc8jXkFl2L42I5ub0ysitY1wAf505G hO64uxqgTKqroMvG/yif4m6fyJya1D9S8KOjGOAF/Jo6nAWW/FFtD6O6s1tDnji7xCQKHK2V9nGw 7oakPvbs3T4jlXGU65QHp/V09XNL3lDXmxEx8qNpIoclF9PT4uvID5/QTF80HDFxj3F4z7oyvWWk P+A4g7UeZY9sd7gPXkTjsRYhiJz5l7i/Y0Ck4g8SPUPPi1p1+41NLizrAtf3biE0VWykUByuAHu9 721xMBuTOXpyZvUQt5FxONZ3b0RWXcY8fxhTPmEZI5ooHvTLo6lZXIIuYkshSOvHGxzU2HsRjSTK E8PLBxyiDRYZk0L087krESI2sjqZBhjBxghx7mkocF8dEAOfzGDrd86ApUmMiKRfDj1bnH+5iMNh iyNoYl/VjxIyeMvOhV+nIehjyxjVWz6cNfDCyMl42vkdMlabo5QoxT8ob7+QdA6o0sH8Aj415Q1D olxBkdwepm5voq8SjEBl3BoY1WLzSfiEtWVODgIKCl2v8iMZFQKHX85R1h/J+Lmh3YkOQ/9SNnCp 7ShoZNYmdsD42uZ/EWvd1rN/q+zpc3kM2K8qkfsmOjxQsC5uQanppKyZCtjQWOt2Sfm2cV3tCVcG MFQ34CRF79ANa51RyYmcvT2JpSi8dxcQwKBfyI/Q2y88pNkqfyC7S0HGzQf0qiiSKk1O+BXUaTze Tii0f4BZ5FwxlVSrSjUi2ZWsSGDnG3cgXf/EkXhXWy/ncybUgdyl3QTR/vhRMIJur3VZ2du9l3GK cK6vXxtSKZFN4dBwnrMNfV07mTAa0DcgDmal1l1HDFvqNpyFwBq95m/yI3n+p/zCmXmtzbNBQEnI bb+QFV2FGH0lmGVYkZlm3hzU1J3iWUvip1hlz668YHUKK/576vUeE8w2twhj+vwmIltA5wb0HrYc LR+9zYlUlx6socikvl5qmLPlviwrlo3yfJLOab7GuT6vAdXx5SNPU42t9SXjYq4DwWXpU/mxSkQG m1g3VR+bLbcPIjys0LzGcf1x+fQVoM4BklmGNsQsIri73mJP7T/LnJS9L0tOXb1LnOuzouigyU8o tELF+OmGxfRVG9Y8IJoH4Mds5RIbOCTn/glxuhXwIs6YL+y2ztSj5lpvu1MXrZ4uYLQNy32uKhCM 3H0p+CfCLLe8xWDDFA/Ex3RMYvNa54xOBhcpHp4JbZyYnbbiQidK4f8FJMTBaYD0pPZV09PWr5ex 2s71wn6z9nVC8x8Hl1K7S2m/7nyPhh2mg6Sw0mW8i0g7UgzabMtgD5T8EZpcFYbNDWJcfgZZPR3I WaKTF2fKC+soiyS3xNaZCBsjTIBkOHx0wVCxYBA0xUyztmHppqu8I9fqRtRtJviXA8nmhIUQeEPW yF/zkqwSGiWjrxtFK4WPY+eKGulS0SOrCIm/E9f7ORDVtYBvm2THGCiWNh/6s/di4hSdqXglchQj +1+POfT4O1HaIGQCBluXoS9lgFC6euOgaP4pr1NI7U9YAyFCuMCnbgXQGeIab7hQvkHU5lqui0gn ZAYrlhBpMIdhmofb0ZoMP8xvMpqa5Qskw72dmeklKSDFxCC/Zi2eOiYpYxxOerMFmxTTGuv5+Fe7 17GqS35PJrdIVXZrC7yIx60jTu9vKZ/+8+yv60BZTegZXdm8ck/wJk9VQIQ9Es0bzS3e/Enam8wC h0x1GEVduOEr+L6cHJvzSbsxctd/DlhrEHYZvmO+TH4N2i+LRB54s7UxkcuJMCm3AziqPZnQZrnJ QehZTRkYyRn1KFEIs5fkiBNpsQhuu18YEo6V1CBJQ6ci9bibhLePsD1c8vqvMr7pqOSM/XwJfjl1 FU3ilKo9MXLZhp5s+K91L+Tm2ZL6eyD0fYVh8rKW20WO8srE5dl6YCmvT0+7ILXib0h26iPiBDjj nINVWbD0phSGMqTCcTHPeWl89SKn3V0wk+iE9O7m320JnCxNXpV9PtK+uReOPWXPeXvKPC4RpFO1 e7WVCujyomlKYiJ6W9j3AdlYH4y7EH2hZYuIjrGoJozPaS2etcQBEC4KtNwbJUSVsoMch0Jxyo0M mhhhK5hcNo0oMvhuEA6wv50sBT/I1FsCMy98angE4MKi058cRENYYhdavBFeGTVpZ6llqZCZJkpS rmelDobuklPpFQaMJbQOH8qyE6w6/h5ZIC27ViuE3bDDoFgWg20fvHHhsFa/W1gillQ9u0YfMgul cFLHI9ujf7wvX+Y4GFyTl98KBv2772IVAnrUusyeUYDt6/k226z3OPz1y+huI6wnBV6MVqOTXvUE YbzsDU9nIlz6Fz9gmF2t67cbNI3ZyBAW1MkyzOYmnYB9xVYnojkLVhYk3BPIqxR+dwhs+EgXyM4i sLsUAfUmSbZZDqFhYyPmSkZFUfWJVSl9kzB9gSCbFlQbWnZfFHKUFzvZEzX/giwztk5XjfpJ0EHx 0uE/uyrPmxAaQ4fxcFWv9iPyXCHBMq7w8FT8fEOJc94/X8kIImav2IaHFLg/l1EYpe1Oy8b6xZmE 6+yvqdeoudl43bU6DeJm2eOVkUpzB0efzeAE4G/HezJ+QX2F4tVR7kw0f4T1qt+3TQEOu7fBugun tis2tlPsTUWooAaCvdvFiuSLwxo9kS7y+lSo//TfKFK9S21ygjHH8cbo7Xg1JJK/eUdJSPwFYbgS Vp6jBiOMR0tVrQuB5Kasah34NQsw4QcqMdEVK7if40UudKuByG7iKl9eno3oG0gSwrOxjWJZTy0S gTbNmuy6Q1yt9+pepz7/C9Q5G0qkdfydOaYkoeSpr+2tb6jq75yVSSmDkyNvT9XfgPGVmyUaHNIT LouKlgY2HkE8CM6dEwyxcOnfwJyGBCLYxntWVqzbhBvQIsQ/2wiGgbuSK9DMd4xfScYOQF/JmQ0Y BHLulvqRmBV6vvIH6NMvXEPPIu+kpazy4Zu7MQO+p0imWutmGfztQMg/WOZpHnOZrDftg9jAbQ1R 7vcQ7uHsG7Kopg9RHsNqPVDK2V5NpJMBPHUeL5utcUtHTwAK9Y81/812J7HUrE3mU3vhLkh3Ps5P /05dggozeeY0NxXGBRqksOblXwpyWxuDLQR7uzCB5BkU1A4YAFO8Uw9JGuiXZ/GYfKayXfymJG7/ eN/eG4D0aZPUB+eYATaz+m0w8D56fGV55Kx5R53vXUW7edFl79ud4C2xuVg+7rrxousFAQ24tML+ y/j1PLyohPqdjX+AzmcolYn5x6a6hS7PaCg4ptV5E9/YmNruOE3DMbIET0Pz9S3NlfqGApw3WvvX z+7ML7qWD4QgWPIpj29Z6zyg+ohAnhNIR55g9/YUM6+H6EGpPRK4B3niMlgaJNakteLl5nd+iQmF Czd/0gt9CAdyQW9ET3JghcORLv+ssZ8KWJvfOSVBAde0UksE3RWIVjDBIArcZ8KnMiPDdfXhBmOy +d+rtEoAh86j+hRa8O/ixm+n2sKW9WB3Wj//7puBFVBD6OG6s4orik+m9NrTPbH/roHIXJfwAqa4 E2aXpw1vV0wrGJhiWABjMd+ot+K+TVyyGaTjefM26WqCYvjJ2II2sVh3bSApiR1e7mbrsKj+eoBD u3mN1nfImE35Rad+s/GZGHW4mT680ZS0qeZYrQdkQaNSlavHYeJblt3HKq/s5Qn89ILtzOz5T4NL gaS6chXWNDr5YUzcBJxz7wj5d/TNg1ggLBsZ8C3+07NnjSrlo4su7W+vMRgYROtGz2M3tVX7zKAc KvdWKf/GvL6qBhEGycwCIPmYcTolOStACAIeEGwBAc5LcuwPEj5IU4Y8KJN0qUJ4xYq99JDf2SJp ZwOwjQ/CKJpUWLibT9vps/vWGTtZdx62PzoNrIdCrhV549Gut72lDSoX4Nq8xmr3G3mnSE2VVB0x 4+qGXwMctxJnBqiRBCpXJbAdwxajpPw8tSxVLe/MKoVXyArMqDP17vWqd0/ag6sW5aWmpRgrCD52 yimavAFnPgkydSf1611WD0WE4VOFZ0ffwmfTPZY5nbnn3x6Mm6F35bm7pxLlendnOgXv0mTLu2Jq 4P5cUqK0jnRU2YDou7eHlzgbpCPBGHga5kgvkmTe/Kl/fGqWbgPvEk4AeTTSCvCIKQIt6izd4QjL DItBlCmJCvphO+1VUV5/tAHEx7eRZx6WyeWGg/ZSRPL9dJ9bTAWbFMsyOs3muvRQ1tsNW+GJu64N Coe0vYOt/1Yjpf6Pq5H7GuSToLI+wyS5KVCTbf6ZwflqKH5/3dMprQAXSvgaMnAJ1/nwCLPTDvDR NSgCzsW6cHJoQqYPCdykA6/nCPkfBi92oHposAjQxMOb39jw8rPcSdqUBz7HimWJ+w2k5C+TL61X Hki3gLB5RMTWpVaeLka19gzACVM2jYbFa6CD9W0iEbF4TQHKs9DWVpMMSUT/p9GK2K782jppEtw1 Comwe9kRYkfv+Y6J6tGqyC8T3VS8mVsVGHHc+hxnpmMeHRywh3CDNTXRKyNTMjTCh6FSzMU0+zf8 m/7/JJ0vdkO4Q4tbc1q4VxXpHi89BSw7fr1ScOK/vmwumAo029eBwPPuP17QYuro9VPMSDhmAvnr tcCCBhYRZe2nnzCyK8ZpPRDGfafDeIb+7nsLRhCJMJOefiDe/oIrxFdqU7g3qCK9u5dgC6jXPh3T yvoE3SSBLlmItUNtv+82MCulJreMy4fei95unEqaz4Jzgsa3OdiFTl9nZo5YJAbQU0vbHmVggQpa 9SwRAIdXIjrPu2BMA8Dp9kNyncGMFSeS6Bc7Pjk3mCc9bzjqh4gUH6CRpjt/oBhJNKKnmTOgY1uA 6y+5CxSdiImSu8eUjatQYoxN93BRd4DIwVxpTAjBpS5FWm86Y4ZNH1GJvJffQZ8TmMPqs9JcaBFB K6MJkqWyWdwZcV/MolNMdJCr/ggYbnrOL89iLeKtL/0p/uyjIaAJ0Sch1kCXCiemDkKRSCP6FQyY QH0LxHBOGYpyZIjtC2at0B9Iqr2zZATg8PHUa+TWqroF+pYZxC7IBoPfnyjv+M+RTJd1ZuBN9H4c 52LApok31eFe7oMwlqgUfTkBDPhmwwyr+pWrM+SkKSEAICMtyU4dNZqf0ZUb0jUcWg155YwlKlq3 no+E7VV+gP5VJ2IWMm9uCeLPTC1c+eNBECZIkRRl9eNMdRVjU5Pk2CLP0A1pYodCe2O6vRXUkbmk imi7BZHY9dSG26CCyt/+B4UXZ2FZd+pcE5ZkSN9bWjhCiJJtEuTq3spuUgV8QuLC9SN4zN4gbmKE b2ALKa/Q2U+IVt6kW+ZklTUo3i6Yw53RMyaYCaCW5Esl9fA+aiMEpsSSgb/F5r8p4r43I0WTO3jT gzIs+SUw4hE194kE9e5rXh5zmXKZyHBuv8CnLfIGD2NbD5KQjsmWWjjkAVeyoNET+gKbd3PuMQAP 42G8BT35mTg7F8eBepUaM14SG+8b+ZbhAJvOtTFZyHbsiD8gdGObxfF951ZzkgJkBHu51teZ/Fpg T91EmsES7pbTXkAXtZaRFTL3ekOZwcg1V93LncfUZKoH1BHGvkLathaoKgyjhWhSBQl5Cwks6T7o sZV93GK4ZLNdMLwWw6sD1RibIv7uzy2lJ0WSjjSafqq1lDn7WD8FP4B/nzV5WQdDfiQaPOvYzOXx 8LTRvQt1L03Ea5LW6lQ84bFU+nmaWaBaWZjileYSUibZFw/VdYwE8m01Zo5SE+uJtwxIJa990SWp aCH4TVLO6ipb8FIIRGR85xs/IN7V7a4G/A5UufmZ7quRnXe2TZ1X2UiwETWrOYvOvViRJN0dPqlG W6KAJgYUT2nq0FF5cXkxnq+D0/dXtS6OV1aCKlsAXbKR3+IKl5hau77IE6qnGkFt+w6z5SjbcqDW 6aPkwpFvXo4OSWbDlAaZKF2zTkx8soLt1Yi8PvVck9eJQuBdPj2D0V0gbAEwbS7RcIuJkU/tcKMN hdypIrMLbjEn7HDlppzoNQa3hGATq9x7ov/BeydOTaESrE3ErsNcZeWyzn/wprqh4A9RvIzmDUu6 9hDqrl6UcPHEQGRx5rNLTIaUQ9/Z6NF9ArOX6884PkwldxdSaoZXhx8grsTmE+UZ074A8PzAoIXg +UHv2+7onRR3TGpTL19D9aXibWs9KWqlJRwJ6hUSn1Vn1odm9R4XF8zkKY3RNDqRzIdPuBTsP6zG xahVZjyJ+8IEWgNzMapuRl5kWKEntKV1hWYaCa4eZZ7iZPNCt7J3wOyY0mV+d+g95mfzb7+G0G9J YTOQ9+Di2RE823VHxOJnVXCYWpuR86dS/KP37lXthKAS95L+YhnCdRS40rORHmgxCVHY7KLqvMo8 OfgUqbFOFQtVHZU/qZctmvTE4co3/yNqtDqzwZexVBSLkgYTV0s/KeG47bPPI4dcA2bB0TYnc0q8 WJdUa6si5xcAYmlxqcaS16uq7jqMZG7GktYtTYW2QMpAVOcmNxPUNOQ0RQwqY7gRqtEFno/V469O /lvh9wocliEJqXVp3kSKojuH88qZS81E8uLxlvs8wgM5UKqPlT3at36nB/xYqN8C3iN+86F8vEPm 2d3TYidyYoZ2H5gprDQsCSIRvKfdEU0NJlR3LBuQTulXSQJbOCkJaxsTCHWl0J1K3bNzDnLczf2S 1baBTwusp1niQHzxDg/RCxQMF1x+3JhoYh3kX/s3Su1oqZgX7hR+YTCLbYLeZLBvourm12cn/7C+ IMAzRmmDIUZvNTgTZCFK+d+1Y7vy0cHysKsx+kwTd5sxiGCIAKEZ7Bd9LTaIlgDGjVd67myy5lP1 OIi1Il8dddQA+vMq/0H3U1JV5dX/L6TlMX7yIdEAE6GvYOQ3wPoAJk6TqnrVW71DWolm/Pm27XsI 1toFAuUx6N9HqWt8y88/8Gywzzh9UauoE7mKRNtGDwdormWmWwqVoXndqQTKEz3yJQus8ii+rd5B 8zEA0bc7j6uEruTRnV1IVX4ku1GuVA6pxYJH7DPBndvlLPAD6elwvddgPKK4RqcfI982tUZynZEH 2aB0jg40h8A94fuWKIsyPpweK8dB7URRGXwad7OFX66e864svJJi0WZtxSKofRExbHB1RiOA9Jxv M6DkwBnzH2Gjj5mz5wwWF+z1n9585xCImDMXZT/qRFjY12cw7P9Jr7s+Q5MCY78lARJeBuPpTali 1Swp/DoT9AUVTIopFRYsxN1xMCaPaklwPUx9RnOaJollyWuwcNSFG1moLp0ZLIaXxRYzDA479+lK /1o/oZRY642Avvl4Hc+sXPyYSs5+i+1IwFHvS17iQrP9dF4IGwuPbvFYgz1o8zmUDllbV/2y0bEF 3JiJLoPKUSUMXpTFS+SoFeyZMoA34GgRWtyaVltxop1BmyvE6dKY+OWKyLngzmpqKItOwc3KuGma M8k8Yai9o3mVLbPulZ6sC6JnzZwSMQOA9xFUTQgudJXbyTP2r91lD4WMu3/QdzsBw69Q3TjH0KeZ F7yqsnyVXW/E1/zOxI1XqhpHo/RgvYzM4GCZr8XTgKy2U8ArPXtKxqI/QPZWr6maJV8IINVS4n7p 6XbbV/FV17nT2BDXx9u3gW1pb1zgaM1v5HtANh9k4XHC011imz61B2Zw2TlXBTYbB74ikvvdZ6Yj 4m+OG02agi2dxXTHhvJo9EFwF+Q5OOe/0G0emQDQmXRgcTLdNwAe8RkS7Eop/XFU23h+SNWDJYC8 L/taSdHuLIzLCBOBQWWuBIBTbsW1wwP+DUVLOHZd5vvP335h5tTKPoKFYF7myDHrGmyKSkjN5EGt 4L+EpNTeEW3/rCxFFRfJFHcqPnAr/24RYZng2osmObuqm88Adx30XLOZR6NtIxNZjnLPLKqkgHBD 6wzaEY4kQr5yXToXYkbfd0wvJQ9Lj1IM5IJkZyAjOSyfPMalYLFZZJs18MnDeNtdIPOfTsrqohg3 +vQ6oFCMFb0gzpCHgnlbbUEwNmo8zBpX9SUIkjKxfRW9JL4nNJqtmgDwaSvsG2c59ggvdaN0u55h 6riCHbYux7tqtQmq/Qnnop00FRWqjl/wFVobuRWBnZDi1/BZIajjSbp8sLyLMWWb3s6JNfbk7QnB 7RohM59UL0h++DdmFwBWx0QGLJZRVcWXI9/gFh9Ccq101CKFBsjpu0T0RN7GnjnTmKR1F08RAvs/ w5/bk+yyoNWBRG2SygnwawBOJLzle1DmijOcwgURSfpTy21jkBBkkS4g1fn6CMccTzXvIO/tXEK5 vkB5We/NzV80KARTHwg3NQN25y8ybwntxTPq+bwNYJIrv5yJRB+Sn33+HW/RTMM5kq/BWgjl1RsH Qq1GJx15pcKRMQf94w5lraflmh+AvV4hZkGFIfqyXhS/ngYhee/OcKXJUshweTDXKU3nDigX/uKI w4LPVIriDY/GnMDDHf+GvhpjIwalhXZiNvehN0OZBlkLJlDBm7peLb0jWwOPQnLvOQLPzVQcNuA1 sW/PFV7/VkOM96vUX3M+2c1iR+EBBrPwCZxVhLo7gh7sLDM9EecMh3NpXsh+N9kDJ+rO2n/lBnGl TEVLUj8v0Dr+SC7kVrIlNQc6xSNSg1ACCOVszmY4N0MoDJZa56DTQPC0j0Li+tUK3g3z6gCPrQ0n 5RWAbf5yj+RYFjoDBb35Yclbzpxcxpgw3mDV3VWzZXtvICZlfSHnJ0NRrzaziiNHagvYGLJVb9r6 yhtOAW76iQ5nbnjz4QuCbNaIJzsIvGfjRNA8vpXDT95+T8oyNtOcsqnQlpGUcmY6tISvAkOgV0+D KBZQ/qPmui+2VXMFa3Rb6QB1QW5h2LlDQB7I36N6gZnhw4KCsaZ7welgMVzdfomC9MB1E8tn2jKi WSUnfAsI8vbf+E+feqeY+bAFFCRuhP2jxwwM0XjIzNtg2exEprhGvrb7JTlU8CHevFny7WfTh8Cp CQcIuBNMprNd2wMFq429/t4FXOjZSTSbjL7omJE/k59VIV4ENo935ZnYdSj3DjLfEe910z+2+E0Z MU5SPHSVUQjnGI/Xi5xDpTzR/DC2Fq2uoLeJdEEH3+TrNdr6ZregQuZuI0OuccBWB4JOKbuc53a4 oDQzmBrmQ8z14ToXXdoYDoVb77y6GpRnRbkMvOCozuITSmk/9/4AlTOaHiyR+3DxHOUiq6h2ayGx HtQlq5FDUmOumfG5a2opAChh8uoF57uc4RvModWLZ9aWqhICbWiwqyyqbBMmzW0yJZZ5NFkPdOnR nouBPtXvLlg2tRxk85xrRZl7q3mxWTArWU538vPKNZfEvkdRctvijNe+8MgfmiCzuYXznN4IgUix vUiaDxNWvwjMw+SQH8UT3QsO+PoWG1skTCrb3IolPQdXzqugvO5Elnwr9xe4pwlJF7slH4eQvpgg z1Ocj9r5TMrZaZNMmpQwNGct89ExCe5eoeXbEpvFvuCf7HjJsMvho4/zj9zKLjYsm4KK/NZAX+Un AslZQzB8i0OpnqKJebRYRjUFLTQURtxuq44hVJkqFVEDGzTFRbMSANfFUNxJqnyoL2cabfMi59vN b1cVGpWZJpxN+ZTbMJeIRY3k8cDnmacVZir8x+zzoUYd7eaPbAYTLgmW78YEoQfK43lyt1pSGMuQ N6HvJgzH6cxWuJ18J5Vzcl6a08wBrbkVfzAYrVPwjT2KCYpdEIH4Xp8BGr6d+GmvKjslkTmbbzew brAMjFUPvzltlgo1IeC71/Nup9uRDXoOIqBhg9fTxpv8DxlMe4Bl2sU2ywn2I3DjE5iqMoslL7uf rCp8LkJecHzU9QyouhYPCqoOqFQGOg8PGby8R0OR/7sjN5GbhFAd9LTvG+pMVwjXHyF9UhlpaJcj fnxBe6uswTgZ2MbyQ5E0W0oP6VXasOVw3nz5ZSF4LriXEGBIbLU+FlAQtEJ9sZffcJ3/rzPzqsQJ 3M4hVXBGK2khSZJ2TawWrYdd9sUtHRBMh7jUU+ZR0lv9cB0mEZ9pRjVqZhq0AzpBpTN+mh7JEiHo 9MBE1L7jCakN1Hxle3C+4FjW09U2odFZgZlrHsRmYVJiiHIa/sXjpFiV4QphhNfcitoe4oPeIbP9 1kOtk2bD0qD1gQs6BM1l3W+bOKVp9OcKj8OaY94AG/sL+4eHh1kYghUHp8vvK8UItP0vJqS5W8GN zB6Fq66zTGj6gt/vstPUDLTltvbUPcAYc4Rc2yH1ZJT2xT5KkUXU3gscJRV5bsLXlkn/Vi93MAWG zXhH94rhtib1Y/MgnBG0w89MZvWJOJcSSJkkEdtnKpOn58LuwpgsT5PfbXJYdYeLWWSYytM8jg78 Y3j+lgLfGV6lV++/79ACMG0bynSsq4KvIPoW5nQuJKOc8LXw4Du/XW1uJ4OVMIk6qaSxDur/ntDD nRokdPbIwiunUBJISV9OvTGXxzz39ZEFi+2twz42LRxskvN7ngHJ8K06E6PGLfHSG78xgZcDPACA bmbO4rxw92QKrDI899hEnJJ/pE2KIqkgOwR9TT6EsvrkgxJkneyGAnf/+Cp1cauDTQJxIoeTvttE e0RL7hxKhoqBk0xzkhFycsQsfxKKx8YZXbDpSXHFMGIDlqdeYKHJoTW24IsDExnnSrZpIpKBvyif mYXKh263qFd7W4wLxS1nHA0sBK7yxKdjkMaWCpBQIa032lUr4ExYYGLqiz/kiDMMDCTHkZeZRMz5 zA8zV8DN4nLPi5V+/l9hOp6Fh46IB7veAa8qxjvqkG+rPkrlpG3tdxjb2CrLpfBIXXi6G6r88CJC ZNj87BBlRD8qHLQG7TNvvqspqLGMxYxxNLAVvPKHZb6KsxGddgZ3yhnkKGHTk2/8VDaBtBVx9lb0 9F44Pnor/Ld52pKazxoNmOnQcMBRdZlNMt0VKmEV/geRTNF7np8RR56I61PC2xqYXopvprunhEjB kuOkPuLfMWcujgOUtsFXJibiT0epfiztAWpUPIzVYNFGrmAp8NcJfr5h5XUiNFxDzX1++J0pwCcS rQwrHcjTnBM9SnjTgrV14/eLBNWr1vwm4ca7LBzKJZpkf5d47kVMR+Y0Byn9rkslyovgbbkiRIrK 8P/SeF+zOhecnO6D7e8F4O4Bpb8VnwlEVH6GZJDKsWgnPN980N2GU3+Rw5T1wVcqSgccwW85z1z+ DUuaouCmaqMWXTCoUoIaHa3uySmDixifthtqFUUMr+X6SssaaR+TS5qtBlt9YSUHMtsIXVNFPdjW R6rSjnOJV/xTfLK5D+1CS+rJLM+MWVVFTTAN+Y/za585AOLCGz6pqRHzUTIS/4040npawUJ1G5R8 5zNLv9MAZaSwXE9MwBk017SxfANr2948sjhcoRVKuu1EeKrxQzBUQy2kZxdIoop6nOog6Gd0bxbb AzyCt19IIJn7f7w+5zCYgENBO4y5xz/p+y/QXWyTe3Qi8htPCaUMA7+TsO6Bol48wJlH1iyW7aK7 MeltvFB7y/TnbJdhYJJYzxzLF3m0GnDkJfiK5zzCdEQSdB6cx50C4ZRzIzUWD0Xa8STV82Ydvr+i gDtq/8IGYizvtPRpYdNcV+I8T+RzEkGertOsaQ8aYttNB/wu1zhHZ5KKTStuk20d+RdUggQh0YuF TgswXWnQD4VzX3W4UChP2uSpM8c7e7lIte8TlcsWjSraCXzyM4+ov9FRwocnOSz678AEvnf41h+W dQG2rQ4HTai17nZPjR0Mce4XwS/f+eakf1NxWBgHPbr1Myrwa5jykgTqE+QuR7ix8qerQoLTVZ6o 6nRkRpAtLk1XjGDkYO2ItUMRFykjCBLpct0OCLkDk+tz02DZl/Qmh5yfdwEgdSY6R22icMxtl6sw eF9STu9ZfQ0rqelocXRyadQDA2uMR3KAEk4sMoy/4YAbbHVb89COvJA0/7tFgnuy7Ky5+1nMqHBm HeSxTi/MZ8uDcx555AFqjlBSe4YsABcWdtfTOwk+I3BAkQI4885/ufpHJceXONW5Pa2kYO3MGmN6 cMyVWpPj/AB+jkJ6+He7On70pNiAakkI2OJ5DbqU2u3mLwsmoFZWMC+tSoUK3vwLvOzlz14D+yli v0mcT7A/DZgjYzPxvQTbNLaOiRnWQK+nh5WDIub1/vqlM6I9naU2Kq7KZr48DKEnXlwnipzveHU0 2t+eIT0VCuBScR9Uy0Pf+F91U6nVt+J242OGnNaOLw/Lt9lEIzWv4VS/zNlZzuJJHEcdCnZmzaHA u+K1P0l11oYN0JLoam7F3Rd2dTYK/NjAQ1lFC7rF31bM6QLKSnot3/uabv30cSp/BpmxqUzjcMs3 OYehJUCGe3tqqlhzeQ8WgfeXgALDW8gSqm85+YhK340BXx7xb3FaInU9Hqqw74JWBRoHpdDl1cNZ hfriEKng/t5q9el0sLGCfptfi1JDaIeSf9xE0UvfUTF0qp1piUVcJX5w+2F9yLPmz1iufg0t6Ggn aCKg4q58KauHfd7q8iQI9iq5RtK44eLljkebOt07qls3HuLcb70V00zDhh5tcmJ3xgYPDmDn0Gl6 9T+yRLyZRYzlaWrN5Qtr8IUej7npnbsBg1msHao3wmHPtOQEAk5hRV6Pt5Ai8ZznbWj9lgvtXNun pLLCQpdSgfQNiCsPTMwcm7mBGBkuNtnxsFdRF0IMt+SxaZ4F+8YZka5QVrXWvSCiuiPt5H4daTt+ P+XWAMwYIMIMTjP0fJZpJ4V9QDLrWg4jFfUbSu5yM67GVimQ1LOzeEdAECjAnOz7Rvfp/Pm9FGbT oL6JERqT61VXFdOKSVyYvd3N8Kp+vUk960Yfd6HXsnKlEceNRUdD6K0N4j4K2ClF31cPa3rW8qhd LXK+PBl4FOcbZh8MuDQgflsEBHYD5Y1Z+bu+7aXWdSfXyGfumDADHyvHi3GI+yRMP0GKP+ASHMPK eDdTUDL34RT1WWuULNlH04jnwvT43dEE/pdNZr9Jc7KVX46X4osv/bOEMLk1JlN0Cn1WDuxyNOlK tSPHLt75/IyQul92jyp+UfZw7R5WMcjquf57kBU+4a4rMCwEjM+qFem2mM5GoslHn3Hlnvesitv4 PcYt2of2173ETDHhycltkfzFd1swJ4bOLTmMdiR+CJunWytpJdLeE/6YcqQwyUcFv6wTijg109Wa G60tfr6YhQyeq+S84LjCaCM2z3rnpEPX2nmlvZW9acnyG1VhMdKUikC2qlmn/2Au7+MA6p+z4SIT 0FPEdiUxB1q87qvoMZJ9xOGv9TACICoZr+QI7ZBE8AaJrPr/R9PGTcc2wXs2WZdeEW4fx6uNKlFU 7yVzjuok3yGyi+s34DxZYxaGYok1lQPAdR0Y06oU72y/KR3BSojYCqhn13RjYFtbGvrxL//g4c/U PDHrDZijoYKmLFQlXuMH2wYTiMFLIBQuKB+C00C2fWIHkOnFrFopAPjXIYuVosc+M7nYVFURp4u5 5pQF/R9ZJneeU9mNeiwYu+n24pOWhSnfrXSquoezeFfWiEzGcxARjYYGMQjwIOGhgVCIEHVqG28P 6MOvsuj9sF3FB1vTaTSXFC3YYiKopCt1olv2kCgszVogx3OJsB5Hvn2qklJL1nma8ddVpBMwjNv9 LIVWZZ+FoGlXhRZSjml1pHAjYsQky8fkav6zqh2YO8XwETn/wG1z/cb0C54XMIFJw27kk1IvR+rt oXTMQt4LcD0zyWOkHbOogfT2zRd+ab1P6LkUrASZMB7LM7I3iQ8sx1bp/Of8Ws4F+HqJyfYVSadQ mt8OSPgMhlq+2rN9cQ6QTdSPRonoXWH40ezH9vD+57RvLrlcnNIWxNGXtgO+ficwVs24SvRYUhi9 UEp22mF8gV+eXDWggNwOn3Ya/GmHbOwBLh3EAS/dvGhiGVLM8aNKcSTqWiQGcMpY3AP86WUi2H3N kXlFO9Fe+YN0a7Yo1JTpYv1GUNGAREqPCyTguXOv/fQ9LF+vXAD7xhzCJW0Oe52ah/8kHKAqiUZA Kj12H3ZkVEV37Jn6nd9h3W8DaFp03jb5Y+CJTq9aeMIoNsAjgTL6l/1LDp/auz0VsqzBie6U2wyX vUxLlY71ps4yTeZazMbtluY5J3kbPUMCp4HZ52MVIB4ZHUQOCH74WLgYR8aLESu6Jy0b4e6Vq+Av l2vocIciyTZWR9d4Pl+P+Z9pWEVPAdvnitqM2KY+ZdBOAZFEEs6+VqPKE8e9qr3ChxL9bYeuVF6X 5kqCSqXTDrb6Jz+wCihUcHsiB7ht7gp9S+t7JY/XkqWkNh5ftedr0xd8ll2DyCT2U5YZaBv8rmmv 4cy6UUuoqmujGtrOA0IUj3d0qwQ2WYcvIys+ReFlOkVie7HptO7sQAv3EPsCqcnBVgnYEboTvE0c OkysJBzItpIPr4eUaxtxf6xg8Ix+CwTPPFwD5xJChCjj9NOya6eoZufUswWDMb8LrqDQXfWJPGg8 PhwC3E8wN/PSBNusPfSE6p+I0pjmcM0FGYIxCIUyusbd4baOdkXYJimPFp/U2OehHS1pz9gTeUE4 tHV1my9Lp0oJ162qhYeFcxRsN1TXwnackgJBoV/dgsJMqLG+uB3DQ3roUFLiqnI1k1R7t1aAbOlC HdTXgyBTGbUAwV2IlSz5P9NP9cQWpbdDXj1ed6TaCZE7xPFDfqadmMKcFPCDNN8j/KUd18miAiOq msbxs4RgGE1lwZSfcHnll33Us2VzTpOF2X2Xpxj1O6Pe9Ngfv62vPsUt6Uj+Z5nLMhrdLgtCKEJl eEvyiHmMWhVKO8u3rg69H4460gb7bWshJlM1EGxTuDOgUF3gNuVA/y7PvM5+CdlZYrlyD6kJfOAc UC1Fcq/+2ZiG7mx35/1F3DVAnCLCv27E+vRFOmFugDngGkqTnqpNswd6QBME1CgfYoMbh81M8GJi aBIPGiCthSyOKxCTz/fh/L4HN0pv9X0vWnnRQeN8lVnOrujl881Kj9avFimVSBm91Gf8qtPGPb/c Uwa9m3ZR5Lp99SglZsEmu9PLk8rLn6bzrdhm/RXSVm8/MhQmtd+i0SNUSKWYr6xqhx4/Ke7jtw/a nxtjV30V+np1a5aZaSU74aZWCoGWiu3UFHDNN9ndBhlMtso/hvCpJuKxdPVR/sRBb4eCfGw+eRv9 KiQccE5gdG4MX0McKn77mghtIGD+nDaJ0RORYg+ppnKj/1ugcfr/DPZZv0gMqH6mkvpKUBIlSwY9 yBZWJP/lIUd6th0fLgXljFTUqdJwI1fqqslcJGQYGNMZuR4RJG/a0VdUwU6I/t/CiaGPihKX9n7y hFdtRKcRTFyO66OobnvE6KsG6mzrI2OTorMTaaX3aebO54D2oPgXgsQpPvsXPBNNwW+/qItpxCnA OFweo05oP0lTkSLmNbgzOfp82v6+v3d9M4D3Uj85XOadg4CFpevTEvSfe/HMF4OQHyTArBVHV5NM ab6/51V7iVzVsddA8KL6MrqtXQu0pHUZdunplUvhewN+htT1DHMy48KwUA8wrJ5y7/Y0HJGSipSU uGQlI0TaqQlC0AhGQ3hWgqCxbviBCXpJF3gqG5PUPJDgCm/7zEIwwMn+f1mQKsyCI+/PluHJOa1D CThqRRcFW6X1PA90xsIaPYW1QghGN6yOBcYb/imT1alc3gRePVTBA6frD85bI1YJ5OH/QCnt4TBB PjUS4BoMyk32ajM6zaAvXKk/LpB8RK1otCTanSnR7Nsz1zIXsIB4EvcWGjijgzlkup0nlveGymU8 +MtW38joG/Aqj907HkjbOZJb/RL604PX5HUMnsNAy+xZDdB4229Xxb4n0riKBMRU02D1OjzU0PLU hn0MAxW2yU4POGNIyIa9xn+etq0BkcBWLu9FU7Ps1VdD85x4Ub88bPCe4yoU1P4ErhaPV9Dksbgr rWj4lwleiuGPfdJGXali1TsbRVphrNTaI3NbmbzmNkg+PnIyFDLcAH2q8fh4d6J6IvRSMBQlZH5R M7UcuZh+nV0Gql/qULMGFeLG85GeBklyS8eMVimFIBxm5ZaUZD7qiUUqEiKtGsiVvw8jgPzJpf8w AY4eSpjt3F+Bblq003nx3NEZgtOuwPv5I2mtB6no+9mqdfiUDqXXq2sMMp/AFxklMfy8cuvI8tpU LXJwS0XXaIBLuNvDXYmc2tsQrkVAUxzteK2vGPeTpL9yWQiMjTuON7Ub4dZaGQWIX/0y69cKKknF ot3p81bmIbZT4HrBttXKwqgTNpxGW7Ob1Z6cSQnbk/MT48OyceYglJ9nJun0LNjH812j82OU3hk0 vu22EP1KFfiDRbKhYlS92rz3H8af9viMjUnEbQ6UDATQxgoczQh3IT3J8PsgtMagGWqzTIaUPDNI CmBf52rNeeFyYSsHLCUFq5rrXbWj2piRHIvI9wVHQw6GlYaDxFqwxhdvLoHguwXY44vWu91mu7E/ /97w0pTQIOVj/aBbnsem9mz4OaU5R5V7/Aaa1sXjlIdD5+F81EbOsciyLdCudCNdsSuuMc6mNJcm jeqfF11Z3QIMJfUTPzGTVeYWje5poBsz48OyUCFvRm6umIvKiL/8ql5jqHatb+dKA1fr0gdpnSVK SOKL3sFcb32dxuYZcXF9bTsb0gOLxOZK+2WDtGqxUW7+jDLb7vLTyuPLnzoDphRgkRjdKaaCUgIN UwKmdQ8lCWLcYQ724r+lL86y9zTDTgQpLuyAfIoRAA5bQkst+pUYvWKdBi95Ot2aLjCt/sMVBJhK zC5ZkfNI5bqJ/7XIFj0LC5+elZb5fdkcASym11jJnnwWs8BvaxbK7dOXWZO2f99HPWG1VxjTD/VH xWGkbpTC5epHUjg9lcdRhF/NDnHNjvYHZU+AA0nvIlRBOyRGXbRC4sSUw5HqNEVzFbWWC+icfWLn kq3d6NQyFCunrGRWWB9jm2fb8WQHgXCTA7oSOdR7j3h8DHzEGgtvytNnmn27ht3eoGDdjgew2pJI pQiE65k3zWcjH/v1fnvQ+qAlDx8gEOPFWZqKycnnc2LAvB3FssMFN4hSlP0bUT3Qa/7uGZvoXIwm 1Oi/oydZyyuaWB+sm9g1wbaFkchvFu5yrX4PrwjTRKsE5cFNTfZBsOc7GNSnlT7WlbuqbzqQ8ADo v4pma5hNMTpWgOgbl6CxD0SJXDUfYnN556f7Hc56IQEMT2G6+K+hDwLdyOxmZXoBN2uESQYNYlKB N4DPQbwLSa+3sbK6XJdHspEAYGLqh/4R4FgFzNRmpz1v0lOTmyvEBVNsam7vCwbSroWWasOn0846 fYC7+yA0167D1/G/nP8WXON+qaOLiZyrhh0bqFIvx67wq9l0dEJ7hFe2p2eUsgN7pLEseQ4ZAs3q EE4BluRNdbZVAywk2KhA6gcllZAvAflZiojcIaht8G9xnZbkz22Qw1z6L6jt60dCo4Sr5Bun3VLo vtGEsDLlZsMfukLDTEJRjst2sIfLsAnS+xZzIlSSe1tgE9/iZVOJziNoW6oYedUnLs6W8bRXown7 NdwGpksJlgENeDXV6rtR4O4E1q0K8KnOj149VA3RY4b3U337GBEvcn+9xRK3d//Gx/HqFCW5yIIf bHUG9h7mFNK/DeLcksRtBVlnbBzKwvr3CjBQho6O8fQ9UeckAuXklGQSC2TDAttGxZsDlLqVtj+4 tBMzOsFsCIQSK9YCOpLm4zuquae+47JUNvA8tF+kl0O1+K6VlXaI9EnRtdaxVhdCxwDxc9WmmVLq rCRkX/2bD8WU0mMIDY8zo/qUNvML73VWk5rATavK4KBFQLpAMynryI08eBADDNAyw9MUXsgBjSH6 teTzVZEWQ9QyMdTvRBTnxVdC2PNDCYtn8XNl2xT47ElYub9csIffP2xcsRnVQroQ6ZMFY1cvNepx uWZ3lgdj6n6IRn/YgsNv4W/noOmhig+/yImetvFbccagDSM49bg83LEnMa4jpPhLBofgp7FuIEl9 4LmOafIjN7Ngfq6TSgclYQQJGaj60CPQIT85azWVnOgfLD+OkDdibeqy0Jh6oTGd0eJX++3pB3dy vNG6PZsZLZ3t+fkPj73Jiojdzw1JPLCgruIYmnpExtPazp4a1/V5DKkEAJD094tyiKe26b9Tw7vE 6bk9SYK6Tn4cpRUGKq5luhLMsDa31twZEk0z5KyyeO8gM0aQweJGEbfxH6ric+fZoNIvp+GVnRGF tWJsdG/mTZzcLKUDwiDMWsO7166m9qeaZtOq446jqHxWIu9kfLL4XNGGFdrzmsizrtL0RuhMmyQX p8Bhhfh74g6kAu5ZXnPu/SvJ4b/qxGYE+TtMyISzNk1Oankq3FUoYtG4LXIti1PJJY0k9cjE7Jba qyqn4XGqunCyyRHuEiT217T0Ij3vpilVd9JR7rZemTtJVujGmAccxFL47EgkbryPpsXCSgFPEvC0 MlRMN0uoMrl4jceA4Goc0+4P6G2Cjize8iHinCaUU0W+oImhmT3nr/VZ+RnRH25f+38UyFc3xaNz k//sKOoLnBLrZZa3V6X06tndjIx/Th7X+HJ8jDiZWSiaM8ctDkZpXJBoJ/JJD80WIiJx8KASUWuh uXeQA2n3QPSjIG2n5ZcSJ/u5C1cMe8PMryEsOxjZ9TlzYIxxzKxVJzsxAbmdEuGawbdZHL+T9zN7 MkXx1MzuZZ74umykC24h9AXV7yfRMFhh54o7em+Mo7cL+mp5yzGL9ifY1c9Ja/vfknUJLnxIvCMD Z/qf6vNBE7UCO5EbvomSpKYggNsuBOEshQnEkt36zTrxXxipAEmbN+gbBzyZaq8kuvCcUT6JTed4 sF+kEVo9JED5MoWIfp3c5ODdhaf4WdoSwdg2lbhtqR20IqdcL2M6tR7E1JxCPXuqsbZjbzGycWmt /Znsxg6hmgCGI4lI+xkVRZjAHayrz3e+LeD3DGXk9Y5K2YDJQuOZ9Bq7dES8OUIMI52YdXqyJg3B OejoBA3YsEWT7nH8uTFeYAGXVI0qgrrV1RZDCVa4YNwhR793X1O/veF8CeYS7QdA/GfX9hiZkvSs NnRZPiADNFVVImjMjRxbCmSm4iXAmaYMXFLoAWWIkapyhvbVoqi/ahWvXsaCTjrL+PZH/8PLPZJX hEl/EQDsgyTXCEHUOwa8akGOYnpZ6pqOcKR0YiLps+WL6TZKy8D016lD0Y8vz4/rYqZ6bsOGVQKG c2LaNpP8oRS0lviNWiyfxhiCD3geuTw3S3BFv+fq5ku2srywTLT5Qpii7AdAuRIgntdzB+YkEVQt eGSPMBA8zN0dy3FbgGeW2GBG58SHxFAn1ZTYB4GdnD+KsKFx+donAANEjU1y1LQfD//UZYytBJSd kmICbqrjy3DtafcBs435EqQMiYfaQawUGq39MVd/zoN2VYc7tu1HVW8Mi0u/VSRjTwZKOZ7tsRvr kpoQmomPGEEeAbauwd2xtgtPMN8yON+EYNycgV1mAcHH0GToyxe7VI1jdNkc5U5/Kt4QhxD5+p/u 5xIk3bTwXCfxNwaczRU+z43E1nFdsloZA1uSijh0H/Wo6NYEhc/ltYLkxqD/X3XOSZ8bxYqXm9A+ YDQoc4XeOmxBDjGRFu4LbVlyVTT98T0x4uNsuYMD5kI7PlWihOMnyYi7geyEHuEEsoxPmxswe7NN v6cfU7dmb/wvRO8mi+oIpkl74564UkHxh+4U+1mMxIvpkkTnFpyzy/nXqHuo9hLImg5roDdatXfB rbMt+ZLMguL87b9eOoPVNudWDXWAH4/kPrOr8YbUce/AZK8l9tXtieVJBGtabnM1tnYYcEkq/77L cJmkjsiiedhrs0m+JOapLD1x8CkWNgA2gZ12pzMRV7+b3eaRh+B9Td2SMMr6CKS4eYE0k/VIcEK2 CZXT40qZtwYDE/70h1KxS0ycHJbKKrq7DpQVZtQz3GJkkDefNRLH/uP+p+GP/EwYaLQRwlR9WmA9 bDN4rtXXebByZx70sTrePX5Sv1ka6oc4jTPRcvpTwVbHrE986vNupoZrPMHbdnxA7HN04zXe96Ol qEJPkNVtJep8t/AmkV+QvMSC3Attb2YglXrnltccOsczy3Iu/mvNfgWAeOwJ5yWA+9omE4IEuxth u3UWDmxPJjg+OUcl2IzQB1Vk7UM4vU4dKtyi6coGvwCUmrl/kbVKRGRuBz4VTsybs5XLF9ycLrqs KhcsLW68P3FvCZibDVLjLGGDKxGNTaHId8fveYPBR+K5AZn3GZ4sKgWrqq9Ld1KCK5wqJEaJ6qPV VCjKeoliIQW3yHkqDw6XR/Dq4eJvevn8U/htCL1hSYvBLMxavIUA5t/YT0whzHh2S7z91QLP4p6z Pvs8nk+x+F2Huohg+J0TezAwYNjDY3xZkr4w+mbWOLDZ2rwq9oKUV/7c4yT08wtlbcHPbEdfut/d Y96LRY7bWR9KjKrasSzk/MuJzRzFK93wXnRhZ8NdKBinWHicGChiYsSlohSPLS4c8Wt1tRa9aNT3 bUY48D3NABLajV3LSW8g/C7jsblFpCbWrjeqOERttuGHC431XU1FtG7htASNVWN1dGJKECJifdhy sb6hff8Av16FCLJdlCfLkMB4ikc3j+rl/n4vGV1LyLLqyMhs+iOOI/GYsIwUZHwNVEiLmhiwf4s4 /1v37vD5ZqZRCt4/rLitr7vndW4cvN0mO+y9bPwBkxWcGvvYUHt8YmFXMOWizF6bwRZMHTP7jTve 2MehebZsWbaaIezIA9hazpWqkQYgeUvOrjccoC1wInrFnieXzmjkpk7CINqFmtFOn04J8viSnCi6 2HcRnNPhS8yEgJm9ZOUug6f7JtCHPobEcXYw4eKhBku3ltn1LMIjo0yYNiMh/AYGNtdupi+1oo3e rU2KoG3seR6rsE/mhNz4uULjBxCHoAJW+2HpY09peUNLn4nlHpId/oZMU4yuKTXLFn9m/eFz4xYc 7yuR+l/QOuDRm3mdsv7ZpXlFyolIN+w6mBSXSc/xyHIkxfZUYj/GFBdWu2eZbgE+hs9gj7p3Uwed 5LgL0SVMZui4O6YGa81+u4a2rfFpy4hdmxO6n46rgDrlmTvTQMXuwWZH+VXdHqjlbnxcI+vVtW9A deluJzVilHcPZ+U6RYxREKQHQPMhxLIBhfNjWh2oPtKKlDnkSVh/hjJgv4v+ij7CY3nMy4OwDO/k 8RtjCh8XrQMgo6pLRYW+Y5GMJ7piS8ibgpJkq8p+6be5mbciPc1W4qohc0QGh7V2TYeMYavy1ppm w4/ZSfPEKInervzGL2cKPBhnWLuA58pT9bMOKZbfGUjYHo3aHgZ5WTu7P6PhKlX/BgSHrS+itYs7 EtZt7TUHr643vWyaS4KbblNdR3nLpHKaejEaKUljMtW8CsuXgi2ZTIs3ceYTTqIpoCs1CXCco9TK V9ni7cVyEB+gzDovY1zNQJ8pYwidj9Q8m39D2sdn+bgLdwE85HJLblwY0e0tRQTUmWJE1lNGH7xZ DrLvpH315yWKXzghXW4Z5lCyhpQWbzCaL0VVrnQn16joeoRoH2ssdqR0AFejDjizArIUIp8g1/ZJ EIP0i2IGV3lrwAbXTM0cLnDFSbm/5gBdStxv1VfEteM3oUSSwbjtLW6g7//uS3GkyxDIERgNwoAt z8ei7mbGRoyh8URQqB7rXDPU6FNJ84bWGYtKNSt9roNMIwPX44fblydXPd3vAtjhKZEsPo+NW/hY WORjJ2wIFAY94/Wcaq4HstCsFBhn0uRbcLaOiNsZuh4lbRN4+KcAupnv1qeelpSl6b0dLY9euDB5 uinrwGTv1mqvcIN5TILhdhdIohwLdJY3YvrO5a187jdJxxU0SvqsebJPz14fIA8Q0Qbb3A4h+Oo2 ZS2vDDRuPXCokA3YPMiq5kMpRyn6nH5o4HViElbkMfPwWc/Ov1Xx2HqfpdLp3QEnoMeA13W1daNT eZV1eFePuQ4baP9zijWcfUx93PR7k6r/ubeGFQdMv18VvLl/GYeI8fgQZFduB5yGhKlQiYM5NbIP mXuqTcTQZEb6JgiDPrwwBsFVxcdIovsPADA3qINgfinNEpZVRsIgLbH1T7A7zdj0W+QDZp85fp7X Se2GrwJBmWJQDphKssWbkYZBHcE+ZybD8hAbTPf/VT4eaHn4RZ/Hcmer0wGOb7cL4WzuUk6OIpIN WMf6lVVtbd/EDoo/9vqdDXSetCzNVRSuerWcf8YikSgHtaNTzvVQ0Rbb+aLZDWgSRaXE5iTXsefx KYIO69A1jO1Al1ltMhwHjjCYmqRmx3AeDc+QojXsiiyt37eInYdd6lUsXmUCtM4etlrv/0ECIHte YjFdt4j0ABLsvEUSMHqvRAkzDPycsLnSNFcy7V3nwui3rQaEZ4G+ZvvJ8tdw6ysnHzFB+eDgyLb/ fmHMb0no+DxEKXrur6/ZT/NpS8J/gwfDI0jTujItxjE2K8ydtHk+KRJ6IOirP+XSpNVGMvxXMKS6 fUc/kgjq2v7Y5mGMLHf9GfToRoHvApmvSFP083wy8zcfMMeGtjsMXwaFd8f6wB37aAkiOIOGgGD/ mCafXYoepSIGvjiQpR9DG0FmbhYcTlwsrfDB8HcSyooG/ounaXPJ9zIjAW6GFF92yE62YBW2EH/B TOnPIvwQ1HxdtgLd5O4tn9Ykd8ogVuyHC8HrdEZXdiQ1DEzi+dRZEMoogXVqgGp4lgjcZyJu9Dis zDsN7N+S3SLt+a2fVQxrPibsCXlvZ+P7DWayZuBLSMgANAkG9X5oWuWosKOhs0PlxUA6kIr4a+Jb +cBxJXBdwuLQf0AuOsJShBrsClsgQQE0IfH3h57lHZbdFdIa5Kka0B6mtwYyGXBF6XkAb+WkWNAV B4SrXCJ+4DhUWMoRpIrVoQ2F5QjrRKUCljASO7T3LE2JErd9vfhPpquPqquKsz2LMft8tW0pPLNY 216BjzWV01Avl8ekW+3d/n8v660iu1aCcrlDnWFSnr1PLWEazPxc2lUcUIt4OKDyWhEVI5jjnZvV eOAr8BLQCpTOD4IYQOJsh/qTv57pQpGJf9tPvdpKbP+a1833zWpVrvTwXni5GqWpURWz6O44hh82 eVKL+KrbDEDZC+8I7IBXpiuQUEvhkKbkiNWooIqkOlbEZHGzn7TkeJ3geF5vDS9+oPu/bo7eKRF7 FFgIqB5BEDbKPbROgvZuoWLaST2ZpmQZz4fRf8vOc+rEE3IHmVSglywuk53EAg8osRqi7MnHGg59 JdnuoBB6D5oVJzsCu9h8DXmHWX6uCGBHl4tZTa0dE2h8pnec1kO/KRhCNtV0rs/b++6fY1YeH1c8 HnGh8B/uH2wGWpYrDSiz/3AAbVa/bdzYbGjPmtq7gVyTQdx5HROASNXjDR4F9/UaCNY0HFKohvMv RcPXWxi344YetKOL6sAjJKAZqypX84lgoZrY3gy1/6hqPCnt/0rSiqtH1dvvtSV61ExwA/hSVI9J y8Fra1lY4d10NyDRefexlPCxCB9nGZ9PPOZIctQHUq7bb/Il4xO106/BcavQAXYgqTZ8yHpkHcVo DP7OZJjU13TfkTiCZ063J83rLD0RgcLtrxaMmVCt/+HV/pFkwdwqyeY9v9nk210dOYKbOuZuRGY+ fZHFO7xXGKqJv1z/NA68+C22vyKB5XCHw2XREISI1C56lzA4TOgFuwqhzNXS6Kz3ni4RmUT+Sl3j pXEvpv9/ARoQYDJ8e/9C9zBHqz7zxheeLCXOnx6mvZZ9WMdFDCbxWuwf4Ak9FbNI7s//jt9pUe+P ZfKj0zEafXGe9jFrT30qPfYsoSl3uv+DyU2qYGVHBUZFo5v3pKsYUrP8WggyNiKNQsOX65BbhmhL pR8qj/OtQ0/zItUYZ5lYO9UZBeJNhjyWLtNU2cpWd8hFJmy7AnjHw1bG40kNA1ocPnPEQ6K+et8P 8MHfd8ukTYequAukkknP/cyoTp23Xar6UN3JRzU+S+CWwoD6hisvKTpvHroVFkt7nzal3/BKNXmF ZFx7I84ns8EgA3KAqFwju5KZ0xmKaYqUpPL7PpR91Fu6H6ca3da3bdFASj1D6q+ZPnfksUGlKNt2 cnb9E2WpHEg1hHBJGNGMpxqfu3wBMLvouGjl9KSDgsSs5rPseoW3VQVgHWhwvSDHspEZkBz/gBZU LTPL14jA0HDQcGo3sGtVD77NeuDAXphq/GihLfH1R0JeCzuUQSziMotNHiKZsa2CuvaPFsu0zSJt 3WFgGMImJiT6+ZX+PKamrUOQaIP1re4vF3xrCDeL/p9ZvrG64Kk2m0Q5BR4MAR7zOYjAJHdO02FP ufxD2J1zIXPGjo/B0sTR995PD14tGxmhzg3YI5AjZkxd4EEaanTUUv/7d4hgDhCVJwvyBD6oQQBC eFTj83PUR+dSNbUA49qsrLhOGfZAw6GJNuDL5bb6okdGoUQ6MLO0ftiXCxa3bJGI9PmiihNV35sa tPZya9rqDSoOwaDBbZGwxF9Hs4h6nWc68uwUPJz82Q1CmYg+WDWmrTA/b66MkBJEnE/e8bT31hsP 6vJyeQGZplMQ9h5998uNofRX0HWJzIFcHJexFty6z7usy+8TMLUPbGWxjxP3e+za8sk1zzm2Fz9B c+orUlShtASXjRlAz0tyJ4RQSpkudIVJlUynuZ7Myqm3ESReZvS846K5CydwoRtNljyJMIFbOxID cv6yvNpqnvmtokXJd2fCoHQ+Or6fsJduMMbPfslkbXGWRPdkHaG0gPpETrNXyHjHRYa34vJ2MqFq j5F/YtNpfrg08jouMlFnAaAfUwYjnohCREuxZJ2EX8zwvrRKGwYll+7QRTfNoIZG2qxqbd21VWmA nkGYq/fvhiDckEJTfSEI5KKiHlGTUXIM1AlbawqUyB4riGa8cdOm0UcDlaXZUmXPNI18ScGehuT3 BtXiXxlF/GLQd/etPrCZ2lqvZ3KcWI3eik0UqzNwUk6Q4P06GLwaE1ZEW2f6uF0thWZnGjWj4a+x 3JHxDjo7vINjSsCYYIcpplqzEjQ/faJHVEjTSiDGLYbhabT6t+ZScdrFWEu6bCkPoO4RHCTNEt06 2A8TQGWjlvVh7KLcRvVE3nFAX3AmuFbjyiOy48F2XP0DKcUZbQT9o5azAgEz8YNjJHUBavMhn6O+ SW3+XL0XC1NZuutrQ3nDyBDoJwP9/5xSTA8OWviabNsqFaqJ5Vgn+2sSkTYilRxwQUyQN7gm4r3E fHy0RPyEaxfKtiHtaxhJPfB+EFC8Z97SsbTnSjb0XByACyoqPvQ5gyxaER9MgFvuBgbZZGquON+R GebF9+1lv2wyvTITJykqFc4uwrrcbcgcBQkIawT/Q0Lg5ZDFDX0YIDY62TjVqIbsXvO2xU2VpvKE XcA0Y8R+YyfDHx/gCTiI5oQ0BB2hu7Aei2bLUXgyOkD18zmJZ3Iv3nx1kNKrbbxiOYlKeE3XhOLp hbcATxbxqLR50Et+yJoHB4x0WR08fKRFMIR7HWHbznema49bRhaFr/V5Cs5HCbAtrqf2fK8A7NHW DqchUrTZ4LyhaV6funMP7I5TBTU9wCgmsiapwdcPyEur1J99ggpZ6s9wzCMVpOnt/HHA5AQjQ5Yc Aiq6UZWaZU3fuck3bKCCBgaP6bliRlqISnu347I5FQ5FHYdIDQM1D9qI0vfddNzpVJ2NVZJxR2ou 8Y161p/1VTtXcCNRNrqw0tjFl0YgU89LoXxM8Ax666lFABwB1SINxBSKAj+dVUZVjjwbatLWiZZc hRMXcEFZ3yPt46cFX0mYM6+FT+6Y0MU/IYfWuPWRBa0p5REIedgaem/XyHlpccx/IxMkxCvKlzp6 R3YdnOlq2tsaINduUZM3d8F8TjhBYVlJ6edeY4MM5l76M9ovEzURENkx1PKrxTUPGENhZageAsiU wKA5qlDnjVa9glz0NAVWWtVAPDQsNm7qAYFh+JrG4eLRhCgnHQeI2cB4QA+eCld2cge5rTuYqxqQ x1RRFBcwb0VoghAz902wtoHZhYnuWxNLur+SN3SZHHwMFK1P+PMugMKIvvXsPq4GuMxMHW3cYLNa 1l/7CAGYHvL4XwVF9VfnXJ1SHknDalpwybTCUu+owAvrFDZpWB7OQVIvECinvMmkyvOnpXIu0G9y Y7AIysjE0Uvtw6/UzFaqmQYWc3iSMP0R2g1ddRe8yDyZnNhMHta+XGb4j8YtL86hVtnnZS1jAcSx bL8zvAxclY7/Snsqys7391Coobg/qRRWY9nha8Zl+m3uyZsTRWpG940OTJPaAghOj7qpNTJOxw5/ 0lAFEUpXtIkn61VSmxXQoLFW5EBm3ev76ZninqTSGhSqhO6A/a3yPrA7cUQu4rMXuVMfmi4/R85C j8vZHC3xlWkL/D24Otv3d31VOa4X+vrZJU+jFGpYP6mCQNTP9To+vn5ZiJcmkhxth2zCfUzFtWP1 yAsNmXuGdQweciG8y41Iaxz55pT/wmc9I00C0xi81lzbSR59g7EMGoqK2C2Se998n1T2TEcP+Qgp OofKCm8KeeFHwy1/Ng8HuAeDBAIi3dETXWleTsdUQnY1SAY4CbicxqAmqI8bmQF1ZqQrX8m3jrcR zkiYxVyYtaDPax4PA2fuP0x2NrNEeYNvy96tBFRXq5YTX9IulRsI3lBlnZjtziZOfDhHYi7DYDag 4U3Fhmmw8IUEwc7n5AzCiHerX7BXw7RPTRgqbQrQcMY0QiEGUxZI6zekdfHPqlpxtfZyRQWUgiM7 7RShZLz+uzK4Ofzc/WHW3IifWL2/ZMz5FU45G50h8vbM/9fWcUwUU24OpnlvX4n8atLmg8LpV8dJ tzXqR7k0eoNiNwmQZ4zdXDHUOmYPl/q8C2taGQH+7BxISVz3X6Y1z8DvE8XCFf9Y7yLK56nuO1HP WJSdwquNgXx/IDI7fsmsOj3TbNaXI3WGREWMrLVSgKc8L1RdiEOcboDGL2fWpyfzNJ33QxoDyhlY 3zgLOC/zKWm0yHv8wRBUteThWY37aL/LsuyvTK7V846JIgdst2fwqUlMvkcqt/7uTeENQvzcuANY 2Qa+bTbZkoXvZ1PPSkeVer/4ms8L3gQhmU/vwOS36nb8BG60Izqu+yfqdb6kYdg3o5lksdOIEprH zOoW3BQiCWI236YaKrCH1rzAbukvvPvO9nmFQgetwOw0Q5t9vc2u0q29SSVqTre9GuMn7640IfbU LM8Sa6VADujnFFzNjQRWl2HECoxJ13QLKknvT/ZcCzPFBhrhDG9TaHtkbWwIEy6lYtyap0aTCJn3 XPR9bZEJ6WOuFylxc6LZxHLmvOaG7Q/d7eC+853Nwwcvt3ZpU0iiOcugGqC86KuNsLbS47dQ7g8k iSkv58wrqZch1M7uhvjY0vpviqfDaG/7OHnY/XIX4BQW9hnOTggh5W5jX9MPSUEd+fGccFdT7G6Y hz2m+PkybFwQft9Q4s4yPGdzPYhWKtK5JkhcwdrMAJ6iZZBoXoEF0hv0u2g0T6N9Y7qotwlyaphf wSz7do+Wkl4A8Vrzk7bQSEw6hOcsNKE0oq88p6wnSh4gFgJ1l9i/t1A9ry1wGRunLM2YZzn/Ba20 8fFR9de1RBGmUZdJXQ3d10mtmP1608pz7uIKTQ08LCcBPcqPg+/bq83jbgEO8ORdNNbhxZVYYJit GX7YCL4gz+UJHAv5RR2YJvLwX1o9FEYPJrL4iAFT4YicGdjTsIrcOTlNFkgHxIVVXF8dzZomq93y 7ahHWBAjZYIKAmi85s7JXE4GUTVTa5pAjZsXg8MXZNSDHcCcZwdQ9d/PwW40pH0QtxJD1CBgOBHO f89MwNkIRLVwjl4yhdfAOM4u+0qAKxV5xhGeIP6ez8HCVkDZHh28XiZF9u9RvYcvkxGje4kUsHdY IWyqp6z4MjUatnZPYqOXrJgjT9rleXZEZLXh08C+6IFRuKJNsCvMIBv9dUV8ffKj+JvjcY+5uVaR Ol3iE8lx4ZjTeceKaNSxM6Cz7z/C/0aL/T1BHDo8pZh6vKkmerb9d7tmZysPZNvMPXoI7ZYp9GKN 4n09kC4aWYXQLYbTvls7u093uqFq/EyeW6buphvxUW5k2+7/2WUQmYYVdhgSW52OKrQ4SCyJIM2P KlMY81sVdn9hbLg6aGZ6luS84g2vlIk9tY16PJ63Z2JN0C8yH7DRt+9zSroRQ9UqtV5Qsr1JeVww N3KdQ3M2cwQ1CCo9wfglbjA+t8PkUweIvE3Zo1bgVkQkdI/4V66JDxgWzec0v/kEAMUAm+cPYmNz yuaM2YusKtZJmrTlu3HGZsGe4IBR8x1PNm0azJSxfbcl8l35+0KIZtn+qdBoj5AsISM0H/1UNhRQ s1xS+ox1STXTvq57kR8KrcrqmL1XbtLqD5Zf59kfrxFPpbGbTtPYt4QmN8nQcVmu5VKezeJ0V0nZ Bmf3TfxfSU+YvwSI0FghbX5J2mn5swRgO2DU0peSg01U4NUka0gfc5oPRT6Wf0WmuJZS5ngHoldb RlalvMqCSHJqqZXP1tHCLYQ77gFg2mPDgiAzDDSY4qPUMhLM/tHucoqK/E3x44BsCdw1yErtF8jc xtWoQeXSqB7McH0YEvqwkwpAWKrOg/IkJMJ3Um0uBYOOwedUF/BxloY/d7qhgIeTh/UC6ve3vbaw wH6niBoWIxkETUEmAmej2Vl0PGRk/DPpscxmYxxqWKuaMb/3+mPGPTrRQzQEIoMBVWC5UuMODCU+ pnZWLVKDK8/V3EMC4SIAkz5u3aG1XvgXllX4cWa4dY7StLGalpft5SBW1O3YUa1vOpUXLNvCwNpj L2V3QdNtnstHTRbDeDlG0gBOHCk6yh5nCyg7eTYpzMUvEDDJ44Ku7RN9cd3MxNXfXGkqpkBzTfNU 5iG2lJVpNx+m636a+VpIrJ1+P59wgzBz9RaR8v93a/8d7mK99TuxFzD0YSLKVqo5l0KquLKL+dNo 61Kb5lukCbHmxVqlb+XV3vBW8SS4elMMoTRQ6yDyhYtNfe3HbFkuUzbJZnPeZaP2DSa8JjZzPrKv gt51kjoek9uZQj5RWdBPSIczGq+9iZ1TDEAXre8aoy161V76GWm3YgCh3Rh96yLzfMgn9tN12vGI Ezy78Xqv75SurYnr+jPic5BkOzF7j8xjpaYAWkf5mYXnw2WdT8khaa1Rgv+7hamABqmZ2JEv//Na 7F+kNzteiO3fyIw6P7ZggvFIEBk0Hpk5BuSnkVe8WI6yQcB7vQc7KQjQjmT91/cNIDGwtgGzrl5X /3zOAqM4oWdkR6tIcfNVQXVbkoIMnWAiUR4TdWS121aHcotMjmkRnqaXQjNI79iqlBRzTZfWJKob dMqQHkPHSioHiXmqAClEvhEx3dZUWkOl2xEWTmKeJf9uehButfKsr1OR+XJs3wb7PmkwptrEe2dt mpJovfRGhc1KVoqm4Ew4a/U6Bcul+ED7CfYaGHAd2feLS2hTxsL6oDAFCSnkBveDrDtKbtTmlE4I Pt+gclAttDp4gnopMA1M2ti2NmG4dHOx2zyVZu894XVWOlHwp8ubm7qHUHCOs0CX68sWCM7fEm3f 29wUbCsJx76sulMZfw0L14YWyYwOvG+nkAswJ/VETksaRNCw8wU24x7Ib08Ig+XDQrfbLlMTiDYK fkImKRbbinEiTsRWqg2Z1sxVSxJeoQu8Ft8dR6DfBrJW4cGaLi6OGagLBl7+XsVsY365C7JKrRJU tH0c2LVedi4b3IzaDRSFWpZ3ujvjGT2zE8NutTX9AinL6nSOc1fggHhytcxEaSrIrcKetTVgG4xC +alHr3X/tjigU9a4TsXUlIpxozYFoSXVVANgQThlE//xHCIppnaln0CqUdn8NVVsa+8t1mCra/Gc TlIH4lULi7Tff62t2lsbP9qGnKr6sjnwcPCZBRhXhTJDzRDUifFn2RTDtgKW+TVHCS4t88C7PBH9 nKxUs1he+gnpsjya7aFvqKhkAKiBtQyJx+GGTSY+6QJNyuX+IuF1kokKOPSENJDAVezGSgTC7dl/ cuNLVAOLRmzYMd/GxhP5vIS04U0g/IBzCBjp0v0Uoms9W6iUPF+Y51Q4GKc32FqECpJ2c1Ssw1GO uNL0PUo9DXP2UcshdqPeT280L2Ec6dVuu+/hGa66LX1FC1F6LYMMsNnS+YjM6ew11uffSMNXKzGi vGVOOS+38A68qNmwTRp2SwGeZ4CxxuphAhRBT288AS79kWs9Z5j47EN/mzWXwHMEoIzSTErdXqix jAczmimsFeq7fvp4mb2mrDlWMnIQMRXFu3ArwyPXefqDYzuGLUKPZWVNX7MfLJ97/o3zBfXc4yBn jO8cUvocO48sxt3IuQss4syY9VypuxBCSga5RahG6hlzu4np8uObPkDBEuc7MyQ1BKtz1pmO531M QlC//0GUvQ6Q1j0nplGuHZYtlJrR9pwdBpAZR0gq3G11o5DxUp+dWS89IAGgCGDxuPQ8datppUsP f7Ycd/HxeLwQUU3ra/r/4U7V3fS0tSMxBr0WzRTxQJ8qBpztEMCW0qckLuz3GkFMvhClaygnNIr9 8AoNiN1Sd4o4oNywHNkJ5jv8CMcBsC/iIXxbPXpG3WI4ZSjkBbOnS97kPTBiGkzSdEbvQP1Fl4vz NFMaB2iuscT+82ojNH6hA2n4QINqK/DgMr4COuDfU0yB3W1Mppp9ONFNALc097wFR/GIO0rKAHKR GeAjHNOyzLK6Qp00e9PIug05kfS9talSEZealKKULqe8WYQp0kvGbFsPvSYok3H94CxSckvcuZmH HUf0bWIwBSrpfX9KC4G7LNAp5XRAxQDpYjwZgfRlbzmVB/aj02tO+bgp5QlGnNz6gByKwTKvtxCZ C4DYsG8yDApMOt1Sf9RfJlJ19UIvBQwfMeQbRfOMQbX5SvBBUFkaS2i9nyMjGEJvVyH5vgjhQkVp 32RQjr0pPJKPFrvHxT1x0aFl75sO+gpUodIzhEquaaxni5IN8jfZm2mlHE1Az92wYfwBVD22fCVw SeK6Vc0Ze95ouJT35xTeuvB4MsHXOGhv1NWMj+ggWTMkjwYrTFBLOjFe2w1fCKdl99fVYHu14Whj mvNY5tGEwhUzrBfNFTueGBLtAtuUZYCQGc4EHdZLCkrvM1pHXTIAjOnUevGcG/xl25CiRJEnwQPY aTGufSLw9/qY2+v8wjTXlBgDsMAbchK1bFDNr5atJGbpZY9nLgOc15yTCvaBqcQ7N2vUqeI3YakV IKF2jeA1/skU/6fydzrOL3O5T2t9notssbLwaF8094uIa37Vr3EZa333pyn0E0afzgG9gV6a+J6E HmT/H3AT5yd0GNW8koA4phOb+0UaM8X49d2MlGKuazb3RFgBpnFAh/dnS5jcps62OkBHnTFFbsRl wmq6+oq/Y59S6PuSoky5CTmBGL2d7hk/tw8mKMbARDoTFfmCck6Dfgxocw9bue4MQx/CvkY1cqDQ 1UOQrytYRXsM6/sWKyGOfRbU4IFgkpMEIt50ENGMlZHt4GKmxyqq1jQp+xKY0f9lItLCV4ms/xzN xTBi2iXJIm9pUzax6uCLJCIsMs2KBGGnHrp04znwEIki5kfoVwctQsAdzzAiXOAunMMWnT7XJwa7 +CMV7vkoWgmsw17K1nrkjJejWa9hvGUGCRTGPBcTvvazGKf7cEuMDwKHb+eYGuaA39HJz++GeuI8 j4klrv9yZLTJx5kK8ftliCJx1m4Ej2Tb6fsMcKxbsoA+F62n74L9k0lQYt5empNu7dOH5QatWuDG 6P9CKiGLP67RdIH/nSvWFqv8HAoBJLTjYZu3ZMbm2xS8EphoryHbbBKa6yi3KGh0NWtjqQkbvKeY bUa9NESFOd0iB12cWGW/6aDlYfzANHkX523/oii1HvjPowWUbKYpzkPDArw647jIpjXwtFUdH5Wl scpm3I1yW6VUZsF9OhwUdnnKCsqBM4rxhScSechEs9m9T4UeALpwP4XI70Qzv5/04VEQ0Tj6WTQl jS5/t60L0+7TvkgksEzuIC734BxQCwZNWUlBRAkUTR/o+1oy+xLh5bwH6iHOaI9NWUcd/Cc9mUlH z4h5okBtRTWUDVwnAZ7IkPfuCfHiueqxw0VmUa/za0YznmymnMI2qhnCo/YV4XntCln99oJCH2JA Hwk+VZx7uGWfgyKs5rIOjepiVEqIkK+NsVRx1AKkxjHS9o56X7lYn64jeOrfSywFAMcR13P4Yiql j3an6NNQhcOnGG+Yn0wWVSIYGr5FAHDwdHIrIsdEA66K8NlfVZkSNEDjqfHrlmElJ+2UHnDTZSRF 1Y3Re5rH07JWXI2kcjlMfsxk0EAGMc4+/kzb1NyvYjgYw3/Q6j18gwOjbnIGyoQ78tLh6xpC1J4V 3De6UD6Xcc/znmcBaBfuFhTKHPsfiYf9IG4ekKJFh69nHeI97HcPI+q3QfJNqESj2uJFn632I3vD Xk74BQ4UK1NpaFWfsuuoSKzHzATW2QPpXBxvqK0utZVjMMxNiMtuTtrApB9P6bKK6bUtTHuJvFWo xdqA0LTgnAVoaumuhR/ivywqnU7rKVyrLcKxWzjzvRXX96dkzPehmKgJAHMwlufIbPS5gKxGrzk9 IqdwQV+FsCtkuA+BRwqDakBSbvtKQ0E+2vdFzFlMBoYjp/H0qEXEweab+Ej3HpTj6ss4jsqmlACk OBRK7hRnY8IpF8+pCNi+pt/e7zn5yuC802vIj9IuVX4ru0nFMeIvCkxtFigVv8bh4VTdnuE5oBqb cbjcRWUoZjlY7YvuDLTOU7oNDtnXnXE5YDoZud+FA/JOAAtZI72fz5a9UzGaapQ+i6NgqPsP1GNG FCrqZXtcajeZPyArmEdr/4yuRtYumcnB7DmFoegbwrBkzGrqMg2dGy10ThNfYpxEF1mbAHdcVih6 amO8QdtR/DkROs+cdWTP9fkqJjtut2XPu+pDq9P8c88TH5ksED6ttQwVj0/fTVML3ZededDUpah7 WStSqsx9vlyr3SZmGXcaHNJW3JACIr9XCWYE29wgWKSgz4bo0yqla3B4bTRy6UkBRh2YncjQjoM7 KR5DV4WOUmitQ9vG3H0+bX0irQCUj7k+1i9Y1fn0kKoA/+pishoKE98Jnkrn3R3YeD1SYfFAN/2D YgqnE6irxzyzhK3ELTOlolhyBwXb58k2L3zUVtw3qV6ux95lgIuEMfmtWnT0u6HUrANWUF2CfMwA 0knp4TcvhIlpBDdtLuxBRxvSzRKEOFU1aGiMVYEN0atL9Ms1CiyPybLbMVgFlv9WGwhlupgbDgIp YJSVXIdRRxUlN4bkW4nAdsmn1hpslkib27RdXIZitXLMknCADdkhlcFdQWJxDdWR5uKcuNUMc17o UdhDvpyU4b8JBhU2mJPVIX1rt/H6J+Hl18lbJzQ+AVgQlZdkdo6iDuiiIy5T1EH1cduuKVpHhCt8 DQS9hPVdEqT5tlM1ZOkqm4FdIEC/1DxQSE6vXEAvo4aTU6iUT2c8vDO+WGz2U+MJtE2ds9XYoc/N kpCNSuc67s6aquQ4ZYuftfYfFm1HdlXGgbROlnf/SEBDydanqkDvHoVejQ0qKe+p1B6tTpJbzXA8 aYvcS9fZdLB88QVejaaoEpHOwgP/k+pZNTe3Oailoi97O7pzNV6kC1pz0BxRRp7vIWD1dLT1Sups PCWg1MVNSTeMHMNXipPFKTOxDc4roUB5JELW2YgtsV8lfjzEm/wogaIm+Ga8QUF+PBEZ41nonOpm WPnlZMXPGIk9EsZFZRd6FVc6zeQxiYPUMymW5A1osb3nBEIXeV7/51hxHXqL24CyfwxygZbceQ5a JrSzoHlG3rfMoTeCEdJthBysuMNkDT9gFIxXgg63K376fw/JjMa0/azKd8nMvqFstMwg2B38+rFs 3IbZygjUSRy2ik8ZSYjvmzhmIzV+I5P7Ugqo1C+HX2ayGAY1kgkm381rrMjeOzQtY44PmNkrYMtq RRIYewqQwkSftPlXxuADcCwjdiTHQoM+kfzur+rN68oDpYJvS9RjF9ldg0bIk29vxuyBLlzcL8W+ KS6smrCqRsRroEAZzV57pMwcEoFiseQjACNJlDsErSQwSFTrlgsX/6szMIAb/eQMWSKS3T7wksUd dDXC2Ible+3P2o52XuTi7AX0RVZHT5WKdBuXvqH7AfmV//kzlJjCaSZi1LKZ6RNvDomI2KXC8NeP T16ZkMQUDJT5H3uXxhZL5st6Dpg9NGBVE2cI8XB6eRFI9l1Dtulg0mbng472Ebk6K5m24QgMqYhX 0yo+OhoZGQazRaeaEQHoILCUaWQd7lpt/8BrDVuBJ+7K1WP76yM0R5Rbq8T3QneC60BhBsdzp0q1 lkZHHKP+5D0STXrM0aRPEy3UyvT0tNXJVazMCGhNYZJobGSsxV3gvxWq6ThFJptZAHXyCzwbLn5a nA5YbXbfzWAGlNc5KMciAlpECp5DtIrqBdKeDO/QqUP+FX2eLFxAN1N2WcgJEWqs4CF4Ypi0/4ob 4baXgByyQaTxZw71ctDSdQX/11qRUyzcfDv92Gi9u5cUNJMgzFlrqi5E5Q0ReOpSFfDrfphnKv1j AKJZoAyrhOdw82gkZ4mTVLLdfpmiN+ChEv/K2KSqtLRZZJb/0gj7ru8R2Skh4lsxSf9EoIQ6Jbml ffuRUALQoCmelrJfRNrrsEmK/fts/Jheu6bHQc4FUfFuq8ufRRuslU7oSLdnXBf+garFSv9jJRbk JYTDjWz41iZt01mExJGcH3QvljAukkBr3YEH7YaiT3JpX+k/TgMaX14QSBXQl9mMxt7lvy+MWXQC 7r1l5Ypz03u+mfzGZ11gB+FVi08Fcaf3kjytcJQ58kv6oOnwsgXE/54plX54r4RQckgdGFckCTX4 2ocOyvyR93wLdF0Y+3ftEoTKHpZRF/zX58dQj2cwxO0atNoQ0shWGFbQVL3GGyP27LXO9s41ZoHv YytGp5++xFd//MtPjVcpH79nHZyxUydk8u7NIswRYnfTgs5dnIs5xRMVUw3DvubhyKqDzS2g/ySl yRWtvv55eo3uqsU5uzVyp1V6/dG1WmHCVM5FKWNVwHouYsmibI5qnfl88Yi3SjDYK/s9NybRU36s fDXQy5D8isfgt/nwdHDNQ2XDOYMIrsqH3OssV0+j3d6OlbA+I4VfPF6BbH/pK2Xb9rX041Sgfhy2 a+4djHQaJcym2LGdMy/t941q3opUuGrBdnL/1kmemqr5D1twNBTiqTdUBvExNLYcgLQm4gTGkOag FeSEJh5St1m7A3I5dseHdxUlq5gzMwhDQuhzdz768PCdplvDQST5sbHyW2autljrEIet5wSGPIU3 otQB3ie1txRbaPsWrrFrkMJnaG7uzQKxlM4ORf4vHwwbx9pU/SSjS8Aq4WC/Ia1yOyUMLjSBLQfE hWsrWH/C66UJT9NyW18eYVHaXnPUWesaB7wyPVjlwGCQpViLLcmHwNMCDRWPLtxwPz9m0jUfSI24 mJlxBz+kb31+uG4mhTj7FLrnYF2iUxrfF/GstOVoK8TLNqWyghEXeYxfKCBQEYb/K4x+++BRk6sD zM1FSBomBe8XRNdrsHGbsbWtIbdgcBfuN2fFh9UC4Zt7RhFVjDj3378opXRt5kyrKxyV8QOZWPBG UJdf79sfygD+TvPHVHBpusQKsH9i4UzI65fYoswrE4tWpo3AXO9snSQxd2IvmfomggdypqCpGuoA VlMQlvfsJ1dkaFZ0nRa5mFykfJTOKiVEEUlVU2CMM0M15p7jtILqzjVBdJInhfij1kmAXQJY+9xF oxMPyEJPWs4UM68tspxbHEk2mKdCbycq7V92R4iSjyw4IjwvzGl1prx/SgOFa3iyrqDAK0cJ7v+W PdEwAGM6CZOECAc/9u4XLn2WMfG9iAmI0c8LPjwGRogzSfOCz1aj6Eo372549KzZNBACvyDhHIYx xFZvNaoA70LPt5fVVnizUpwM8qmAO+kuLQad5Pr+uq+j2hM2xeZxjuWm9qqVE1qHJglZTl9t+tFg MCClfCskC+JProdncqjIIO1F9pMIk1XWVa7ciibuzegIEMY28jPyqt5bF8qMyq/etHhUw0L0RRVs ZMMNy4mZjkBA9cGKZByzbFY1ojJVvXuCWOovwL7WzzFM4XFMELXcfQYy5cgVbKPgSGbK+1jSx8TI oRifY1/SpKkl4gWGOSpJsXfMNE+ulxjQU8aypXL/5d2Y4sHuo5gcoO21pHsg9cNz/cHDQFLHemyE LclH0bF14tV+BnYtfz4m5/6pr+b+qfgzz5OGQPYJbBp7FSA0jvrRfTal9iRv7a0C342sNekvwbYd BkJkCCS/EBwpeZtl4sn/pvNkP3hvXxsGBb4FqQFhQ8B44T+CPiL+KLv4CT1471NZwO6V4MR9nuxT DZawj93fPPa3d2BGOngfi5eLdFsErleS9tdKE8J3E8xLxYwGFVLO6Di4dVeR5ffZ8mb1CWaUsQJu mpROskIhCEbioUicy+zqzqZn/iLzKZH7c2vQIoI+BqYW3KbkpCd0DjLbzeod4Txg5uKCeOJnGmY3 xQ5LhYaeEmgMK7fbLyukb4+ZqqqbA93HHot4k74w4n4zM1TOAgu9LwhKUxPIYIO8puSQPLwbVt9V yr96dk/sSgOrNQ6LGc+9raOfeDh+I7dNYDJ4OFlp/9n/hDGlWE+qX83RdsMmhHs5na3CyMWE6plz d1b6z/6i7DGvzQMut+wT4qupCY9sbUpwiuzMajG9Br/VdJW8JpmTSKb+UML4vWVIFLv1tYXeWQU5 V4Bk+M1qivTLAgXvwy8Cz9h51u9n98J0kct7eM6ECDzv1801T2jZqd3flrS5K4Y5BVzSeNq+cKQ+ ARB3qTyGxokdF0lPt+TtPT0ufwj9i+eKCAx3kxNBRiAeBMRXkfqa0rUnXYN+6HkG8Q/HbazNiFZC avuIM8kgUNP3TKvtA327qxzw6uadb9H8OTxt8w7CZpKQw6BmM3IkHtNNTXJSjhsoIejULzDSnuUD eacAFVac18iuXHorNVJre5ZPKy1yiFeSJN9Jw3opimVpD9M0mlxN+1bSozuIaqrm7m4vkdBKY5fF /BIbBiGNPN8zduwqJYJwokdeqSXPnKgZn5hGCZFgBYFoBR978In2VoU6HhmIB8kmk4DPWhsBYypS Fn3W1yXo0npn8RS3xqsCj6mQAOAvJS9kJFDek3JZHSYeihUSQ4osHvNX8jQeklvZrbqZwb9CE5QY eko88Y8nYZ/D1PJZiiwyICtGghzvXO2oDwni/JVZZBWiAehKGyAqK8Hf0m2T07+AEqBpjMulasvo P0p+FYvW9AqBFk8VnDMh6eXZVq69FQ7EXHSz/2PgPIpN5ZOwpHUeRZizE1dRuq5NUpFPvm1DgoD7 YWvHFYuZ37Z0hgsnk37Obk4mDrq/+IVTgtrgHUMi1bFwKNHPcUw9F9GaU1GhO6wwbrOkHFVFSlbk KDg0Pt9ts0GsqCL2UTsFQlb0RrDWq0dXxMIWAF60QCkKgXkWcC2Lrloznr2jHiqpdDTEK9nRLHJG m2+1kqwYt5nR4OHG0zu3pcuzDpzNMcWND8sqS0zwK1cwKCeWVxWKSg5U7SJpOgFEVLdkIqe6FoqO UJtGnKe14/oYX4eRJ+BYrS1qNGCps/TdQtGULA0EffOo3e+whSkw4XhCNyknzAb61CgRRvHpKBjz DRlSyGP5QQmwy/WLwk7X1LCuVtDlz5Ti0+kd++qBysVdi1I72NsIMq1QjafFrdz48spZvGwn7Hn+ 35iWuyr69PBeNfF5RuG1LKflj2triyYw4B1kgASKl9xgC6CEGqbcXeSUrbLFvw4PLylkZpaKXLRF XqyHcqAzMdWQ1noTxOYUgu7j1u1bAyhxzkg5JYGGFtC/viT3FBXSW+3S/9SKs4vjTnUCpW46YkZQ +RQB2QaZZsaZ7c7k6DEVbfKrtHt9jkWs86disjV/OZOG5zRd9/6hvFvu3rjOgzkb1uEdG3AgKO5u 92J4L/zn/7GUy5ayu5kE1azn2h00VeEGMuG4PCqmFITvuj5UOWaPA+n58tupvZ5vfMMw/qu9+6c6 /ibca3/BgpKNLz6DFgI3YMXqUscxlhf9PXGlhH7VelTf8Ww1e/Ip+5cwWbrzxDM/maP6Gd0H6epp Z7TNbXcfWkWjzSkbq8YvIBOUwwt1YRZnxF6KAr0NqTJsS4Zlp/m89l0qBQTbIrt9XFxkn4Pf2VV5 q5K4FvsdwLsUFqza9OcZy1G8ItBGSJnHRT5v40nhXb4mizBnTNJwMMNXMzgxS3ZIo5Uy33SILNUM csUSixk/M7ZrMhm1TY8Ef/8RkbUbF+I+O+2J1q2ZrWpyLPmECMIO5vIgPn9iMRdxwDB404Y+jris 1+9ihMwaixNr1q/Z3DAUJsRp0YDOubSCPDjOy2y/mnEMkAl0ytnU3fDSfv2LYK85j18CuM1PaRrT YtvSbdRkrx2n5dOucejWtpaA/zVnYNbpQzSI6xI+N6/wehap2Sm+1NsiVUrF5m12Zy2Wsl3D8s7T JpVfFXeC1WA23TBPRlOMmUuryDYSdp53OYCdg+C5hOVPDHhzfNHC1fmpt3APstQBnQ7eFnmrzND/ 38arR+vtYXWhpFfVTZMEAhtZHIcf48AstY4F5jXfBoh0BhMpsNu8QumB/iere34WP66IzwoF+23i 6rGZLo5hu9/GfgYs16fYSKoipCIbAR7N1udlODcRVAFm7rDx2UXfuknG4NuJ1frbDcZKCUOl13xN +Wi8LXXJHcuIrUgVBH/NQhl5gXKI9KwyGgXQNdQmpvjJxLn89gTrzrWKcGRh9Bk0nR9rtc3AT/HV QZ196K4eLojtv9ECQYAuHVvMOxU8mEtiliHVpGKC0J/1sR/RJ1dZxB7iPciJ0+i0V3OYNPKDwt4V I/ihb8U1/ZtsEsihA3rm200yncRPGOUECXJdtLUQ2e1Z4EwJzOYdkCtEGZps7id62xOa1V8NRFw2 td25jOZquIELDy38wqqANXOd6mkFeDbEUDJJ8s1y3aLh9nzEQYnD5TO0S+TfzPKt6nXXng60lTlU +ngBZVFE3Y0SPdl3rTtkrsZuIVJUHzDuzVCn+9txmP8X6hLH3yHVHTgh1+jsBfZpgMc7s6ADQCvU StAtB3ldx7azbEaXz9iBRg0fqK3Dgc/b/k6jBl8XcjzUQoL6eGUzWw8TQa/q6TBY7TqpVjpXuEwx dZG76R/zz6wqB+vUqpnSb+VR2/o5mQWWhpPEHYN2BT95eUqq95WSQ4Cu8Z6rjUVRnZMNpjehR3dt 3zyt9BipQ8YOjRWxVCLquOFk9luN3OoStrpItMVQv69jmqC+egJNaOAngpTc3B98I2cCzHXL2guh AOvM5UnhJYMzMzkKpZPVLlXiazLpjsLd2nG4ShiKwIT/6cYRm7sLNIwRBJEyTVP+BR1/kig+T9Ah WY2QSUadhyITW11Q7eU6QsFjMn5BXaDc0IHSAo4dhNTxDhlxwlVQbPTTxfcXTk9RKL6S3RSB6IpQ Rx7tclTer768XZ5NChTGjYxVjbPJCxww9lxEJ8wN3mmqNs1QLHybaxHvOlpe4139a3naTcVXKQwe uBo1LAkJQ/6QxCNl5dkDF3fuZSLo6hdt/eyO8FR+AzNc98lrj8vULcUK9L552DW9U+3W4y6+zfHV 1Plup7Jai22fsxDEPNMZ8adB5hv1zb6p0XMhuKoPQ92nPeSIAJ8bULjp2MrXWMfaHKPwwPDWD1N3 fiWTBQbCE5lf/OFdiiPo3uL5GV6Ub45iWj3MRA3kTPQVVYNTmOgRBHvGKczDlRW5PLAYmlegqQw7 /GMr3FjM0gVUNOtmJUR9mx3rh1pPJdLXa2CnxuxqKgJSH7EU8J/8yrZEtnnAvCDI/PEquhQUDkoN 7N6Ub4V4ErC3F2JXjsyeP419Xt6bueBddoTBIKyhfDqsulftGEJFB/ybLmO2xgyiep5+ajttDJ68 2o38J+mZYZG1cAAImdi/jNLjPTRUCosZ/wOq45VBxW68eCqtlDJgjiLavnaAFz2K1AS68lo+7xyH qpgIsp+dTNum8PUoJHQrReXwN+R7kl55X35R/BmVLfKcYa5G5a6qYyXsLH50aRHGKkVjbySbqZNG tmzhbRFrqG/51jfaL5INdeDcEVNtqtLD0ezS3+3AqZGfMFV9CDb/7g+Voj/wFscZKb19bXH2SeYl +pJnt8a6EH8IaV3/fi7mrDpPu9iqjwCJYTrosOnCbVWFf+U0gMyRbyvNTkfCGMFcNG0ob7lCXnfS HmJMnOgCsS0fep5JBafqPlILFEP2Jd8IvWyC8sejK2Ay+XvnG70/QuugE/OhZaiael2TR2w1DsWy knLbSG4hTHx9ZGHnDOXI3i/arkLuf9x8xxSikxeoJ8xq9MfGtqISeBqH9J8eZJ0cstliaFauojQa cUmFtUHEfDK4jsxr7DJkeltk50XdrdZFY3fOJmj9mf3YWV7IOyA+CP8MqCyrq7MGmCHmv4rsgXeo RV8Omrx45ZsLGzHf17snrKhz6/GIGxT8bjvK17v778bFwfhQe9mCpf7/wN/I3k9l2g/8jY7rm/6Q AuGT8yU5bLVpgVcDpMH/RhZUeD753T/OF1P+iJg72/xk+xtLWcFPc+VveEzn64Q9zZWKkWqsft+k +ag/psjUlWjB8skMoSCENlaCSgZz32sOf0ymSajWhfhm9gfHoK/aHURyJcsERCfbORzdNK6I0re4 JFJoDGex6yrwIzNemu8ehRXRPzgNf0VTVxE2NEGCbjmuPPLestI025mnju/deuc/5iSWadtJDf7X WtatRcXGIc/kWdYvrDSC4JN5r5lccwQILnAH1lzC46q3Zpktdmo1wqFOEul26s6TDxOo4ATJFJoI DgUwTNcWboxTuHfdSXLPa98cT5VXtfYUDHJnmR/CP+o+tShD7FYprxLJHJPiKQ4vnHbscvmvkqVp TN9pNApkRiTntRhWlVPkZd7Jn2d7xSWBPQQhHjXZTRCcSaQ7Xq6zvjZZSvHHmKY0egdV9Fqdn+xi O57HX6pLkdE7yLQX1TLTd9UJmIvnGc/PB9pjTI4iQaI+Nmfu8P4G8AAn1+prrLaMR8Zzi4ft6bNs uPyE82KYHcunC1sUaub8kxAmbTjzHifUBmPw2w2UlqQGY9fqRgeyyCs4nNUYJIeAM0+MVv0aj+yB vh/4c6kzEYBmIiCFrMnGTJjIMS+PjVpLWz4VSQmFO8i4plNIgkrVpyK1IEjOkrv4caz38gqLLIaC jip3HYCZ5SCo5dgJdBslmpxzuy0Tcn58nL+SdorMiGkSEAMB0zGiYrVoGKQske9K/yy2Uvylyp3f US8mUxcdOkBjD7IXJt9U7fEeSro6y2XkjQsEJxRTY5Z2EiKC+qA68rrGa0LZ6I8BVBQ392ahTv/8 qyve+ML7gZuGGaHDFvYhb1swHJwQOJFHbfpgEMSq9WPsL49X6GkHD6sTR9ydGQWn0WkycE74HLYB xggnu75YaqORjD+B4TSPn001I451kVr4/Jw+WnFY97Rd8UOA9xkR27r5kwkLgHZywvyQLH7yum78 Nh/tOHpq884LrT01c+SwvZJKbWIJWFmqe85chekpnLraFkmtGdMc+v/AbGBSFAmjoi6/ab92t3eo OncRq5Bwe21JdygLRjLm1VnHa/B6GQX2zZWOrg07vq/AegOzER9wAX+Ly17zaHeS2XWEOh8+FtLO 1TPET1PKqhsw/wXfapQF8XgMa52XIL6riFod4V847XuABeVtUQrGXW6YqlLSwfnZ80gwmHrsKDqw IG4GatQ5pf96wF+U1Bmm0Uud0w1QFmW/fnOM86t4/iNiWpngyXaxGd38lADVuCYnpUUy9FAXTV1o 8S1MJRBcYAdWbBIzAG3Y3kxrNqnAChqIkljak89GFTrpohFivnCFnP6oWDXy4x2vHhGfb1RQqY7Y ADAiZhgcAVYKakQMJa3SpkVDzqF+3kogdKOK8LPex2lBDaoz3ehhLj1WNIUURNfH8obM95X3anRT Qtcm9kpx49Iku/K/oMTg4YibH2l/AZmEBa1/rCq/rxGbLut0Br/NOAfzYc96yA0Ihlvp27O0Ff+/ JfsWvR9VuMWJnmhhSflyXdXR168TN1ySz6Fa/Ams4DGktt7sTWs75h6ZjlxCnmfzNzUl2yvaAb0/ cEsifeEKy+9aFWl76DZkUW1ub/qOfEwbLiM0qxFVIBTdtLpu7y8gA4dM73uPoOg6bx8X5OE51lxh AW5FiTS7gJy2OTDEwIrjoOidYvhpzCdfj2oIT7H7HvQUrs63INJcx8/sQgXsJgJ/7653rDSwIY5c sKNRo2FCa2aRkpXkmRk5LwqirKzW7PS9y+4AEG0IzP2PzBx0gs66Q33aTI00d88CN1C4yKW5tKSb TdYycfcxZMg0rWMkwGftHmjKmM9oizW4XgLgTmM15arEeMznVejDKcgTuPBvDAWDKu1MQ7NvZNSJ eHwUhztDEDi2tho+LFPxoJ2/MxsrOYGHePYLD9I29eq4WXDEpfT+hdeangu+KJxAM7fyQhWvz+lV yL1XnxqUkHuJoN32K/ixjvzmUQyxUBpMQ7+T6FW2rVH4LsAns5AVpvsPAhap5xqST4OA+d9XpZsO VZaXv+eQqN1wnXULUusdVFNx1KUikA2QXG/5yjvl5Gg1XMemZ5zLuomg28eUhzHsmd4yauCbjqOA eJIA3+3w5GW50crXK30KpsepFhlrrVTGCBNDjo/zAjlbuZPxaNl+FtJO+Uef4ClA2nNMmvt6D15O 9tKCdWEEn/v3qs1DU+Kxne0lR00wgHFEUkvkjK2afq98fbTQh8j4qkCcf9DqVAJj4ssj+aoC0lMu x+zKRXt8zO1DB+aLHS01HVW/3uVG7Wu9aOzIrwlRIhc8CZwKd5BCyi9+yxyGG/vc/O9jW19sSyq1 /wninH0XNkSDC69Oyf5PC0nKNVYMUrh2H5CtjtFtRlc3ep3PqOOqvy6f3rY+jZqsVi6Z1rm1hXpJ odsW4rrqy0YNAI0IRYfEZ8Q2AO9nXnOeF7rCi9caM/z2IemUnkgIMv6iFEM6qCOVdFdV38tfWdGE snZ+rZzpLZ8BTzDTvdp2DZXwYlrzLOpjDL8BDLdb+PPxqcnV+J7M6SoNOrgCdrQK47loWQrq6w5H qe/fhc4NYyfKdJ/gf+WntyVpgl7QaYBfOdK8iNgvHpZZou1hqG7l6KNHPtKGFTZEw7Jbue15tlqb /x/N3yw7/Noepjx4D+xXkh2B7H1xtkXKFSCcvSmAf8yDU91rqqkc42FIUz7e9fwcjxZz/TddX50l sojHHYWsSV1ck4QxBEnd1TBnAcQnjtY05mDCnB6BwFILs78NKBTv0QebntxpNE14E5vZFzd5vIqw /+/qYaAKZjNhmKvZPqRoUMPxHxQoskrE1DsvD2RIPAPRIVpSw+mI2IziZdL0RvomS5ZBMa1CMDtK tirERj0+e+robNW28hA00qowycknFfQzu/iW1+NidCIJLIdW68VV+046qRUqR1RBGRnCdAVLA3Xh Uu/58IdWARO2g5lOYiyl58yhvjeB+1zCPOG5/LiVWEzN6YmNvm3YUC9PCXLBfK4FWmfoNUW2Fb7w 3z4TpBYkQFKH7gwC30CTVbp5CEi5nZS4FlRjr2f5bbnVqjtkKrpyAIO8On5HkO7xpq4nIZNhcB4Z 4OMxSBecB+oN9ko7PfcbxPyLMt8R9c62fXu4BwXz3HFxN9JLzg6FadnaYZYyKUJOGL8vGephlgOn bd1J78mxSltoaTafle6AWTbw5mDZRKDTWuWN3QdLghnvwrl1gvwvGIyopF9y+1PTJrT4voIV2Ptb sPPOvnPfqREmSMwHk7VRu5f03UzY2l5NWo4IXkq3dSSyjV/bPAoOUK63Kqer7OoLyk8D+rZCVDr0 o+Mf3In9khLzozu9n9I7CyW3/bZPxJFodSLQxovsPolwtDdbPkoY27jBzrZZdnXTDrqivVqPyQ5b N+pKsCRvdlIr9iJvJCYzcKcfAe9CtN1d+1gS+dxDd4E8dCpWR9j9kAwM4WqrF6/tEhcyoT0t75Uf vhi7Q3NBkeo6QiDu9q1nAnoQFVfu00ClCvapDfBgabJL5kgB6dRfwkjCyMgM5HFKkBowOWLYL7yO 3cIQg4JZBWDclb2fczkVqFYae+ojwZtO55bSNZm8Py/zlC9uLtzaa+GISjhZNVkOBtaTlJRL6qAN axL1KYteucJm+baumcF/r0w7nigfZWvLxGzCGnuCJIEu2rgArwvs2sKNI/0riURwtbqGezWU2ACi 3f5M13pKnm0BFZqHFaiC3buclpCZPXzJok40xfdUGt3vgoA0wNVm0ed2hIaN4McvgEj2V+bhaflE gKk2oROwRn7F6aInsWQsJQlQ2PxdeD/cAjemdfMFOhS0sRieXS7qrX2zHWdAaHqckkpHF3YZ8zYx Y4jzsicqJIEUmpv0bF/clbQLl6IPjM+ATagzsjfDgGWBUzohnrHvD8mAKyZBMsUSk8+BYxfxwe4E FgcGB8OIXMBsfqthVblaI8XyYXAoV3Lv8hO8OD0oBbDByEvehPa72nz1ebF5TTqmGMMCWxAUGYcr sTNH5BEEN5sDlcA4VHpSsbDDjHh9JakZFTohRQItpkvUrFHmNy8ov81OojydC8x3dwc9fNI0MVVf xuE01XjdZotUbwm89lUQe1Jhx4Zamr1AlU6Cz6xtpqIxydEtLfB/f2/iBILUJ9rVGrA+Ny5tT0wJ a03r5EfpwDFd9FLjbQ2ohdWHNUYbTdUsPqQuacE4dMcK2ljNbZzcfkf57s92QlZwHNK4pDPsaWZF kENohYVrHf8tTWx0ppWZtWHD7fwsYs7RPhxXXq4RaHrA4VRi9Q182NuST4jhCfW4D3dfFGgLlI9U 1XrfAcqZHiUBxbwFHTGDGukGrmwOhSNYXoyF9oWaWN13s25rpiewRDgZEgooWGxrd3B+eInPba34 bo57/VoD7cRqtT5DWG6HYwpk54k5q9e01NA/EIaz2LxCL227aLdFZ72DSNr0tZs2TKUocHx9sTR+ HnCdX97sU5i5vyYrF/J7bWtWw/lHYhf1IwSQidHoDXqYnUAlcAL5RAVHzM2eaqAADoHyge+Us5HG T+BVEU+/fK+4UQXdvOjlHs9vJzphioLnUkAkVsfAX5aoE32/3fDQTVh9it6DPYSn08fdWksq4HrB MmW+2AiKD6F4L0WfGWkA6txwBvirxbceVgagEe5IXTmKKGYDWLsXE4JK1c9jFxvxGRglhgZ/mKZh z71+ZxFEnkuuc0TaNW5/1kj3Zqp4pGelOwjEbxwa0FIDASK3wxh1TtHHSTVgeE25LlPVHtXLgz8E dYd/a4f2DF9L1sj0PTNZbtHupB0rboLvREchgfhVQvskJUoSf3zDXfcVP2NgTAgkR8T5u/aEsFLC kZ1/4CPtE9InplgSyOiiFjNy/pX3bdesrqI8JB8mBanLJm+wj7EWgqp2T1GYtHb1EZPKk7SXnLat 6lD1XazcifcptBtzY55PjpY80Zq3ixB+0l0JAToVhEUwpRDaIb+G0ak0X5jS6Kv4TpmACKQlmgWT pLaBbCG65GVLkVXMyFVqjDjsxM3CapH8HvCzu4kgE6IzzxHDd+rKYAIZYnYabnxhPmyO2hGI2u/f wCFMRdACVT/QswkFHRgH14gQ6W0IeA2tjo+Jtol/8knularELNADvrVh40pvcpnamrTt/HcRs3Rv 9Mspzw4qaYZ9RV0Oz5kfgOdBm/3XRiRlz6CvBihfhJGzJ02RccOvXoONIPbbkRHNfFuoP8ogQgpq DGN6iqxNFpMOIKoZT6ggIlFXdcPO09na5vsVY+NdbR4zO8XERhupGwt2hm3z4qI+8q1C8+coy4F7 ZheoYcRs4nQiOgG3lCh9wx4VJIn7QpDdrqG5e+fPM+GpaoQYEhJr2AILN19O7qWtCIPav3eH93O4 gLr+pS4dm1xbuYpbAVvI2ZVC9YR9eAJtjWWUwMvbJdY5oj8ycLqOOAewnYSatWZy1DzIc9Q8ctB2 lKzoFk6YDHToJSK+iOpSevBtR/SbpC+W8I8DRQJ5uDuPJvY3za53Fk3QnSc2A1N23q53hFuyxNuX rIZMBhzlK04CiwzoS1KlT0FcaGCSFAfMaMQW01Sn3ED6R/wHcQ42h6N4PUn2RbVZxXK7q9zjWTA2 jJk81L+8JwMIuWI6kucYD1ERRhPlobha63K1OHvfVlUVAN/0NPzIRNX2E0CaDQHYU05b/UrUMcx4 BmXvNLxl+8THQSsBxKSfQ8lcPZ+3IQouTzAqPLKCToT5MZEoSq4mdMQoi7hzajWUdPcBku82CEgf LibXLKvC8xqolzORXXwQMt6Qy0OEcMB4GIBaGJpzJJRo0tCx9vkEAVGL95Ffi3TopZ9TXvYweLfW PAkWD2YOdkktLta+xflo3xow39bG2vB778FBQ2Sq1yyPRy0jw87d3cFLtYbcmjPZkZs+RSR1AdQ6 L47R+mvgVP+t8Yfsgwj+ffEUqvvdwPjaVw3n7e++hLj0kspy5LyMSAsiDoWyMf1kHK8kU3hEp8zG D7ob5uiZQc3gNsTr0VTVWfpnIn7r6i/6GQXK0QQSPvJiHFGAxoJwnbwwcjX5DuRrdRq3/r8BnGb9 DnNSt1uY+FH9YSRF514GR9h0FPXFBXLYV4l07oAW4Tx4k5w2Y0Rf1INoatuLRLEziU4zgD8D2B1B dpxgdSJcKy3xKlCUsmNgzYmwCDTLhLweQR7BNIDQOA+BqJWkBl5YAKA3m661f0OK47pKlBqSqBth SgNJabdEMppprDtR9b9volgSKy6cDuNepgVAABdkLXonVeX1r8jsoWQptKLXXoQCBe85V3LxifgI 5Fhiw8R5ZF8NTshfjgQLHPjC2j7jgTXBQK8MOiD5i05CjdjVJ6lgFZS/98XDsnWCTQVICA0QH5LA ooyLqrUcCABwp+ZV0mviNqa7PorQbhF3gdZYvESg0lR+tLWn5KmwEKZ0/kB6eLTTViLSe6/D60De bMuZHSMNw3mKR00hMyYuMJXNwoq2ehXiAL/WeHDDoQcunGU2Uv+aoPFU5QZjAw8paoXkb3y7/cw1 sFXHmMoX6wFjueCsvIjYhjzLr25geNYs5bjNJzX73j3Nbq6UsG6imYRpGftEsEQ3ZgZpic6bA2kK Hk+O26PAGFdRaLgGCJ67+Jnc92CxdXHLEh4WTiKMD0JsjEEkEPFkqyTwtbugxsKiMiZjqupreGEP 0u4wNpuqFsQfidYiWLNrw9Bp4yD4kK1qU2lcZEsmSsFGvSP/kabUs5wo9EeQpkeb5Zvp2T6jcbLo DLH72mDgCPB0EXyBYS+ysOSM5PE9y4xfwSY/O3QW7IUknc0o4vcukPNgCYREOc/fCM7BLFPjJXRx SM+JG/J3kfLPjxGNyavidq+pfxRne2Ydz1N6sHIVuUwsmlfEHkzj4pie5ezuaKnuHISE+eo9qYMK elcTZvKcyshhOOqjvyMOIfTrPXn/RCBFDmZLewclziFvaXnBz7WMtKkPPXio6bsystBBwfLJak0E cGJ07BUmj0uflj4MXjYNe03ho/friy7JYCJZLf6BmxkKTPCt/Jvc0uLObMu+OcFpY6BOWjv9QI5n 9bxo0KC0tKJpYlnAq9aFWDXCBntsFrOaYnrN1l7JL8sWIZ5jdttRsvqmmZ2i6sIIzXJuU1W3GE/K Ljr8PE/7cZE751FTgkBP8RS/SGZxlQnck0VLgPYSaWP/YxcHQDYgWbVdj7qOKr9zimOUusIlFFlh D5jX7/0Ax1J4cG8kPMmF7Um5DUWZ5Du/H5aoxdGO4jyaFE063eFWeE1aBXHb8T7HdCHKXyWw1/0T Dqa6NOcJEQzkegKlVBYLrbZJWXc3sSwZJePeZTgaA0oWC6So1dS71jpyrAI8ljDrOYhYE+MJfjdp esKqKowrYRy9dzWWBBSZvZd1Rnu+lMP03eiJqu6y7Ql1xGVHBnIPR69p9/z/VzdRMkVdImFdPwGh qZZAaKXDfqctTgvGRES5eQMkL7LPE8UPszd1NJmT9RLi0A9WWcDqL7EpqnVh5LgdGs9y1Zb/MakW rMPlbSj8pIGFFXEUf4BTBaO7YSg9CzskOhhuEgdPuqVT3CZ/r0tBdCFKjP5jPpEZ4meoOlpMnuu1 GkA/qSyKFff4AT9YMT2EamSrnfy6X3pPZhPqBxCV9HvhrT0+PRvn+8ycpLIeu7/TBsqqBDbjMU5d mhlyROCoksRBElVVRVA8AUgGer0R2mXerndGmsd4LLqyjePGOK47ZJpV1Vp0BAQlo04KEVsCQSw9 UHYpBmmJvgS5E+A8sE2XtRnJ4gZYUYUxzJABMElMBuT6LB1vDtm6QsNTsrjkNY0ZyY2p53anT+WJ l0CxGubYdEHDhWgx2oF3SghuWWnXZXFvmoyagSRGNqKXAHuoKxVagUb1epgUAyGKKX61yp5kvG2g UEA1FJFNmzIA2cCFDkUm7F+JEkXucieSry8kfqetRegsk7HuoljuNS5JGegvS7NAM0L3bOJfwLNC NViX8ZXrDip/Guet2eLak7W4XZgkh2nDh1/aOdhAy8SdYA6jHERvAmsPZbpE+JiKkwSzo+UPVbnE jYfkdRLlrEwlUG/Q45UFTmIb5ZtgKJikSwHzqHllcJzN7Yn6HigB5lO7ohvOJRXc6J7u+PzJx4y3 25TSxAIAJkd1TlS+7bUCEmyYLjYCJOky2P1GJFiq569Z2wIMzhVe8gamY9rVARLpJRkl2yTXUfO3 S9wjccT0xrdFXk0pwwF8MtHBHAKKukifaBLGE0Ep+xm14sdck1ow0xfwdeAVQD1KcgQLUqfKJUEY bCxtTaiugxK6HGC2KPje8pnCsyiVqFM3o/ZB5bYV2LJbvOVGGqE6+fF60B9baLCjmDx/fYGh7cke 0UtehQlo3MnXqKmiPMYHiuare6n1h1uuAc/d5hBcc7YdqHOeVkcHTiGEkiY0cUzpktC6KYC3SJn6 dYopMYoq8oeOYcMlBXL8VvB6vCHn1LqpgcPqUOvR2Srax+PkO1zch3FlldB5Gpb98CBZMdD3Gma4 qS3XBNXh1QfpFdE6s7KIJKcvC6B7x/sE+FKodJTTeOOuu2DJ7YF+XDokRguwo7y5moUkulsudkGo ycIvkK1Z6ssOUBRpJoVfno65/jy4CraNlaVWxUD5GXQdB23LHFWE4GQvWqcihiJYJTEjL8h1gHvm 03PhBduCX3rZG/NzEDgV7x1yuYxJnIAhwustl3SIYu/MP9VVaJnhqpicZMBnFURnPn2E4msUb7Oe e0FzX0Qqgo+hBe3crpydQe7c0B73wiIxKnUcOltRxLInV/aXs9vaVXLh9sMWcUljE5cSqujDkHb2 0mybVLk+c4XIOYnvNJ2G+NMXjkbTy5q08LPUlloQ25caSSvcxhSmq8DEnZJ5+Zw5CrY742sy01YY qPH+2X/QmaMdIN+Rj2v2ygdhiRPbtPMAvq0VZWRaAIrUAuKOWR7d4hw9CVC8Ce9uBHT5VZX6SPlR pBzz7pAiofitK9+ZMM5idc/fCx6kELRDaKBT3ZJ280EN+yUFbLKq9ynw0TzueF2zS0kIaA0dtMd/ 1vNRTeRGip/Z7+k5Ik0F49OVmueZX714eqyjRlY8AQU36fGd6QwM5zYo0JBFjl4lYzBZWFaw2xMb PsOaIwSWqY+8sn4WGTcuwzugrqPMLtp3Nm3QWJhJ+Er0WtjBUvt013k6WCPHzpbWsm0phlG6dbCG NzI4lKQ5MixpAynHoZpOoycbvGMgQDJDFHNUI+/297ufP4sxf7YSfDtQpwQA3Mp7sagvFQXUN76+ R7hoY7o22h378lrBOUUrFp5/mJIqJTYLhvWF5vXiefuGvPWmhRJbQdndoEeHmi54bpO9A8hBbVH/ ytcYACjEfiB37jb6ykycSZlz4Qv+gw3CEqGvnR8L6wZhG4Y7f1jg0HWbHUAG63ttbNSS/+XKqma8 5v8S9by2NHDrON5rPUbaDc4FTzdZeORS3YFjBFxgwS2iKvjv+5IR77eD1j5WSv9rVfWC/BR+NNPL Hh2GTWU7Wwm6sbDShjVjeKIA38TRZeVUN+THUipV9vc6IoNsAnU4fCX8c+C0wCcPxMIMXaCOvp9V lctjhfCvpz+p3xTYaSYmmtfAo2/3rrrH1AmuzAqxbNoGOoFtB6ab5WhveIck4aSK2T3xDH3RB5CG JCG7/dDgxGsaYMFpbouOx/1mDVOFxviaOQQmrWjdtB1OTHQ+rPOHb9ZYqK3Iv+mv9gRwUr42AUMZ 32pdDPT7UqpaFhP4s/1BTPrewb/sLbNg+0HAW83Tnh39fCmCDwXpbNTzixv0fyGwSpmBKhTqi/HZ z/aj/DgnTuX9RSBTYX4RVkRd4dKVTohunc8L9YRxUkIltNk+KWWKCuWy/0e5huEz6iI78SvOlmL2 U7YdbAT39YfWHC6N07RlQcidJB9N+3wxc9Aie86QVsbR/FAKp5x24m329ny6x1PVUbOiASmSsvGT eSGseGjpFsXMz/99VIe3hnDHn/HlyE6mhIMAAn1fkREUL3W6p6R+ufVd1CxpCRVC7iF2WkfqXGii BT+BX+m5301HQoJNv5fCeQy/lnQTJE+uHugRtA8TFxpCwzPKWZwrzjUHa1ULQYHdB2n3+NNXtqqu cC64t90SXDH69ll0GIwUWqeF4ecKkTTBikjE4FtmbJm02ZMWbZzkHv08TA1zG/jwR4fnORgJW/82 mcnNDt7p0KkMVlFlihMv/LiwKNRpB6zmLiAWPNJGwh5LbcDW5MRqry60v9keG9nQ+Q19B4Y7aisv 7HcCL53ZPvcYK7VlQneAEBYfBOrZNdRb2DvbeWvOLLCiwaqrVwwAJTaSfiqws1gUs/VQP3BbT6sN 69EOdbTwWqxoAj3D+inoTyOxO+/ynpxeM3nmHmzMabc27Hdm0sveiAFgkzVWjPjVdDBWVOtPReQx Uo2Ju/+a5peCog4DPaNlP+elPUJEUvLzHKPE1ga2s3QzV2dHSZtx4+h5BAIoDZ3ynvR0s5VCErce eDcrGEWQDveZIedj48iqNboqTvkiez8p9ADZJsu6//Oq7aR6Y4j3rHVP+mmg1Zmjl3WUMxkr2InQ 8pyGp3hegF2TYbuqz4Ml0vWRV7jwwbW5grlF7ZI2PtivZiTiNOFUq93/MbGVb2/wNsM9XPnKYWKd T/4WaLuPqi/KOqbuftoY8e20gXd6Mrp+Z1srOinoF/S9QiTh8+ALBYmYlCk73e9/XJBSnNv+hWvn KgM0f5XzX8O+VhRwmItq2cYoZeu9bfytAyK6FHe9h+BA5dTiblqO4cp+i8UXdcsJnAa15tRtcTwu vQrp7CxhNhFP8D6NSwzH74mhFmSeJO6yULYRhay7irZIQEfUnIuT7yzf0O0R5z8npe2fD6DhFB5h 20UzTbq2OYwi4S7hLSde8meB8f5jnCuz/vIxO3J7Vj8NqjKPOjol3la8QX59Np9o2zUg4DCmnSGT PAlwyXRUoo2+XKC4hpBfYdYH9LsP7nKgJpcs8HqRMEdczsxEHJUUHZuc7l35uSU5KQaW+z2MBVgi EczD7n/9KFFEdRPJfk1vyAcEEp+du6SGKLEe9h2niAx9AYpGQUKkuo6HNB8NzqeQ2ssW8NRUkTbU wWv4nnDluh/gOn02c3YzGjtvnfaIwRxlEXTI7OZODJqxdp47crzZM1mq55jq7+P6PfzJygqjvFmv z24HiAR0vEEji8d103Oxs7eTUnSclEZXS08HV9IO21FjdAsdUd/YIS/Rv/xn1ZgsWWZpU0L2k3+k +MnatLSx+sPa0UNXgPCUcQb4Uc7CA5x/drc9LENOmhttZn6L5HHLrYGGlJXtl6PraLZRV75DJksg uXQN8rtJ+cKYSRSEokvc0Esf8OwLBSBKi5Zn6rnNrJRcKKidYC3ECAf4DoUEP9zXThifM1fJv+Ej t5zIE8Vdry4ZKvh2i7SBY5EnDCrO3o4OXCGpbMoUk+zxRHPNIbm3SbXpr1UaO0mLZcZtci0YX7Od ebD1LKEpL1TUCBo8mrLNfUq91ShU2dq9uHRk2RQ6cjQXk2ODhzHOwk2+wbrzJ/cxuRZcl+42/e2Q EAYoFQQAKRS7ePpmBkFGn6i2Qkt0lOF5vT2plXFS3R5iIGbDXmCBGvhUDCTnah5GMFjiA8SvILHw 41SksfhoXcmNpjWMFzAObSBIDo2K6NZgqTIlM8HAQoRn3LBOk4vLqRvybK8UOxbr6KPvFYylpyFM NUD4QiPKetEvEzAaKBi1iLaA+wO/nZ3C8xwL23JQ9FakvphbTPkZGURXj4zELVM89CnqFOxWJWcd j93sYx2Agnk5oWpSQGweHu+22//jsQoQac7BS/x1uo3gnduOhIgFk6fEPtqJPGrqNV8chwpFZpNU VPpti0XJK0vvBkBMNPNBco6oLaHOMwkrs9hhYLNULTQImIO2vKvpPBNai8ofQDB2xsjviKrW5Byw QAKjNmsq9mLq8uBmTsorSF1vtK18PV0j5YaEjVQP+1NZljkA1512nJjwZrE1bRnwmk4y+kdEd7hz wm8T0J5EXuauuseqvRHYBz5xwsV1KQ6bgjST42sIklQy3R94ofxJCJAht7kLdDqoShz+5QgwRhPo TFqEWuhWOewnBfcs9Z8a+DTGzjnoRafkzKKiPp5Mw1K5CrtVtaciQePHolEWE4BVdBkqGslDAsO7 9C4+SMM8iUwbnmMFIdhYth0J4qu7uXZQzkrMxb/4cA25+/UVdvSjHdo0mibSVIdp9pnV/eweTZTC 9DnLxbQ6y5MsfzweNeiAB2JKLHL8y2IYoG3NddVO5JTg1AtqYonTCBgosKflqm6qxOMwMAiW+i/p qLBPYdiBoOzJRZq8L1Y4we6n0bQMGsZm4iFiGLJ/N0MhlJAcEDPWxh2bYjfUPPw855Db3buzWszO ZUi5msWkBj1mbz4EVbdP4KO7TIZVeaaS9SmvLbzOkPgb8rPv4X4kC+hzoQd47eGYtx3ZVqCpaGPI ybYZB2WfdD0eYDmxdCKAUJg2WdGeDhYNjWYULYHXvJzlZ806K8NNg5hGNjPa3LAME1IEbuswlDBZ XF1a6EFbvtmAP9ALcIV6cdey7f1bPRuA7Px+kxe4lwkY2nRtlzC8oDgBpu1/6eZ0xJ9uTaXUk+q1 U0KAI2UTNcheHdOMdlxxQek+CgH9EqcefJEGAeyMfsx0Hq1zCdGfMGQ1IOAm6ho94wQU2Jf8DFEy s/wcnm1HW85C2eGRShNvJdI62yrNTyjrJI6CbkNrYoMzERYnqh55CNhwmjO34EL3vk3snRb3SGle ok6KC4fNLmJcjuCnBrmOy5t9xaHrS3SQjMZfHttSQJyy5oUOKdmml7MnHHWfpcblgtzKX2BfPt4P eRuXEYbCpnFvBH4AuGuhknkaO0FSMA3tCztDdrhOWHA6rhpXgisw71N4VZsPEDdFPoZ4ejLPJOY+ 2kwb38iWtz82QdRxWdMxurINsWEa2D42IVLLhHq3yMbRZn7kcOu8ohb/INUrvAU5E6c6l3N3FJ0N kfZwabu8iF1ehQKxd3s/Y/TxyQxHCeY79CEkTDU9xqDZImkBvlZ2+wq5Y8SkSEBjqD89sjOu1S1+ lLQA8pKb9fVqd/23a+w50Vvr1aTKEwvP6SS5Ol8JT2vibV8ljHXaDd1sll0pWQjEI27y8gbKYKzH /6GYXRI3YJXQU5TEdme5h9GMKUmluScmkxTsjXEmkoc3/oChNSo/PCajrcFO547erQIDl6lB1FQs Tb6YRSvHcH1diaCPVrNkoGdnAAB09PPij6YQ0BkdJaaYZXuGiYJLDRQPzQYZbt/4azOL5dr2vFCL NxKsNbPaMz3kiAidH2hRCAHaUPi/B97T3rs4xJAJK8H4mvibTGPGbfdiftUy+htp36GmaKGEGda3 rPKmmO7bFyo7tGHgZxXRDKZx+L33Mm322WCTK23PnlKPslRODWsY0zkPntKqHiHHJVjkIalKyF4Q BVN1E58fDH5ugSnQXClXPv+uzsib3EqENTgt4xIvk9xabrom76W1M+5rMo9+Me/eSimtdfUewQiV zIjS96P5XIPjpUhUzAb4q81AkNo8/i+Izglv+3H7Vy+hfCTBLJjOIDMdpkMrIBgsx/j5mKYLq6aU 0b8GuNTu7CWvnlWVJ9WYsQgomUy3rLxeVgjB8dVMY6Dly7T3IFwc7pcTQurHwpOgJUUXPP0efwJF rrJ56aurm1v2rvwzmcERrEniVk2EzkX/UuL7PRoByFiSV+L0txfbBd8m2ItnIPXIoku6S9C9vWIe Bb2AcO0/qIc+c/wS5tdgH5xbpRPsU5fS405g6IauQKfgyQlNwB/Yx5brHBpxLH/mrU/2Ll+O6Dcv Nj8mmpHPRJfYYLv6/Tgc+SnPjVEG6UsyRDmlP8FdFGO0w7oLgvMNR3e0msbG7B3mWlgsoDPkzH46 pqU0oRGlP61hpJBgDu64M3P9tHL64GcLYSH7wYrVMXago+d1Dqgo7YAaR0ZUYTiIQcG2zF0FouBs wqIRw9ulg/bUcrbVpC6nFcJ/+nbqWBsMeqDyAkC5YDZRkh60D6wp4mRK/CXSPBIlv2sBZFVGebIt k3nRwl1+BfpdCM9sJuCnVqyOnoIijRVyUJ0xslJ2XPWg3KkzWWIGkjnqJi41qYdLrxitwmZtPjaD vHwp4VquH07ALHnTkEYtU6KCMF65XU1TWg5GCjgdfdKGF+Hhn+arY6YGrmFiHOQH16qs6lLNvlKO 2jOlMPIu3IQJVR6CCm6TWd2SNnloeeSvCxkW+Ku7UJRYZomh6Ch8lSeNt9ZacVpo7m59oDdDk9Y4 OKyi9w2O+LAJccZt7UNPtgCIL3l6cDldseUvhq7nIQs92X8Ht4ir1IV+Qja1Z5iqPMtMQJtoupFY LoQMPORucq18XlOnD62cRJAarwmGsQIQTHLK8qiFxBjw1u1MmU1pdFqkAl7uJGpwh+6gSvRJO196 IPMNxaSHRpqHlvEwcVvAVVlpwNuTHYhZqB/zmwF9DCdi0IuVxPPKfTKR3ZmlK7+Oh1VvLTfUJdVJ XdNlkexoT8BQvYFlblre44cDaA4kfghmT9mCG4GhHK0NiLK1vFG21WnuvEhUPaoG0wSVRmY2hsUb Hh1B1fg4R4C0YqqoZYkj4+Ot3D6rs27W351gDRRPFRZctBnefjQrxVqWCcPV/yw2kDi5/hvW4gfx L2Se4TVi7tg2KyDIY1O34k3SHFGQKmg/N6POgfaW8Z0y0M3rGORHbmA6m9oAgjrxTAkEpr2VA+PZ yoz+1CQQEgjCXz+QG2lxUYvfMTEIVteAUIQdQFI5bgbgaD2xvGUAClI83z6xucH0v07FWwwnXpj2 wmT9BSv4zDVRvb7jRHv5pZ0GDudp465qtWt5Y22EdWiesoACiqwxwF84sKIqVOp9wNkltoYqqP2P v7dVUJ/mwlsil2z3t8gfu+MW4Xhwx1afpUna3XsTzTr4Gw8cfapO5zw9wBF/x/vcxUPLho/bT4IS lDM7gXFcuUIl6KfrH6I+pO8giUmUXv4THH6BnCDfo+DU5PKM2b5UYILxKGWpMmXGbSU+Exh+cgLV bmvVuUOrkrVnsIn2nUOrd4T6I3hy4RI+CR6Amvp+BcAZbS1URCfMj/P/W1fsTZZYYqTciRVP9Skh XNHcyfaXxGn0vIqNUUfG9eS998UbpHip8XdUwjPIjQT2wTFt0ix36aZBcSGqYVErX1c7fybBNFuT 9Qixh7WUrBS3uVxACBc5e5Wd9+/9JwEtGeEPPLZZjq7YET1BWClsqz9HGWjGbg5ZSfbbFrnxflmJ jrhlkzACyFMbQ+q596vcVO4F8XmX+S8wI5EaS+lHUrjAPR9FN7Lyu3X50l+nKexdCLUpQOumSIpe WVAizT8Vx9YC2Uv0Qf6jM+UCUrDew+03IvTD7Xr86Ydplgc5ab2jw/ac8DOwWMXdmvdW3dHx+CfH caydMvZeC2OkULp+c6q7PkNzFj94uaknDZvRBZXiO4ise1yWs4MrrcxjKq6W4WyZIo/qkDHK8B3m GhtavpJPXsjzJDuuYzHnzNVJTDoSXrf/SfQ2+nO4q86Ny39wpnRYaNtEmBPkREwHz0rN41AQo2Ec 5v6uGjTqNe3AcGgrZXPurflDgSAmTRD/I32PpEt3+cPNOt9CcZe7VHh85AvweOlGk3KEz581yaI7 a/Kk56y1YRpQzpJQANyJoLwuTufTux9JOAtSMQqQ9MdPXTPtS9lJZRnw5Eu9fJQ0ERVMI+SNLVWe CDGVCXwECOr8HY3Wb80annjWVAsD5ho/CNSK6OxliLGk+PB2j+ASl1OuiPPd06DdFaDN7v4SQiRr ZCLge0MxcF5ZLes8/k6GnFjFsf+bGY6KMCs5dvDIUxdO+EGUxHMg5StVo8OJiugPwmyMn2+VVqkN e6XHIYbEg+AI9K/8ZC9cUxXDzu1e+xGuGO20G2Y1nDH1J0BcpNT3ifpUPQnx37Nv/GMae6CpCGau LzHXSaJE3llxXefISmBem4cGRbUqZdGJZHxU6+TG8IB8Ohv8GLd6xxzI3Vh8y07HtqtV31fACYcP xCWIhHz4xVMZ9PLHqz41Y4qrLL5cgaxJS/N/C0wEJI5RJavKiC0U6tDc1k/4ax52V0V94E+Fo0nU 32Xz4JGiIlEkC7GAtvGzBopJgL9oNnqgKajs/E176DsZoz3opm3NJy0b/r7702+I7I2dF7Oj9tNz azFFA3YT0YbRlm+FcEhfs1D+VqRHWBIC9rAhWvZu/j+P+MAUeKWPVrUEiyaEAFxG28g0zuROcGwu bmLAtcLN5VCTOjdN+jEllETHLbuYqx6ZW3sxydOaGqHhCglDV9zX2U4N8CbPZq6k1Tz0f7Sx7Q7J N3gg3zw1zjIgdhy23dOTgB0GLTqIULz62H0vfv/QgvD5w7m2oZd+zMBfIf/TbYkv33fh9lM1Hn9+ igirxuBeDhDkIi47gQxRcnYFaBwWg3Q/fE6dHZDORNaqYODR7jmuk4e78f8hX8nYQqyjq3rkBeaw MksNPmPN3pAa7xz7X8bGpr5j06uAfhi0MIJvdc7vvk6CiY/qPUrdN0cAnzU1facdmW18baDk80NR IwaXFghCD37sLCvwFepb0sDgyuGT3f1nPYFcsrYdPxGN2QV6nO1hWrvGDzRB71qfLl9+9nQnZdGf FJ48KuSidJ1mUqwGT/9fymf1A3gzdq9yCEhjNFFpXcDmyBATVhncEkhEOFMHm1qnX6bA5rX6OafU NWKgaaEcdyJkzm3HYZakKnMXY9IUH0j5m7KBiF8V0hzi/pOXBLZCRfT3Cj4e3bGWPd+bP0FxkklR cdrBV/mqoWMJzCFVrO6E1T6x8e9SW5w7gikCtf4TgwK6AUX+ZSQNF+a1IhAlZ2jkbyBd10mhakBp +fnnsWWFzFfcqET9bE9jvyEVpCljh9jqWPNTOP6MfbPUUuRj5f24YJXV/4uHqML0x+4gSRLs2d9k YDGC+YL8kq3iXId2uP5wWM49pioImhPR6goe33F0o2qpavLt+KnBv+Stw6zzxiWBm8Drqc4Xd9Nd SzE9hII5ltE2ajMmBG/lKKUUXvcRg3Bl6zw90ZkMRAbsuV4EyOpq3Omjyg0Cqj0SQ/qevrv9yfHo ujlr9vTcJB6h0yfD10sAE5cE6TSaQMyWxcchuPqjF5fj9PlUQc35yeTszNRi5YfOLEerAa9HgruC mflDNlM843/KWJ76+dgjLT6ICJQa6SRQ6SXUxstGnDXH3XNybHaL8SDzFbGw7zvzoGdN4v+cYUJR 6S1L8T2e4A4gHq6eW0ay1Vw7BsNZAIx3mj6XkyzzGR6naT2N8gWFZ85KUaAFrVjh33cPlKMJjaL4 cE4qGNG+NNCQXOWYE1KLzjO7EXPvk5HrQEfCZKKgVsI0WfvPtowWrLrPz9cXo43Ts/e0lLOEcjFE HeOxLVVhQW3inGwUvJ5KWS4mxMrgyGfWRLX7yl5zSpg/m9852mohAVaK64ln+O7b9CH+n+fgzEvz K0Le7SHr0cAGuek4R3kVT3iNGcZ5E8xxC33OYSxD3WU/BslnUo2LHtYeIkyTcgsUXtpjLMFIWWFm dkwyB3/WPKfm6c/fCjRt5w9lORA8BdIOSuPqUTwdP8dRQfdJC9z5G4RkGPVe6LY+S68hzGQFswfL i16g8qECPKISn/NRkLg2FylZ7bvfGm7od2xn0H+0w2hurBpvNUvlcGtsbRlk64l1mMcGogxpr6rS wC5hUlXUlZEmPtpG4Fp9ulzj4YlRdxOb6uO8+3XhSVVpIuWGtExzNV2pTWrTWd3QVbAEHAjEhwB9 gJcWc/hJSu4ZHQQULdnRaiwHSc2Bz0g+wxqVMdgzfFqGPdUmuFjBbTaCTYi0XqyQWT+QUnm5zxTj IFHk5WAp1j/Vn/yD+EP+1KR4+om7ObTLQBEoshmy4C9TuWny9Ty99LoKFsnsjUipNwYguDkirS6r ZBJtOQczHC1Fm5AdWXKQiIJOqT3tgyzUmx7cDzaOF+JRma4JJOmQAj7MxH0hPmXvwhp8Rf+S6j1B CX06zYhx7c6T8mNTD7Al2l5VeftV9gqYZPlXvIFlE9hXm6SQhHxcJVKMSMuUk4Goj5gnR7sreYXg fcaeUbn1Ccv0ju+nsO4IsaDktBUoK/u20hv38AedJOySKYWWz3Tts68FPwg5a3RG3JbuWEQA0lR3 Cj/72SUEKBGSZXtH6eVO9oFlN3eTd8WLhmdeARObw5zvA2MB9lF6YOiwLYGVH5p9xJrEoxHOJp3e jPP85YvRMPHZHbyi06OaeMZIEALcFZ/FjYQV0KHCY1F/Zas9Ru0E91Gws1QZ1PdKu2emdHg5rzIi pSgezn31pYiFpJCMKf5ohLQh6+2fOA5xv39ALUoOUFqDerSAkd9vtfPqDU6IwuTvT7xHwasw7eIK NIPnuFdbIGvl9FO26hfbSDBmww9DUiQIufqATl2U2bT5nYT2WrEKsHGoJmdrCKGHyJl2m/HCgXsg BHIqvZuJoHBOWZNbj5ndeDbjPeVyFvR7wEiKH3JUzpoI+pK/t9NtZHAvzPtfl5AGKD43DtLFg41a KOu/f5zPtr76d0J9lLc6eZOBQMiWQAeLRbSl69bQgi1r1A4J16N12ss+Y6q82UMbNE+HvNsm/+UJ fmNyWZexHbbBHLVOf2iji8cKC8XFNL8JYlXN8otbNcVYVHXgcZrSkJUpVfKLsDCwcvbxiiFebN9D H/VkTAgLkBU9tL0flfLMCL8BuZOw85sMvoV2SMVHzZARfAtdUq+RpshDCxi0o1Y3ZpPWtr1fyhuo axIGtbLFkovUptJwRekcAnDzkAZGnAs7Cl2+OkP1bv0kkLCIecog8MZ5dJVHJ11DKLu9kzhGCzVs vxGnfCnHkFJmHsmR9xIX6CHiI21TdFC6wzMEZfPabxnkw1delQwVkmCoYt9JxOIq0KYheD/RZDyf r8fBg6uaSZ2KyUzUOSpHLJlu3vrOSSGUOzp1hn0SlnD1dUUe+h8fTaP0+tEVSUtpDjcJ1P2hrcOr yJ/MtVssLgoisgF0xfVOHDcuscbcRwWf/3rGq6GYGbxLLfwX+ks1HVbfw1h2ox4PjdxIUZBvqjlM 4lcILf5tZDj88eWu7GaRjcC8TKZKcPpJfNzchV92PrMSli0qLGRKmPittvAO6lkYv3vaggWaFIlv O4GuUf+Xr0IQSRmkaA2X8rPrCt0o685+OeJRCnySIqApywbmpxDFXmIOAfTPn2BKSJpdCBYB6QhM FpV+LxLtIs7iis3J1Y4IqbXNi0Cx/HBVt5s5TOa/xQXxIpjwWl/iaDPKwrLFiXPN4BTLWW5KEUj9 2cTu4Ob+TAKJHRX+dpT+r856amJ4TenSeF8l7ymgyIwNozI5ZNuDRnMs26PhUkgcxP7+k2T1DVlI F/Jysi6163Aw6CHbvRduSY93EKEVjNO2MAjOkaP/NUh3cy/VxZqR+56YQrG0iGs1CfqlQdyUtLMx 7ifx0vfieODLcadNQqPhfKfUZx3DrbzYq9WbYrhIBwg+GxXi5ViQ1Bo3MHLkaT/kWhO4rV9HgrzO 7Z0UvJd6B0l5wTd55oJPC5AplxjNyEIk1reMe+sDBrKX/r0b/AOoustEkRlfsrCBq+CJgwVRErEM FlQGgKTaMxUFd2Y+Oun/HowwP+tMCkfFDAAx3pHMoJ8sr1AsH5stp0N5SbKaq5gBpglh8EEtEKAp exvkA0C0gS50mEoGQqnCFa9b4QajfBcL87P4SkyCZtTSz5FgcPmQPRMjfA1+1Ps+tO7nWUefhFNV lvR+q9NUvMX10njk50C1OBq49B/YBQQuJTLPYg6+3xttUt+ZVk5h2ZYHFSSoiTSCYwlUCIXVTVRA zUfvnQo/6qeTOiEJ5p1ubx2tPMG9kEvAD1ejtOOV7pvzZbMIJro/cOvKaFk39F/qm2ZLtoC1kmyh T79Usm7ctXPG/HYOju3uqvVgzeBHuSGm2tBGz8atfOYLtzGmOa1CarmskaIvV0RYILPIn1A1OnEY i5JPuEJTJBQTiQ/EQX9lqCABM12zV4qMbCtAX/kjAozKgmWcm27cDmU2ajWMpiEDtqHHkq23A9X/ LYDcBC0KOvZXyIPCgzvvLznCkAUMp7i/jJEPKvBvOCvlMW+62HzRTg1XTYqyubKg1NZ02oUyUDBN M/gXwhSS58ltwGTWXFGbFkPGKiZDEj8K7Dr2igoUggS+MRvvc/TeRmRVxN/jkkBPGMTJnZVTLCtn Erud7BcbbU7v92+FF8hZDCKEstLUs5sBHiLP2csYsAWk5H6pNIBRfGtL9kll0+RBD3HEmfPJK/wX 1nET/zNE7GABcKf7oY8tqE6Z9IDS8l+lf8xI1SsUMRRQx/yaNZ9xCQy2rHKPTsUbxWvDEt5e8hqP s2EHsHF1X5qYD8pb0X2YPAN3jj4vx/wnQEurS6CHdVqhdEHvzYQSWV5vhSaXfUeljIBK3MMzK9H7 nD2MOebuJa4+9fHu95KPxmIktFiMiNOr9rYCTFO5LswYyT7QITIa7vmXbRtOz8j25ZcOXkoOo94O igHfyqo4W4Jxey+APH4RuTuxnxH5tiiG9AGNeOrR7saVT/WiGyZDrkLHs2Hk8aBFlKx+znqwVKpM nJ9SS2q3KVHmHZKeo6BG3ESV2DDRRjwVuf40V54rvlTYEA8fjy37wJMykcb+rmgX/JkxcL2H87LG 37rstVSL3LhweJd9Jl6gvQvQDLboX6kyUkET2rTgAMnYMwcfDFA+zACUnSUsDi6ossnzG/uEDhpQ 7VmS9kDMI4VPnsW3pGeRMnno6cm2ieM493Q4yv89z3m1Z4lHXWLKrHago33QMkJpNPVIuElE6vjS MpbDm1eexC5vzbqyZKQHwzVwE+z5qWA+1pbu5xUfJ2SsTNf6ra2LR5ax1nA6BzD3jjw6b853Tdsg DtYLKQ3AjyU6wun9tIVBChz+FnHaVgnNAhyln1A/t6rHky8WdHiW+zw3/x+TG2pk5LhcnI5bZKV/ yVt/UN2ary5/TVu2TqbIZ7bTaG4hsXmXJprbiYtsd7dpX4+4/iN5IHmGjQfQMRc1VaMHPXTWCC3y ERbkgnA8AZBTycbQFcyRqBCUSqULp4RRcWZWzfsOM1LM9XMyXOhw7WYGmO9EBuvVlVaixdRstnG0 UcUMkw2zb5prujyS9TSvdw8WabjoJNSlJ8NAkPU/+BQ7hMYot12aD5HKpBx8PUaTG/DNnrOWPWYT Il1xfkdINbLPU9RzvUWAOdYJ97ENA/YrMoDOgFunCPILo+TH3PQDIveWv8moQn9U5QRPtUj2+dhf mTcuZ/b/fYBIRRnHfYvVQJ6wLUkfVwNeWMXS9FzrR6ZAvItO3Aa0s5xwpODgCSIYbCo3BDuhh1kw Ur3u/Cea1gPmH9q92tbb2XY5vCHlwf4BHIJ9NnEstHqL4yxYJd64W908qZmW/PKb3rkyk5d3Bq56 JEUOHothyMGXfhacfhGCksleJ7YWpXr4f7b9VXAAbvzKdF3BbvdfLJKpAhp/Epo76h/IVNnU+X7v mzy9Q2WmorpNwR2Kh1cv3tGvJu1tNAD8kRwtukgB9XZYp8O3TTJwXLD1LLDMSyfSTpz5AbCxM/9l e0gPYVzAxS+fpJDZGuDYwWO7lHwVrmQzE7C+rL84+2pl26qrQC3vHmzRbJUhxwlGawQNJyFnRBD2 CTFAQlPbn9M8ML/0H/oHnimTJTS4OyX/0z9DvDNitgJuZPUYE4GJo+FrvBGs4pmkbzln5iPB1duP ee9fhViyDiTdI+tC6DZBlfP6Sh1nDxMO41TCgDmMINoKGg54GAsWHvCYVKUILF5PgDYXniNKJ25d pLOjso+3KETEcR8mvQzrV2z2qAshuo3kCbZdPSHzJMdPa2j+Y1hbVOUitMhjY4osmn5bvVto5Fac fpLTDIsaXD/DVceS3iZW0b36OGfXVT7YPKc/4v1rsovoOPDisk150Rz+OOIDD5aqXDgPPXZVBMBK iW7wk0QhSpQCLtbfXWQs/1FIF2sCMfQoJG/x0Lo+QZdukx78Dj3NwZwLof9Cb+O9EG/CRUT1+BYI zfQbiPYtMwLFMQNpefbdLt3Oj75b6kU/7WB+RvgzZnG72/ujmVNP5CnQ4S3ecUi3kklTC6Cln27U KROhPkwSboQYLUdfNy6PErKwqPZ36AGARbkbOUyOqbjC5SkDR9brmwRWS+0o8cluml/IdW43DtcH r9ClarX9TvOsCahA9xq00IKVZLbLfcCF9hU2Smp/I43uu2QXKj4dWAfmGRuUvJ0TAxeLn3IhazGf 4LUb5MuKvmf+yiRM9eENA371VuvINKNBEo8FVm797JQNUgg/pIxwwl8uNeI/AnLHq0YAX/zGEL2F 12q67QC+IHEtcQoigx2SNt1aPiWmXvOtANszGJZ0YicWCdjA4woYNmH61k84YqruSoTqg5XEt626 JTYb5B9XWg3EZ67xaFNOwkwFm+ip8Xpyvb9m6h7bIQEYeqy8FdBv3pliJRPMddbxoaGChScmZF1d F64sF+GGVP55fmbryo1x9MgWd80+rGoqtMAUUoCuse0r3jo4pmfLT2S+IZ1WNyLTpCBJIgFQfeOD tdVXeJsjdsu/ZyZwzpVCO5oOeScsgjAeerP+WAQMnSmw2dQ8a3ZQW9NqkdUnEsk0P2uPyNlSyXLN yu3nBMj4PAP8RWqvgUg4WznFuinf9R0HgCzivUzBUI4oDy+1S+ZrhgjqeVeZ0+7htPZZKr79Msje E1dg0VCtcwDEzjUR451rxnfl4FLFtn28+ayp3JdVnrUb4dZJSXMNl0gXVuBQCyIL6rA96qIXvwMw +gLABUFyvTuvqaTu+qTnEcSlT70v9SpjFryY6DpFmMWReArakC8AGwwK1Zl4n0uwqTxjk2lYjtJk Q5txYw+sjRWzWF86xaLqn4ppyzbFEMUAI/Zl1VG3HUQtUxW3xlMsZKTIo5wktHrxq+lSho/+e52P vW3qFcFIzZl+NUa7wGjDlS9gqnqKWOSnqFkBR8TV5ti0Ma5mV+RirmNbz+pPiIerL4+87fOA3yjK vdyv3eN+ILuVC6IqqGvxQRF4WV+IhBnElff2hUe9UxYTnRhkemettbCTysJmBDppWeHK2fW88VVT r1S9qwp9VqgkNaHpRIrllC67YLCfxzNYjgl4Xmsd6d0a99m3l+3Y9jVmVK1OOd9PFzMEDoPXqdRt uB87hX1y+/HKKyA6ZUh8UyrPjPRz1f8IiPg8QCKr2e5G2tG8MF0e0bMR2rcG6hXz7XyuATXSl5ZA StQagjwlnr5vPFQbVeNQi1hniiLCZDdr3nEzs7xyLqCiXw/MI53amqjJb3R4qrwfBN1u `protect end_protected
apache-2.0
a89302ec354963a0a8f5bf569a83219d
0.954713
1.811812
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/debounce.vhd
1
1,458
library ieee; USE ieee.std_logic_1164.ALL; use ieee.std_logic_arith.all; entity Debounce is port (clock: in STD_LOGIC; input_h: in STD_LOGIC; output_h: out STD_LOGIC; --internal structure input_temp1_h: out STD_LOGIC; input_temp2_h: out STD_LOGIC; count_h: out STD_LOGIC_VECTOR(19 downto 0)); end Debounce; architecture Behavioral of Debounce is constant delay : INTEGER := 500000; signal count : INTEGER := 0; signal input_temp1, input_temp2 : STD_LOGIC :='0'; signal output_temp : STD_LOGIC; begin contar: process (input_h, clock, input_temp1) begin if input_h /= input_temp1 then count <= 0; input_temp1 <= input_h; elsif clock'event and clock = '1' then input_temp1 <= input_h; input_temp2 <= input_temp1; count <= count +1; else count <= count; end if; end process; avaliar: process (clock, input_temp1, input_temp2, count) begin if clock'event and clock='1' then if count >= delay and input_temp1 = input_temp2 then output_temp <= input_h; else output_temp <= output_temp; end if; else output_temp <= output_temp; end if; end process; output_h <= output_temp; count_h <= conv_std_logic_vector(count, 20); input_temp1_h <= input_temp1; input_temp2_h <= input_temp2; end Behavioral;
mit
2106e3e8a80d9100bfa6511ac971439b
0.589163
3.438679
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/metaheurísticas/ewf_nsga2.vhd
1
3,143
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:30:59) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_nsga2_entity; ARCHITECTURE ewf_nsga2_description OF ewf_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register3 + register5; register6 := register4 * 10; WHEN "00000111" => register3 := register3 + register5; WHEN "00001000" => register3 := register3 * 12; register4 := register4 + register5; register6 := register1 + register6; WHEN "00001001" => register1 := register1 + register6; output1 <= register6 + register4; WHEN "00001010" => register1 := register1 * 15; register3 := register2 + register3; WHEN "00001011" => register2 := register2 + register3; WHEN "00001100" => register2 := register2 * 17; WHEN "00001101" => register2 := register2 + 19; WHEN "00001110" => output2 <= register3 + register2; register2 := register5 + register3; WHEN "00001111" => register2 := register2 + 22; WHEN "00010000" => register3 := register2 * 24; WHEN "00010001" => register3 := register3 + 26; WHEN "00010010" => output3 <= register2 + register3; register1 := register1 + 29; WHEN "00010011" => register2 := register1 + 31; WHEN "00010100" => register2 := register2 * 33; WHEN "00010101" => output4 <= register1 + register2; register1 := register6 + register1; WHEN "00010110" => register1 := register1 + 36; WHEN "00010111" => register2 := register1 * 38; WHEN "00011000" => register2 := register2 + 40; WHEN "00011001" => output5 <= register1 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_nsga2_description;
gpl-3.0
4c42b7df8da5c439874d8d05d9395910
0.66497
3.325926
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/shift_logic.vhd
1
36,111
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block IjN7epbgTccVlVNPzG/kE08t/CA36hDXu74x/mmgaT4QOVIlS4N3P8SJrf0hk1+XnqfWt8gYQGW/ 9Gv33Qz03A== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block DUDQa/cjARbXFpFIBcLVnsux+q+zxEbzNFvQYlNJ/UYUjgUbLhAYYSerS7oixsRHCYw/1/KuV0u6 588TXmMiG1krvHocrTyCEBIryU/Wzq8xS4xawdBnKEhIlC1BwXd+j2MoaK5KCZeFkCd3eWGMW+x0 SDVUuueShJhQAUWdbyI= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block A9MClJgqFREZ4MIdZXZjVbT0W2yVJJpRyhPYR7AkcE4rXyGAB13SRnorKqg/+QipcZ2xk+Zg2EAP JbsqhVRmcSFhw5MLr9/RvWGlD8QiWWFSuUymbyi4VGxIL9u6fpv+6o7215/9//9Y9MTYi1KiLjdb /qPIhnCulhGIvSpNAm9d+scPvDi5ZYE5xxEvgkL+zzOyUVZc2x9seELfD5RGdKaTXlTX7VqILN9L lnhTlvEgiHVYbqXMDXmcJLjC6oet2mhQokW8H45dc4ANcAJDaHZSnQmsx+PMYTiQNoMmRuuVUQTv 2ZRXDX6Zv3pEDV8MmhSLgoLotKcFsmlBMBr8oA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block RxRNEhyMSItzHJQ+q/OOTSjXto4JLa/RLa4QjhPq8ERwCXJNt+BlXjqj1MMyrnH8okJ8X/5jsEAz wjuXeh5Zr9UxSrFgS2dMISZGow52L4bKqoV5OLQlBQc7DjptdZYEFav0qbB7P1ojmmIRRF8VYDxz tkksmIamhmN/OSNj8BE= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block SHdJ1UxeYry9wo7mSzieqU3eyBcmRJ4XIJPKtX1xHhOL47iY2hiZhJcxOWGXaFwLyndOkDeRcrYF Dhj1K3eMDTD5XEJxco+Ax26Tw3lUeM4huN37EJNpptyLr0bhas0233ad8V7HoVVKQDc+W2QNzW9C M1izIjtWUXaqdyc4bAxZaVw4TtQu/NrdT8dUH180tmmHzBUQHXFvE4ivQ+L3JNE05LCwfB1ZEVVZ aKZ8qI4LRlqbiq+VDEdsjOh2lL1bMbiDNiP6/VddwXoEQ+e7JukwnO88vZur8pkfAB7/DC/4QQxF oyssk1N+TsW8ye6WCph0MDL0EuJpjDFTwBB7eQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 24992) `protect data_block YotEeRV/iVpszYANN56l4iyxYagDcbkMLJxcnNFSyPxde8zAJhJoywrcwBPKgUxQQp3QLGxJIipx YOgD87BnrY0d0z/GSQGH6Vl/vKhsfvZan65KLSLtbxb8MZCqeyQ5dCzpbHE8R8N4NtF58uLCAcHE QCpH6W5FLYXvyqDK6gGg4yFvCFbQVYANTpi4lp1iajuTU+pbg9w1vH0ocJ+5MNohQkhk7yQVCTyM Wyf7zB8b0W70aCQ2lkqPKsTaetStjZAK/D3ndJjzNxA8Gd5oGY4yTj7TNd8ACy9idSYGgfkcUiOC oS/iz2SQE2zCd1ebOs+wphnAD2kfFmzQIOkKoQvBkzqVOyZzbNm/lCCxI9dxBatFGb99fLL+uSzT BiEITea3WsYYAi+PXjigTp790ETsH6ZouYhnVUDVrqhJVROh1hRnp84dhMLUH7GKtLKPDNsnm9WG WBv3c9gwXpgH/drvN1IBBKUZgTUZ0SgzuIQuUrxw4IuhM1IJKpUNEPEyt7dEgHAYBtJZuHh9SSEh F6WXgqOKncUJ5xDUtiERAioXmu4hdKHlu/KBJv7q6QS7bW3zKC/edND3q1Ngz/F5KHsAgf9Iw4YH 1idSLUAWHl0jLeVddVBtgytom5/VRPmKV71f+b/qilwno+qELXUf2oJDuM9yW/ahZc8D8/dOtJWv +6i+VW3+t7zMJFr7EOs5A5whwmjhNgColwYEUVr5wAlovb/1Nyu3yjkUCrV4NcuvRNT8S74p6xHx l+p34oXClK/5pIf7xxnuTrDqMbkwmNQcDjK4d9AzXeHCebkPlWOu+6vVfwRFfPFPC+iTzd5ZTCT6 zP4LeAy7BFMrVMUVaVGR2K66MoHj0FFUDXBICc+PCtz5YFipXWd1Uea/pxsUckXZkX7v5zb5CDWW JPbLBmS9SlXHBAyckxgC/qA8Pzc9v0DvkRRqMnOiyd+3ye1LOZp1MeWZYd8wvF8EfJU2/4vBo73r +9qbVITsu/GVhe0xYKwXiFmb3/35dH3LPVhtebwbPOUBPiW1A91f/7+hrJ28ZxcZo+ILmQp7KxB9 La2OiCYbVxkRZJxU7U1bRPVHyVIG0EyNgSJhQ2uPopEd+Eg3Gx4W2U0aAEgw0YlvqXhiK3y8Qopl SjfU5MoDLBa1CLJovqRGQtAr9OHEHUl7m/E1MnmgFf8w/9rPjNQbX7sjwLOGMfxqW+/5sz/8U50a gqjD1YM2ug6VMo4/PWZ9oWgZqMJ504ML4WYNRKC6S7yupdOz8uYq9NFWyS5hmcYV8LtgT20aP0sF rnGmVVcXnwycRB3mJWWjXZdrPLdGY2mLmKdTDMjHSis13YtEXOOpl1UOE5RwsA/ytOcyO0uKX787 /SVCXlyY7GtzkqS0xNxOc6Ji18gTuAaZ3AhMcYNjRtCjf/V+6fkLe3QRgbjVUcC+SEwrE1AuSdV3 kSPYJjltT44O6jW2DiUn6rtLzwye1EZ2QoxQeRswUl6GeAyDIfqYjjdhSRXC4PRSLyCBFOJzKqm8 8bJ/2ZYxrNSgbQ1iWcMgfSTVJw7pEpYeIdWLoh0nIVVW0bcXlRwXOHrKtwboyd4R+CLhr0tOtKxl CtYHczXuKFmF7TwlXaNHcaIcCMt7pgTw3r5la6VljR5WthWldXBopkI3ornIq5fl8Ql0wTos/Jrr 8wlKG9K7ClPlGV6dZdYl8E1Q9lIl16EEsxlZje4j1JjW0WOZKTqb9RYefjb7UbuEyQrWQQKLl2gl DAcw0SoxSFxDVndEH4Aabctw/6G0j7F8IcgwcUb2J6FVYi4+/qe7OXKOdwFsybWoBM9m7kXw4rty g7TUAPQz6/bAlsg6+jAujt1pYlxxu1+waFKD1zgTTSNmGRdSlIrxT5LIwQNb4UARgmMDZa7aTdPv POnlvem+e4FU9E59ONhln2h3chILmvHNC+kiSlhU35ZjxmhQvzG0HD8msD4F779+5B/LrnZfHLqw HkbS7VVqq/T6grDiGOkRIHH0AVQ+LlghuOncHqa49NrGZPulfMY0JPgzRD5zo7tyMC7gNCCbB1WE oojyQ2Bkcza5vZ/+8ZwVlFfMGF8pR0Mt8OgLFbiuZ7b6G/eUZYBhvr1hOHCvgazwCvbG2KB7BvCo uasFSKBrtQdz90D9OV0ZbnPwNNimhnNcCrBqvrHE0yzzdeeCKAHOIOoKpoJrwb+rmHOXsRyRrboy Su7q3e6l3QxyHssIKydC0eULJYBRT8LSKHyCcsQNbqs5ZIdWRikoSvomVq/byL5+TE72NLsVlD9Q dOODl7lodm0eKAsvyWDc0j71kdV9ALz5pGRjffvV1Yazi5w/hRzRBeAVylCW70p3MC2cHgbbVWog 6y73g/ROBUvIsFqiSVek7C8l2El4L57OiitMQ0XvyBrMdM+MT7/qgUK6I6NFQUqdRspV9nbxkVM4 dq8dMAmk/+eTPfKr2jXCT/SZ1WMPlD+0SYgIJVu6BmV1CBuLhHr+ZjYlYAWpyzITxpCPp6oRV0i2 IwVLqOim1Wj9wTxW3AvD3vrhLaob48DxK7dVPZPuDv/20xsWTSCxHMnaET2SrCBF/1EJR4MDoHa8 NZHpt8C9GFcxqvTb0Vq2/D5oEOQ56cg6meToTI8IsGzfvtwmqpAUsFxuUDdh8CZT3vFLRfrA34cz BYNJFvXbmw8MA7BYAmWMGPC1/lcOt/b/WC/gaqi6Y0rPNt1HD7xSKTvwnEOxR0Wyk/PWYJfb+dLN ZtTbtlHEzJpST5+RI5KULqeFOPH/muhj8iXPIzkfpM0CnAmfyRw7jKDC+6VN3iKgkL3GDLQXlaNu FuH2uYm/KYsjGsjyih2uAmCyKBdoL1jxQioifEeqF98Dm1UIh+H1pWGGaCAhi80CGtRELnD9nA39 gcqVYii39ttAvpDazBmKUo0r27Eps+h5/Op+8BRMSHYwWgxsouijIUcFw0CWEeHyvmFiRi2y4HxK neLxnB9OeoIbmqGmDzeRU032WKIA9sfjSuPXPVr5ozgxl9oaaDDRYzMIB2vslLvh/+Rh9KAWj0PX cbfdP0NZXn0fnBi2vBUCEXtc+b4Qj7C2OWIaQKu+LrCUSKs2ehHi6Hv2fLyRV71JrJmcHemQt+fu FlliqLF2bIE4ns6cCX8F/a2BZfBmMRo2KxgIxzIQxZ4fjy0aQ5U5r4+QP+X3iEOGaYgUQw0NCX0D 3Ez2AttlLMx1O6m3qSmMigqucfPGCFQynvJmv7efucdRum6CxoMmfgq6fCen3jfVUzUtnXz+P7cB 4oCOXgbSVuBwCrdxb7ONAePgg6jkIPmbX+Ym81lGc8/9i57jeb6f1sMG2XyYkfVp4vHdzKL9rld6 p7EuvLfOaLsVGUnFiSuvmamoEp9HVWZGJtF45IsrkHXslw3b9AIbpZ4lIM0LN15dIXYtciWrjSnz Rc/whqD9V73btRPrj9OgElMslv2fefioCuX5bexXS6pAaf0sjkieNbhQ2QniNg2HwUdqPh6JHq6u tSZk1TjoDRiQ1DjLv7RWThbVGwnZ3OnO0WxQYQddkMu54VsUdpahloYCjIWDDCqFFGXHKaQieMvg whJW4RBMBedTN/RVjjpt+ghpNFECOwDO8gfJH4fcatqEZETt4i1DH8VtqPMY9vsPeZJ+iZfiEJtf Aix3yqG4RmuumETPPHEeoUPFTGoGrQO/TA5Rs/Icqw7YyaOEEB5apmcijaICkh68L2VPqkLJwPH8 kaxu9qUi1dP8duubjpmjaXSZBFdncl6xEG84mIXHnJf36ojE/mGwqu4gA06pEBuFhCzp2mX71LVT a7jQgJ9HTO/TIzaTv7rMBr/AVisO1RIhLbYM53RW55FkbGF4EBlYkaiqD4cFAQn+1SevEjprYCw8 RXTirKJ7UPT7ot1TJTWTgCX+41sV9qL6pH0QYWWxg5MkFbuUruMOlcyWExZmoAX5p3Wez8SMGHwK 5cxyEs+esxsxPr2zykzAukHOkIle81mMQHXihvVSRpPQIMphd2QaKEL6dBU+fXAzmWDki3n+O92X VoxRrWgxxork6StBsuey//Bd4YQIk/F27PEusH8jIfzEtpnFd5z65eLxc5FVRe2+t4O2ehk8wYwv JL4Gp3b6Jh07oq0XUaaSXq4WZQFqOZJRuvR/CiFeCXl+Qr/3EeaSC4i6PsvTxHpeZLz34QOV5mxq 8DswBEgPOSfB6LwllW7Vhu8IhBjxaybnqfj9KjF0w0bexEC8UH9avNJPaB1COLvjBvoIGTwozIv+ Iwe468pmEpUtozivygjXuCoxa5wx289zOZic1j0ITdKR5Yg49sRtMrhB3IfeoEMIavGinjfDFNIg wxA1lEs/P2bKvzsT4RO5aPWx5oKI0FxtJi9hChS770P9LtDe0yLPfAmMUjkBqPq1cyYYPRzrc5lZ Ac0dfT6DLQfWxJuyNnN78TtVAr8En/qY5+sKLNkepm9kcBbX/FwjYljVMus1NAAdgy7Ca5LmHnOh zKjBOin/IwuZQ8MaRgagtYQ5Zd54SEAVIDqcqdn0OXseJIGABxLTuXWKK2cTikOB4U4MD9xYS74T ojb2RhgvLCdSWDqvOkRLmUf5LruYTSDHyXt/FtET+3fpIkm1P2P/FTRlxY80nnT64XjBLSDkmd/y K5uthfGRIIECqwdqdgBdb1qibQ7dchvMzVkEoGgYNZCySWDxPE0UfTryOmYkKXxVfn/jFmRSAagd fIy9ZqtMZHE2/WuRDvOaahBe+NSwSsSl+9muF47XZ2luef3Tkok3TnYo32k9AZhxW0hTdX+HzQLQ rCwsBZtZDhYsC1TH/wF3XLDtnhqfZWjNCVAb8AHY6w7FrUp/Yf2mVlqVhQtYfTdnKBl/3GrI1AOZ /1cLVgTA+PfOAEWk6XpPgtsMJC26dQvuENdJ1p/qFKFdqQgQAP/mynSOCa1rNvAgUV8bwtzbRQgo yTtRMv8KVvac024SzJeRktnrxUDphtnEidxnp8bbIchrb5SBJLs1rj1zhLtocznSw/R88IwHiOoh EHXdPVKEk/l5kxiJ4aL5sB4Oqqub6B+BBAbRobTOXqwStowC3bVSTR/iJz3cF7I6845Yr8SsefCl rp+5riStF7PF+r0j6EhMn8cB1HviQQydE3mi8pck6Vp1YvDJ5A1AHD7RMQrlOIqzGYLMsJEdreYb 1xyfY71c3amvyA1y1pH9shlCtaKiJynj1sxR4kBjbSPaDgvdh5rCflbm6J18RetKrK0sYyV38dri zf0fIpFqOZPLquY/3C4X+Kgre1ldn9XAjdaceJFnAMUDgw3fgKZnQlo+uQB88JPep0GKUV1SwWKH QTzDwRkDxkE0jPdUg88d12JcANyQstF8WnjnzZvLuhJlv8lz426qmcPNu+UG0UVigr+v82zYGNLP YEfd9SzSIDVCpsq1HhPC4UtWV7tVmVM0anmPP5I+h6x5hXW4OgjefHwWK6YdHiaNsbkVU8B1oMDi XiYeqHr7jAhSk9sV0SQdgKxZvDHyPpcfZYtOVrqdl50mj98G/XUSV6oyBa5cwWOxtms6u9BhHHxt UOKdlz/4Q8uwfyydBd3Y+eL69ENtmKQ/nC07w5uq0JL04IH4ybR0XhpRvN88bPPRe1hyVETSarN6 1mRtBykYCooD2uQnmxCXl5JohXb7EX7ZeIJEMVUKUkv42hwXdxjvT9aOkpU1Q9EpIaG8ALr3mMf0 7bQsumHhi/JbThNI0sFaWRSwvODYhkrvfbGodpZUz6fyAmEEI2pCkzGSC+IbUrQ1RINxcj/9Jdi/ 4fxtzJprbz5zgU9gcYZzEbMjrhibPtb2NzZnHystXN+jN//qvti4K8nEvkGwRiYhJPpHcJggRRcb lDbU+7XRBQbrKefMrM9CNWTUXj0R3Dzu3ESZYY4ypTpG2rjus3Ogqupvtemxr3SMpbmFQn9wCSNR dTL3XO9TDzU4oEBhIXSFkFPnxnmS4c6RFUHzzFV4eP04WGgm4f5IrC2IM555yVhuq+bN1X9NkBWf cj+tpNYjteIyRafE6zwTCysGka9BKfXbcaUKqFrXyQ5Mwe3IOiG1/mZGmuncIKwzdwgeCv3FhD7m DcLdLnN+wWo72KtooIPYQkY7007Gtc+9f966MPDizlbpdPn71ujl2HOynGvKb7gr+8ZJMGfI0MJF Bnyz49ICWS/AmLlnhytqaFa5lcuPyil+0z/mSPZiE3MBa6kzPg3GAak2J+ODzT75WeATzGSEapnp U0GKaK+xSblISOqi/7pxYId+Rpb25+Qa1WMQFP7aElMaiqNmq1T4UVFC5aevavSpNkcgLCWgS/sz Q/p++CfWr8z4faKtcgFSsIH9hdaqfNnTWwe4fDE+TMay9wDZzaq5dNA92KZ4kzAUZ/MuxmCVWZQZ 6sb8zLAMVKOgAD82o7pSStK2kPWo7nC/JrZyoJREakGOd4kIxsLZEBVG7KV8Po2U0KnWHY3aJ4Ne dFBnApgc7xwV9eYqkpOWNL9KuoRVuP2b4K5utVCjs/n4/yte/7DRd/GC9IokoLCvrl05s27VLtjm 7tqgDiu1TBLpochNS8CBryKV+dBm/KWyJP7LYI3tv011xTbqOBvzDdj+4AnLU5sF8TbdnhmS1JCF vqFzexqOJgduu3jSnBHU80RMXgkXEoIUszYlCQMzuWM4smowaEEty2tb2+a6FgXvXeNrgRUCAUN1 BD0ZuJT6wWNy4yfr00fOSzK4Xp0ODCt5e5fGdIlm5cBvzl7JcIpzyWAqdnqhc1XQoFjca7eHzaDL HcpG8tUOrH85CBgAwRjyFJEtcj1vGdULTGfY2dO68ME4V0q5RJWqDIYwcN//MoSPP5LnOzNFTqOq oP1tIKj82k9vFxcXXvA1XQjh1jTr/kdGI3BVAPXYPKLLt8Dq1CkTNhaR3bRuUbmVXJIHnHhqHCn+ 1Rz9LoBDHkq0bXb7oCWpMZoZUMB3IqiVJcqq7NpoZbF5GeOUK0i59ogGi60/90e/DkcMG24di+YY 2K/zXqHCuPGjDAYLHXHk2k9Ep+/GOleMy0hqAbsCcGMoCFeVQ4aj7KJxDqalFKKuWEJTe/kunRu7 4LlK95kzPecR5hKjSgmdKZZW8j/Yobe9TfdR8XsrOEzslb/Re+iad+XIRcO3LYd1ljoQvZAAURdQ lazqLOcHtqUg50s1lpdNhqnxgnQt/dBwcpvDRxtGLV704lshXKEfRr6Zl0EzbDzBz9DaVSywwmIP rYr/10WiRgMTGkH/qykDAqQ1F3eubchquvxlRdus/hcPsB7eM8Xjo1nSYQ0wNNExrsay8IKrqnK3 06wEkivi0XnMu+/4ZU3x1ZyBKlrJPPka2EdW8p+IEyv9OEIZrbkPTIHEAbiW6suN+bN2hDknC+3q cl1xGSQBJQims2/jtw2waF/DYpX71AkQ/0KqRbuoUFV1zTo4MqUFblfr2QSboboOPo/4yB7RwWtm duAHAm/Km0jm48tp/D8Cidcv6m67tc8EZm0p+nRUvS7WnBxkEqtSl+LL4bxtULe10Ovfn3WAF2kJ acCYiL3cj8h58bPR2jftrhiNG7xABM+aX5KFKG+XJA05UT3ikriICam61dpz414XnFi/ePz2ZKdu 6Ft5SpOdXZtpZxj1FUJDL/vilAnga3ojsGr2RA4qke/jDst8uPRNcfCq/NUtKlpN86K4sjkNXYYL iJhODwP7t6mOghkCdP+K6pfOHo9OcWs0dGUPqUGPMOjO00jhdUwB50Oga8SPMuvj9CianycXA9FM UND86z6bOEp872Q7v25CQIXZDWKokuS9Kj1qL4I1BpKH6ySqicfaeGM9EdnWSuPmN5BA9E32xlsM RWkRGrm+L9fIzZw2z1T93rSlKIpUMgL0t9i9VaCh1mHpXNAFJVNi0Nl0P8Ylryf8/m5n/ZrIKcLb G2dVH3zMahmwCfersX01VuxSLlLmhxvIShCZu7g3eXjVg5z3BjTzyRkRILlAOiBp7gDeVSeYg8cC 71tvs4qSbMDe+kAaq7pgcnKxciMPnSV+u+2ErBP6Uvhm9wTkq5FPxm68/FM099eFNlrqUoweCbA3 hH23wpytgWckOWgF+Bt3PkeGmV+spWgkzaryRzeNRig7rbCpPTo7BZg+Wwfv40ECramjLZVffHlf Vn0nZmpSQdVwxlLtEnML5Gwd/0WrzOkLvV4i9JwCtpefjMrf6UHn5iMwtz/Y2kOFLsbaNBqT0eFs /9+LNtL4RKG366wRPckW6c0hevCC9DI3JoDdwJF8Alzep+8D2dBxaSypcpCGhHi0ManTUAh2XPHi WrKz584YSHyGOS5f0YLJb5YZtdH/szM+0O5EM8d4fwEor25Be5Er28QRs7D7htHKJuTcnS6RLxbQ ThKq/2aBKi9Cni9XMFDUYJQnlalnIgFhZBlrS10CZ2zBghSi/PNVnQZPWQ8IO+rEVGzFUJMo3ZIn rDnKenpBIpZ+Q0Sue9FPa8KgXBFsRpj/0Ym8+gUvyvtdYTZyaNN1URMZgnKIxPvuUujJuYRM7GLv qG/0CuTVghoBYAGBbjru5TF+L4+gzDKh23RYTsuzGnFIA0HrpAKrHDTe5Ac3KLwYTJm51o+x/hLH UdPnToAh85v/jiEiStrrEepkQBHhNg5RIOV8b+5ReYR72hoc8aheipFPPxfXljd+tcNlQJJsRPKu 3c0Je8ZIIMA6F85H1HG2renkhbm0nenEc735RtyZXbuXRJTa43N/5rcv5HDX2qQ5rZasGzaDJueO EAYamGFWB+QGU4bE7cd9IWSB9huD3pYz1PbqosaLfIIGiIkbHiC14cuXjuGeBAaKiQLozLvWJMrk Jqf6m0igcInfoqIa786LkMnzSgkaJOArZFl2Gu3wuPkGJSXBWVuEpjuMQjqJVde53D7sjeSQWUQN yXQJ/cGzF8wrfKhbDmGUyxYQtoYkOhUAeZnvH56tJ7j6yiJbkZaN6mvgDDqtEcZpNvaiHtfUS9+N oMpwbPhQbCJ10HHwlqeLR6ws2fge0TCxVzhdg1914fKLAbRdyJzzyD5+ltG5PwRot9OdtPinpEdT 3mWiysPJEUIR2Zfqb3fXHZLVdhW+WCInSeqDVHeVSZRJXrt+gl8dRBVEdEH2ggXLDCJ2pm/Jav6i AJhk7HTQcgOpD96/Ce1UI+GJD79XIvwvEduKSZSZs328NV62/9nZpDJZxu0s8KzdR0j8phOD0er5 I+NDhgtX3KNlA9yrH//9Vp3fCOHxWN1/+qrDstzLLqwKRjyR3flCo5YjSg3l/boGMxcozCxKF3Tx t5bGcP5uOe9pv7cKglHYX0cq/9PM9/iRzNOvGBVADrXxh1yZjW8tdpVW259cqa8UNjUn1WqkM0rJ lCc6f69HXRe+2Toqxc8VVmuHjV2BWvZT2iLB+ojb7aIFRH7He/BvKIroZKDdAdGKhtIPE16U7Uda xW/JhS6XLeBULLvFT3FksWJCl8AlRnxVJD3KILjAqc84qR4YqnusuJgdEMJkrGw6XhND6pthFmtb QhqVDNTo6gPKXa58+eyakOk8WwtiXwjsYWaYeea6DEZId7LCoHDp0FrX7xujuT2XIpmgBcrfqT3O YigaTVZha269/m8lYbqWTs8tVp9GhFyqOLnToPxMt0R3WpJinw+pyKaMt3uDYyI4bAnevVGAPvV3 5yb5vAEnmsw6/4TU52uoTfQbtf/yCaCTL7kPA4RX7MaBSPGq1uYvdNsUfqRbCPrEBmofFBat2v4a odtq4HbQx6fXAwsulzS4hBF/fvH83zy+wc7NrU0RfMMWbmAzZlZDivNF5MSoDis+VboNZACHhdVy g5bRpYdhoTnoh1Ec/dpUFqwIZVByd925uvxG3Rjx2e/VQ6P8XLAONhvPfqYspfFPaUm8OQL7LscB eSCPbvsNZmqa0PdVEVSgvKjlQs0A0CLHqeVbYpT7kpA6i0vOOKRXx0QyozsamWQbGozVow5eH00r fSH24Kw1e6pjAojGAqEOMIVPmAruWbSakYfySewUxwvT+3xsnulXE2wvX57HnTz9eHbdtyjn2j7S 1ThuSXuSSo5xibmfc+IOrYMeyq+Mpbu2cPYS5OFvo6uRy9WOyrlKI8mAvpAnhAgMGiuC5c1beFkE h1a3zYjBu4dLxR5l+pDG13/v6yT3HwI4awyT/3tvG/HfhPMm4mPuJlcyhABIWY/y/xpHA0Hb73pj 4+WCcZdqSaKzOQxsz+Yqypod02tMaZKoTVrekM1/VEDnH7lJH3nJnfGmaRzerthvYszB2yaYN0Ef Of5B4ScJAQxaX9UNMly0YL3JlWIiu30TpbDwkiUHzd8ZznJNl21Nb4ZfHIreTDS4WLxbAScZDU+x 3e0kbJH1r8hZCfuVjBvJ+tuftRQ/knJd61McYvk/P/0hn9Js2H3omLeEbIbpxNNfCMdVC5whMFG2 /pmVKTRV6EOgUwFmQP6zzjwLiXkByOr2U0wvMrVYC7JRxw/NE4vAKjenhwqP89Tugni0KZmmVHLh y9nbePXDS+Nga5bA6T58uK9fYGAw/gur9ZjWLETim4nEldWJZOZ1oUUKP7spathxXH6Dpo1KTuI2 5nNZX5JKlU8hUHFHAwX3xpouWPW8xpFAeJC4S7dik/2f1E6LwIU6L+TiFtVgq05kYpHC96eCy8hh /+gWTkkYNkPEyJKyCJTwPtA04r9MOlj2ZSU3QCmFKeuNQvZt0JaMh+TOdsBTtlUW4uHmsbJ6bV0t jvu6bPSvRW2pvLhQOHxYauJJo/aWVcPRY1JedFGmN87pi+ui1bbu/gbZDOafarTM6VweuN+lfupK 3hUtoNfEbenh/IE0SNRQKGq3etVfj59Az+yDTMfn1MbGfnYL3NvVrLC3tOHrRUb+9Mn9uD/HOiD6 y9yVLJ01AHj5gbclvnoHrjrMmb1dRYmiIWJA2NuuPQMlBkM8zA4AZiEfCw3ucKWoPsbo+bkhDZiL /gu8u4kgHpHp/Mjpt3AtC+5spkfNtJjDuwf3DS/k4V/ZFEiXgS9SowBxuCwBFCKhVNgw/LZJE+zm k8x+LH+arT9Rw/Oj1AFGjnfC9KKRy6c9NqM6Kj3NiKTu3fWPHhY3eKTlC3vyIsJ74PrSgWFR0gFO KHCPIaZQGGL2AJ8YCUKm0/MF2M7MMvje+RZiTKuvA4ZYa3zWQKF/EgCmidVn3uVD4WrFx5/aW4yp IyWgRAYMqC6n4DeTE4OO4wLJ/mm20LYGH7yF3xkKYtE0a7yzkfmmd+DsNO3YIz1bK5Ox8hbrogHy Zzx9ktch7dfqNGVAf6zU6IcjDEwPQ2SZ8z/sWuH4WgYdy7yzzqOD2QeS9kfMrRhMRg4aVzkONIQU Ihsz/lpAbS48XWNeuRhV5tpQ/Gjjv5Fd/qq9Eo2BIYhUEVpoFj0VuybPTqniDWAxZgrw+9S7eH2J wGjy0ZK05uYgOxvaI0xRp6pp6+gG75rEdLe5RwunS0Xg0S25UuVTAdsRjwIEUFzza2i+dNWvjJIM QEK5iLQaQx0k8k8sBxmPt+uEmhKhZ+VlhJ2Pru9+ps2GPRGvPT56Po41kXFw+/MZ3r/boEz27Ufk g7ka3ICCwCGjzBxiCDuwyLL+53zqg6PKc858jOA49uXZoRdZJWGkzpnC4TR0Bx976FfXURnP9YK3 MILtbs66bsf0Z94N4qEESklO0yRKB9iuaOyuMFKTiBBISWvOtUdopcyxracsp7Pqe3tEj7fP0AeO NfL4lfgVn+ZgcHuWlcIwju+WwJK4VqZK+edOEAi8Ymf/HogQUb2Wnaza43CqxpjNrVbm1AI+PZUe DynjJMepBlMdAsflAigzfS5CNzeO6YunJWgNkShNkCduaepRhw/z7hOIzZxn7wXpDq7Y3FM7ax9s XTpII1LiXOF43z38zPEOw355Vs3baHhpv+rwwOYKbUfeyaELFLo8i6hmbfGEGfl08l8E42WvzaLX 1CimThYoKJb3KwQs+7C4WO1JRX8IXEZa5K/m5xBmgvsO3jqp9RqbIjaSahs63yhg0L92AcHJLudn IrRGyRssVkcKTw/KjeJuZh1QT8qCxP30OrUcuVQqw/Lb0LBwn5g+dvehC0CLMVIEzzZUV6UteDqR xG3HorPDvDufqTpC8yp6MZ9y7QZYxgXKY9F3tCRKkNMZHEytrSnU1K9XTk/2F6j6L5IGtQkfSrgb QW0unqPoTpgBCaVCXyhmNmd87n37lchZq2IzRC504kvV1QQkDhvXPInto/lO9QPv2R18SXA2NEqu 9IWU/OkIMvmopjBunEqGKp18qHPJPmV8VUCBiftzrN7KSw8QQOewGP4tepk1szoY1oWyGTZPF4BZ JY1JXnpejKYVVpU4vUAOMcLI0a9xv4FLjVg0uIR5L7G/h6zABcGOE/2mE4ikeoSQTSERUoMcpvke rKI4pQ0Ij7CJ4mbqtHhh1rDGw/1ORq1G5Aq5vc46ACHYCxcxaenJOoSTF8xFKWbVLhoB4nSWxfUe 63tVi2F9WY6E7jQacPvT9vlVRNWgfTRnGwTEViXY7qYm12+7ud43j55ztfjipRUZMvfqv7c++exh KAeDQsWTKn1MeijDGW6jPhUjSxQiJW9IO70jnTh6YGDeG+vF4t/p5qOfEytkmyzWwSmYtaqfK9s2 bC1kyPeit7mZuINCO+KyJcL9fFnraQyPfGj6Rzz7CoNO3TrzmGKNINGwDU7iRUyxPxG7aNgXr+So q65BEp/P+H8MC6IjGGk7MK/DT+iCGAX7tTRfh2dtQUgITvxMVSC/TjeYGyXANLsYr0Oh2t/kInH2 ZahoNwiPbUFBNPTZyv/64VByb8ov7gtLDvm2njUGTe0+t2LAAvAhaXlweJg4ryK47qGhdD53q7bR KaoUptfEori/BfIbbrPftZ/OE+7v5/bPtOuidKJk0gDH/1S4MXMCD4o2df42cAKc+oLvvj9Z72OI hoyCDutNew+/M+Ya1Ky0LjWMh7JboM/bU3ipeTgVgF5wlKfhO8GtDdBbeiiSVL/IANXe2ojRmX9x 13xP6NPABW9OUy+DprCU9+2dSma/jmTYIgXfOIFtPnf4ZUKF4gSmfRwcFi5gJ2mlIxL0VYeikQGJ t2gMHmaeFYa6P8hCPZgyl8faOO+GKsnY9oudb9P0FyzlFtoN6OA5iSyf5Zf9/C6O3jjsZBX8Vay8 yrYqc4tPZ0kk4dyOIe8vK+MwSkOCASVZgI+jqebQ2HyZjqJ/F3IxysXD2Z9D54JxzTpav3wBzhsO OKeFMSjX8w9o9/ND+A+fYE7frrQ1PmxgdXMzE+N4yuScaR/podeqhT7k+AIKLxIcwWJVBpKZqWl8 Molqaea0zFGHx2+5W5VaYoIqvafDlGWLHdhNTGsd/N8AE6NhLm+Uh1PwE1+hiGOojMW8skKxzLBz 18+A7LLmsbUGmfAXyj6CAO2Nq8jZGDMaq9Y+uUv5ZYiwICDHe3V+UOutei5/XpaLnl5raGdH1JDe Kzhze+k+Rr/7ep/M4jj8JC2V/KQo4ORi1BIFzZsEGDXmBBA2nvaVpAlEHriRom5hy79mhF6wkaoU bwG85OpaFuBg9gAd4g3lnAoD5+h1q7kvKF0+EGtHfQ5GNVug4eaKCOc75TncIWd57s1QISrCYq8o sIXAimDmU8vMza4kmsSDf/LHbRg4d1PaMqA5i+3hZ18IQYbVmV/Gh9CIVxCjJMRyjqUfDz4KQtnM 1zwFB+j+SNuwTD7hBdYUwmanox1Kkvxm4MBgAKVWaN/a56uvC0qPyPNLN6xrNKBt0fc2NotCQq0x jXzmuVLs1Ao438s5CDB/IqTlTZDc5ZcY9+pV2di5xY6IW7JA2/jGapVdYFhlN4pm0Ywyn612JDEU d7kRvQo+CYVYIpz5te1YpwRF8JscFAKc0O1kY8IeGU3sLDB5FL0dskO/cfcSU/WeboxAAp7ys6Dl cALYkSnEE9ECxUQlQUQbG/ZmWKz6GR783TFdH0s1OUSlAurMV7TqKBqWyryjhPWDWxfq0QFlJdQ7 pOUBn3HrRHLHbIXep0LhkMJ3l6hr+I9nUMjyQB2fHjZIutyTWc2Ply5PJ2XZ+c9P6JJgjdTTPdtA nGuG0xD+cTjfgTCvQ+jNfAm8N09pH3RdbJWV+IDveqCEoo+K1T36t1iQnbpczTkc31xhODWs61E9 Of1jlmTSBYBF426MJM0c0u3U0mA6B5ef74EACNoBpN9lGn2gNJoo3JDTuy1tpyBJIpB/yYbfNpm6 6XFHwhslm+3aVVIuFRaLOOp8F2q107F64e0R6pswCEv7Hf6fG8cjx/V6Zn06z3QYmQzWVS8YKQXB DFB8Z8JcUbiLYIKArqd/aLmTm31Ew6sgvo33CgYJTYlHBvpOcCoJVyx0wQ4CPOWwyBxP+rN6lzRB o6uFI7i+Hgx+VBYF3Zv89C+EWkxHmDTxJ0qhS9yl/dpBcYId8P31yoa/AoVn5mvIXQANJaGfZ7I2 KlGI68K1i6d8OPR/uCrF6P6uFFQlH2yyzNVdTkgfS/j5V8UNE/Z/ULpGUxzbhZ2Mq9eHdkE+uHi9 msifC/Eajq4jbHZOPttMhudChcx1d+1LrKVz5uZ7JwfsI8SLkB4dOU6xDKFTjCv5L8ewWnxlbbu0 Snm5e0pfaolop4XZ/tyPRXUCOOQWNjImKDn/R4SGNMOVCCR5enMmse80M/FZIr3VoOFE7C9LdZVe kedsWW1t9UPLB+xwXm3gvejJrCBNiouRg6s9/g2yADM5j8Cg2jNeSVHPHLOUQ0xtgTJMHRX9aFkM 6x9h9M91/Vtozd5xiZUM+Raw7NegkoJ7c6v/yWZFh1SZeF7y0fpvochldjseBjXPfxPiWqfQUwXN stHeKMyEdbOvk3L675+fY45AkChTPPVlfeziPBj6teV88tva1id1l1lPx7MOyx7x0Y48yCf6NwQ+ pA2z5jq5mFvezKrofyAZBlmB8ZRcwJU2YO/pyhC2rCFdenp60vejlE/Ov/T1DW9j/dKF7jseCIrn 4s8uYxVZa/iDB4b1iR303j2lcj4nBH83uKVdlPsNsCgI/s0vo3AwGPGHdNU5e0sdxwUCyDRwY6XU YXyxHBe+gJLKqYP+upbg/6BO5jBfzrPSSaQGtC+utauJvIRNjw6OwdT6xbitN64HW3xvsKN95cXT qlRFLR7WBiXqfoTlmyowbAdaEZ5q125IJ0uXDN5jDNMW+MWb/8VOLfvlkYwqiMs5VumM5mzsmYHP s2cqIUxshbagieDwILl1GrKlkxgBpX9wXUpCBmtWi0Zem85FZSjexX03exDMUHAB7ay3zXcQ8ZCt h49AZ4qsTwxyqrxkAjeDaBwj0zYZ6HYM3sMJN87HaxIUbuYm8yymGlNMljBvDKvv4NSROOnQlMcC npU7EVDUslhWhHRj9mhJlMIlOKubseus0EUSEXpnRBLrawciwsR+IH2uUuCGHgjFkhdN9JVnBSQe Ib5BOmij+DDZgBllt5XiiBlbZQP/sQpGmB7OFTohDI0zrSVgxf3EHx1rXwgsnM2SSETLf0VYw4ME RekjbrMf7+HI428xgWbpO7f5zWNElYNEMEK78u66yMfsLzZkIa6mIDzCgRWrtCwg7GMfUsYc/Z5j Smsho1yi+z6DBYcoULTrnI5BHG3DtOVUqNsORHrJbgByazzDq7WWdjIcdjyYfbKEAfPvoJIosAih 6ojwvuyuinkcxCn0rzOCfFHSt3hH9yL7/SrCW5ue1HCI28T0F5tLbuckp+2ds1RtoM+jInI6UQUe NUQxyv/qnBSPwyyLmVq87+gzpLqiFgotDSqM6H+vgoTj8C1u0L4mgogNpA4msn9VmZWg43SIW1Fq CfvWD4gVuVqgOUExePmdsH2uoil69XK2h+Q2+dS3Q3bqqQuq/92iG1SUlKd/aVKOZnoyb4k1MBDE 22uhcS0sRKXyxLQx6ys+BW0Ph3YcUnNY06/uSni4m6r8KaEk21+JDwV+DyYcsW0HlqJHaDBKhW4j MmQEn+THh8+5ArWXzKBtgqgM9kAbRDlpqHRau+fSZc0mityPxS9T4Byt4XTRvRxG17x+hhKFaptC RSuXZ50M3yFtu5Z5g023fFT1KeTt3q3Cf22+Hqnuf6c4BxU8qmcfalFwH0YoV/1du69DpEzdkRAh ZRh9dYN+owNitsEvbgHcPMc8OVfjZXBV3BEXanaBPuG4gwbDOa2hr0LCpgve16ysQ5XT8ZI7GmpB doK3I7M4SCHKR3Ipm6vUt4NGcHj/Kh6iUkqEgo9dQzk1RzttSNQ6NOr0vgPA8onWSM/wjmPTALRz d7udjEmOUrw7guMqcg3vYIXifs4J9vzJnrEPH0aYL9IMBL2ahhNim3sw4uQMD0POUMju9hw1d+Lb WsLyUpQ4+mEU/MBgT21JWumKd9ldBCqtiChiIfXHVKrdyUevvjEniZOX61H7QmZ9gFnVq2WTlU6A ypP/ExRN4+NA/EeJ8mhyFXpGWBUBwPw2pNQg5oil4Zkaqfp6UrZ6KHYJrwbtmSDw77cONo1NISQ2 S2nKS3S+gMwXrwh9OOPbrWKUfXyAkMsLtZXEBGWGtU541CdiaVkr7Js899WKW6HGc9tSrSdnTdbw pD3spv17Ep+Nh2rdKlpVafY8oQOwC38tG7i+W7cNsdFAF8gy29C00SDy3sfpOAYUQhgmMcIpPDiz RfDhnz/VmBezDyjUkFL9u5dwwAdXQPzPt+/RI5WoDaa80ND3VoCXEirXkE2ZvETcwhQJ4jnB7vbE FHWf+lAdNFiU7FQsmU+opAnfUSbweHqAdea3BmYG/99PNtbhaf+cDXWTujziBEKCWYKGw8f/tA4S yNbjbrTFRr4hqgXEDYgQ0CxjoT0l1pwXITG0V0F44b813uYvzm6NA7KIo74T5D7OS6LjiPvcFf1Z X9Ez3nBjh7AeMvHzKpjcpqdVqzGfOo/o8ckkdFejgiebhUuF6o6b8YvhBYocQtKRHJw8UBxG4fxv UGEc5c9vTiJJhmd+PICY9aaxuL02bfsR7ZSz+gXR8KDDfoxvSdmO4dkf+exW+X8k5KhbVCi1es0v O2RLCgyTnYj/+hsCJiPCZl4ySSd31+yRr7efofD9Y2tQvasnKlCu6ryIpfIo9V2GfcHq7t2RyRJq dk7VnVLu9bynqSy18dsiC7Pazb8o4+xZTUkob5YGciOFnwMUC0Q2pP/VGtathSEIRFfrhgLYvJcZ QFwfcrcfU1mmaA4HDJmlaJYbQ5QWtMWyFf7BLuOdrJkV1X8PXsARYibMN9tKSFO8QVRpgu19CmXw /+GNwZXbzdyTaM9OMDiIUQ5iyG/hOZ9y8Wt1HEsZCc/Z3xqKrV+g2YEH6RoIa6r5ZDLUP6zbXcXV zoGj53lZLorx/LcnRDa8CcYPoIpsk9pSNvz7+2U2g8hZ5bnWyX0/xPw2rgQP+QeJlbhSIXHK9KMe /UmRYLVtzhRhuoMXF+qn+w+vcFwey+/S3p2A2Sn1xxrhyKX8XIYQ0vN0YmH+q9p6T7OZZWCMEuX5 ZGfyCHfmaEBoeV7QwddcbGq6J0DBqu4QwYmc3w8DL9jd3N+VLgnTPokGNB0xOa7GHykuQvhIroIs OKr4ZTBzr+zYht2MJ6FJ1tJRrSepq+/DWprVbQ9OkW7K6Bd6qQtjcFDzZl/LWBhDYmyET6xcR9i1 oMeXQqAG86keff+t4SD8foO4Iwfbgse1WwxYrPw5uyBYycJnWxel2JQ6+QpcNadBFJY0Vlx/4AIH 7rj75upU722o7T+MDpQLxLenJ3/cprk02WUXRPeSt5KpLz0RFM3AucOswlteG6OG6x+k2I3/9SBT CvBIwOWKzz6t91/amuN+mGSrT61JYNfQTMkZOHDWskP+ZeGF0X3KJlSLnLTkVas+i6lKkOGjSrx6 uHvqswZix87BZLJQ8K3CXiOrwdPxxE97hLi7DoxXTlyO7xRhlq47K3g6FtTTXYv6QqiG4uI4oI+6 zmaLKcnD7X77+55zRw0+wjTHteq/LdpjEFBNyiT116dYlGDG8Y0XqSDGwQ14xFtm4XQVlAhAH8qj bwOKLsFW7JExdChIel/CZk+A6nB+JaL5iNKlD/s74X8epK0yiA/M8F2gWTnkMDoI772o2UiRXn4E OPs7IBPD48kQY6VUVd+XZJL9HuMQTKlfpSCyEEHyRSDBuyjuyuZNzmcHJREf2YE23pw6Y0eavwWB DJ5j4+AVquUAagA/c9P8XIsL4k5PQtC6M7AcxwOcgob+iTOYZ01sBN1U3oS2mHgfZg0zN+JLUXoH 6OOhAM5RupMzSP50s4SY1UynG6cuS9xapEM4zvOAZzwGJ1eG2/OGaBJMdqO9L/MEXqkRvKTwoDOm GCSxraXIiOdN3mHVk6GRKPWvsiXNCBK0lzR/0fTGjiPdv5arwP4E4Qg6+Wju5LcIUm/M9PTWEHPr COIcRDRiWr9j2bR4IooTtg12JRxLsS07gxRKRUcQGRsSNY/RRxbWqkb8NBie+x4Ih8QcHjpK7aJd QvzK141NuOFA8AqU6x8mzfIVmCHpYE8BDg3IWvyH7ZSWSjlW91HM37ltXRFvOWL8k7t9WZLvTxiW 37KhYed2eUOEbKn0gCN+dcRKZSrJkEjXkTQJ6igiTx8KpIzORErQwcfhvnQizQ0UDZuQ/D9PaW6/ BCUpSWCt6I7EBAxi9oQyEB4Sj9tG0NscID9k6slUiMglapYKzLPr7wKEY0k2jPdY4WnsyBpyvHD0 EG5aR8XjKxff4F2MvzUXsbuzf7hDXGbffJa/be/pFXuOJWzpSK2knc23stxuguyM41aKlgla1yOE 4bJeqCVMKJMbGBePER4qHLPaJ7WS6AY65tXlkkPOh9cCKbZ9OE8EuKyk8vzg1eXCl/Cvhb5nKzZ8 Fwe48cbNRUobbyfufIja47TwuOWRGx5WsRD7TRbyu4s37sA74Qv1OJq9cpRaEu1aI9QA2VhZUG6i shi4Or20EmPUXx4fTk2QgxYfMXYSCguI+9WjqePzFeiaqRiySb2i8ybsYVRyrukq1wgOwoIuuQIs Apev9NuJ+qr0aw7VNNFyxGk8vVY65vj+j+TyIKoEh5Qx3OclRHNQe6DfXExPhXKUaNFEg2lXSFeI lPEI0suJFTT5TmaeUfLZWygmMNn1eQ3aOiY39iKXA36HageQcUKWkpmELqZXtp3Oc+OzeM/U1Hft HKmnZ+mfuyVWuC0wePd7iU2MFlz968OsEGrtdWoy4CkkNgiOT1MD+e4PET4OCKEqC0+KItKgj+mr ckscK2jexxw4YLMbGYeL/erw+exQUp4eVaj5L8xxurZb7eACdDCUjDDd7VmfO6B2AEp3Nx+wyx3n s1KRyddVq9bLQDmS04a5WSL+O3tHXhoYbmqpDQyG+R1Fx77FQg2dk/L879Cvp5NaeLg+Wwx5ZzOc nK0X1ojO/0SbL8oTfiQkH9OP2L3fj2w264tpMFHH6Z3Ig2uexL7HWhPiRaEi3GJImTY/cBxZoHIi 6/KgCc+RlSPGLrmGWSQ6iKWqAXH5EQ3IcXlPZ0/G1nBA95XzteUsn/+Rc+ANuGncrGLadbCuDyGo ehaAW91geWWAmTpYmsb2Mg8XW31UdeMTXVz8nkrgA9QFfKpsDq34nytrJnkFBAh9BtdQoCEN56An U4n9MMdWg52zXb0TLEEv0/c9KBhQDMKfe4t8n1udbcja7Y6Il0vL4IA5rR/ihIRER0PHMJOaMRiB P38ljM1CGaFZzfFX/Erm0HSswG97ygAgn6HlBG+20sUl7HcDxeBJJfMj8TyjeoIWiimE8srppgtE EA+3t1SoWwoCtX6/L0o2khWWBaqaErV1ysRybQamTFOTkkVJjKdLZFHvAxotL3rYZybKPO8smouT yFV5Zedg8Ky5Y3e60KU9ocBXV+83aZIHbR1INb8QTw4M/Mv+yBcBYJv9HSi+UIR4q/n6n+dyVa0E SaIpO4dHLgC05KbAhhAiTpTpYOjzxxSAXU1UbDTzyeOs+f/NVRe8xlDI74D40dNXDDBXMC+UZA3N sITF2wxqlw6ebz+ed6pmGwi60BfHoeXr26M5H1MyljJk0DTbnQ9+WMmmg44oW7+xk0X05LTXCyvz Q2OZmsr99X6z1zvuwhTcFPvpRSojka8C0Dx7RxZBXVBe3wiqx0YQCIL4XNBaHWDUQWyRVFWwxa1W uKc/3MhY7SjtCw3rdZAQpcD43ZfIitsqQWUZRRTFfa9lckoWo4zhA+CkFlvKrSxzAJyywN7jh2+Y 8bVnoZB5MT+ePxibswBnH8KSI+VSHjkCahj5pPTjatM/Og+qe3UjFhvmrFh3v92EzXV1QIUqSdAS J3v3cI/ADgNWLtbii2Wz2HhjnbSzv4T9ExhNgdZVKkrulAGLcxElajSYT7Frnm8aSpvyCB3XE5xG vDLtrkkFwhoRutnDdZrQX42Mrcizvbffme2Wm/86I16IZXrdmk7IfBharA1aoJMjK5l6u/2mnHSB cxHvHOfKgfjJDxEAVmzOVvOVM0fKtiMR3lXmP0h+lEs3EPmNeXNKtN6OoCoF3lRBJ6UU96/dg8NU npYU4sMNIGZT/YyePahbxA49xXt1tYgiD+zmKvWOLXvWbZymqKjKOcmsxK5gzPihBPutwIARuaNq A/rWmq+SwAviTlCjebMK988ERXIsQH6ZdwpCk9sRywiDg5a6TESOfXI5IL+iO/mFj5+9KRMVfl97 4LyJsknxqtZo4gVuvr1M2jwdwL4sDGaCBu3+mfMszG7sBDVmrOsozSApnHiE1FB+sx/vJcw+7a2S 24GHfUI0XQ9z8EoXsHHD/h328iw8/namb6HbUUrG0dNIyUUXOe65V+IG/HVlJbM21wr+gSJ7zIh/ 5T4XSZmNM4m+dRxEHESQ15y9OTB93/3Avx2SqXWIw/tFcbd/00R6cK8CS9Isi2YStZM10tO5XU6R qxz5dDFTrNBMWS2EweRN9+Pg2BSVobKTFr9fsyQA9vPIbzRx7mcVfNpBFI+jG0PgUqL2rUqcFisp y+iaJj3Nxp22i3VETnuLKb6zTkpvXTjL4MQKUjaEXYxy6qlwA9AhphVeGcAfQhKYwnMmZL63IZWB qhFOya1H2X+O4xegYWPaaxWF3ePwC2Zgisp0rAW/ZCUXNgXziu2izdp7NBNt451q0ehrl2FgQbqx HsIbDNkdnAYcAdGRlBubUgsbE4CUnXPVt549vOGI/q/PBwZWNYAsRS5lWGNgHiL5K0Sv3ytrRqI6 xWst0D73uBG/o8kyz6sBwbsDuY1B4DCQ1YUSWUV4jXrM0FrPnOZg39RB+tfHKr8UzJCQXGlpVpBx 8rDCrbTZ16BkBcaMwULFJOjceYMwLhwLYia5oEqhNilm+ecSmsL6lySX/unzzk56SCtsWFc8Qrzh TlmbCnibwJCQ3xm4zR+h5CQ5VqmwLwM7CsNgFyYRQA4OdVDra9F74982GyL7CczmonfkF750NFYs NoMi7D0UxX+6pMFwtb1UHEUnSH2hXvP/J/A6LZlGQUUNGYoJxx4OFGfbk9Pn8M/izWCPbWPNmXQb ZPq2CWD18NclJDAJOPal5yFjsQCPK1GW3Eho/r+GbFQ8on/RdkY1DQv/9U3YkJEiMhhUFfSR0Hkp dTQftOSCAmXo22pv0fnHIoElIXfdJlpoCRb6sBEUA75NWtGhemxxCZ68cfpMo7KX5TF6s3tkSKuY 5sCr3Dta6NTCK0+ArKB0K45lz9aMsG+g2sVVbqxmM/90R7GiL1OMoGfaGqJagprVZ0DfPb0Rrj0r 8JOoY+OcC2vPAi6+tVwTWn5pvYrWZJE3VVCyGmNSCiPmFofFO7yCAOT1cMU3DFz6FRLC2xDZ2ekM KPfQYnjFL1cOb9kl5MBJWvde084Jai2ijMMnqtA/dYI5PbPGhpzZN1WeGq7Tn5fJldCxyWK7RLYy ophO5UoOFCcLo4ivOyx8FwhhlxHhpAYL2VdhmeEg0HJw9M0fUfeac+EIlcPOazfY9PlVKNjVnC61 oEAaUinEqkon6BMDw695glnPAv3CbxigxxDW2uipIpWGPuRrH64MsKRBGOiRfVPVuA2Wc2woJrnc 00MUO7GIosN9QtnXFoyisu0wxhtXHMybQ4vZvrnw3jQLqY8GUdYGcEvSuHk133E/kRnGvM57I42Z 4eNXDJaV0Vv5Wgq1jLpgSUVlnhI+2XBUCKdJlNeEaW/Fk7nuZvUywIRwFTmX9DEBPRQ8VgfMh00Z EFd5GOvT+C5Jx3vUzL/cuIPln1QjKe3eURYElxxjLTu7w6SyGIfOYBx57sEcgkBbGoCMZQ9f6M1T FSctgAkMOirq2HUCTjmOanj9+c7UlyPE6gniNm5kA5pzo200rwbF6MVR2VF88G5trQGJZwirqrT4 GxdIWyZTB2JpShn40G0T07mgrnG/6miZimgyjFH4Y2OBXOQkgnAfsnp048HJJbVM/VS0ESFCNW8i /V5gQ4uuNQHneLypABt3j3VEIdUZmHj0iJIArE2SUH4sXRGoJOOe9WdASJAwIFhIu2Y/zX/OeRdR 2FX3jMB/ei9jySxCLbfxJkHKQtoGGDRIhcNvAOWYYt3dphczU+YlDmLRmAYqul07Jas1TNLiWO1s 76QeFRgpDEWT7oohCxunevV8PhvX6nQQQWhlQ9YlTsBbiqKUFWcs/iDsZFjUAmFRZWvTvsmc5zSD p6+CQgU31GJ/eiVJxW+zSwbBWhXpQzRITb8qn7A1m1hFvE5ky9LqD368fiqm+6pyhk37zTZuwySP ShwvNAtS7wKe7J9NrWMDo/kgOvqCcLAAH7q4cqry42hDrd+Zwn3Yp5eWw0ZHDDKlRP0wVEPtUZYM eGyWCpIPf6VllMckzuVX6TYs6IE8hXiP6tVMwpmH5uZR2NbqLgXiUT6ygz9LB43RvjYLbf7gCDuo 7dJMgc3tmc3jLqvzW/OYKBPo913iitc/MnOGrdftv2xIx9/rvRgELsJJNbAJqAud8tly/XIlSDNc 9scictH1w9OiFzfPgGgBpxxaHqLGZoMnham2zroyqUeUHcWuOAtDLTISWd5FUUKXuCLkmqxV8tu+ rwnjlk5Jn0qD+2hkDIspIKcre48OK9tteazXC5IpQ87L5x8mZKIOUpZF/XN4kSJQDeFWNpmngmKP VDQonz2C9jP05Npo83ZflKnzjm7+Bu1Dsv2iuJ6x0npjWAAkoUDC0MVGuZAXwQEcGJUHgAOwdQyk /27i4CpZoFJVk0jqwfmGHpD5pdVWRggi/6wnnrGyKsO6e7+hP9EmF0M4pnz0roK5+l4cIiduvtxo T4xDNQH/MDEoJvPfoPVugVm6bGHebhvJgxCVxb4r75k8y3fRQ/iya3x0XQmXTPU6WIPYitZWbhPo AG5Pxa1JN62+tsUxlnxL0TK0/dcobXOCA6dNsr+aRi+mhRLXlCpS6W9QX+UEjPKwDxB8CMv/ycNz 5JDnAYEyzDO4x+/lNoI2uaBP7wqSf10ffAL8el8cI+jQ8zVUHAEtzxRlp5oSIVLixt4fBtJkCRo/ 6UEsAA3dcHAMkqzaPF5jol6LiYMev4WcuGd5reAb3HYzyymqHfo41HA36cWUBLxELN8DtYhGukFx dr3DP68AuOmHWpXpxPd5EYNjiMUZ7TtW32d8Hf6i63091DLKDWzMbu1FvGNQn9bs28qenrhaBNBX YYYrmHgu/A5cwx3EfEChL2gHpkyQ2xHSPe5QCewDHJqhxO8pDsH7owNJS3r/ywOM9MH+1S3WX5KN QGRpWJqxUbpp4WL2qyrnSQp80gbJaTy/KtyW2SZvnwSljPDa8aaz91xDmNlxKTE8qCO3fOFMRBo3 QY+7OV3MtXiT7gXfY3noVgaJtWgHUsJgYG/cNoRsiOba71wfP3BoS5I86h2Qn6iD92BuqntH4i5s Z0Q5jrfCUsNdtfw1kQn7EYhav5vR6K1JAougz91e0fpUu6E962J0uaaZ312ua/Xkhi+bfFR2QOci qTuZFrI7WJefnzhetSYAFD+N7fQCmsFKmCr9RJKdh5O1HeSoHZTIWa14fEAY+Dk67McMbaK0lj9m XfESlhODZKi6iF+TWN80Bc0Sdu8izjxFzk4PiKZevQfWz1JDQ0ddY2jusdVXSzimtuIrfCeVCIUu F1tfqaQVicNnHMSERStjh/X1Dlv4jVqn6CK1VLZUkme6Yjt7DqFnod9SEwdAidfgIFNsjVpUP3Xg yx9PFxuXkBbs+dN1DXPBUPUVUbTVEEFJUpc2iEarfmsP3ecWKPf+BYeg7CDUkZ7lP4YfnSKHJr2b LHBTowubYnc3Ojheqo0N6wvNAtA+7ZuTjgpHOmrFLqIeT+r3dJfBXQUdvf2rhtVFzO1rTnZ6suI4 nDAMMvoELvIlOF0TQKMxbnpUUes7wyR92Xdu+GmfVPyKQtpe52QzNIn8R1xZpsBBigBVEUK32EM2 mYEQPOgq4o55SNLSXCaUMgr1dFjH0Rvq17qX0v0qDNcRLaADD6+kHBRxvr7deo1NHvoH8BzbuLIn uqMrtjRvrcPsGPtgJR72lotEWPn7AGaYhfX4KrjaVfqMB+7G5TxJaAEPMzuzUwEmiwwSqTPHjgh8 w4sk42bxyBVLH3/Epco+SHG7fKazJhFBdTsR05TSO8bNE70+VgtLHm4MKqtpJgYrjtQsmB0oGa8d cqP6QUMXmWXKmGmIeCq2QYXQ0vXJB5tZLTFiuzF3VfCoEdaOUrV1zxcPD72h+H+ew0OlznLlRa0y SQfTCs/e4k3TRNtgSAyqAoKXPb4bmxieOnzPwD4BqQYYQpjw9k5Lkt8E9dlocL6flEmFbZzC1mU5 hxEJA9pKI+Ppf7PZ8pUrXno40lwgISBQ3Q1WUGQbiMVY94EeXS5LpIv1/e28ZrpBp2N38l/h1qPg tA6ouQiO3+6cx6M1W0RZR4utSmc3yQbyXaGCk+B+fmF/wcBeYptwcYNIzQfiUbUMHuUPY2g7kwai racSn870/cPZoSHbWy9qzvTionollzl9wBn1XHeuMO3JNzmnjmttVRlLPY6QNlUS8OWxw/XsQ7t9 +X16vbjPobWGQsPE9U2PgkBF1dqwIWz1/tU5pscoaRnwTN7m9iBOanKttBhfNNtyUXUiz66TMJei dcuFe4IWWSejZKoeKf17yAcsLM2TPSrZeNmVMExuApjpOmn2KxYckg4GT4tjjuZLpZZbCJzKwVxe kSHXeIgvbPeaZTdt91WGJJ5+vPTXRHg68+8lsUZMD5Zk8YOQP2flnYlNuo/2AmHnnD1/p0ZMbecR hcs1rIeQAeQ4TGTGxZYAOV0oGEHAnS5APU5QCLVMZelV6rIoELw2azSpum0U3GxkqBNNzO10Zg5n nByCWNLd+DMsTCCohXVgd7frs71DvoPPxmVFBIK+mXVmqt/+w0itb5J9WwDfmHKEoj+hPdd3+jFK zUHpXPDrbNkG0Ro69qIJm7zCL8G7TTZ77WwQgt4o9Q+vAZi2qBbP4y99YGa9mVT1KbVxrFVSOYuX +bKN0GRhr/8T4clRFpG/Mur4YSPqEbKD0A4qPrWBDEaWk5HXxnynU8TfR9lHRUFmz2/Q37Fywc4n pVU9HoX0K6fvpaFaevvi9N+PTw9F56M4kJAWjk709+9ispb7W11Q9XrlXCeTkElO3AektF/9GZ0C 7/rwStS9fAe4cXH9t4lUG6qvYVW4YBGltJleR42Py+4r4hVBT2jJJG0KKIesPBcSZ7gRE6gpwjYM IPicQLqqHs59Nq4UkiUJ8ld07E7UNTIk7HsUvxvFGMkKUQVdybIWTWRKRqpvwlKjSFqjDN6Yfm2Y 6mpowmiU96OA903MdHXmRmsbgcBQRVGvmOI9MdMdLYKUaIsjyBlHcT0uuSLSJ8tO+PalsY7FT5dA GmkzEc//yOIKxtNeNWnzvWX3zAPRUYlFFBb9tpnDPyRWb7RFpEGcPy2RPRklUnqdsNuRZ3MFosyA Bhfi+A/TU0dG6NZ4xOR96DfPEw9MqP55tL1yHp8LgtwYkXyEvQsB28B6drQwZlax/RczBoWBWbrr kqwdBcQt7whPlHj9ixZwLF4wGzhrUC0jw4dLvTTcKRLvFwOfJ4Drf1ex9+QjT3aL1RW/jv9iaKkd wCoUANOJHJJngYIe73jV/gPDgw2jgyOlJgZ5ZuY/OwRpjSLosgOnZG1Wr+hUt1jnbsYWd6UWO88f d9oOkCVY2mglLyD5VQcKJElEDGc/oVq5HAO4vEWQKKNDCZMKbKQbj1ULLu18EHZQzLIa9ewO2dXV HVyhF0suUskuqz0fL5VoJojDSWqEaFQN+hTogf6TFosts5t24A45JAmzRaQx7d6V5DenZX01P/1j Fw68cfeuen7HvfxlRDfrOadT6VMklsXVHwI8a16Rb5hzGaroD78o0xwZTquGG64vluqdkAZi6479 sZuQmC4D1WAIE9iSnUIFTqBAgTizPDlajMHRSloJ5ndoz5lEJ1CRblRmskaxEGqkFq24H7tk8rDr GyQLyfSEGt6TKv+G5UG+ntcTeeVnVZnpgSsRWVcw3ID+NGZcnnvEseLIfuzF9f6KGkIi4jrasmrA wsAnFa/TKyjBg7Ec/L3CHm3mNkScwmYKl8TZqkWOMSyEivA6HWttmsulivIUfsmTrkYbUw2/zakg WulZ+7vuTn596AsdGzxwEDx7LEIVcM2vYWZmm91kqc1//kn4PHs8zk4qLBVwLnLCoZ5SWkr6NR5q 5AGSk1vLRF5UG7bWxtNnfz8sY5vMMzkYQNfmRmN+01bC5eLMyLpuwGghUoE9bgm6bv0bdA1Dermx ikgg4I908g9MkGYW2L+AX+/1I1HNo1Ujm3G/LFzQEE7OqfpmghgNHwRvHo7NxpmIxOFI1qvm1g+O gaKuSfqFxtoEcoZz99CeExeR7dPoXFtzqvf8nq7JjgojzaqVaoNhn15Nz4KdAaF496JJJTG1DkeD e97EXB34WSxJbTiQPyHsGj+whwiiFD/wDaTCzrSgxvA90DH2KB2Vr1ze7BjG81d/pWdcykY2k/JN YEdDoPj/A5zu54RQOUsE4PxeMTUfIZoUlvGKGoqv/FHja5yiV2sOaPj5xQy4nUE/suIkSKAuAsVX KgkyyPgI0RKGIlS7k+pEs73Wg1cD2mEESYdrlgJQ70MwAluBIOpFAZWWDQOyeui73TLglst6qdWq xTBy3OMvxYP+PagPl/viqpE5YEobvwETuuXIJ94ZPhHIxWkGYHqIn9ZI5yIdGteIrCLKBtnVzwdA nsc3sOkkoVeqaSCL7C0ua79dTWrD5OcuAUye++R87PFuOSIHxn5w5gH00b6l3KJF4pRmZwSCLYME cVx7nr9KmkxTjP6kn4zvNIopBNOAMzwKiU0Gp5OZGlF7aUnOG5HuAh2e51nChRD5bOa5S7xfzVx4 AcU5UrTf0WG8VrwgCpfbwqQJfE1gOUxaaat7By5tztcocCJYmcFAraWiEm5jJoVMUUs0mdcA3Yas WoOc+JluV8p1d9G9yrwyTisO0oPYymD+t2QifbJ+dDeH7WJLmS2HWXyuyGLME7foR5P43Jxusz3R ZVSPZJl2yFsnNKbFLlXxw8mFpgRNFXYdFQMU1lgjhgrjW88LRo3rN15kr4H0f8E0I1zPCUmxRM8M i+WVy2FPdlM0ODTMrACNnox2nFkZbdKofri3Jvee5AIfWLUIgsg6GVZoly7kI+J5KW//bi7XN7hb FQo/CQJJPg5SufBZyFBcNd6MBMDkRej4bq5O8AX14ZgyDOaAwTiBXvC9OoNPmMOgXsS0Ral23zLQ c52SaYBjSG+MPTXG/3z2lidXsPsr29Hmvku6yMMXHEVraDoPSu+EnIoHcLCnc+06wU0R9Q+cfApp Kf2gfNT9Emhk+6I5VHEcSBitx2OCXuimje4se6mJk8L/rRqUtSaALSCSy7M8/26eewY8+fCXXlSG PEPJjUiDpCSuWUEHrI65LdBZWeh/4QGI8awEks40Lzs4tzk89UHWDe1v9OYfC8jOouVTp2fa7qXX extoTaRG1M41TvJuLeNW09KJFS9+ijzeSejq98o/KXLp57lwuu77cE9xDCwIqbPDCKMDDKKdTnSX Ig1TNVDkvf5t8DSZT6I/KteJYHzy33Zl88uW04HOhkpfI+KBtCSFzxfqvidUFTVi58EMpN8mywqe Snr6TEik4OazG8e3i4y07d3WJIXygICODyiR87kJIKp0dFXeDe5qmmhKW2fCwXIF8S+JA12LuPVN bZxzoRrJ07ja5DCAXsAl/9iDuQeqOqYEEqtEN+dYjZDlwWZcONluli4w304+6w1WTzPYHKwjwrh8 LalvOPsEmq3wf/gPFmHgUrkU+QEwhFMzirVPiYDnlYMRtmP8A+nB8pqpkOwz1Pn6x7tvFHtb+LA1 jouyDpyEH5IKHmMyZGPkNZJBvqlT/9FQYcYwVxmtpOBZgcuBPtsxgDtZPq9mODZDA77ED8nZmI6f nbID+CfdfMqDaD4VoAZ5wLrosTZIuI8ICpAqcrG5fUpATVL4dSECApzKHqs2/RiXfUzqY+/UZlKF Ina+afnP1jo7kbigbS+ceHfR7JQpGjM8D2fuB4rCP9Rjy8dTqrbEJfOv0BxBMZwS/QxmDa9g6yti tvYgx6QAdA0vHSQJlTpfPqCbY3zkQA8ifrhkpfriC8C4xJo2hp7lKU5RQmWeCjn9ivGT+Ov7Kx2I G8q6UgKBZtQEFRSuL+ogcA1d2gR8BddimqwGrmEuhjcz5AZh7JZ2v7Gzx2hv+4luVeI+gAOKRgFp VVxupv/t3xeYBVdQBhAfJt1Ph7SZsoBnd5eMHr3xOyrFfn+ukss6jPgRm6q6YFR7FlaUSHQiTIB+ htzZDWBB3SHkAGjZabmMUnzHF1AFTJqYeGeZZrLKx6AlLBhnB35eJbefYKRWPMkjFD9xQWrLpYgS dw/KpVaUkuBE9o1sUKgWcbdfKyLTiMlP896T8IRnEymDOVOTT5bFjp5CrKzLATzoO2b8A73ynsxc hnp6zLaCEvYgZyf6LaLMQjXk1ZeAQbvTLzfhkx0Nato1bJS9r3q5ESFsKDTLOxA41JegZic0YD5o RBxOzpQBoobm4G3bXVTUsiwdRZpS4aeJ3aU8VZZfdTNHmHFQVzWh+S5Q62Or0nH4D7oKH89Sc+/I hzI0MuogllUa4IqTJ3nmyk7huiJiroGCsR6Po5uPrgwh1MEBb801P5zLt4LLVql+luwc5+2tM7dF W71KQplmSuI64bfE9Y1j4eK4gWFBLiimPjjzn3YRQPiMrMb4DPh6t40DeWoaSiZrNDwqpVx4fYDl SSn/d1Q9TlMs2xCOKXbFtGIFTRtxpffdigc5OagcML5NY2o9uAqUeMkwQjCLu8NqK9huybX+5IbL wEFXgg60h+8rqEQkxiCL1vyTH9xQGvPrauGNOA33nxi9X3P3u2eaBvSiAuG151Xm5nNa6paWzNcY w6YRHpG/hcp4qnDF8L9UscKFU5rVIOMKj3ihn1KxbLCYeTPjuBgRZ/3g2DC3+KhHjORLEXxovDrn QkvIbUgo8slUqeab5OZqWpsq/fQZjgd0qM/ki96PHoO4WLLk9h+G2L+S7CJY6aOwAYWbg4KnFT1P g9u5QgnWHqtS1+y/JAvihp/l8OIQU8XvCGH27TUt6EoXN661ACVuFDvQwI+r3QNBHte8JMoRQs8f IQFNmBnlKuqyBR5qurRnQ5CQ3CcZUv09RKEurwKs/+W+Bz5dJBn24pXagIP0gHv61R7YcqBKN1jl XMz2MSzLb1PMtJS2zJb3j35bRIs5oS+v96ydMs5L6Dq/ye+Li5E8/vXsLPGg44mZNpvIFVWv7f+U 8x/oBk1pAoGKN8/cw7Qt76Dl2QTnQHTx+t03wiwehXgAJKbrj3TZvep+9ioYEdiz+qdBWf4MvUGf rTky8xuXQvEu+OuSN+yymWZUU3A1JIk0yVhI1IKvLvvcw8fdwYPuL5TRm+OLHk/3ABBPLqeyxl4/ EDB6iIi44rayv/9Sww02UF9w1RLNwvx02+CGS9b1m7xbv9jeFU0gHB0jxXG4Lq78mqPgiUKcCelv 3IFV6DjDvjt9UPfcwmaeu0S6yGzYtv3KQWQ2NerfziCOwFy8OKyIqM4t6xLUpH9q8BNwieuemPV+ 8cuGDkuv35xCQwQVa3Sr0xeYhGnTBP4uqrwbjRnAu/kAJnHtKb5HylmHAZ2uXOrfCoQQPvFle/0X aztVyeDOGAUgxywwHaztXiCs/z430/tb8X8qdeK9Fv9FIab2ZfHDmD9KIwUwzdO0SEzC31dNb7LX ucKk7co88Rjjgy09hiZ7npaM6eqzMHKhbgZvkPIzssj5ExRRWsGCRbtc+TlbjhnUSG86ptAbSf2J wtolts5np6bEHMB0fM/RQ0BwOCiEJ1Xp7OlOz2oKynDctbuGMM7VrlfS6GJ9tY1dhkqICvjgUfSP eQ18NQTePZXIr3GwvGybmLR9+QSV5sWZ2NwrNXbITy4+ZX59hGSr/M+bfwnroNFNXPmEhGvhKTv1 kbVa45U5w0QZYl0IrfwvZZOYirC4ZuHK1Sfauv2qBoNnjG27RPZ7QMzECs1XxenikSapE0aiE4/i BomGTW+mqiHoYVSbhnD0l0Oz388+pDJsT69DstZ8V0L0vqYttsM/ZOdhg7ToiY9Zfq4GHTqFAKUa uxGRmRC7f73bEa4ypc5AWYYH4Dxx1N723rlze0Jd6p2J1gAOH/AoMAL2KuoSupPTpGhXslnHaSkJ qKUR8F9H6PkGI6Nr1P+sbMNFzFQPIIAfefLokNsB6CO6i+aK4rJMpc3KGRQXWMCe0mbpwtl2+RrZ 8maA2xi0QhQbxpd/Mk9nYvnSBVJXS/kcg46kK1OmnCA4B+zg6lOX+op9sJ3VsIaLxUNLMF/yZQkH dbJ8rsx9Y1Tuj836XxRBP6ShHpTjcSMjjVeVflvTA7Zj0Xpd/n18mfBait53R8FSTEBc5msXibS0 FUcRpdHRva/3JngoGZXZiJshtRTkj3AKGbFIkcvhhouLJSznevPInrMoEb4rHcLz7JI/4exYBMyc ndF472MFWeEh+QVf5pMPSbzQACezTs523+CdFSab8hfFNUI/C67Mytut2pWwny353CpUtk2R5TXA SZo6ENtebUHwwAqwkiY+ZHJYhn3NWtuAVikddSuhJ65P17s8rEN4oY7vXSwBrayJVb6BYzyoPxpx 3pljb+qsEXStte3TLtRoIGLbmrNISV2shFs2Id5Gz/B7hNGu4iGiYPqBp2H/ue7z3IQerxv2fSyP sbVcviip/nqebJ3WU6nSEsAB/6rqudf/1ESQQ5Po0qnuhUVMsh/AYRjn+hJhKNeVPM9cZUJg+f1w fIW7946UHsbV7COzmoKE859mVGNIOYIv//e2NQq/k6fCM08a4BOSslC7YskOsbnk27qrqmldyuRJ Q9bYmiLhZK5nwdDaxl+9siAlJZ3kRQdWP4YueALA9CkgPsaJ1l0t717Avcx5mOc4ydKNzp+FdyB0 4naHoPNWsrOJdJ3NwVLMZGyl5jPFOi1oRM9yqL2SZ8zCmO8WeN08Sqk+z5e5ZG5oSznGc44Cj1/j hpNWsR0mXr12bEx9z/e/JgLS2Fncq3rB+gLNiuJX/jpIeHLhzqzfg8MTOb9B/apOnUFB7ETutmZE 0GIYhkEzJ3Kay9lRlXh4FmLnRlddlo3m3TsyG71GVkJp8ySYdlBCTFYaKRnvrwHiLeQdx2Ql0901 sqVM3WYQWsCjvMn1fPr6C108GHM28Qpj3jfJ0HRqO/WJ6L73ts4cLp7Z1EiYXEt0GkbC2Ya51F+E ajVkYF8y4sImhA12mcGZwIPvFAOwW6MrQ8M4WuzTyd+t9GsDHyFKmU7u2iOWzM8bk2h9k6gx6lST rVNUr4wiLraAcp5IbcA3zn8tQMR0MBvU9oyIUljf1sN7jioBioNfdu7G1NKqp1qBrO6r7YTErgpW +p7AAdWuHvNYlXEz2RMejn3vTpNS4YZentTxSqRsgNGzlM7j85ra62IyTb8qtCaiTVqSTDDVB1LN e7QnWQxb0vje8lNZSvczUHjQbO7ccW+K3cLlgNHc2ducmy/yOx0YEhUN3ewIh/Aq5tBX4hBmk4cB deAUWd+MPqJdiIvtbEx0OSQ2u2VWdkMvlUgCAWrdkSgbqZq3NvIreUnl2UiNhV9cp9lNV3Dv/p/T V+AjuuRF2DmUG83iaJ86v10zqRBZbWcWfip30BD6Y/tYU4qwbYj5bzIMcx8tURa5YmBLIgVGJvPm gJRJJlApuBWMPE/fqAKqLntnAo+ebfbBsiDHab781T1triAWlIKoh4A3f+ZcfkjCGoRarSw3ysDS IxFCmxgBvcnio1AjM+vGUOpGu/yozhXjeCP1ojH/d34CFf7DZqylSIbraddAqhSEEKH6bZePVhz/ b2OjOFGrWxJ6QYNH5uqOTrSnNHOUnOkt9TnlSw8dtR3xtrW2U1beRbgzUEhDQSg6ld2auWK9RwYk oMralF9KzWQn4YTS/GXvxpigPVw8PpeQDWaMS3uCKcVHcLVmNJTOUQ8VXIIbk3U3f0XLF596+mF8 9M7/LZ1/3N7Zaf3WNHKO+/+vcecNI8ZM58RwjffZqPDAdMdH5WTILVuZW4OtBKkHM5LnkHSyDpQM 727k6cVgqkkEMEfdU4t5GjXQ/GEEU9H9TJ0DOItJ/b3Z3M6wv7f1t255GLYO/I5FfDiN4ee0xZcF d8g8E3SDorDrhGDRZH5JbdaYB64nNsqS5xAtU6zU8mboV8gE9y5JcYBcJAIr1KFdhLlOujO3fSTw UlrFeilMiImAGjVE7cxP2u/0YQDwKPMjtnN4AkDcQ7/g8+Wwvwi8tX1cWTNVoPx1Zu1tHxdkDbm+ X+gyO4q34ereSfoxnwPvR/0T1XUAFYrUv6qEfL0Z9KkvJAXhBzMDlZQynHha5l28LR+xtQ6ZJH/S D4JZ7h8tbyN8/gmT3r5r+G7VKuu6ixRyhBh/ROgbtCR+DEBLEWg4L9ANWfDGgwb/Ce/NRtf4USKZ OKBMIENXNwZO26V1PjQNryypleSHy0qETwkjahnYr25BrmJbV9cCWc2PTzBd53UMA6iM08MJMig9 0GC0iakr9RJLpSoIFyFGW3wSKivkySMqAc5+7ISvZ6UuskTj2ouV6w/0Y8cM31OFRHju9MigVyZB lZS4SaujUqPWAKsxwfuR0eWukXsMxfX7LYTfhIKj9F1kcqWorhMbNYy4HNaXD/PcQnwgnHwh/LnV nSv98XJXeiGBdenNJob5j/6lrGTvZR2ZVHFw6wrz6d1mgglVyL6++buaGGz7ZbYweUi5AxfScWA0 PV0skczPbgneeDKzc8CGw0K2qXDTeAbyvu4= `protect end_protected
apache-2.0
bf2028d67e69a174660d0285ccd2b5dc
0.946969
1.838365
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_occ_counter.vhd
15
10,945
------------------------------------------------------------------------------- -- $Id: pf_occ_counter.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_occ_counter - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_occ_counter.vhd -- -- Description: Implements packet fifo occupancy counter. This special -- counter provides these functions: -- - up/down count control -- - pre-increment/pre-decrement of input load value -- - count by 2 -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_occ_counter.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- D. Thorpe 2001-09-07 First Version -- - adapted from B Tise MicroBlaze counters -- -- DET 2001-09-11 -- - Added the Rst signal connect to the pf_counter_bit module -- -- DET 2002-02-24 -- - Changed the use of MUXCY_L to MUXCY. -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter_bit; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_occ_counter is generic ( C_COUNT_WIDTH : integer := 9 ); port ( Clk : in std_logic; Rst : in std_logic; Carry_Out : out std_logic; Load_In : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Cnt_by_2 : In std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_occ_counter; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_occ_counter is component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; constant CY_START : integer := 1; signal alu_cy : std_logic_vector(0 to C_COUNT_WIDTH-1); signal iCount_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal i_mux_Count_Out : std_logic_vector(0 to C_COUNT_WIDTH-2); signal count_clock_en : std_logic; signal carry_out_lsb : std_logic; signal carry_in_lsb : std_logic; signal count_out_lsb : std_logic; Signal mux_cnt_in_lsb : std_logic; Signal carry_out_select_di: std_logic; Signal carry_start : std_logic; Signal carry_start_select : std_logic; Signal by_2_carry_start : std_logic; begin -- VHDL_RTL ----------------------------------------------------------------------------- -- Generate the Counter bits ----------------------------------------------------------------------------- count_clock_en <= Count_Enable or Count_Load; MUX_THE_LSB_INPUT : process (count_out_lsb, Load_In, Count_Load) Begin If (Count_Load = '0') Then mux_cnt_in_lsb <= count_out_lsb; else mux_cnt_in_lsb <= Load_In(C_COUNT_WIDTH-1); End if; End process MUX_THE_LSB_INPUT; carry_start <= Count_Down xor Count_Enable; by_2_carry_start <= Cnt_by_2 and Count_Down; carry_start_select <= not(Cnt_by_2); I_MUXCY_LSB_IN : MUXCY port map ( DI => by_2_carry_start, CI => carry_start, S => carry_start_select, O => carry_in_lsb); I_COUNTER_BIT_LSB : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => mux_cnt_in_lsb, Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => carry_in_lsb, Clock_Enable => count_clock_en, Result => count_out_lsb, Carry_Out => carry_out_lsb); carry_out_select_di <= Count_Down xor Cnt_by_2; I_MUXCY_LSB_OUT : MUXCY port map ( DI => carry_out_select_di, CI => carry_out_lsb, S => carry_start_select, O => alu_cy(C_COUNT_WIDTH-1)); I_ADDSUB_GEN : for i in 0 to C_COUNT_WIDTH-2 generate begin MUX_THE_INPUT : process (iCount_Out, Load_In, Count_Load) Begin If (Count_Load = '0') Then i_mux_Count_Out(i) <= iCount_Out(i); else i_mux_Count_Out(i) <= Load_In(i); End if; End process MUX_THE_INPUT; Counter_Bit_I : entity proc_common_v4_0.pf_counter_bit port map ( Clk => Clk, Rst => Rst, Count_In => i_mux_Count_Out(i), Load_In => '0', Count_Load => '0', Count_Down => Count_Down, Carry_In => alu_cy(i+1), Clock_Enable => count_clock_en, Result => iCount_Out(i), Carry_Out => alu_cy(i)); end generate I_ADDSUB_GEN; Count_Out <= iCount_Out & count_out_lsb; Carry_Out <= '0'; end architecture implementation;
apache-2.0
b3fc4c676bc9c39c3958b969bb9f5cf7
0.437825
4.610362
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_23.vhd
1
193,761
Library IEEE; use IEEE.std_logic_1164.all; entity x25_23x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A8: buffer std_logic ); end x25_23x; architecture x25_23x_behav of x25_23x is signal a1a,a2a,a3a,a4a,a5a,a6a,a7a,a8a,a9a,a10a,a11a,a12a,a13a,a14a,a15a,a16a,a17a,a18a,a19a,a20a,a21a,a22a,a23a,a24a,a25a,a26a,a27a,a28a,a29a,a30a,a31a,a32a,a33a,a34a,a35a,a36a,a37a,a38a,a39a,a40a,a41a,a42a,a43a,a44a,a45a,a46a,a47a,a48a,a49a,a50a,a51a,a52a,a53a,a54a,a55a,a56a,a57a,a58a,a59a,a60a,a61a,a62a,a63a,a64a,a65a,a66a,a67a,a68a,a69a,a70a,a71a,a72a,a73a,a74a,a75a,a76a,a77a,a78a,a79a,a80a,a81a,a82a,a83a,a84a,a85a,a86a,a87a,a88a,a89a,a90a,a91a,a92a,a93a,a94a,a95a,a96a,a97a,a98a,a99a,a100a,a101a,a102a,a103a,a104a,a105a,a106a,a107a,a108a,a109a,a110a,a111a,a112a,a113a,a114a,a115a,a116a,a117a,a118a,a119a,a120a,a121a,a122a,a123a,a124a,a125a,a126a,a127a,a128a,a129a,a130a,a131a,a132a,a133a,a134a,a135a,a136a,a137a,a138a,a139a,a140a,a141a,a142a,a143a,a144a,a145a,a146a,a147a,a148a,a149a,a150a,a151a,a152a,a153a,a154a,a155a,a156a,a157a,a158a,a159a,a160a,a161a,a162a,a163a,a164a,a165a,a166a,a167a,a168a,a169a,a170a,a171a,a172a,a173a,a174a,a175a,a176a,a177a,a178a,a179a,a180a,a181a,a182a,a183a,a184a,a185a,a186a,a187a,a188a,a189a,a190a,a191a,a192a,a193a,a194a,a195a,a196a,a197a,a198a,a199a,a200a,a201a,a202a,a203a,a204a,a205a,a206a,a207a,a208a,a209a,a210a,a211a,a212a,a213a,a214a,a215a,a216a,a217a,a218a,a219a,a220a,a221a,a222a,a223a,a224a,a225a,a226a,a227a,a228a,a229a,a230a,a231a,a232a,a233a,a234a,a235a,a236a,a237a,a238a,a239a,a240a,a241a,a242a,a243a,a244a,a245a,a246a,a247a,a248a,a249a,a250a,a251a,a252a,a253a,a254a,a255a,a256a,a257a,a258a,a259a,a260a,a261a,a262a,a263a,a264a,a265a,a266a,a267a,a268a,a269a,a270a,a271a,a272a,a273a,a274a,a275a,a276a,a277a,a278a,a279a,a280a,a281a,a282a,a283a,a284a,a285a,a286a,a287a,a288a,a289a,a290a,a291a,a292a,a293a,a294a,a295a,a296a,a297a,a298a,a299a,a300a,a301a,a302a,a303a,a304a,a305a,a306a,a307a,a308a,a309a,a310a,a311a,a312a,a313a,a314a,a315a,a316a,a317a,a318a,a319a,a320a,a321a,a322a,a323a,a324a,a325a,a326a,a327a,a328a,a329a,a330a,a331a,a332a,a333a,a334a,a335a,a336a,a337a,a338a,a339a,a340a,a341a,a342a,a343a,a344a,a345a,a346a,a347a,a348a,a349a,a350a,a351a,a352a,a353a,a354a,a355a,a356a,a357a,a358a,a359a,a360a,a361a,a362a,a363a,a364a,a365a,a366a,a367a,a368a,a369a,a370a,a371a,a372a,a373a,a374a,a375a,a376a,a377a,a378a,a379a,a380a,a381a,a382a,a383a,a384a,a385a,a386a,a387a,a388a,a389a,a390a,a391a,a392a,a393a,a394a,a395a,a396a,a397a,a398a,a399a,a400a,a401a,a402a,a403a,a404a,a405a,a406a,a407a,a408a,a409a,a410a,a411a,a412a,a413a,a414a,a415a,a416a,a417a,a418a,a419a,a420a,a421a,a422a,a423a,a424a,a425a,a426a,a427a,a428a,a429a,a430a,a431a,a432a,a433a,a434a,a435a,a436a,a437a,a438a,a442a,a443a,a447a,a448a,a449a,a453a,a454a,a457a,a460a,a461a,a462a,a463a,a467a,a468a,a471a,a474a,a475a,a476a,a480a,a481a,a484a,a487a,a488a,a489a,a490a,a491a,a495a,a496a,a500a,a501a,a502a,a506a,a507a,a510a,a513a,a514a,a515a,a516a,a520a,a521a,a524a,a527a,a528a,a529a,a533a,a534a,a537a,a540a,a541a,a542a,a543a,a544a,a545a,a549a,a550a,a554a,a555a,a556a,a560a,a561a,a564a,a567a,a568a,a569a,a570a,a574a,a575a,a578a,a581a,a582a,a583a,a587a,a588a,a591a,a594a,a595a,a596a,a597a,a598a,a602a,a603a,a606a,a609a,a610a,a611a,a615a,a616a,a619a,a622a,a623a,a624a,a625a,a629a,a630a,a633a,a636a,a637a,a638a,a642a,a643a,a646a,a649a,a650a,a651a,a652a,a653a,a654a,a655a,a659a,a660a,a664a,a665a,a666a,a670a,a671a,a674a,a677a,a678a,a679a,a680a,a684a,a685a,a688a,a691a,a692a,a693a,a697a,a698a,a701a,a704a,a705a,a706a,a707a,a708a,a712a,a713a,a716a,a719a,a720a,a721a,a725a,a726a,a729a,a732a,a733a,a734a,a735a,a739a,a740a,a743a,a746a,a747a,a748a,a752a,a753a,a756a,a759a,a760a,a761a,a762a,a763a,a764a,a768a,a769a,a773a,a774a,a775a,a779a,a780a,a783a,a786a,a787a,a788a,a789a,a793a,a794a,a797a,a800a,a801a,a802a,a806a,a807a,a810a,a813a,a814a,a815a,a816a,a817a,a821a,a822a,a825a,a828a,a829a,a830a,a834a,a835a,a838a,a841a,a842a,a843a,a844a,a848a,a849a,a852a,a855a,a856a,a857a,a861a,a862a,a865a,a868a,a869a,a870a,a871a,a872a,a873a,a874a,a875a,a879a,a880a,a884a,a885a,a886a,a890a,a891a,a894a,a897a,a898a,a899a,a900a,a904a,a905a,a908a,a911a,a912a,a913a,a917a,a918a,a921a,a924a,a925a,a926a,a927a,a928a,a932a,a933a,a937a,a938a,a939a,a943a,a944a,a947a,a950a,a951a,a952a,a953a,a957a,a958a,a961a,a964a,a965a,a966a,a970a,a971a,a974a,a977a,a978a,a979a,a980a,a981a,a982a,a986a,a987a,a991a,a992a,a993a,a997a,a998a,a1001a,a1004a,a1005a,a1006a,a1007a,a1011a,a1012a,a1015a,a1018a,a1019a,a1020a,a1024a,a1025a,a1028a,a1031a,a1032a,a1033a,a1034a,a1035a,a1039a,a1040a,a1043a,a1046a,a1047a,a1048a,a1052a,a1053a,a1056a,a1059a,a1060a,a1061a,a1062a,a1066a,a1067a,a1070a,a1073a,a1074a,a1075a,a1079a,a1080a,a1083a,a1086a,a1087a,a1088a,a1089a,a1090a,a1091a,a1092a,a1096a,a1097a,a1101a,a1102a,a1103a,a1107a,a1108a,a1111a,a1114a,a1115a,a1116a,a1117a,a1121a,a1122a,a1125a,a1128a,a1129a,a1130a,a1134a,a1135a,a1138a,a1141a,a1142a,a1143a,a1144a,a1145a,a1149a,a1150a,a1153a,a1156a,a1157a,a1158a,a1162a,a1163a,a1166a,a1169a,a1170a,a1171a,a1172a,a1176a,a1177a,a1180a,a1183a,a1184a,a1185a,a1189a,a1190a,a1193a,a1196a,a1197a,a1198a,a1199a,a1200a,a1201a,a1205a,a1206a,a1210a,a1211a,a1212a,a1216a,a1217a,a1220a,a1223a,a1224a,a1225a,a1226a,a1230a,a1231a,a1234a,a1237a,a1238a,a1239a,a1243a,a1244a,a1247a,a1250a,a1251a,a1252a,a1253a,a1254a,a1258a,a1259a,a1262a,a1265a,a1266a,a1267a,a1271a,a1272a,a1275a,a1278a,a1279a,a1280a,a1281a,a1285a,a1286a,a1289a,a1292a,a1293a,a1294a,a1298a,a1299a,a1302a,a1305a,a1306a,a1307a,a1308a,a1309a,a1310a,a1311a,a1312a,a1315a,a1318a,a1321a,a1324a,a1327a,a1330a,a1333a,a1336a,a1339a,a1343a,a1344a,a1347a,a1351a,a1352a,a1355a,a1358a,a1359a,a1362a,a1365a,a1366a,a1369a,a1372a,a1373a,a1376a,a1379a,a1380a,a1383a,a1386a,a1387a,a1390a,a1393a,a1394a,a1397a,a1400a,a1401a,a1404a,a1407a,a1408a,a1411a,a1414a,a1415a,a1418a,a1421a,a1422a,a1425a,a1428a,a1429a,a1432a,a1435a,a1436a,a1439a,a1442a,a1443a,a1446a,a1449a,a1450a,a1453a,a1456a,a1457a,a1460a,a1463a,a1464a,a1467a,a1470a,a1471a,a1474a,a1477a,a1478a,a1481a,a1484a,a1485a,a1488a,a1491a,a1492a,a1495a,a1498a,a1499a,a1502a,a1505a,a1506a,a1509a,a1512a,a1513a,a1516a,a1519a,a1520a,a1523a,a1526a,a1527a,a1530a,a1533a,a1534a,a1537a,a1540a,a1541a,a1544a,a1547a,a1548a,a1551a,a1554a,a1555a,a1558a,a1561a,a1562a,a1565a,a1568a,a1569a,a1572a,a1575a,a1576a,a1579a,a1582a,a1583a,a1586a,a1589a,a1590a,a1593a,a1596a,a1597a,a1600a,a1603a,a1604a,a1607a,a1610a,a1611a,a1614a,a1617a,a1618a,a1621a,a1624a,a1625a,a1628a,a1631a,a1632a,a1635a,a1638a,a1639a,a1642a,a1645a,a1646a,a1649a,a1652a,a1653a,a1656a,a1659a,a1660a,a1663a,a1666a,a1667a,a1670a,a1673a,a1674a,a1677a,a1680a,a1681a,a1684a,a1687a,a1688a,a1691a,a1694a,a1695a,a1698a,a1701a,a1702a,a1705a,a1708a,a1709a,a1712a,a1715a,a1716a,a1719a,a1722a,a1723a,a1726a,a1729a,a1730a,a1733a,a1736a,a1737a,a1740a,a1743a,a1744a,a1747a,a1750a,a1751a,a1754a,a1757a,a1758a,a1761a,a1764a,a1765a,a1768a,a1771a,a1772a,a1775a,a1778a,a1779a,a1782a,a1785a,a1786a,a1789a,a1792a,a1793a,a1796a,a1799a,a1800a,a1803a,a1806a,a1807a,a1810a,a1814a,a1815a,a1816a,a1819a,a1822a,a1823a,a1826a,a1830a,a1831a,a1832a,a1835a,a1838a,a1839a,a1842a,a1846a,a1847a,a1848a,a1851a,a1854a,a1855a,a1858a,a1862a,a1863a,a1864a,a1867a,a1870a,a1871a,a1874a,a1878a,a1879a,a1880a,a1883a,a1886a,a1887a,a1890a,a1894a,a1895a,a1896a,a1899a,a1902a,a1903a,a1906a,a1910a,a1911a,a1912a,a1915a,a1918a,a1919a,a1922a,a1926a,a1927a,a1928a,a1931a,a1934a,a1935a,a1938a,a1942a,a1943a,a1944a,a1947a,a1950a,a1951a,a1954a,a1958a,a1959a,a1960a,a1963a,a1966a,a1967a,a1970a,a1974a,a1975a,a1976a,a1979a,a1982a,a1983a,a1986a,a1990a,a1991a,a1992a,a1995a,a1998a,a1999a,a2002a,a2006a,a2007a,a2008a,a2011a,a2014a,a2015a,a2018a,a2022a,a2023a,a2024a,a2027a,a2030a,a2031a,a2034a,a2038a,a2039a,a2040a,a2043a,a2046a,a2047a,a2050a,a2054a,a2055a,a2056a,a2059a,a2062a,a2063a,a2066a,a2070a,a2071a,a2072a,a2075a,a2078a,a2079a,a2082a,a2086a,a2087a,a2088a,a2091a,a2094a,a2095a,a2098a,a2102a,a2103a,a2104a,a2107a,a2110a,a2111a,a2114a,a2118a,a2119a,a2120a,a2123a,a2126a,a2127a,a2130a,a2134a,a2135a,a2136a,a2139a,a2142a,a2143a,a2146a,a2150a,a2151a,a2152a,a2155a,a2158a,a2159a,a2162a,a2166a,a2167a,a2168a,a2171a,a2174a,a2175a,a2178a,a2182a,a2183a,a2184a,a2187a,a2190a,a2191a,a2194a,a2198a,a2199a,a2200a,a2203a,a2206a,a2207a,a2210a,a2214a,a2215a,a2216a,a2219a,a2222a,a2223a,a2226a,a2230a,a2231a,a2232a,a2235a,a2238a,a2239a,a2242a,a2246a,a2247a,a2248a,a2251a,a2254a,a2255a,a2258a,a2262a,a2263a,a2264a,a2267a,a2270a,a2271a,a2274a,a2278a,a2279a,a2280a,a2283a,a2286a,a2287a,a2290a,a2294a,a2295a,a2296a,a2299a,a2302a,a2303a,a2306a,a2310a,a2311a,a2312a,a2315a,a2319a,a2320a,a2321a,a2324a,a2328a,a2329a,a2330a,a2333a,a2337a,a2338a,a2339a,a2342a,a2346a,a2347a,a2348a,a2351a,a2355a,a2356a,a2357a,a2360a,a2364a,a2365a,a2366a,a2369a,a2373a,a2374a,a2375a,a2378a,a2382a,a2383a,a2384a,a2387a,a2391a,a2392a,a2393a,a2396a,a2400a,a2401a,a2402a,a2405a,a2409a,a2410a,a2411a,a2414a,a2418a,a2419a,a2420a,a2423a,a2427a,a2428a,a2429a,a2432a,a2436a,a2437a,a2438a,a2441a,a2445a,a2446a,a2447a,a2450a,a2454a,a2455a,a2456a,a2459a,a2463a,a2464a,a2465a,a2468a,a2472a,a2473a,a2474a,a2477a,a2481a,a2482a,a2483a,a2486a,a2490a,a2491a,a2492a,a2495a,a2499a,a2500a,a2501a,a2504a,a2508a,a2509a,a2510a,a2513a,a2517a,a2518a,a2519a,a2522a,a2526a,a2527a,a2528a,a2531a,a2535a,a2536a,a2537a,a2540a,a2544a,a2545a,a2546a,a2549a,a2553a,a2554a,a2555a,a2558a,a2562a,a2563a,a2564a,a2567a,a2571a,a2572a,a2573a,a2576a,a2580a,a2581a,a2582a,a2585a,a2589a,a2590a,a2591a,a2594a,a2598a,a2599a,a2600a,a2603a,a2607a,a2608a,a2609a,a2612a,a2616a,a2617a,a2618a,a2621a,a2625a,a2626a,a2627a,a2630a,a2634a,a2635a,a2636a,a2639a,a2643a,a2644a,a2645a,a2648a,a2652a,a2653a,a2654a,a2657a,a2661a,a2662a,a2663a,a2666a,a2670a,a2671a,a2672a,a2675a,a2679a,a2680a,a2681a,a2684a,a2688a,a2689a,a2690a,a2693a,a2697a,a2698a,a2699a,a2702a,a2706a,a2707a,a2708a,a2711a,a2715a,a2716a,a2717a,a2720a,a2724a,a2725a,a2726a,a2729a,a2733a,a2734a,a2735a,a2738a,a2742a,a2743a,a2744a,a2747a,a2751a,a2752a,a2753a,a2756a,a2760a,a2761a,a2762a,a2765a,a2769a,a2770a,a2771a,a2774a,a2778a,a2779a,a2780a,a2783a,a2787a,a2788a,a2789a,a2792a,a2796a,a2797a,a2798a,a2801a,a2805a,a2806a,a2807a,a2810a,a2814a,a2815a,a2816a,a2819a,a2823a,a2824a,a2825a,a2828a,a2832a,a2833a,a2834a,a2837a,a2841a,a2842a,a2843a,a2846a,a2850a,a2851a,a2852a,a2855a,a2859a,a2860a,a2861a,a2864a,a2868a,a2869a,a2870a,a2873a,a2877a,a2878a,a2879a,a2882a,a2886a,a2887a,a2888a,a2891a,a2895a,a2896a,a2897a,a2900a,a2904a,a2905a,a2906a,a2909a,a2913a,a2914a,a2915a,a2918a,a2922a,a2923a,a2924a,a2927a,a2931a,a2932a,a2933a,a2936a,a2940a,a2941a,a2942a,a2945a,a2949a,a2950a,a2951a,a2954a,a2958a,a2959a,a2960a,a2963a,a2967a,a2968a,a2969a,a2972a,a2976a,a2977a,a2978a,a2981a,a2985a,a2986a,a2987a,a2990a,a2994a,a2995a,a2996a,a2999a,a3003a,a3004a,a3005a,a3008a,a3012a,a3013a,a3014a,a3017a,a3021a,a3022a,a3023a,a3026a,a3030a,a3031a,a3032a,a3035a,a3039a,a3040a,a3041a,a3044a,a3048a,a3049a,a3050a,a3053a,a3057a,a3058a,a3059a,a3062a,a3066a,a3067a,a3068a,a3071a,a3075a,a3076a,a3077a,a3080a,a3084a,a3085a,a3086a,a3089a,a3093a,a3094a,a3095a,a3098a,a3102a,a3103a,a3104a,a3107a,a3111a,a3112a,a3113a,a3116a,a3120a,a3121a,a3122a,a3125a,a3129a,a3130a,a3131a,a3134a,a3138a,a3139a,a3140a,a3143a,a3147a,a3148a,a3149a,a3152a,a3156a,a3157a,a3158a,a3161a,a3165a,a3166a,a3167a,a3170a,a3174a,a3175a,a3176a,a3179a,a3183a,a3184a,a3185a,a3188a,a3192a,a3193a,a3194a,a3197a,a3201a,a3202a,a3203a,a3206a,a3210a,a3211a,a3212a,a3215a,a3219a,a3220a,a3221a,a3224a,a3228a,a3229a,a3230a,a3233a,a3237a,a3238a,a3239a,a3242a,a3246a,a3247a,a3248a,a3251a,a3255a,a3256a,a3257a,a3260a,a3264a,a3265a,a3266a,a3269a,a3273a,a3274a,a3275a,a3278a,a3282a,a3283a,a3284a,a3287a,a3291a,a3292a,a3293a,a3296a,a3300a,a3301a,a3302a,a3305a,a3309a,a3310a,a3311a,a3314a,a3318a,a3319a,a3320a,a3323a,a3327a,a3328a,a3329a,a3332a,a3336a,a3337a,a3338a,a3341a,a3345a,a3346a,a3347a,a3350a,a3354a,a3355a,a3356a,a3359a,a3363a,a3364a,a3365a,a3368a,a3372a,a3373a,a3374a,a3377a,a3381a,a3382a,a3383a,a3386a,a3390a,a3391a,a3392a,a3395a,a3399a,a3400a,a3401a,a3404a,a3408a,a3409a,a3410a,a3413a,a3417a,a3418a,a3419a,a3422a,a3426a,a3427a,a3428a,a3431a,a3435a,a3436a,a3437a,a3440a,a3444a,a3445a,a3446a,a3449a,a3453a,a3454a,a3455a,a3458a,a3462a,a3463a,a3464a,a3467a,a3471a,a3472a,a3473a,a3476a,a3480a,a3481a,a3482a,a3485a,a3489a,a3490a,a3491a,a3494a,a3498a,a3499a,a3500a,a3503a,a3507a,a3508a,a3509a,a3512a,a3516a,a3517a,a3518a,a3521a,a3525a,a3526a,a3527a,a3530a,a3534a,a3535a,a3536a,a3539a,a3543a,a3544a,a3545a,a3548a,a3552a,a3553a,a3554a,a3557a,a3561a,a3562a,a3563a,a3566a,a3570a,a3571a,a3572a,a3575a,a3579a,a3580a,a3581a,a3584a,a3588a,a3589a,a3590a,a3593a,a3597a,a3598a,a3599a,a3602a,a3606a,a3607a,a3608a,a3611a,a3615a,a3616a,a3617a,a3620a,a3624a,a3625a,a3626a,a3629a,a3633a,a3634a,a3635a,a3638a,a3642a,a3643a,a3644a,a3647a,a3651a,a3652a,a3653a,a3656a,a3660a,a3661a,a3662a,a3665a,a3669a,a3670a,a3671a,a3674a,a3678a,a3679a,a3680a,a3683a,a3687a,a3688a,a3689a,a3692a,a3696a,a3697a,a3698a,a3701a,a3705a,a3706a,a3707a,a3710a,a3714a,a3715a,a3716a,a3719a,a3723a,a3724a,a3725a,a3728a,a3732a,a3733a,a3734a,a3737a,a3741a,a3742a,a3743a,a3746a,a3750a,a3751a,a3752a,a3755a,a3759a,a3760a,a3761a,a3764a,a3768a,a3769a,a3770a,a3773a,a3777a,a3778a,a3779a,a3782a,a3786a,a3787a,a3788a,a3791a,a3795a,a3796a,a3797a,a3800a,a3804a,a3805a,a3806a,a3809a,a3813a,a3814a,a3815a,a3818a,a3822a,a3823a,a3824a,a3827a,a3831a,a3832a,a3833a,a3836a,a3840a,a3841a,a3842a,a3845a,a3849a,a3850a,a3851a,a3854a,a3858a,a3859a,a3860a,a3863a,a3867a,a3868a,a3869a,a3872a,a3876a,a3877a,a3878a,a3881a,a3885a,a3886a,a3887a,a3890a,a3894a,a3895a,a3896a,a3899a,a3903a,a3904a,a3905a,a3908a,a3912a,a3913a,a3914a,a3917a,a3921a,a3922a,a3923a,a3926a,a3930a,a3931a,a3932a,a3935a,a3939a,a3940a,a3941a,a3944a,a3948a,a3949a,a3950a,a3953a,a3957a,a3958a,a3959a,a3962a,a3966a,a3967a,a3968a,a3971a,a3975a,a3976a,a3977a,a3980a,a3984a,a3985a,a3986a,a3989a,a3993a,a3994a,a3995a,a3998a,a4002a,a4003a,a4004a,a4007a,a4011a,a4012a,a4013a,a4016a,a4020a,a4021a,a4022a,a4025a,a4029a,a4030a,a4031a,a4034a,a4038a,a4039a,a4040a,a4043a,a4047a,a4048a,a4049a,a4052a,a4056a,a4057a,a4058a,a4061a,a4065a,a4066a,a4067a,a4070a,a4074a,a4075a,a4076a,a4079a,a4083a,a4084a,a4085a,a4088a,a4092a,a4093a,a4094a,a4097a,a4101a,a4102a,a4103a,a4106a,a4110a,a4111a,a4112a,a4115a,a4119a,a4120a,a4121a,a4124a,a4128a,a4129a,a4130a,a4133a,a4137a,a4138a,a4139a,a4142a,a4146a,a4147a,a4148a,a4151a,a4155a,a4156a,a4157a,a4160a,a4164a,a4165a,a4166a,a4169a,a4173a,a4174a,a4175a,a4178a,a4182a,a4183a,a4184a,a4187a,a4191a,a4192a,a4193a,a4196a,a4200a,a4201a,a4202a,a4205a,a4209a,a4210a,a4211a,a4214a,a4218a,a4219a,a4220a,a4223a,a4227a,a4228a,a4229a,a4232a,a4236a,a4237a,a4238a,a4241a,a4245a,a4246a,a4247a,a4250a,a4254a,a4255a,a4256a,a4259a,a4263a,a4264a,a4265a,a4268a,a4272a,a4273a,a4274a,a4277a,a4281a,a4282a,a4283a,a4286a,a4290a,a4291a,a4292a,a4295a,a4299a,a4300a,a4301a,a4304a,a4308a,a4309a,a4310a,a4313a,a4317a,a4318a,a4319a,a4322a,a4326a,a4327a,a4328a,a4331a,a4335a,a4336a,a4337a,a4340a,a4344a,a4345a,a4346a,a4349a,a4353a,a4354a,a4355a,a4358a,a4362a,a4363a,a4364a,a4367a,a4371a,a4372a,a4373a,a4376a,a4380a,a4381a,a4382a,a4385a,a4389a,a4390a,a4391a,a4394a,a4398a,a4399a,a4400a,a4403a,a4407a,a4408a,a4409a,a4412a,a4416a,a4417a,a4418a,a4421a,a4425a,a4426a,a4427a,a4430a,a4434a,a4435a,a4436a,a4439a,a4443a,a4444a,a4445a,a4448a,a4452a,a4453a,a4454a,a4457a,a4461a,a4462a,a4463a,a4466a,a4470a,a4471a,a4472a,a4475a,a4479a,a4480a,a4481a,a4484a,a4488a,a4489a,a4490a,a4493a,a4497a,a4498a,a4499a,a4502a,a4506a,a4507a,a4508a,a4511a,a4515a,a4516a,a4517a,a4520a,a4524a,a4525a,a4526a,a4529a,a4533a,a4534a,a4535a,a4538a,a4542a,a4543a,a4544a,a4547a,a4551a,a4552a,a4553a,a4556a,a4560a,a4561a,a4562a,a4565a,a4569a,a4570a,a4571a,a4574a,a4578a,a4579a,a4580a,a4583a,a4587a,a4588a,a4589a,a4592a,a4596a,a4597a,a4598a,a4601a,a4605a,a4606a,a4607a,a4610a,a4614a,a4615a,a4616a,a4619a,a4623a,a4624a,a4625a,a4628a,a4632a,a4633a,a4634a,a4637a,a4641a,a4642a,a4643a,a4646a,a4650a,a4651a,a4652a,a4655a,a4659a,a4660a,a4661a,a4664a,a4668a,a4669a,a4670a,a4673a,a4677a,a4678a,a4679a,a4682a,a4686a,a4687a,a4688a,a4691a,a4695a,a4696a,a4697a,a4700a,a4704a,a4705a,a4706a,a4709a,a4713a,a4714a,a4715a,a4718a,a4722a,a4723a,a4724a,a4727a,a4731a,a4732a,a4733a,a4736a,a4740a,a4741a,a4742a,a4745a,a4749a,a4750a,a4751a,a4754a,a4758a,a4759a,a4760a,a4763a,a4767a,a4768a,a4769a,a4773a,a4774a,a4778a,a4779a,a4780a,a4783a,a4787a,a4788a,a4789a,a4793a,a4794a,a4798a,a4799a,a4800a,a4803a,a4807a,a4808a,a4809a,a4813a,a4814a,a4818a,a4819a,a4820a,a4823a,a4827a,a4828a,a4829a,a4833a,a4834a,a4838a,a4839a,a4840a,a4843a,a4847a,a4848a,a4849a,a4853a,a4854a,a4858a,a4859a,a4860a,a4863a,a4867a,a4868a,a4869a,a4873a,a4874a,a4878a,a4879a,a4880a,a4883a,a4887a,a4888a,a4889a,a4893a,a4894a,a4898a,a4899a,a4900a,a4903a,a4907a,a4908a,a4909a,a4913a,a4914a,a4918a,a4919a,a4920a,a4923a,a4927a,a4928a,a4929a,a4933a,a4934a,a4938a,a4939a,a4940a,a4943a,a4947a,a4948a,a4949a,a4953a,a4954a,a4958a,a4959a,a4960a,a4963a,a4967a,a4968a,a4969a,a4973a,a4974a,a4978a,a4979a,a4980a,a4983a,a4987a,a4988a,a4989a,a4993a,a4994a,a4998a,a4999a,a5000a,a5003a,a5007a,a5008a,a5009a,a5013a,a5014a,a5018a,a5019a,a5020a,a5023a,a5027a,a5028a,a5029a,a5033a,a5034a,a5038a,a5039a,a5040a,a5043a,a5047a,a5048a,a5049a,a5053a,a5054a,a5058a,a5059a,a5060a,a5063a,a5067a,a5068a,a5069a,a5073a,a5074a,a5078a,a5079a,a5080a,a5083a,a5087a,a5088a,a5089a,a5093a,a5094a,a5098a,a5099a,a5100a,a5103a,a5107a,a5108a,a5109a,a5113a,a5114a,a5118a,a5119a,a5120a,a5123a,a5127a,a5128a,a5129a,a5133a,a5134a,a5138a,a5139a,a5140a,a5143a,a5147a,a5148a,a5149a,a5153a,a5154a,a5158a,a5159a,a5160a,a5163a,a5167a,a5168a,a5169a,a5173a,a5174a,a5178a,a5179a,a5180a,a5183a,a5187a,a5188a,a5189a,a5193a,a5194a,a5198a,a5199a,a5200a,a5203a,a5207a,a5208a,a5209a,a5213a,a5214a,a5218a,a5219a,a5220a,a5223a,a5227a,a5228a,a5229a,a5233a,a5234a,a5238a,a5239a,a5240a,a5243a,a5247a,a5248a,a5249a,a5253a,a5254a,a5258a,a5259a,a5260a,a5263a,a5267a,a5268a,a5269a,a5273a,a5274a,a5278a,a5279a,a5280a,a5283a,a5287a,a5288a,a5289a,a5293a,a5294a,a5298a,a5299a,a5300a,a5303a,a5307a,a5308a,a5309a,a5313a,a5314a,a5318a,a5319a,a5320a,a5323a,a5327a,a5328a,a5329a,a5333a,a5334a,a5338a,a5339a,a5340a,a5343a,a5347a,a5348a,a5349a,a5353a,a5354a,a5358a,a5359a,a5360a,a5363a,a5367a,a5368a,a5369a,a5373a,a5374a,a5378a,a5379a,a5380a,a5383a,a5387a,a5388a,a5389a,a5393a,a5394a,a5398a,a5399a,a5400a,a5403a,a5407a,a5408a,a5409a,a5413a,a5414a,a5418a,a5419a,a5420a,a5423a,a5427a,a5428a,a5429a,a5433a,a5434a,a5438a,a5439a,a5440a,a5443a,a5447a,a5448a,a5449a,a5453a,a5454a,a5458a,a5459a,a5460a,a5463a,a5467a,a5468a,a5469a,a5473a,a5474a,a5478a,a5479a,a5480a,a5483a,a5487a,a5488a,a5489a,a5493a,a5494a,a5498a,a5499a,a5500a,a5503a,a5507a,a5508a,a5509a,a5513a,a5514a,a5518a,a5519a,a5520a,a5523a,a5527a,a5528a,a5529a,a5533a,a5534a,a5538a,a5539a,a5540a,a5543a,a5547a,a5548a,a5549a,a5553a,a5554a,a5558a,a5559a,a5560a,a5563a,a5567a,a5568a,a5569a,a5573a,a5574a,a5578a,a5579a,a5580a,a5583a,a5587a,a5588a,a5589a,a5593a,a5594a,a5598a,a5599a,a5600a,a5603a,a5607a,a5608a,a5609a,a5613a,a5614a,a5618a,a5619a,a5620a,a5623a,a5627a,a5628a,a5629a,a5633a,a5634a,a5638a,a5639a,a5640a,a5643a,a5647a,a5648a,a5649a,a5653a,a5654a,a5658a,a5659a,a5660a,a5663a,a5667a,a5668a,a5669a,a5673a,a5674a,a5678a,a5679a,a5680a,a5683a,a5687a,a5688a,a5689a,a5693a,a5694a,a5698a,a5699a,a5700a,a5703a,a5707a,a5708a,a5709a,a5713a,a5714a,a5718a,a5719a,a5720a,a5723a,a5727a,a5728a,a5729a,a5733a,a5734a,a5738a,a5739a,a5740a,a5743a,a5747a,a5748a,a5749a,a5753a,a5754a,a5758a,a5759a,a5760a,a5763a,a5767a,a5768a,a5769a,a5773a,a5774a,a5778a,a5779a,a5780a,a5783a,a5787a,a5788a,a5789a,a5793a,a5794a,a5798a,a5799a,a5800a,a5803a,a5807a,a5808a,a5809a,a5813a,a5814a,a5818a,a5819a,a5820a,a5823a,a5827a,a5828a,a5829a,a5833a,a5834a,a5838a,a5839a,a5840a,a5843a,a5847a,a5848a,a5849a,a5853a,a5854a,a5858a,a5859a,a5860a,a5863a,a5867a,a5868a,a5869a,a5873a,a5874a,a5878a,a5879a,a5880a,a5883a,a5887a,a5888a,a5889a,a5893a,a5894a,a5898a,a5899a,a5900a,a5903a,a5907a,a5908a,a5909a,a5913a,a5914a,a5918a,a5919a,a5920a,a5923a,a5927a,a5928a,a5929a,a5933a,a5934a,a5938a,a5939a,a5940a,a5943a,a5947a,a5948a,a5949a,a5953a,a5954a,a5958a,a5959a,a5960a,a5963a,a5967a,a5968a,a5969a,a5973a,a5974a,a5978a,a5979a,a5980a,a5983a,a5987a,a5988a,a5989a,a5993a,a5994a,a5998a,a5999a,a6000a,a6003a,a6007a,a6008a,a6009a,a6013a,a6014a,a6018a,a6019a,a6020a,a6023a,a6027a,a6028a,a6029a,a6033a,a6034a,a6038a,a6039a,a6040a,a6043a,a6047a,a6048a,a6049a,a6053a,a6054a,a6058a,a6059a,a6060a,a6063a,a6067a,a6068a,a6069a,a6073a,a6074a,a6078a,a6079a,a6080a,a6083a,a6087a,a6088a,a6089a,a6093a,a6094a,a6098a,a6099a,a6100a,a6103a,a6107a,a6108a,a6109a,a6113a,a6114a,a6118a,a6119a,a6120a,a6123a,a6127a,a6128a,a6129a,a6133a,a6134a,a6138a,a6139a,a6140a,a6143a,a6147a,a6148a,a6149a,a6153a,a6154a,a6158a,a6159a,a6160a,a6163a,a6167a,a6168a,a6169a,a6173a,a6174a,a6178a,a6179a,a6180a,a6183a,a6187a,a6188a,a6189a,a6193a,a6194a,a6198a,a6199a,a6200a,a6203a,a6207a,a6208a,a6209a,a6213a,a6214a,a6218a,a6219a,a6220a,a6223a,a6227a,a6228a,a6229a,a6233a,a6234a,a6238a,a6239a,a6240a,a6243a,a6247a,a6248a,a6249a,a6253a,a6254a,a6258a,a6259a,a6260a,a6263a,a6267a,a6268a,a6269a,a6273a,a6274a,a6278a,a6279a,a6280a,a6283a,a6287a,a6288a,a6289a,a6293a,a6294a,a6298a,a6299a,a6300a,a6303a,a6307a,a6308a,a6309a,a6313a,a6314a,a6318a,a6319a,a6320a,a6323a,a6327a,a6328a,a6329a,a6333a,a6334a,a6338a,a6339a,a6340a,a6343a,a6347a,a6348a,a6349a,a6353a,a6354a,a6358a,a6359a,a6360a,a6363a,a6367a,a6368a,a6369a,a6373a,a6374a,a6378a,a6379a,a6380a,a6383a,a6387a,a6388a,a6389a,a6393a,a6394a,a6398a,a6399a,a6400a,a6403a,a6407a,a6408a,a6409a,a6413a,a6414a,a6418a,a6419a,a6420a,a6423a,a6427a,a6428a,a6429a,a6433a,a6434a,a6438a,a6439a,a6440a,a6443a,a6447a,a6448a,a6449a,a6453a,a6454a,a6458a,a6459a,a6460a,a6463a,a6467a,a6468a,a6469a,a6473a,a6474a,a6478a,a6479a,a6480a,a6483a,a6487a,a6488a,a6489a,a6493a,a6494a,a6498a,a6499a,a6500a,a6503a,a6507a,a6508a,a6509a,a6513a,a6514a,a6518a,a6519a,a6520a,a6523a,a6527a,a6528a,a6529a,a6533a,a6534a,a6538a,a6539a,a6540a,a6543a,a6547a,a6548a,a6549a,a6553a,a6554a,a6558a,a6559a,a6560a,a6563a,a6567a,a6568a,a6569a,a6573a,a6574a,a6578a,a6579a,a6580a,a6583a,a6587a,a6588a,a6589a,a6593a,a6594a,a6598a,a6599a,a6600a,a6603a,a6607a,a6608a,a6609a,a6613a,a6614a,a6618a,a6619a,a6620a,a6623a,a6627a,a6628a,a6629a,a6633a,a6634a,a6638a,a6639a,a6640a,a6643a,a6647a,a6648a,a6649a,a6653a,a6654a,a6658a,a6659a,a6660a,a6663a,a6667a,a6668a,a6669a,a6673a,a6674a,a6678a,a6679a,a6680a,a6683a,a6687a,a6688a,a6689a,a6693a,a6694a,a6698a,a6699a,a6700a,a6703a,a6707a,a6708a,a6709a,a6713a,a6714a,a6718a,a6719a,a6720a,a6723a,a6727a,a6728a,a6729a,a6733a,a6734a,a6738a,a6739a,a6740a,a6743a,a6747a,a6748a,a6749a,a6753a,a6754a,a6758a,a6759a,a6760a,a6763a,a6767a,a6768a,a6769a,a6773a,a6774a,a6778a,a6779a,a6780a,a6783a,a6787a,a6788a,a6789a,a6793a,a6794a,a6798a,a6799a,a6800a,a6803a,a6807a,a6808a,a6809a,a6813a,a6814a,a6818a,a6819a,a6820a,a6823a,a6827a,a6828a,a6829a,a6833a,a6834a,a6838a,a6839a,a6840a,a6843a,a6847a,a6848a,a6849a,a6853a,a6854a,a6858a,a6859a,a6860a,a6863a,a6867a,a6868a,a6869a,a6873a,a6874a,a6878a,a6879a,a6880a,a6883a,a6887a,a6888a,a6889a,a6893a,a6894a,a6898a,a6899a,a6900a,a6903a,a6907a,a6908a,a6909a,a6913a,a6914a,a6918a,a6919a,a6920a,a6923a,a6927a,a6928a,a6929a,a6933a,a6934a,a6938a,a6939a,a6940a,a6943a,a6947a,a6948a,a6949a,a6953a,a6954a,a6958a,a6959a,a6960a,a6963a,a6967a,a6968a,a6969a,a6973a,a6974a,a6978a,a6979a,a6980a,a6983a,a6987a,a6988a,a6989a,a6993a,a6994a,a6998a,a6999a,a7000a,a7003a,a7007a,a7008a,a7009a,a7013a,a7014a,a7018a,a7019a,a7020a,a7023a,a7027a,a7028a,a7029a,a7033a,a7034a,a7038a,a7039a,a7040a,a7043a,a7047a,a7048a,a7049a,a7053a,a7054a,a7058a,a7059a,a7060a,a7063a,a7067a,a7068a,a7069a,a7073a,a7074a,a7078a,a7079a,a7080a,a7083a,a7087a,a7088a,a7089a,a7093a,a7094a,a7098a,a7099a,a7100a,a7103a,a7107a,a7108a,a7109a,a7113a,a7114a,a7118a,a7119a,a7120a,a7123a,a7127a,a7128a,a7129a,a7133a,a7134a,a7138a,a7139a,a7140a,a7143a,a7147a,a7148a,a7149a,a7153a,a7154a,a7158a,a7159a,a7160a,a7163a,a7167a,a7168a,a7169a,a7173a,a7174a,a7178a,a7179a,a7180a,a7183a,a7187a,a7188a,a7189a,a7193a,a7194a,a7198a,a7199a,a7200a,a7203a,a7207a,a7208a,a7209a,a7213a,a7214a,a7218a,a7219a,a7220a,a7223a,a7227a,a7228a,a7229a,a7233a,a7234a,a7238a,a7239a,a7240a,a7243a,a7247a,a7248a,a7249a,a7253a,a7254a,a7258a,a7259a,a7260a,a7263a,a7267a,a7268a,a7269a,a7273a,a7274a,a7278a,a7279a,a7280a,a7283a,a7287a,a7288a,a7289a,a7293a,a7294a,a7298a,a7299a,a7300a,a7303a,a7307a,a7308a,a7309a,a7313a,a7314a,a7318a,a7319a,a7320a,a7323a,a7327a,a7328a,a7329a,a7333a,a7334a,a7338a,a7339a,a7340a,a7343a,a7347a,a7348a,a7349a,a7353a,a7354a,a7358a,a7359a,a7360a,a7363a,a7367a,a7368a,a7369a,a7373a,a7374a,a7378a,a7379a,a7380a,a7383a,a7387a,a7388a,a7389a,a7393a,a7394a,a7398a,a7399a,a7400a,a7403a,a7407a,a7408a,a7409a,a7413a,a7414a,a7418a,a7419a,a7420a,a7423a,a7427a,a7428a,a7429a,a7433a,a7434a,a7438a,a7439a,a7440a,a7443a,a7447a,a7448a,a7449a,a7453a,a7454a,a7458a,a7459a,a7460a,a7463a,a7467a,a7468a,a7469a,a7473a,a7474a,a7478a,a7479a,a7480a,a7483a,a7487a,a7488a,a7489a,a7493a,a7494a,a7498a,a7499a,a7500a,a7503a,a7507a,a7508a,a7509a,a7513a,a7514a,a7518a,a7519a,a7520a,a7523a,a7527a,a7528a,a7529a,a7533a,a7534a,a7538a,a7539a,a7540a,a7543a,a7547a,a7548a,a7549a,a7553a,a7554a,a7558a,a7559a,a7560a,a7563a,a7567a,a7568a,a7569a,a7573a,a7574a,a7578a,a7579a,a7580a,a7583a,a7587a,a7588a,a7589a,a7593a,a7594a,a7598a,a7599a,a7600a,a7603a,a7607a,a7608a,a7609a,a7613a,a7614a,a7618a,a7619a,a7620a,a7623a,a7627a,a7628a,a7629a,a7633a,a7634a,a7638a,a7639a,a7640a,a7643a,a7647a,a7648a,a7649a,a7653a,a7654a,a7658a,a7659a,a7660a,a7663a,a7667a,a7668a,a7669a,a7673a,a7674a,a7678a,a7679a,a7680a,a7683a,a7687a,a7688a,a7689a,a7693a,a7694a,a7698a,a7699a,a7700a,a7703a,a7707a,a7708a,a7709a,a7713a,a7714a,a7718a,a7719a,a7720a,a7723a,a7727a,a7728a,a7729a,a7733a,a7734a,a7738a,a7739a,a7740a,a7743a,a7747a,a7748a,a7749a,a7753a,a7754a,a7758a,a7759a,a7760a,a7763a,a7767a,a7768a,a7769a,a7773a,a7774a,a7778a,a7779a,a7780a,a7783a,a7787a,a7788a,a7789a,a7793a,a7794a,a7798a,a7799a,a7800a,a7803a,a7807a,a7808a,a7809a,a7813a,a7814a,a7818a,a7819a,a7820a,a7823a,a7827a,a7828a,a7829a,a7833a,a7834a,a7838a,a7839a,a7840a,a7843a,a7847a,a7848a,a7849a,a7853a,a7854a,a7858a,a7859a,a7860a,a7863a,a7867a,a7868a,a7869a,a7873a,a7874a,a7878a,a7879a,a7880a,a7883a,a7887a,a7888a,a7889a,a7893a,a7894a,a7898a,a7899a,a7900a,a7903a,a7907a,a7908a,a7909a,a7913a,a7914a,a7918a,a7919a,a7920a,a7923a,a7927a,a7928a,a7929a,a7933a,a7934a,a7938a,a7939a,a7940a,a7943a,a7947a,a7948a,a7949a,a7953a,a7954a,a7958a,a7959a,a7960a,a7964a,a7965a,a7969a,a7970a,a7971a,a7975a,a7976a,a7980a,a7981a,a7982a,a7986a,a7987a,a7991a,a7992a,a7993a,a7997a,a7998a,a8002a,a8003a,a8004a,a8008a,a8009a,a8013a,a8014a,a8015a,a8019a,a8020a,a8024a,a8025a,a8026a,a8030a,a8031a,a8035a,a8036a,a8037a,a8041a,a8042a,a8046a,a8047a,a8048a,a8052a,a8053a,a8057a,a8058a,a8059a,a8063a,a8064a,a8068a,a8069a,a8070a,a8074a,a8075a,a8079a,a8080a,a8081a,a8085a,a8086a,a8090a,a8091a,a8092a,a8096a,a8097a,a8101a,a8102a,a8103a,a8107a,a8108a,a8112a,a8113a,a8114a,a8118a,a8119a,a8123a,a8124a,a8125a,a8129a,a8130a,a8134a,a8135a,a8136a,a8140a,a8141a,a8145a,a8146a,a8147a,a8151a,a8152a,a8156a,a8157a,a8158a,a8162a,a8163a,a8167a,a8168a,a8169a,a8173a,a8174a,a8178a,a8179a,a8180a,a8184a,a8185a,a8189a,a8190a,a8191a,a8195a,a8196a,a8200a,a8201a,a8202a,a8206a,a8207a,a8211a,a8212a,a8213a,a8217a,a8218a,a8222a,a8223a,a8224a,a8228a,a8229a,a8233a,a8234a,a8235a,a8239a,a8240a,a8244a,a8245a,a8246a,a8250a,a8251a,a8255a,a8256a,a8257a,a8261a,a8262a,a8266a,a8267a,a8268a,a8272a,a8273a,a8277a,a8278a,a8279a,a8283a,a8284a,a8288a,a8289a,a8290a,a8294a,a8295a,a8299a,a8300a,a8301a,a8305a,a8306a,a8310a,a8311a,a8312a,a8316a,a8317a,a8321a,a8322a,a8323a,a8327a,a8328a,a8332a,a8333a,a8334a,a8338a,a8339a,a8343a,a8344a,a8345a,a8349a,a8350a,a8354a,a8355a,a8356a,a8360a,a8361a,a8365a,a8366a,a8367a,a8371a,a8372a,a8376a,a8377a,a8378a,a8382a,a8383a,a8387a,a8388a,a8389a,a8393a,a8394a,a8398a,a8399a,a8400a,a8404a,a8405a,a8409a,a8410a,a8411a,a8415a,a8416a,a8420a,a8421a,a8422a,a8426a,a8427a,a8431a,a8432a,a8433a,a8437a,a8438a,a8442a,a8443a,a8444a,a8448a,a8449a,a8453a,a8454a,a8455a,a8459a,a8460a,a8464a,a8465a,a8466a,a8470a,a8471a,a8475a,a8476a,a8477a,a8481a,a8482a,a8486a,a8487a,a8488a,a8492a,a8493a,a8497a,a8498a,a8499a,a8503a,a8504a,a8508a,a8509a,a8510a,a8514a,a8515a,a8519a,a8520a,a8521a,a8525a,a8526a,a8530a,a8531a,a8532a,a8536a,a8537a,a8541a,a8542a,a8543a,a8547a,a8548a,a8552a,a8553a,a8554a,a8558a,a8559a,a8563a,a8564a,a8565a,a8569a,a8570a,a8574a,a8575a,a8576a,a8580a,a8581a,a8585a,a8586a,a8587a,a8591a,a8592a,a8596a,a8597a,a8598a,a8602a,a8603a,a8607a,a8608a,a8609a,a8613a,a8614a,a8618a,a8619a,a8620a,a8624a,a8625a,a8629a,a8630a,a8631a,a8635a,a8636a,a8640a,a8641a,a8642a,a8646a,a8647a,a8651a,a8652a,a8653a,a8657a,a8658a,a8662a,a8663a,a8664a,a8668a,a8669a,a8673a,a8674a,a8675a,a8679a,a8680a,a8684a,a8685a,a8686a,a8690a,a8691a,a8695a,a8696a,a8697a,a8701a,a8702a,a8706a,a8707a,a8708a,a8712a,a8713a,a8717a,a8718a,a8719a,a8723a,a8724a,a8728a,a8729a,a8730a,a8734a,a8735a,a8739a,a8740a,a8741a,a8745a,a8746a,a8750a,a8751a,a8752a,a8756a,a8757a,a8761a,a8762a,a8763a,a8767a,a8768a,a8772a,a8773a,a8774a,a8778a,a8779a,a8783a,a8784a,a8785a,a8789a,a8790a,a8794a,a8795a,a8796a,a8800a,a8801a,a8805a,a8806a,a8807a,a8811a,a8812a,a8816a,a8817a,a8818a,a8822a,a8823a,a8827a,a8828a,a8829a,a8833a,a8834a,a8838a,a8839a,a8840a,a8844a,a8845a,a8849a,a8850a,a8851a,a8855a,a8856a,a8860a,a8861a,a8862a,a8866a,a8867a,a8871a,a8872a,a8873a,a8877a,a8878a,a8882a,a8883a,a8884a,a8888a,a8889a,a8893a,a8894a,a8895a,a8899a,a8900a,a8904a,a8905a,a8906a,a8910a,a8911a,a8915a,a8916a,a8917a,a8921a,a8922a,a8926a,a8927a,a8928a,a8932a,a8933a,a8937a,a8938a,a8939a,a8943a,a8944a,a8948a,a8949a,a8950a,a8954a,a8955a,a8959a,a8960a,a8961a,a8965a,a8966a,a8970a,a8971a,a8972a,a8976a,a8977a,a8981a,a8982a,a8983a,a8987a,a8988a,a8992a,a8993a,a8994a,a8998a,a8999a,a9003a,a9004a,a9005a,a9009a,a9010a,a9014a,a9015a,a9016a,a9020a,a9021a,a9025a,a9026a,a9027a,a9031a,a9032a,a9036a,a9037a,a9038a,a9042a,a9043a,a9047a,a9048a,a9049a,a9053a,a9054a,a9058a,a9059a,a9060a,a9064a,a9065a,a9069a,a9070a,a9071a,a9075a,a9076a,a9080a,a9081a,a9082a,a9086a,a9087a,a9091a,a9092a,a9093a,a9097a,a9098a,a9102a,a9103a,a9104a,a9108a,a9109a,a9113a,a9114a,a9115a,a9119a,a9120a,a9124a,a9125a,a9126a,a9130a,a9131a,a9135a,a9136a,a9137a,a9141a,a9142a,a9146a,a9147a,a9148a,a9152a,a9153a,a9157a,a9158a,a9159a,a9163a,a9164a,a9168a,a9169a,a9170a,a9174a,a9175a,a9179a,a9180a,a9181a,a9185a,a9186a,a9190a,a9191a,a9192a,a9196a,a9197a,a9201a,a9202a,a9203a,a9207a,a9208a,a9212a,a9213a,a9214a,a9218a,a9219a,a9223a,a9224a,a9225a,a9229a,a9230a,a9234a,a9235a,a9236a,a9240a,a9241a,a9245a,a9246a,a9247a,a9251a,a9252a,a9256a,a9257a,a9258a,a9262a,a9263a,a9267a,a9268a,a9269a,a9273a,a9274a,a9278a,a9279a,a9280a,a9284a,a9285a,a9289a,a9290a,a9291a,a9295a,a9296a,a9300a,a9301a,a9302a,a9306a,a9307a,a9311a,a9312a,a9313a,a9317a,a9318a,a9322a,a9323a,a9324a,a9328a,a9329a,a9333a,a9334a,a9335a,a9339a,a9340a,a9344a,a9345a,a9346a,a9350a,a9351a,a9355a,a9356a,a9357a,a9361a,a9362a,a9366a,a9367a,a9368a,a9372a,a9373a,a9377a,a9378a,a9379a,a9383a,a9384a,a9387a,a9390a,a9391a,a9392a,a9396a,a9397a,a9401a,a9402a,a9403a,a9407a,a9408a,a9411a,a9414a,a9415a,a9416a,a9420a,a9421a,a9425a,a9426a,a9427a,a9431a,a9432a,a9435a,a9438a,a9439a,a9440a,a9444a,a9445a,a9449a,a9450a,a9451a,a9455a,a9456a,a9459a,a9462a,a9463a,a9464a,a9468a,a9469a,a9473a,a9474a,a9475a,a9479a,a9480a,a9483a,a9486a,a9487a,a9488a,a9492a,a9493a,a9497a,a9498a,a9499a,a9503a,a9504a,a9507a,a9510a,a9511a,a9512a,a9516a,a9517a,a9521a,a9522a,a9523a,a9527a,a9528a,a9531a,a9534a,a9535a,a9536a,a9540a,a9541a,a9545a,a9546a,a9547a,a9551a,a9552a,a9555a,a9558a,a9559a,a9560a: std_logic; begin A8 <=( a1312a ) or ( a875a ); a1a <=( a9560a and a9547a ); a2a <=( a9536a and a9523a ); a3a <=( a9512a and a9499a ); a4a <=( a9488a and a9475a ); a5a <=( a9464a and a9451a ); a6a <=( a9440a and a9427a ); a7a <=( a9416a and a9403a ); a8a <=( a9392a and a9379a ); a9a <=( a9368a and a9357a ); a10a <=( a9346a and a9335a ); a11a <=( a9324a and a9313a ); a12a <=( a9302a and a9291a ); a13a <=( a9280a and a9269a ); a14a <=( a9258a and a9247a ); a15a <=( a9236a and a9225a ); a16a <=( a9214a and a9203a ); a17a <=( a9192a and a9181a ); a18a <=( a9170a and a9159a ); a19a <=( a9148a and a9137a ); a20a <=( a9126a and a9115a ); a21a <=( a9104a and a9093a ); a22a <=( a9082a and a9071a ); a23a <=( a9060a and a9049a ); a24a <=( a9038a and a9027a ); a25a <=( a9016a and a9005a ); a26a <=( a8994a and a8983a ); a27a <=( a8972a and a8961a ); a28a <=( a8950a and a8939a ); a29a <=( a8928a and a8917a ); a30a <=( a8906a and a8895a ); a31a <=( a8884a and a8873a ); a32a <=( a8862a and a8851a ); a33a <=( a8840a and a8829a ); a34a <=( a8818a and a8807a ); a35a <=( a8796a and a8785a ); a36a <=( a8774a and a8763a ); a37a <=( a8752a and a8741a ); a38a <=( a8730a and a8719a ); a39a <=( a8708a and a8697a ); a40a <=( a8686a and a8675a ); a41a <=( a8664a and a8653a ); a42a <=( a8642a and a8631a ); a43a <=( a8620a and a8609a ); a44a <=( a8598a and a8587a ); a45a <=( a8576a and a8565a ); a46a <=( a8554a and a8543a ); a47a <=( a8532a and a8521a ); a48a <=( a8510a and a8499a ); a49a <=( a8488a and a8477a ); a50a <=( a8466a and a8455a ); a51a <=( a8444a and a8433a ); a52a <=( a8422a and a8411a ); a53a <=( a8400a and a8389a ); a54a <=( a8378a and a8367a ); a55a <=( a8356a and a8345a ); a56a <=( a8334a and a8323a ); a57a <=( a8312a and a8301a ); a58a <=( a8290a and a8279a ); a59a <=( a8268a and a8257a ); a60a <=( a8246a and a8235a ); a61a <=( a8224a and a8213a ); a62a <=( a8202a and a8191a ); a63a <=( a8180a and a8169a ); a64a <=( a8158a and a8147a ); a65a <=( a8136a and a8125a ); a66a <=( a8114a and a8103a ); a67a <=( a8092a and a8081a ); a68a <=( a8070a and a8059a ); a69a <=( a8048a and a8037a ); a70a <=( a8026a and a8015a ); a71a <=( a8004a and a7993a ); a72a <=( a7982a and a7971a ); a73a <=( a7960a and a7949a ); a74a <=( a7940a and a7929a ); a75a <=( a7920a and a7909a ); a76a <=( a7900a and a7889a ); a77a <=( a7880a and a7869a ); a78a <=( a7860a and a7849a ); a79a <=( a7840a and a7829a ); a80a <=( a7820a and a7809a ); a81a <=( a7800a and a7789a ); a82a <=( a7780a and a7769a ); a83a <=( a7760a and a7749a ); a84a <=( a7740a and a7729a ); a85a <=( a7720a and a7709a ); a86a <=( a7700a and a7689a ); a87a <=( a7680a and a7669a ); a88a <=( a7660a and a7649a ); a89a <=( a7640a and a7629a ); a90a <=( a7620a and a7609a ); a91a <=( a7600a and a7589a ); a92a <=( a7580a and a7569a ); a93a <=( a7560a and a7549a ); a94a <=( a7540a and a7529a ); a95a <=( a7520a and a7509a ); a96a <=( a7500a and a7489a ); a97a <=( a7480a and a7469a ); a98a <=( a7460a and a7449a ); a99a <=( a7440a and a7429a ); a100a <=( a7420a and a7409a ); a101a <=( a7400a and a7389a ); a102a <=( a7380a and a7369a ); a103a <=( a7360a and a7349a ); a104a <=( a7340a and a7329a ); a105a <=( a7320a and a7309a ); a106a <=( a7300a and a7289a ); a107a <=( a7280a and a7269a ); a108a <=( a7260a and a7249a ); a109a <=( a7240a and a7229a ); a110a <=( a7220a and a7209a ); a111a <=( a7200a and a7189a ); a112a <=( a7180a and a7169a ); a113a <=( a7160a and a7149a ); a114a <=( a7140a and a7129a ); a115a <=( a7120a and a7109a ); a116a <=( a7100a and a7089a ); a117a <=( a7080a and a7069a ); a118a <=( a7060a and a7049a ); a119a <=( a7040a and a7029a ); a120a <=( a7020a and a7009a ); a121a <=( a7000a and a6989a ); a122a <=( a6980a and a6969a ); a123a <=( a6960a and a6949a ); a124a <=( a6940a and a6929a ); a125a <=( a6920a and a6909a ); a126a <=( a6900a and a6889a ); a127a <=( a6880a and a6869a ); a128a <=( a6860a and a6849a ); a129a <=( a6840a and a6829a ); a130a <=( a6820a and a6809a ); a131a <=( a6800a and a6789a ); a132a <=( a6780a and a6769a ); a133a <=( a6760a and a6749a ); a134a <=( a6740a and a6729a ); a135a <=( a6720a and a6709a ); a136a <=( a6700a and a6689a ); a137a <=( a6680a and a6669a ); a138a <=( a6660a and a6649a ); a139a <=( a6640a and a6629a ); a140a <=( a6620a and a6609a ); a141a <=( a6600a and a6589a ); a142a <=( a6580a and a6569a ); a143a <=( a6560a and a6549a ); a144a <=( a6540a and a6529a ); a145a <=( a6520a and a6509a ); a146a <=( a6500a and a6489a ); a147a <=( a6480a and a6469a ); a148a <=( a6460a and a6449a ); a149a <=( a6440a and a6429a ); a150a <=( a6420a and a6409a ); a151a <=( a6400a and a6389a ); a152a <=( a6380a and a6369a ); a153a <=( a6360a and a6349a ); a154a <=( a6340a and a6329a ); a155a <=( a6320a and a6309a ); a156a <=( a6300a and a6289a ); a157a <=( a6280a and a6269a ); a158a <=( a6260a and a6249a ); a159a <=( a6240a and a6229a ); a160a <=( a6220a and a6209a ); a161a <=( a6200a and a6189a ); a162a <=( a6180a and a6169a ); a163a <=( a6160a and a6149a ); a164a <=( a6140a and a6129a ); a165a <=( a6120a and a6109a ); a166a <=( a6100a and a6089a ); a167a <=( a6080a and a6069a ); a168a <=( a6060a and a6049a ); a169a <=( a6040a and a6029a ); a170a <=( a6020a and a6009a ); a171a <=( a6000a and a5989a ); a172a <=( a5980a and a5969a ); a173a <=( a5960a and a5949a ); a174a <=( a5940a and a5929a ); a175a <=( a5920a and a5909a ); a176a <=( a5900a and a5889a ); a177a <=( a5880a and a5869a ); a178a <=( a5860a and a5849a ); a179a <=( a5840a and a5829a ); a180a <=( a5820a and a5809a ); a181a <=( a5800a and a5789a ); a182a <=( a5780a and a5769a ); a183a <=( a5760a and a5749a ); a184a <=( a5740a and a5729a ); a185a <=( a5720a and a5709a ); a186a <=( a5700a and a5689a ); a187a <=( a5680a and a5669a ); a188a <=( a5660a and a5649a ); a189a <=( a5640a and a5629a ); a190a <=( a5620a and a5609a ); a191a <=( a5600a and a5589a ); a192a <=( a5580a and a5569a ); a193a <=( a5560a and a5549a ); a194a <=( a5540a and a5529a ); a195a <=( a5520a and a5509a ); a196a <=( a5500a and a5489a ); a197a <=( a5480a and a5469a ); a198a <=( a5460a and a5449a ); a199a <=( a5440a and a5429a ); a200a <=( a5420a and a5409a ); a201a <=( a5400a and a5389a ); a202a <=( a5380a and a5369a ); a203a <=( a5360a and a5349a ); a204a <=( a5340a and a5329a ); a205a <=( a5320a and a5309a ); a206a <=( a5300a and a5289a ); a207a <=( a5280a and a5269a ); a208a <=( a5260a and a5249a ); a209a <=( a5240a and a5229a ); a210a <=( a5220a and a5209a ); a211a <=( a5200a and a5189a ); a212a <=( a5180a and a5169a ); a213a <=( a5160a and a5149a ); a214a <=( a5140a and a5129a ); a215a <=( a5120a and a5109a ); a216a <=( a5100a and a5089a ); a217a <=( a5080a and a5069a ); a218a <=( a5060a and a5049a ); a219a <=( a5040a and a5029a ); a220a <=( a5020a and a5009a ); a221a <=( a5000a and a4989a ); a222a <=( a4980a and a4969a ); a223a <=( a4960a and a4949a ); a224a <=( a4940a and a4929a ); a225a <=( a4920a and a4909a ); a226a <=( a4900a and a4889a ); a227a <=( a4880a and a4869a ); a228a <=( a4860a and a4849a ); a229a <=( a4840a and a4829a ); a230a <=( a4820a and a4809a ); a231a <=( a4800a and a4789a ); a232a <=( a4780a and a4769a ); a233a <=( a4760a and a4751a ); a234a <=( a4742a and a4733a ); a235a <=( a4724a and a4715a ); a236a <=( a4706a and a4697a ); a237a <=( a4688a and a4679a ); a238a <=( a4670a and a4661a ); a239a <=( a4652a and a4643a ); a240a <=( a4634a and a4625a ); a241a <=( a4616a and a4607a ); a242a <=( a4598a and a4589a ); a243a <=( a4580a and a4571a ); a244a <=( a4562a and a4553a ); a245a <=( a4544a and a4535a ); a246a <=( a4526a and a4517a ); a247a <=( a4508a and a4499a ); a248a <=( a4490a and a4481a ); a249a <=( a4472a and a4463a ); a250a <=( a4454a and a4445a ); a251a <=( a4436a and a4427a ); a252a <=( a4418a and a4409a ); a253a <=( a4400a and a4391a ); a254a <=( a4382a and a4373a ); a255a <=( a4364a and a4355a ); a256a <=( a4346a and a4337a ); a257a <=( a4328a and a4319a ); a258a <=( a4310a and a4301a ); a259a <=( a4292a and a4283a ); a260a <=( a4274a and a4265a ); a261a <=( a4256a and a4247a ); a262a <=( a4238a and a4229a ); a263a <=( a4220a and a4211a ); a264a <=( a4202a and a4193a ); a265a <=( a4184a and a4175a ); a266a <=( a4166a and a4157a ); a267a <=( a4148a and a4139a ); a268a <=( a4130a and a4121a ); a269a <=( a4112a and a4103a ); a270a <=( a4094a and a4085a ); a271a <=( a4076a and a4067a ); a272a <=( a4058a and a4049a ); a273a <=( a4040a and a4031a ); a274a <=( a4022a and a4013a ); a275a <=( a4004a and a3995a ); a276a <=( a3986a and a3977a ); a277a <=( a3968a and a3959a ); a278a <=( a3950a and a3941a ); a279a <=( a3932a and a3923a ); a280a <=( a3914a and a3905a ); a281a <=( a3896a and a3887a ); a282a <=( a3878a and a3869a ); a283a <=( a3860a and a3851a ); a284a <=( a3842a and a3833a ); a285a <=( a3824a and a3815a ); a286a <=( a3806a and a3797a ); a287a <=( a3788a and a3779a ); a288a <=( a3770a and a3761a ); a289a <=( a3752a and a3743a ); a290a <=( a3734a and a3725a ); a291a <=( a3716a and a3707a ); a292a <=( a3698a and a3689a ); a293a <=( a3680a and a3671a ); a294a <=( a3662a and a3653a ); a295a <=( a3644a and a3635a ); a296a <=( a3626a and a3617a ); a297a <=( a3608a and a3599a ); a298a <=( a3590a and a3581a ); a299a <=( a3572a and a3563a ); a300a <=( a3554a and a3545a ); a301a <=( a3536a and a3527a ); a302a <=( a3518a and a3509a ); a303a <=( a3500a and a3491a ); a304a <=( a3482a and a3473a ); a305a <=( a3464a and a3455a ); a306a <=( a3446a and a3437a ); a307a <=( a3428a and a3419a ); a308a <=( a3410a and a3401a ); a309a <=( a3392a and a3383a ); a310a <=( a3374a and a3365a ); a311a <=( a3356a and a3347a ); a312a <=( a3338a and a3329a ); a313a <=( a3320a and a3311a ); a314a <=( a3302a and a3293a ); a315a <=( a3284a and a3275a ); a316a <=( a3266a and a3257a ); a317a <=( a3248a and a3239a ); a318a <=( a3230a and a3221a ); a319a <=( a3212a and a3203a ); a320a <=( a3194a and a3185a ); a321a <=( a3176a and a3167a ); a322a <=( a3158a and a3149a ); a323a <=( a3140a and a3131a ); a324a <=( a3122a and a3113a ); a325a <=( a3104a and a3095a ); a326a <=( a3086a and a3077a ); a327a <=( a3068a and a3059a ); a328a <=( a3050a and a3041a ); a329a <=( a3032a and a3023a ); a330a <=( a3014a and a3005a ); a331a <=( a2996a and a2987a ); a332a <=( a2978a and a2969a ); a333a <=( a2960a and a2951a ); a334a <=( a2942a and a2933a ); a335a <=( a2924a and a2915a ); a336a <=( a2906a and a2897a ); a337a <=( a2888a and a2879a ); a338a <=( a2870a and a2861a ); a339a <=( a2852a and a2843a ); a340a <=( a2834a and a2825a ); a341a <=( a2816a and a2807a ); a342a <=( a2798a and a2789a ); a343a <=( a2780a and a2771a ); a344a <=( a2762a and a2753a ); a345a <=( a2744a and a2735a ); a346a <=( a2726a and a2717a ); a347a <=( a2708a and a2699a ); a348a <=( a2690a and a2681a ); a349a <=( a2672a and a2663a ); a350a <=( a2654a and a2645a ); a351a <=( a2636a and a2627a ); a352a <=( a2618a and a2609a ); a353a <=( a2600a and a2591a ); a354a <=( a2582a and a2573a ); a355a <=( a2564a and a2555a ); a356a <=( a2546a and a2537a ); a357a <=( a2528a and a2519a ); a358a <=( a2510a and a2501a ); a359a <=( a2492a and a2483a ); a360a <=( a2474a and a2465a ); a361a <=( a2456a and a2447a ); a362a <=( a2438a and a2429a ); a363a <=( a2420a and a2411a ); a364a <=( a2402a and a2393a ); a365a <=( a2384a and a2375a ); a366a <=( a2366a and a2357a ); a367a <=( a2348a and a2339a ); a368a <=( a2330a and a2321a ); a369a <=( a2312a and a2303a ); a370a <=( a2296a and a2287a ); a371a <=( a2280a and a2271a ); a372a <=( a2264a and a2255a ); a373a <=( a2248a and a2239a ); a374a <=( a2232a and a2223a ); a375a <=( a2216a and a2207a ); a376a <=( a2200a and a2191a ); a377a <=( a2184a and a2175a ); a378a <=( a2168a and a2159a ); a379a <=( a2152a and a2143a ); a380a <=( a2136a and a2127a ); a381a <=( a2120a and a2111a ); a382a <=( a2104a and a2095a ); a383a <=( a2088a and a2079a ); a384a <=( a2072a and a2063a ); a385a <=( a2056a and a2047a ); a386a <=( a2040a and a2031a ); a387a <=( a2024a and a2015a ); a388a <=( a2008a and a1999a ); a389a <=( a1992a and a1983a ); a390a <=( a1976a and a1967a ); a391a <=( a1960a and a1951a ); a392a <=( a1944a and a1935a ); a393a <=( a1928a and a1919a ); a394a <=( a1912a and a1903a ); a395a <=( a1896a and a1887a ); a396a <=( a1880a and a1871a ); a397a <=( a1864a and a1855a ); a398a <=( a1848a and a1839a ); a399a <=( a1832a and a1823a ); a400a <=( a1816a and a1807a ); a401a <=( a1800a and a1793a ); a402a <=( a1786a and a1779a ); a403a <=( a1772a and a1765a ); a404a <=( a1758a and a1751a ); a405a <=( a1744a and a1737a ); a406a <=( a1730a and a1723a ); a407a <=( a1716a and a1709a ); a408a <=( a1702a and a1695a ); a409a <=( a1688a and a1681a ); a410a <=( a1674a and a1667a ); a411a <=( a1660a and a1653a ); a412a <=( a1646a and a1639a ); a413a <=( a1632a and a1625a ); a414a <=( a1618a and a1611a ); a415a <=( a1604a and a1597a ); a416a <=( a1590a and a1583a ); a417a <=( a1576a and a1569a ); a418a <=( a1562a and a1555a ); a419a <=( a1548a and a1541a ); a420a <=( a1534a and a1527a ); a421a <=( a1520a and a1513a ); a422a <=( a1506a and a1499a ); a423a <=( a1492a and a1485a ); a424a <=( a1478a and a1471a ); a425a <=( a1464a and a1457a ); a426a <=( a1450a and a1443a ); a427a <=( a1436a and a1429a ); a428a <=( a1422a and a1415a ); a429a <=( a1408a and a1401a ); a430a <=( a1394a and a1387a ); a431a <=( a1380a and a1373a ); a432a <=( a1366a and a1359a ); a433a <=( a1352a and a1347a ); a434a <=( a1344a and a1339a ); a435a <=( a1336a and a1333a ); a436a <=( a1330a and a1327a ); a437a <=( a1324a and a1321a ); a438a <=( a1318a and a1315a ); a442a <=( a436a ) or ( a437a ); a443a <=( a438a ) or ( a442a ); a447a <=( a433a ) or ( a434a ); a448a <=( a435a ) or ( a447a ); a449a <=( a448a ) or ( a443a ); a453a <=( a430a ) or ( a431a ); a454a <=( a432a ) or ( a453a ); a457a <=( a428a ) or ( a429a ); a460a <=( a426a ) or ( a427a ); a461a <=( a460a ) or ( a457a ); a462a <=( a461a ) or ( a454a ); a463a <=( a462a ) or ( a449a ); a467a <=( a423a ) or ( a424a ); a468a <=( a425a ) or ( a467a ); a471a <=( a421a ) or ( a422a ); a474a <=( a419a ) or ( a420a ); a475a <=( a474a ) or ( a471a ); a476a <=( a475a ) or ( a468a ); a480a <=( a416a ) or ( a417a ); a481a <=( a418a ) or ( a480a ); a484a <=( a414a ) or ( a415a ); a487a <=( a412a ) or ( a413a ); a488a <=( a487a ) or ( a484a ); a489a <=( a488a ) or ( a481a ); a490a <=( a489a ) or ( a476a ); a491a <=( a490a ) or ( a463a ); a495a <=( a409a ) or ( a410a ); a496a <=( a411a ) or ( a495a ); a500a <=( a406a ) or ( a407a ); a501a <=( a408a ) or ( a500a ); a502a <=( a501a ) or ( a496a ); a506a <=( a403a ) or ( a404a ); a507a <=( a405a ) or ( a506a ); a510a <=( a401a ) or ( a402a ); a513a <=( a399a ) or ( a400a ); a514a <=( a513a ) or ( a510a ); a515a <=( a514a ) or ( a507a ); a516a <=( a515a ) or ( a502a ); a520a <=( a396a ) or ( a397a ); a521a <=( a398a ) or ( a520a ); a524a <=( a394a ) or ( a395a ); a527a <=( a392a ) or ( a393a ); a528a <=( a527a ) or ( a524a ); a529a <=( a528a ) or ( a521a ); a533a <=( a389a ) or ( a390a ); a534a <=( a391a ) or ( a533a ); a537a <=( a387a ) or ( a388a ); a540a <=( a385a ) or ( a386a ); a541a <=( a540a ) or ( a537a ); a542a <=( a541a ) or ( a534a ); a543a <=( a542a ) or ( a529a ); a544a <=( a543a ) or ( a516a ); a545a <=( a544a ) or ( a491a ); a549a <=( a382a ) or ( a383a ); a550a <=( a384a ) or ( a549a ); a554a <=( a379a ) or ( a380a ); a555a <=( a381a ) or ( a554a ); a556a <=( a555a ) or ( a550a ); a560a <=( a376a ) or ( a377a ); a561a <=( a378a ) or ( a560a ); a564a <=( a374a ) or ( a375a ); a567a <=( a372a ) or ( a373a ); a568a <=( a567a ) or ( a564a ); a569a <=( a568a ) or ( a561a ); a570a <=( a569a ) or ( a556a ); a574a <=( a369a ) or ( a370a ); a575a <=( a371a ) or ( a574a ); a578a <=( a367a ) or ( a368a ); a581a <=( a365a ) or ( a366a ); a582a <=( a581a ) or ( a578a ); a583a <=( a582a ) or ( a575a ); a587a <=( a362a ) or ( a363a ); a588a <=( a364a ) or ( a587a ); a591a <=( a360a ) or ( a361a ); a594a <=( a358a ) or ( a359a ); a595a <=( a594a ) or ( a591a ); a596a <=( a595a ) or ( a588a ); a597a <=( a596a ) or ( a583a ); a598a <=( a597a ) or ( a570a ); a602a <=( a355a ) or ( a356a ); a603a <=( a357a ) or ( a602a ); a606a <=( a353a ) or ( a354a ); a609a <=( a351a ) or ( a352a ); a610a <=( a609a ) or ( a606a ); a611a <=( a610a ) or ( a603a ); a615a <=( a348a ) or ( a349a ); a616a <=( a350a ) or ( a615a ); a619a <=( a346a ) or ( a347a ); a622a <=( a344a ) or ( a345a ); a623a <=( a622a ) or ( a619a ); a624a <=( a623a ) or ( a616a ); a625a <=( a624a ) or ( a611a ); a629a <=( a341a ) or ( a342a ); a630a <=( a343a ) or ( a629a ); a633a <=( a339a ) or ( a340a ); a636a <=( a337a ) or ( a338a ); a637a <=( a636a ) or ( a633a ); a638a <=( a637a ) or ( a630a ); a642a <=( a334a ) or ( a335a ); a643a <=( a336a ) or ( a642a ); a646a <=( a332a ) or ( a333a ); a649a <=( a330a ) or ( a331a ); a650a <=( a649a ) or ( a646a ); a651a <=( a650a ) or ( a643a ); a652a <=( a651a ) or ( a638a ); a653a <=( a652a ) or ( a625a ); a654a <=( a653a ) or ( a598a ); a655a <=( a654a ) or ( a545a ); a659a <=( a327a ) or ( a328a ); a660a <=( a329a ) or ( a659a ); a664a <=( a324a ) or ( a325a ); a665a <=( a326a ) or ( a664a ); a666a <=( a665a ) or ( a660a ); a670a <=( a321a ) or ( a322a ); a671a <=( a323a ) or ( a670a ); a674a <=( a319a ) or ( a320a ); a677a <=( a317a ) or ( a318a ); a678a <=( a677a ) or ( a674a ); a679a <=( a678a ) or ( a671a ); a680a <=( a679a ) or ( a666a ); a684a <=( a314a ) or ( a315a ); a685a <=( a316a ) or ( a684a ); a688a <=( a312a ) or ( a313a ); a691a <=( a310a ) or ( a311a ); a692a <=( a691a ) or ( a688a ); a693a <=( a692a ) or ( a685a ); a697a <=( a307a ) or ( a308a ); a698a <=( a309a ) or ( a697a ); a701a <=( a305a ) or ( a306a ); a704a <=( a303a ) or ( a304a ); a705a <=( a704a ) or ( a701a ); a706a <=( a705a ) or ( a698a ); a707a <=( a706a ) or ( a693a ); a708a <=( a707a ) or ( a680a ); a712a <=( a300a ) or ( a301a ); a713a <=( a302a ) or ( a712a ); a716a <=( a298a ) or ( a299a ); a719a <=( a296a ) or ( a297a ); a720a <=( a719a ) or ( a716a ); a721a <=( a720a ) or ( a713a ); a725a <=( a293a ) or ( a294a ); a726a <=( a295a ) or ( a725a ); a729a <=( a291a ) or ( a292a ); a732a <=( a289a ) or ( a290a ); a733a <=( a732a ) or ( a729a ); a734a <=( a733a ) or ( a726a ); a735a <=( a734a ) or ( a721a ); a739a <=( a286a ) or ( a287a ); a740a <=( a288a ) or ( a739a ); a743a <=( a284a ) or ( a285a ); a746a <=( a282a ) or ( a283a ); a747a <=( a746a ) or ( a743a ); a748a <=( a747a ) or ( a740a ); a752a <=( a279a ) or ( a280a ); a753a <=( a281a ) or ( a752a ); a756a <=( a277a ) or ( a278a ); a759a <=( a275a ) or ( a276a ); a760a <=( a759a ) or ( a756a ); a761a <=( a760a ) or ( a753a ); a762a <=( a761a ) or ( a748a ); a763a <=( a762a ) or ( a735a ); a764a <=( a763a ) or ( a708a ); a768a <=( a272a ) or ( a273a ); a769a <=( a274a ) or ( a768a ); a773a <=( a269a ) or ( a270a ); a774a <=( a271a ) or ( a773a ); a775a <=( a774a ) or ( a769a ); a779a <=( a266a ) or ( a267a ); a780a <=( a268a ) or ( a779a ); a783a <=( a264a ) or ( a265a ); a786a <=( a262a ) or ( a263a ); a787a <=( a786a ) or ( a783a ); a788a <=( a787a ) or ( a780a ); a789a <=( a788a ) or ( a775a ); a793a <=( a259a ) or ( a260a ); a794a <=( a261a ) or ( a793a ); a797a <=( a257a ) or ( a258a ); a800a <=( a255a ) or ( a256a ); a801a <=( a800a ) or ( a797a ); a802a <=( a801a ) or ( a794a ); a806a <=( a252a ) or ( a253a ); a807a <=( a254a ) or ( a806a ); a810a <=( a250a ) or ( a251a ); a813a <=( a248a ) or ( a249a ); a814a <=( a813a ) or ( a810a ); a815a <=( a814a ) or ( a807a ); a816a <=( a815a ) or ( a802a ); a817a <=( a816a ) or ( a789a ); a821a <=( a245a ) or ( a246a ); a822a <=( a247a ) or ( a821a ); a825a <=( a243a ) or ( a244a ); a828a <=( a241a ) or ( a242a ); a829a <=( a828a ) or ( a825a ); a830a <=( a829a ) or ( a822a ); a834a <=( a238a ) or ( a239a ); a835a <=( a240a ) or ( a834a ); a838a <=( a236a ) or ( a237a ); a841a <=( a234a ) or ( a235a ); a842a <=( a841a ) or ( a838a ); a843a <=( a842a ) or ( a835a ); a844a <=( a843a ) or ( a830a ); a848a <=( a231a ) or ( a232a ); a849a <=( a233a ) or ( a848a ); a852a <=( a229a ) or ( a230a ); a855a <=( a227a ) or ( a228a ); a856a <=( a855a ) or ( a852a ); a857a <=( a856a ) or ( a849a ); a861a <=( a224a ) or ( a225a ); a862a <=( a226a ) or ( a861a ); a865a <=( a222a ) or ( a223a ); a868a <=( a220a ) or ( a221a ); a869a <=( a868a ) or ( a865a ); a870a <=( a869a ) or ( a862a ); a871a <=( a870a ) or ( a857a ); a872a <=( a871a ) or ( a844a ); a873a <=( a872a ) or ( a817a ); a874a <=( a873a ) or ( a764a ); a875a <=( a874a ) or ( a655a ); a879a <=( a217a ) or ( a218a ); a880a <=( a219a ) or ( a879a ); a884a <=( a214a ) or ( a215a ); a885a <=( a216a ) or ( a884a ); a886a <=( a885a ) or ( a880a ); a890a <=( a211a ) or ( a212a ); a891a <=( a213a ) or ( a890a ); a894a <=( a209a ) or ( a210a ); a897a <=( a207a ) or ( a208a ); a898a <=( a897a ) or ( a894a ); a899a <=( a898a ) or ( a891a ); a900a <=( a899a ) or ( a886a ); a904a <=( a204a ) or ( a205a ); a905a <=( a206a ) or ( a904a ); a908a <=( a202a ) or ( a203a ); a911a <=( a200a ) or ( a201a ); a912a <=( a911a ) or ( a908a ); a913a <=( a912a ) or ( a905a ); a917a <=( a197a ) or ( a198a ); a918a <=( a199a ) or ( a917a ); a921a <=( a195a ) or ( a196a ); a924a <=( a193a ) or ( a194a ); a925a <=( a924a ) or ( a921a ); a926a <=( a925a ) or ( a918a ); a927a <=( a926a ) or ( a913a ); a928a <=( a927a ) or ( a900a ); a932a <=( a190a ) or ( a191a ); a933a <=( a192a ) or ( a932a ); a937a <=( a187a ) or ( a188a ); a938a <=( a189a ) or ( a937a ); a939a <=( a938a ) or ( a933a ); a943a <=( a184a ) or ( a185a ); a944a <=( a186a ) or ( a943a ); a947a <=( a182a ) or ( a183a ); a950a <=( a180a ) or ( a181a ); a951a <=( a950a ) or ( a947a ); a952a <=( a951a ) or ( a944a ); a953a <=( a952a ) or ( a939a ); a957a <=( a177a ) or ( a178a ); a958a <=( a179a ) or ( a957a ); a961a <=( a175a ) or ( a176a ); a964a <=( a173a ) or ( a174a ); a965a <=( a964a ) or ( a961a ); a966a <=( a965a ) or ( a958a ); a970a <=( a170a ) or ( a171a ); a971a <=( a172a ) or ( a970a ); a974a <=( a168a ) or ( a169a ); a977a <=( a166a ) or ( a167a ); a978a <=( a977a ) or ( a974a ); a979a <=( a978a ) or ( a971a ); a980a <=( a979a ) or ( a966a ); a981a <=( a980a ) or ( a953a ); a982a <=( a981a ) or ( a928a ); a986a <=( a163a ) or ( a164a ); a987a <=( a165a ) or ( a986a ); a991a <=( a160a ) or ( a161a ); a992a <=( a162a ) or ( a991a ); a993a <=( a992a ) or ( a987a ); a997a <=( a157a ) or ( a158a ); a998a <=( a159a ) or ( a997a ); a1001a <=( a155a ) or ( a156a ); a1004a <=( a153a ) or ( a154a ); a1005a <=( a1004a ) or ( a1001a ); a1006a <=( a1005a ) or ( a998a ); a1007a <=( a1006a ) or ( a993a ); a1011a <=( a150a ) or ( a151a ); a1012a <=( a152a ) or ( a1011a ); a1015a <=( a148a ) or ( a149a ); a1018a <=( a146a ) or ( a147a ); a1019a <=( a1018a ) or ( a1015a ); a1020a <=( a1019a ) or ( a1012a ); a1024a <=( a143a ) or ( a144a ); a1025a <=( a145a ) or ( a1024a ); a1028a <=( a141a ) or ( a142a ); a1031a <=( a139a ) or ( a140a ); a1032a <=( a1031a ) or ( a1028a ); a1033a <=( a1032a ) or ( a1025a ); a1034a <=( a1033a ) or ( a1020a ); a1035a <=( a1034a ) or ( a1007a ); a1039a <=( a136a ) or ( a137a ); a1040a <=( a138a ) or ( a1039a ); a1043a <=( a134a ) or ( a135a ); a1046a <=( a132a ) or ( a133a ); a1047a <=( a1046a ) or ( a1043a ); a1048a <=( a1047a ) or ( a1040a ); a1052a <=( a129a ) or ( a130a ); a1053a <=( a131a ) or ( a1052a ); a1056a <=( a127a ) or ( a128a ); a1059a <=( a125a ) or ( a126a ); a1060a <=( a1059a ) or ( a1056a ); a1061a <=( a1060a ) or ( a1053a ); a1062a <=( a1061a ) or ( a1048a ); a1066a <=( a122a ) or ( a123a ); a1067a <=( a124a ) or ( a1066a ); a1070a <=( a120a ) or ( a121a ); a1073a <=( a118a ) or ( a119a ); a1074a <=( a1073a ) or ( a1070a ); a1075a <=( a1074a ) or ( a1067a ); a1079a <=( a115a ) or ( a116a ); a1080a <=( a117a ) or ( a1079a ); a1083a <=( a113a ) or ( a114a ); a1086a <=( a111a ) or ( a112a ); a1087a <=( a1086a ) or ( a1083a ); a1088a <=( a1087a ) or ( a1080a ); a1089a <=( a1088a ) or ( a1075a ); a1090a <=( a1089a ) or ( a1062a ); a1091a <=( a1090a ) or ( a1035a ); a1092a <=( a1091a ) or ( a982a ); a1096a <=( a108a ) or ( a109a ); a1097a <=( a110a ) or ( a1096a ); a1101a <=( a105a ) or ( a106a ); a1102a <=( a107a ) or ( a1101a ); a1103a <=( a1102a ) or ( a1097a ); a1107a <=( a102a ) or ( a103a ); a1108a <=( a104a ) or ( a1107a ); a1111a <=( a100a ) or ( a101a ); a1114a <=( a98a ) or ( a99a ); a1115a <=( a1114a ) or ( a1111a ); a1116a <=( a1115a ) or ( a1108a ); a1117a <=( a1116a ) or ( a1103a ); a1121a <=( a95a ) or ( a96a ); a1122a <=( a97a ) or ( a1121a ); a1125a <=( a93a ) or ( a94a ); a1128a <=( a91a ) or ( a92a ); a1129a <=( a1128a ) or ( a1125a ); a1130a <=( a1129a ) or ( a1122a ); a1134a <=( a88a ) or ( a89a ); a1135a <=( a90a ) or ( a1134a ); a1138a <=( a86a ) or ( a87a ); a1141a <=( a84a ) or ( a85a ); a1142a <=( a1141a ) or ( a1138a ); a1143a <=( a1142a ) or ( a1135a ); a1144a <=( a1143a ) or ( a1130a ); a1145a <=( a1144a ) or ( a1117a ); a1149a <=( a81a ) or ( a82a ); a1150a <=( a83a ) or ( a1149a ); a1153a <=( a79a ) or ( a80a ); a1156a <=( a77a ) or ( a78a ); a1157a <=( a1156a ) or ( a1153a ); a1158a <=( a1157a ) or ( a1150a ); a1162a <=( a74a ) or ( a75a ); a1163a <=( a76a ) or ( a1162a ); a1166a <=( a72a ) or ( a73a ); a1169a <=( a70a ) or ( a71a ); a1170a <=( a1169a ) or ( a1166a ); a1171a <=( a1170a ) or ( a1163a ); a1172a <=( a1171a ) or ( a1158a ); a1176a <=( a67a ) or ( a68a ); a1177a <=( a69a ) or ( a1176a ); a1180a <=( a65a ) or ( a66a ); a1183a <=( a63a ) or ( a64a ); a1184a <=( a1183a ) or ( a1180a ); a1185a <=( a1184a ) or ( a1177a ); a1189a <=( a60a ) or ( a61a ); a1190a <=( a62a ) or ( a1189a ); a1193a <=( a58a ) or ( a59a ); a1196a <=( a56a ) or ( a57a ); a1197a <=( a1196a ) or ( a1193a ); a1198a <=( a1197a ) or ( a1190a ); a1199a <=( a1198a ) or ( a1185a ); a1200a <=( a1199a ) or ( a1172a ); a1201a <=( a1200a ) or ( a1145a ); a1205a <=( a53a ) or ( a54a ); a1206a <=( a55a ) or ( a1205a ); a1210a <=( a50a ) or ( a51a ); a1211a <=( a52a ) or ( a1210a ); a1212a <=( a1211a ) or ( a1206a ); a1216a <=( a47a ) or ( a48a ); a1217a <=( a49a ) or ( a1216a ); a1220a <=( a45a ) or ( a46a ); a1223a <=( a43a ) or ( a44a ); a1224a <=( a1223a ) or ( a1220a ); a1225a <=( a1224a ) or ( a1217a ); a1226a <=( a1225a ) or ( a1212a ); a1230a <=( a40a ) or ( a41a ); a1231a <=( a42a ) or ( a1230a ); a1234a <=( a38a ) or ( a39a ); a1237a <=( a36a ) or ( a37a ); a1238a <=( a1237a ) or ( a1234a ); a1239a <=( a1238a ) or ( a1231a ); a1243a <=( a33a ) or ( a34a ); a1244a <=( a35a ) or ( a1243a ); a1247a <=( a31a ) or ( a32a ); a1250a <=( a29a ) or ( a30a ); a1251a <=( a1250a ) or ( a1247a ); a1252a <=( a1251a ) or ( a1244a ); a1253a <=( a1252a ) or ( a1239a ); a1254a <=( a1253a ) or ( a1226a ); a1258a <=( a26a ) or ( a27a ); a1259a <=( a28a ) or ( a1258a ); a1262a <=( a24a ) or ( a25a ); a1265a <=( a22a ) or ( a23a ); a1266a <=( a1265a ) or ( a1262a ); a1267a <=( a1266a ) or ( a1259a ); a1271a <=( a19a ) or ( a20a ); a1272a <=( a21a ) or ( a1271a ); a1275a <=( a17a ) or ( a18a ); a1278a <=( a15a ) or ( a16a ); a1279a <=( a1278a ) or ( a1275a ); a1280a <=( a1279a ) or ( a1272a ); a1281a <=( a1280a ) or ( a1267a ); a1285a <=( a12a ) or ( a13a ); a1286a <=( a14a ) or ( a1285a ); a1289a <=( a10a ) or ( a11a ); a1292a <=( a8a ) or ( a9a ); a1293a <=( a1292a ) or ( a1289a ); a1294a <=( a1293a ) or ( a1286a ); a1298a <=( a5a ) or ( a6a ); a1299a <=( a7a ) or ( a1298a ); a1302a <=( a3a ) or ( a4a ); a1305a <=( a1a ) or ( a2a ); a1306a <=( a1305a ) or ( a1302a ); a1307a <=( a1306a ) or ( a1299a ); a1308a <=( a1307a ) or ( a1294a ); a1309a <=( a1308a ) or ( a1281a ); a1310a <=( a1309a ) or ( a1254a ); a1311a <=( a1310a ) or ( a1201a ); a1312a <=( a1311a ) or ( a1092a ); a1315a <=( A200 and (not A199) ); a1318a <=( A202 and A201 ); a1321a <=( A200 and (not A199) ); a1324a <=( (not A203) and A201 ); a1327a <=( (not A200) and A199 ); a1330a <=( A202 and A201 ); a1333a <=( (not A200) and A199 ); a1336a <=( (not A203) and A201 ); a1339a <=( A200 and (not A199) ); a1343a <=( A203 and (not A202) ); a1344a <=( (not A201) and a1343a ); a1347a <=( (not A200) and A199 ); a1351a <=( A203 and (not A202) ); a1352a <=( (not A201) and a1351a ); a1355a <=( A233 and (not A232) ); a1358a <=( A235 and A234 ); a1359a <=( a1358a and a1355a ); a1362a <=( (not A299) and A298 ); a1365a <=( A301 and A300 ); a1366a <=( a1365a and a1362a ); a1369a <=( A233 and (not A232) ); a1372a <=( A235 and A234 ); a1373a <=( a1372a and a1369a ); a1376a <=( (not A299) and A298 ); a1379a <=( (not A302) and A300 ); a1380a <=( a1379a and a1376a ); a1383a <=( A233 and (not A232) ); a1386a <=( A235 and A234 ); a1387a <=( a1386a and a1383a ); a1390a <=( A299 and (not A298) ); a1393a <=( A301 and A300 ); a1394a <=( a1393a and a1390a ); a1397a <=( A233 and (not A232) ); a1400a <=( A235 and A234 ); a1401a <=( a1400a and a1397a ); a1404a <=( A299 and (not A298) ); a1407a <=( (not A302) and A300 ); a1408a <=( a1407a and a1404a ); a1411a <=( A233 and (not A232) ); a1414a <=( A235 and A234 ); a1415a <=( a1414a and a1411a ); a1418a <=( A266 and (not A265) ); a1421a <=( A268 and A267 ); a1422a <=( a1421a and a1418a ); a1425a <=( A233 and (not A232) ); a1428a <=( A235 and A234 ); a1429a <=( a1428a and a1425a ); a1432a <=( A266 and (not A265) ); a1435a <=( (not A269) and A267 ); a1436a <=( a1435a and a1432a ); a1439a <=( A233 and (not A232) ); a1442a <=( A235 and A234 ); a1443a <=( a1442a and a1439a ); a1446a <=( (not A266) and A265 ); a1449a <=( A268 and A267 ); a1450a <=( a1449a and a1446a ); a1453a <=( A233 and (not A232) ); a1456a <=( A235 and A234 ); a1457a <=( a1456a and a1453a ); a1460a <=( (not A266) and A265 ); a1463a <=( (not A269) and A267 ); a1464a <=( a1463a and a1460a ); a1467a <=( A233 and (not A232) ); a1470a <=( (not A236) and A234 ); a1471a <=( a1470a and a1467a ); a1474a <=( (not A299) and A298 ); a1477a <=( A301 and A300 ); a1478a <=( a1477a and a1474a ); a1481a <=( A233 and (not A232) ); a1484a <=( (not A236) and A234 ); a1485a <=( a1484a and a1481a ); a1488a <=( (not A299) and A298 ); a1491a <=( (not A302) and A300 ); a1492a <=( a1491a and a1488a ); a1495a <=( A233 and (not A232) ); a1498a <=( (not A236) and A234 ); a1499a <=( a1498a and a1495a ); a1502a <=( A299 and (not A298) ); a1505a <=( A301 and A300 ); a1506a <=( a1505a and a1502a ); a1509a <=( A233 and (not A232) ); a1512a <=( (not A236) and A234 ); a1513a <=( a1512a and a1509a ); a1516a <=( A299 and (not A298) ); a1519a <=( (not A302) and A300 ); a1520a <=( a1519a and a1516a ); a1523a <=( A233 and (not A232) ); a1526a <=( (not A236) and A234 ); a1527a <=( a1526a and a1523a ); a1530a <=( A266 and (not A265) ); a1533a <=( A268 and A267 ); a1534a <=( a1533a and a1530a ); a1537a <=( A233 and (not A232) ); a1540a <=( (not A236) and A234 ); a1541a <=( a1540a and a1537a ); a1544a <=( A266 and (not A265) ); a1547a <=( (not A269) and A267 ); a1548a <=( a1547a and a1544a ); a1551a <=( A233 and (not A232) ); a1554a <=( (not A236) and A234 ); a1555a <=( a1554a and a1551a ); a1558a <=( (not A266) and A265 ); a1561a <=( A268 and A267 ); a1562a <=( a1561a and a1558a ); a1565a <=( A233 and (not A232) ); a1568a <=( (not A236) and A234 ); a1569a <=( a1568a and a1565a ); a1572a <=( (not A266) and A265 ); a1575a <=( (not A269) and A267 ); a1576a <=( a1575a and a1572a ); a1579a <=( (not A233) and A232 ); a1582a <=( A235 and A234 ); a1583a <=( a1582a and a1579a ); a1586a <=( (not A299) and A298 ); a1589a <=( A301 and A300 ); a1590a <=( a1589a and a1586a ); a1593a <=( (not A233) and A232 ); a1596a <=( A235 and A234 ); a1597a <=( a1596a and a1593a ); a1600a <=( (not A299) and A298 ); a1603a <=( (not A302) and A300 ); a1604a <=( a1603a and a1600a ); a1607a <=( (not A233) and A232 ); a1610a <=( A235 and A234 ); a1611a <=( a1610a and a1607a ); a1614a <=( A299 and (not A298) ); a1617a <=( A301 and A300 ); a1618a <=( a1617a and a1614a ); a1621a <=( (not A233) and A232 ); a1624a <=( A235 and A234 ); a1625a <=( a1624a and a1621a ); a1628a <=( A299 and (not A298) ); a1631a <=( (not A302) and A300 ); a1632a <=( a1631a and a1628a ); a1635a <=( (not A233) and A232 ); a1638a <=( A235 and A234 ); a1639a <=( a1638a and a1635a ); a1642a <=( A266 and (not A265) ); a1645a <=( A268 and A267 ); a1646a <=( a1645a and a1642a ); a1649a <=( (not A233) and A232 ); a1652a <=( A235 and A234 ); a1653a <=( a1652a and a1649a ); a1656a <=( A266 and (not A265) ); a1659a <=( (not A269) and A267 ); a1660a <=( a1659a and a1656a ); a1663a <=( (not A233) and A232 ); a1666a <=( A235 and A234 ); a1667a <=( a1666a and a1663a ); a1670a <=( (not A266) and A265 ); a1673a <=( A268 and A267 ); a1674a <=( a1673a and a1670a ); a1677a <=( (not A233) and A232 ); a1680a <=( A235 and A234 ); a1681a <=( a1680a and a1677a ); a1684a <=( (not A266) and A265 ); a1687a <=( (not A269) and A267 ); a1688a <=( a1687a and a1684a ); a1691a <=( (not A233) and A232 ); a1694a <=( (not A236) and A234 ); a1695a <=( a1694a and a1691a ); a1698a <=( (not A299) and A298 ); a1701a <=( A301 and A300 ); a1702a <=( a1701a and a1698a ); a1705a <=( (not A233) and A232 ); a1708a <=( (not A236) and A234 ); a1709a <=( a1708a and a1705a ); a1712a <=( (not A299) and A298 ); a1715a <=( (not A302) and A300 ); a1716a <=( a1715a and a1712a ); a1719a <=( (not A233) and A232 ); a1722a <=( (not A236) and A234 ); a1723a <=( a1722a and a1719a ); a1726a <=( A299 and (not A298) ); a1729a <=( A301 and A300 ); a1730a <=( a1729a and a1726a ); a1733a <=( (not A233) and A232 ); a1736a <=( (not A236) and A234 ); a1737a <=( a1736a and a1733a ); a1740a <=( A299 and (not A298) ); a1743a <=( (not A302) and A300 ); a1744a <=( a1743a and a1740a ); a1747a <=( (not A233) and A232 ); a1750a <=( (not A236) and A234 ); a1751a <=( a1750a and a1747a ); a1754a <=( A266 and (not A265) ); a1757a <=( A268 and A267 ); a1758a <=( a1757a and a1754a ); a1761a <=( (not A233) and A232 ); a1764a <=( (not A236) and A234 ); a1765a <=( a1764a and a1761a ); a1768a <=( A266 and (not A265) ); a1771a <=( (not A269) and A267 ); a1772a <=( a1771a and a1768a ); a1775a <=( (not A233) and A232 ); a1778a <=( (not A236) and A234 ); a1779a <=( a1778a and a1775a ); a1782a <=( (not A266) and A265 ); a1785a <=( A268 and A267 ); a1786a <=( a1785a and a1782a ); a1789a <=( (not A233) and A232 ); a1792a <=( (not A236) and A234 ); a1793a <=( a1792a and a1789a ); a1796a <=( (not A266) and A265 ); a1799a <=( (not A269) and A267 ); a1800a <=( a1799a and a1796a ); a1803a <=( A233 and (not A232) ); a1806a <=( A235 and A234 ); a1807a <=( a1806a and a1803a ); a1810a <=( (not A299) and A298 ); a1814a <=( A302 and (not A301) ); a1815a <=( (not A300) and a1814a ); a1816a <=( a1815a and a1810a ); a1819a <=( A233 and (not A232) ); a1822a <=( A235 and A234 ); a1823a <=( a1822a and a1819a ); a1826a <=( A299 and (not A298) ); a1830a <=( A302 and (not A301) ); a1831a <=( (not A300) and a1830a ); a1832a <=( a1831a and a1826a ); a1835a <=( A233 and (not A232) ); a1838a <=( A235 and A234 ); a1839a <=( a1838a and a1835a ); a1842a <=( A266 and (not A265) ); a1846a <=( A269 and (not A268) ); a1847a <=( (not A267) and a1846a ); a1848a <=( a1847a and a1842a ); a1851a <=( A233 and (not A232) ); a1854a <=( A235 and A234 ); a1855a <=( a1854a and a1851a ); a1858a <=( (not A266) and A265 ); a1862a <=( A269 and (not A268) ); a1863a <=( (not A267) and a1862a ); a1864a <=( a1863a and a1858a ); a1867a <=( A233 and (not A232) ); a1870a <=( (not A236) and A234 ); a1871a <=( a1870a and a1867a ); a1874a <=( (not A299) and A298 ); a1878a <=( A302 and (not A301) ); a1879a <=( (not A300) and a1878a ); a1880a <=( a1879a and a1874a ); a1883a <=( A233 and (not A232) ); a1886a <=( (not A236) and A234 ); a1887a <=( a1886a and a1883a ); a1890a <=( A299 and (not A298) ); a1894a <=( A302 and (not A301) ); a1895a <=( (not A300) and a1894a ); a1896a <=( a1895a and a1890a ); a1899a <=( A233 and (not A232) ); a1902a <=( (not A236) and A234 ); a1903a <=( a1902a and a1899a ); a1906a <=( A266 and (not A265) ); a1910a <=( A269 and (not A268) ); a1911a <=( (not A267) and a1910a ); a1912a <=( a1911a and a1906a ); a1915a <=( A233 and (not A232) ); a1918a <=( (not A236) and A234 ); a1919a <=( a1918a and a1915a ); a1922a <=( (not A266) and A265 ); a1926a <=( A269 and (not A268) ); a1927a <=( (not A267) and a1926a ); a1928a <=( a1927a and a1922a ); a1931a <=( A233 and (not A232) ); a1934a <=( (not A235) and (not A234) ); a1935a <=( a1934a and a1931a ); a1938a <=( A298 and A236 ); a1942a <=( A301 and A300 ); a1943a <=( (not A299) and a1942a ); a1944a <=( a1943a and a1938a ); a1947a <=( A233 and (not A232) ); a1950a <=( (not A235) and (not A234) ); a1951a <=( a1950a and a1947a ); a1954a <=( A298 and A236 ); a1958a <=( (not A302) and A300 ); a1959a <=( (not A299) and a1958a ); a1960a <=( a1959a and a1954a ); a1963a <=( A233 and (not A232) ); a1966a <=( (not A235) and (not A234) ); a1967a <=( a1966a and a1963a ); a1970a <=( (not A298) and A236 ); a1974a <=( A301 and A300 ); a1975a <=( A299 and a1974a ); a1976a <=( a1975a and a1970a ); a1979a <=( A233 and (not A232) ); a1982a <=( (not A235) and (not A234) ); a1983a <=( a1982a and a1979a ); a1986a <=( (not A298) and A236 ); a1990a <=( (not A302) and A300 ); a1991a <=( A299 and a1990a ); a1992a <=( a1991a and a1986a ); a1995a <=( A233 and (not A232) ); a1998a <=( (not A235) and (not A234) ); a1999a <=( a1998a and a1995a ); a2002a <=( (not A265) and A236 ); a2006a <=( A268 and A267 ); a2007a <=( A266 and a2006a ); a2008a <=( a2007a and a2002a ); a2011a <=( A233 and (not A232) ); a2014a <=( (not A235) and (not A234) ); a2015a <=( a2014a and a2011a ); a2018a <=( (not A265) and A236 ); a2022a <=( (not A269) and A267 ); a2023a <=( A266 and a2022a ); a2024a <=( a2023a and a2018a ); a2027a <=( A233 and (not A232) ); a2030a <=( (not A235) and (not A234) ); a2031a <=( a2030a and a2027a ); a2034a <=( A265 and A236 ); a2038a <=( A268 and A267 ); a2039a <=( (not A266) and a2038a ); a2040a <=( a2039a and a2034a ); a2043a <=( A233 and (not A232) ); a2046a <=( (not A235) and (not A234) ); a2047a <=( a2046a and a2043a ); a2050a <=( A265 and A236 ); a2054a <=( (not A269) and A267 ); a2055a <=( (not A266) and a2054a ); a2056a <=( a2055a and a2050a ); a2059a <=( (not A233) and A232 ); a2062a <=( A235 and A234 ); a2063a <=( a2062a and a2059a ); a2066a <=( (not A299) and A298 ); a2070a <=( A302 and (not A301) ); a2071a <=( (not A300) and a2070a ); a2072a <=( a2071a and a2066a ); a2075a <=( (not A233) and A232 ); a2078a <=( A235 and A234 ); a2079a <=( a2078a and a2075a ); a2082a <=( A299 and (not A298) ); a2086a <=( A302 and (not A301) ); a2087a <=( (not A300) and a2086a ); a2088a <=( a2087a and a2082a ); a2091a <=( (not A233) and A232 ); a2094a <=( A235 and A234 ); a2095a <=( a2094a and a2091a ); a2098a <=( A266 and (not A265) ); a2102a <=( A269 and (not A268) ); a2103a <=( (not A267) and a2102a ); a2104a <=( a2103a and a2098a ); a2107a <=( (not A233) and A232 ); a2110a <=( A235 and A234 ); a2111a <=( a2110a and a2107a ); a2114a <=( (not A266) and A265 ); a2118a <=( A269 and (not A268) ); a2119a <=( (not A267) and a2118a ); a2120a <=( a2119a and a2114a ); a2123a <=( (not A233) and A232 ); a2126a <=( (not A236) and A234 ); a2127a <=( a2126a and a2123a ); a2130a <=( (not A299) and A298 ); a2134a <=( A302 and (not A301) ); a2135a <=( (not A300) and a2134a ); a2136a <=( a2135a and a2130a ); a2139a <=( (not A233) and A232 ); a2142a <=( (not A236) and A234 ); a2143a <=( a2142a and a2139a ); a2146a <=( A299 and (not A298) ); a2150a <=( A302 and (not A301) ); a2151a <=( (not A300) and a2150a ); a2152a <=( a2151a and a2146a ); a2155a <=( (not A233) and A232 ); a2158a <=( (not A236) and A234 ); a2159a <=( a2158a and a2155a ); a2162a <=( A266 and (not A265) ); a2166a <=( A269 and (not A268) ); a2167a <=( (not A267) and a2166a ); a2168a <=( a2167a and a2162a ); a2171a <=( (not A233) and A232 ); a2174a <=( (not A236) and A234 ); a2175a <=( a2174a and a2171a ); a2178a <=( (not A266) and A265 ); a2182a <=( A269 and (not A268) ); a2183a <=( (not A267) and a2182a ); a2184a <=( a2183a and a2178a ); a2187a <=( (not A233) and A232 ); a2190a <=( (not A235) and (not A234) ); a2191a <=( a2190a and a2187a ); a2194a <=( A298 and A236 ); a2198a <=( A301 and A300 ); a2199a <=( (not A299) and a2198a ); a2200a <=( a2199a and a2194a ); a2203a <=( (not A233) and A232 ); a2206a <=( (not A235) and (not A234) ); a2207a <=( a2206a and a2203a ); a2210a <=( A298 and A236 ); a2214a <=( (not A302) and A300 ); a2215a <=( (not A299) and a2214a ); a2216a <=( a2215a and a2210a ); a2219a <=( (not A233) and A232 ); a2222a <=( (not A235) and (not A234) ); a2223a <=( a2222a and a2219a ); a2226a <=( (not A298) and A236 ); a2230a <=( A301 and A300 ); a2231a <=( A299 and a2230a ); a2232a <=( a2231a and a2226a ); a2235a <=( (not A233) and A232 ); a2238a <=( (not A235) and (not A234) ); a2239a <=( a2238a and a2235a ); a2242a <=( (not A298) and A236 ); a2246a <=( (not A302) and A300 ); a2247a <=( A299 and a2246a ); a2248a <=( a2247a and a2242a ); a2251a <=( (not A233) and A232 ); a2254a <=( (not A235) and (not A234) ); a2255a <=( a2254a and a2251a ); a2258a <=( (not A265) and A236 ); a2262a <=( A268 and A267 ); a2263a <=( A266 and a2262a ); a2264a <=( a2263a and a2258a ); a2267a <=( (not A233) and A232 ); a2270a <=( (not A235) and (not A234) ); a2271a <=( a2270a and a2267a ); a2274a <=( (not A265) and A236 ); a2278a <=( (not A269) and A267 ); a2279a <=( A266 and a2278a ); a2280a <=( a2279a and a2274a ); a2283a <=( (not A233) and A232 ); a2286a <=( (not A235) and (not A234) ); a2287a <=( a2286a and a2283a ); a2290a <=( A265 and A236 ); a2294a <=( A268 and A267 ); a2295a <=( (not A266) and a2294a ); a2296a <=( a2295a and a2290a ); a2299a <=( (not A233) and A232 ); a2302a <=( (not A235) and (not A234) ); a2303a <=( a2302a and a2299a ); a2306a <=( A265 and A236 ); a2310a <=( (not A269) and A267 ); a2311a <=( (not A266) and a2310a ); a2312a <=( a2311a and a2306a ); a2315a <=( A233 and (not A232) ); a2319a <=( A236 and (not A235) ); a2320a <=( (not A234) and a2319a ); a2321a <=( a2320a and a2315a ); a2324a <=( (not A299) and A298 ); a2328a <=( A302 and (not A301) ); a2329a <=( (not A300) and a2328a ); a2330a <=( a2329a and a2324a ); a2333a <=( A233 and (not A232) ); a2337a <=( A236 and (not A235) ); a2338a <=( (not A234) and a2337a ); a2339a <=( a2338a and a2333a ); a2342a <=( A299 and (not A298) ); a2346a <=( A302 and (not A301) ); a2347a <=( (not A300) and a2346a ); a2348a <=( a2347a and a2342a ); a2351a <=( A233 and (not A232) ); a2355a <=( A236 and (not A235) ); a2356a <=( (not A234) and a2355a ); a2357a <=( a2356a and a2351a ); a2360a <=( A266 and (not A265) ); a2364a <=( A269 and (not A268) ); a2365a <=( (not A267) and a2364a ); a2366a <=( a2365a and a2360a ); a2369a <=( A233 and (not A232) ); a2373a <=( A236 and (not A235) ); a2374a <=( (not A234) and a2373a ); a2375a <=( a2374a and a2369a ); a2378a <=( (not A266) and A265 ); a2382a <=( A269 and (not A268) ); a2383a <=( (not A267) and a2382a ); a2384a <=( a2383a and a2378a ); a2387a <=( (not A233) and A232 ); a2391a <=( A236 and (not A235) ); a2392a <=( (not A234) and a2391a ); a2393a <=( a2392a and a2387a ); a2396a <=( (not A299) and A298 ); a2400a <=( A302 and (not A301) ); a2401a <=( (not A300) and a2400a ); a2402a <=( a2401a and a2396a ); a2405a <=( (not A233) and A232 ); a2409a <=( A236 and (not A235) ); a2410a <=( (not A234) and a2409a ); a2411a <=( a2410a and a2405a ); a2414a <=( A299 and (not A298) ); a2418a <=( A302 and (not A301) ); a2419a <=( (not A300) and a2418a ); a2420a <=( a2419a and a2414a ); a2423a <=( (not A233) and A232 ); a2427a <=( A236 and (not A235) ); a2428a <=( (not A234) and a2427a ); a2429a <=( a2428a and a2423a ); a2432a <=( A266 and (not A265) ); a2436a <=( A269 and (not A268) ); a2437a <=( (not A267) and a2436a ); a2438a <=( a2437a and a2432a ); a2441a <=( (not A233) and A232 ); a2445a <=( A236 and (not A235) ); a2446a <=( (not A234) and a2445a ); a2447a <=( a2446a and a2441a ); a2450a <=( (not A266) and A265 ); a2454a <=( A269 and (not A268) ); a2455a <=( (not A267) and a2454a ); a2456a <=( a2455a and a2450a ); a2459a <=( A168 and A170 ); a2463a <=( (not A267) and (not A166) ); a2464a <=( A167 and a2463a ); a2465a <=( a2464a and a2459a ); a2468a <=( A298 and A268 ); a2472a <=( A301 and A300 ); a2473a <=( (not A299) and a2472a ); a2474a <=( a2473a and a2468a ); a2477a <=( A168 and A170 ); a2481a <=( (not A267) and (not A166) ); a2482a <=( A167 and a2481a ); a2483a <=( a2482a and a2477a ); a2486a <=( A298 and A268 ); a2490a <=( (not A302) and A300 ); a2491a <=( (not A299) and a2490a ); a2492a <=( a2491a and a2486a ); a2495a <=( A168 and A170 ); a2499a <=( (not A267) and (not A166) ); a2500a <=( A167 and a2499a ); a2501a <=( a2500a and a2495a ); a2504a <=( (not A298) and A268 ); a2508a <=( A301 and A300 ); a2509a <=( A299 and a2508a ); a2510a <=( a2509a and a2504a ); a2513a <=( A168 and A170 ); a2517a <=( (not A267) and (not A166) ); a2518a <=( A167 and a2517a ); a2519a <=( a2518a and a2513a ); a2522a <=( (not A298) and A268 ); a2526a <=( (not A302) and A300 ); a2527a <=( A299 and a2526a ); a2528a <=( a2527a and a2522a ); a2531a <=( A168 and A170 ); a2535a <=( (not A267) and (not A166) ); a2536a <=( A167 and a2535a ); a2537a <=( a2536a and a2531a ); a2540a <=( A298 and (not A269) ); a2544a <=( A301 and A300 ); a2545a <=( (not A299) and a2544a ); a2546a <=( a2545a and a2540a ); a2549a <=( A168 and A170 ); a2553a <=( (not A267) and (not A166) ); a2554a <=( A167 and a2553a ); a2555a <=( a2554a and a2549a ); a2558a <=( A298 and (not A269) ); a2562a <=( (not A302) and A300 ); a2563a <=( (not A299) and a2562a ); a2564a <=( a2563a and a2558a ); a2567a <=( A168 and A170 ); a2571a <=( (not A267) and (not A166) ); a2572a <=( A167 and a2571a ); a2573a <=( a2572a and a2567a ); a2576a <=( (not A298) and (not A269) ); a2580a <=( A301 and A300 ); a2581a <=( A299 and a2580a ); a2582a <=( a2581a and a2576a ); a2585a <=( A168 and A170 ); a2589a <=( (not A267) and (not A166) ); a2590a <=( A167 and a2589a ); a2591a <=( a2590a and a2585a ); a2594a <=( (not A298) and (not A269) ); a2598a <=( (not A302) and A300 ); a2599a <=( A299 and a2598a ); a2600a <=( a2599a and a2594a ); a2603a <=( A168 and A170 ); a2607a <=( A265 and (not A166) ); a2608a <=( A167 and a2607a ); a2609a <=( a2608a and a2603a ); a2612a <=( A298 and A266 ); a2616a <=( A301 and A300 ); a2617a <=( (not A299) and a2616a ); a2618a <=( a2617a and a2612a ); a2621a <=( A168 and A170 ); a2625a <=( A265 and (not A166) ); a2626a <=( A167 and a2625a ); a2627a <=( a2626a and a2621a ); a2630a <=( A298 and A266 ); a2634a <=( (not A302) and A300 ); a2635a <=( (not A299) and a2634a ); a2636a <=( a2635a and a2630a ); a2639a <=( A168 and A170 ); a2643a <=( A265 and (not A166) ); a2644a <=( A167 and a2643a ); a2645a <=( a2644a and a2639a ); a2648a <=( (not A298) and A266 ); a2652a <=( A301 and A300 ); a2653a <=( A299 and a2652a ); a2654a <=( a2653a and a2648a ); a2657a <=( A168 and A170 ); a2661a <=( A265 and (not A166) ); a2662a <=( A167 and a2661a ); a2663a <=( a2662a and a2657a ); a2666a <=( (not A298) and A266 ); a2670a <=( (not A302) and A300 ); a2671a <=( A299 and a2670a ); a2672a <=( a2671a and a2666a ); a2675a <=( A168 and A170 ); a2679a <=( (not A265) and (not A166) ); a2680a <=( A167 and a2679a ); a2681a <=( a2680a and a2675a ); a2684a <=( A267 and A266 ); a2688a <=( A301 and (not A300) ); a2689a <=( A268 and a2688a ); a2690a <=( a2689a and a2684a ); a2693a <=( A168 and A170 ); a2697a <=( (not A265) and (not A166) ); a2698a <=( A167 and a2697a ); a2699a <=( a2698a and a2693a ); a2702a <=( A267 and A266 ); a2706a <=( (not A302) and (not A300) ); a2707a <=( A268 and a2706a ); a2708a <=( a2707a and a2702a ); a2711a <=( A168 and A170 ); a2715a <=( (not A265) and (not A166) ); a2716a <=( A167 and a2715a ); a2717a <=( a2716a and a2711a ); a2720a <=( A267 and A266 ); a2724a <=( A299 and A298 ); a2725a <=( A268 and a2724a ); a2726a <=( a2725a and a2720a ); a2729a <=( A168 and A170 ); a2733a <=( (not A265) and (not A166) ); a2734a <=( A167 and a2733a ); a2735a <=( a2734a and a2729a ); a2738a <=( A267 and A266 ); a2742a <=( (not A299) and (not A298) ); a2743a <=( A268 and a2742a ); a2744a <=( a2743a and a2738a ); a2747a <=( A168 and A170 ); a2751a <=( (not A265) and (not A166) ); a2752a <=( A167 and a2751a ); a2753a <=( a2752a and a2747a ); a2756a <=( A267 and A266 ); a2760a <=( A301 and (not A300) ); a2761a <=( (not A269) and a2760a ); a2762a <=( a2761a and a2756a ); a2765a <=( A168 and A170 ); a2769a <=( (not A265) and (not A166) ); a2770a <=( A167 and a2769a ); a2771a <=( a2770a and a2765a ); a2774a <=( A267 and A266 ); a2778a <=( (not A302) and (not A300) ); a2779a <=( (not A269) and a2778a ); a2780a <=( a2779a and a2774a ); a2783a <=( A168 and A170 ); a2787a <=( (not A265) and (not A166) ); a2788a <=( A167 and a2787a ); a2789a <=( a2788a and a2783a ); a2792a <=( A267 and A266 ); a2796a <=( A299 and A298 ); a2797a <=( (not A269) and a2796a ); a2798a <=( a2797a and a2792a ); a2801a <=( A168 and A170 ); a2805a <=( (not A265) and (not A166) ); a2806a <=( A167 and a2805a ); a2807a <=( a2806a and a2801a ); a2810a <=( A267 and A266 ); a2814a <=( (not A299) and (not A298) ); a2815a <=( (not A269) and a2814a ); a2816a <=( a2815a and a2810a ); a2819a <=( A168 and A170 ); a2823a <=( A265 and (not A166) ); a2824a <=( A167 and a2823a ); a2825a <=( a2824a and a2819a ); a2828a <=( A267 and (not A266) ); a2832a <=( A301 and (not A300) ); a2833a <=( A268 and a2832a ); a2834a <=( a2833a and a2828a ); a2837a <=( A168 and A170 ); a2841a <=( A265 and (not A166) ); a2842a <=( A167 and a2841a ); a2843a <=( a2842a and a2837a ); a2846a <=( A267 and (not A266) ); a2850a <=( (not A302) and (not A300) ); a2851a <=( A268 and a2850a ); a2852a <=( a2851a and a2846a ); a2855a <=( A168 and A170 ); a2859a <=( A265 and (not A166) ); a2860a <=( A167 and a2859a ); a2861a <=( a2860a and a2855a ); a2864a <=( A267 and (not A266) ); a2868a <=( A299 and A298 ); a2869a <=( A268 and a2868a ); a2870a <=( a2869a and a2864a ); a2873a <=( A168 and A170 ); a2877a <=( A265 and (not A166) ); a2878a <=( A167 and a2877a ); a2879a <=( a2878a and a2873a ); a2882a <=( A267 and (not A266) ); a2886a <=( (not A299) and (not A298) ); a2887a <=( A268 and a2886a ); a2888a <=( a2887a and a2882a ); a2891a <=( A168 and A170 ); a2895a <=( A265 and (not A166) ); a2896a <=( A167 and a2895a ); a2897a <=( a2896a and a2891a ); a2900a <=( A267 and (not A266) ); a2904a <=( A301 and (not A300) ); a2905a <=( (not A269) and a2904a ); a2906a <=( a2905a and a2900a ); a2909a <=( A168 and A170 ); a2913a <=( A265 and (not A166) ); a2914a <=( A167 and a2913a ); a2915a <=( a2914a and a2909a ); a2918a <=( A267 and (not A266) ); a2922a <=( (not A302) and (not A300) ); a2923a <=( (not A269) and a2922a ); a2924a <=( a2923a and a2918a ); a2927a <=( A168 and A170 ); a2931a <=( A265 and (not A166) ); a2932a <=( A167 and a2931a ); a2933a <=( a2932a and a2927a ); a2936a <=( A267 and (not A266) ); a2940a <=( A299 and A298 ); a2941a <=( (not A269) and a2940a ); a2942a <=( a2941a and a2936a ); a2945a <=( A168 and A170 ); a2949a <=( A265 and (not A166) ); a2950a <=( A167 and a2949a ); a2951a <=( a2950a and a2945a ); a2954a <=( A267 and (not A266) ); a2958a <=( (not A299) and (not A298) ); a2959a <=( (not A269) and a2958a ); a2960a <=( a2959a and a2954a ); a2963a <=( A168 and A170 ); a2967a <=( (not A265) and (not A166) ); a2968a <=( A167 and a2967a ); a2969a <=( a2968a and a2963a ); a2972a <=( A298 and (not A266) ); a2976a <=( A301 and A300 ); a2977a <=( (not A299) and a2976a ); a2978a <=( a2977a and a2972a ); a2981a <=( A168 and A170 ); a2985a <=( (not A265) and (not A166) ); a2986a <=( A167 and a2985a ); a2987a <=( a2986a and a2981a ); a2990a <=( A298 and (not A266) ); a2994a <=( (not A302) and A300 ); a2995a <=( (not A299) and a2994a ); a2996a <=( a2995a and a2990a ); a2999a <=( A168 and A170 ); a3003a <=( (not A265) and (not A166) ); a3004a <=( A167 and a3003a ); a3005a <=( a3004a and a2999a ); a3008a <=( (not A298) and (not A266) ); a3012a <=( A301 and A300 ); a3013a <=( A299 and a3012a ); a3014a <=( a3013a and a3008a ); a3017a <=( A168 and A170 ); a3021a <=( (not A265) and (not A166) ); a3022a <=( A167 and a3021a ); a3023a <=( a3022a and a3017a ); a3026a <=( (not A298) and (not A266) ); a3030a <=( (not A302) and A300 ); a3031a <=( A299 and a3030a ); a3032a <=( a3031a and a3026a ); a3035a <=( A168 and A170 ); a3039a <=( (not A267) and A166 ); a3040a <=( (not A167) and a3039a ); a3041a <=( a3040a and a3035a ); a3044a <=( A298 and A268 ); a3048a <=( A301 and A300 ); a3049a <=( (not A299) and a3048a ); a3050a <=( a3049a and a3044a ); a3053a <=( A168 and A170 ); a3057a <=( (not A267) and A166 ); a3058a <=( (not A167) and a3057a ); a3059a <=( a3058a and a3053a ); a3062a <=( A298 and A268 ); a3066a <=( (not A302) and A300 ); a3067a <=( (not A299) and a3066a ); a3068a <=( a3067a and a3062a ); a3071a <=( A168 and A170 ); a3075a <=( (not A267) and A166 ); a3076a <=( (not A167) and a3075a ); a3077a <=( a3076a and a3071a ); a3080a <=( (not A298) and A268 ); a3084a <=( A301 and A300 ); a3085a <=( A299 and a3084a ); a3086a <=( a3085a and a3080a ); a3089a <=( A168 and A170 ); a3093a <=( (not A267) and A166 ); a3094a <=( (not A167) and a3093a ); a3095a <=( a3094a and a3089a ); a3098a <=( (not A298) and A268 ); a3102a <=( (not A302) and A300 ); a3103a <=( A299 and a3102a ); a3104a <=( a3103a and a3098a ); a3107a <=( A168 and A170 ); a3111a <=( (not A267) and A166 ); a3112a <=( (not A167) and a3111a ); a3113a <=( a3112a and a3107a ); a3116a <=( A298 and (not A269) ); a3120a <=( A301 and A300 ); a3121a <=( (not A299) and a3120a ); a3122a <=( a3121a and a3116a ); a3125a <=( A168 and A170 ); a3129a <=( (not A267) and A166 ); a3130a <=( (not A167) and a3129a ); a3131a <=( a3130a and a3125a ); a3134a <=( A298 and (not A269) ); a3138a <=( (not A302) and A300 ); a3139a <=( (not A299) and a3138a ); a3140a <=( a3139a and a3134a ); a3143a <=( A168 and A170 ); a3147a <=( (not A267) and A166 ); a3148a <=( (not A167) and a3147a ); a3149a <=( a3148a and a3143a ); a3152a <=( (not A298) and (not A269) ); a3156a <=( A301 and A300 ); a3157a <=( A299 and a3156a ); a3158a <=( a3157a and a3152a ); a3161a <=( A168 and A170 ); a3165a <=( (not A267) and A166 ); a3166a <=( (not A167) and a3165a ); a3167a <=( a3166a and a3161a ); a3170a <=( (not A298) and (not A269) ); a3174a <=( (not A302) and A300 ); a3175a <=( A299 and a3174a ); a3176a <=( a3175a and a3170a ); a3179a <=( A168 and A170 ); a3183a <=( A265 and A166 ); a3184a <=( (not A167) and a3183a ); a3185a <=( a3184a and a3179a ); a3188a <=( A298 and A266 ); a3192a <=( A301 and A300 ); a3193a <=( (not A299) and a3192a ); a3194a <=( a3193a and a3188a ); a3197a <=( A168 and A170 ); a3201a <=( A265 and A166 ); a3202a <=( (not A167) and a3201a ); a3203a <=( a3202a and a3197a ); a3206a <=( A298 and A266 ); a3210a <=( (not A302) and A300 ); a3211a <=( (not A299) and a3210a ); a3212a <=( a3211a and a3206a ); a3215a <=( A168 and A170 ); a3219a <=( A265 and A166 ); a3220a <=( (not A167) and a3219a ); a3221a <=( a3220a and a3215a ); a3224a <=( (not A298) and A266 ); a3228a <=( A301 and A300 ); a3229a <=( A299 and a3228a ); a3230a <=( a3229a and a3224a ); a3233a <=( A168 and A170 ); a3237a <=( A265 and A166 ); a3238a <=( (not A167) and a3237a ); a3239a <=( a3238a and a3233a ); a3242a <=( (not A298) and A266 ); a3246a <=( (not A302) and A300 ); a3247a <=( A299 and a3246a ); a3248a <=( a3247a and a3242a ); a3251a <=( A168 and A170 ); a3255a <=( (not A265) and A166 ); a3256a <=( (not A167) and a3255a ); a3257a <=( a3256a and a3251a ); a3260a <=( A267 and A266 ); a3264a <=( A301 and (not A300) ); a3265a <=( A268 and a3264a ); a3266a <=( a3265a and a3260a ); a3269a <=( A168 and A170 ); a3273a <=( (not A265) and A166 ); a3274a <=( (not A167) and a3273a ); a3275a <=( a3274a and a3269a ); a3278a <=( A267 and A266 ); a3282a <=( (not A302) and (not A300) ); a3283a <=( A268 and a3282a ); a3284a <=( a3283a and a3278a ); a3287a <=( A168 and A170 ); a3291a <=( (not A265) and A166 ); a3292a <=( (not A167) and a3291a ); a3293a <=( a3292a and a3287a ); a3296a <=( A267 and A266 ); a3300a <=( A299 and A298 ); a3301a <=( A268 and a3300a ); a3302a <=( a3301a and a3296a ); a3305a <=( A168 and A170 ); a3309a <=( (not A265) and A166 ); a3310a <=( (not A167) and a3309a ); a3311a <=( a3310a and a3305a ); a3314a <=( A267 and A266 ); a3318a <=( (not A299) and (not A298) ); a3319a <=( A268 and a3318a ); a3320a <=( a3319a and a3314a ); a3323a <=( A168 and A170 ); a3327a <=( (not A265) and A166 ); a3328a <=( (not A167) and a3327a ); a3329a <=( a3328a and a3323a ); a3332a <=( A267 and A266 ); a3336a <=( A301 and (not A300) ); a3337a <=( (not A269) and a3336a ); a3338a <=( a3337a and a3332a ); a3341a <=( A168 and A170 ); a3345a <=( (not A265) and A166 ); a3346a <=( (not A167) and a3345a ); a3347a <=( a3346a and a3341a ); a3350a <=( A267 and A266 ); a3354a <=( (not A302) and (not A300) ); a3355a <=( (not A269) and a3354a ); a3356a <=( a3355a and a3350a ); a3359a <=( A168 and A170 ); a3363a <=( (not A265) and A166 ); a3364a <=( (not A167) and a3363a ); a3365a <=( a3364a and a3359a ); a3368a <=( A267 and A266 ); a3372a <=( A299 and A298 ); a3373a <=( (not A269) and a3372a ); a3374a <=( a3373a and a3368a ); a3377a <=( A168 and A170 ); a3381a <=( (not A265) and A166 ); a3382a <=( (not A167) and a3381a ); a3383a <=( a3382a and a3377a ); a3386a <=( A267 and A266 ); a3390a <=( (not A299) and (not A298) ); a3391a <=( (not A269) and a3390a ); a3392a <=( a3391a and a3386a ); a3395a <=( A168 and A170 ); a3399a <=( A265 and A166 ); a3400a <=( (not A167) and a3399a ); a3401a <=( a3400a and a3395a ); a3404a <=( A267 and (not A266) ); a3408a <=( A301 and (not A300) ); a3409a <=( A268 and a3408a ); a3410a <=( a3409a and a3404a ); a3413a <=( A168 and A170 ); a3417a <=( A265 and A166 ); a3418a <=( (not A167) and a3417a ); a3419a <=( a3418a and a3413a ); a3422a <=( A267 and (not A266) ); a3426a <=( (not A302) and (not A300) ); a3427a <=( A268 and a3426a ); a3428a <=( a3427a and a3422a ); a3431a <=( A168 and A170 ); a3435a <=( A265 and A166 ); a3436a <=( (not A167) and a3435a ); a3437a <=( a3436a and a3431a ); a3440a <=( A267 and (not A266) ); a3444a <=( A299 and A298 ); a3445a <=( A268 and a3444a ); a3446a <=( a3445a and a3440a ); a3449a <=( A168 and A170 ); a3453a <=( A265 and A166 ); a3454a <=( (not A167) and a3453a ); a3455a <=( a3454a and a3449a ); a3458a <=( A267 and (not A266) ); a3462a <=( (not A299) and (not A298) ); a3463a <=( A268 and a3462a ); a3464a <=( a3463a and a3458a ); a3467a <=( A168 and A170 ); a3471a <=( A265 and A166 ); a3472a <=( (not A167) and a3471a ); a3473a <=( a3472a and a3467a ); a3476a <=( A267 and (not A266) ); a3480a <=( A301 and (not A300) ); a3481a <=( (not A269) and a3480a ); a3482a <=( a3481a and a3476a ); a3485a <=( A168 and A170 ); a3489a <=( A265 and A166 ); a3490a <=( (not A167) and a3489a ); a3491a <=( a3490a and a3485a ); a3494a <=( A267 and (not A266) ); a3498a <=( (not A302) and (not A300) ); a3499a <=( (not A269) and a3498a ); a3500a <=( a3499a and a3494a ); a3503a <=( A168 and A170 ); a3507a <=( A265 and A166 ); a3508a <=( (not A167) and a3507a ); a3509a <=( a3508a and a3503a ); a3512a <=( A267 and (not A266) ); a3516a <=( A299 and A298 ); a3517a <=( (not A269) and a3516a ); a3518a <=( a3517a and a3512a ); a3521a <=( A168 and A170 ); a3525a <=( A265 and A166 ); a3526a <=( (not A167) and a3525a ); a3527a <=( a3526a and a3521a ); a3530a <=( A267 and (not A266) ); a3534a <=( (not A299) and (not A298) ); a3535a <=( (not A269) and a3534a ); a3536a <=( a3535a and a3530a ); a3539a <=( A168 and A170 ); a3543a <=( (not A265) and A166 ); a3544a <=( (not A167) and a3543a ); a3545a <=( a3544a and a3539a ); a3548a <=( A298 and (not A266) ); a3552a <=( A301 and A300 ); a3553a <=( (not A299) and a3552a ); a3554a <=( a3553a and a3548a ); a3557a <=( A168 and A170 ); a3561a <=( (not A265) and A166 ); a3562a <=( (not A167) and a3561a ); a3563a <=( a3562a and a3557a ); a3566a <=( A298 and (not A266) ); a3570a <=( (not A302) and A300 ); a3571a <=( (not A299) and a3570a ); a3572a <=( a3571a and a3566a ); a3575a <=( A168 and A170 ); a3579a <=( (not A265) and A166 ); a3580a <=( (not A167) and a3579a ); a3581a <=( a3580a and a3575a ); a3584a <=( (not A298) and (not A266) ); a3588a <=( A301 and A300 ); a3589a <=( A299 and a3588a ); a3590a <=( a3589a and a3584a ); a3593a <=( A168 and A170 ); a3597a <=( (not A265) and A166 ); a3598a <=( (not A167) and a3597a ); a3599a <=( a3598a and a3593a ); a3602a <=( (not A298) and (not A266) ); a3606a <=( (not A302) and A300 ); a3607a <=( A299 and a3606a ); a3608a <=( a3607a and a3602a ); a3611a <=( A168 and A169 ); a3615a <=( (not A267) and (not A166) ); a3616a <=( A167 and a3615a ); a3617a <=( a3616a and a3611a ); a3620a <=( A298 and A268 ); a3624a <=( A301 and A300 ); a3625a <=( (not A299) and a3624a ); a3626a <=( a3625a and a3620a ); a3629a <=( A168 and A169 ); a3633a <=( (not A267) and (not A166) ); a3634a <=( A167 and a3633a ); a3635a <=( a3634a and a3629a ); a3638a <=( A298 and A268 ); a3642a <=( (not A302) and A300 ); a3643a <=( (not A299) and a3642a ); a3644a <=( a3643a and a3638a ); a3647a <=( A168 and A169 ); a3651a <=( (not A267) and (not A166) ); a3652a <=( A167 and a3651a ); a3653a <=( a3652a and a3647a ); a3656a <=( (not A298) and A268 ); a3660a <=( A301 and A300 ); a3661a <=( A299 and a3660a ); a3662a <=( a3661a and a3656a ); a3665a <=( A168 and A169 ); a3669a <=( (not A267) and (not A166) ); a3670a <=( A167 and a3669a ); a3671a <=( a3670a and a3665a ); a3674a <=( (not A298) and A268 ); a3678a <=( (not A302) and A300 ); a3679a <=( A299 and a3678a ); a3680a <=( a3679a and a3674a ); a3683a <=( A168 and A169 ); a3687a <=( (not A267) and (not A166) ); a3688a <=( A167 and a3687a ); a3689a <=( a3688a and a3683a ); a3692a <=( A298 and (not A269) ); a3696a <=( A301 and A300 ); a3697a <=( (not A299) and a3696a ); a3698a <=( a3697a and a3692a ); a3701a <=( A168 and A169 ); a3705a <=( (not A267) and (not A166) ); a3706a <=( A167 and a3705a ); a3707a <=( a3706a and a3701a ); a3710a <=( A298 and (not A269) ); a3714a <=( (not A302) and A300 ); a3715a <=( (not A299) and a3714a ); a3716a <=( a3715a and a3710a ); a3719a <=( A168 and A169 ); a3723a <=( (not A267) and (not A166) ); a3724a <=( A167 and a3723a ); a3725a <=( a3724a and a3719a ); a3728a <=( (not A298) and (not A269) ); a3732a <=( A301 and A300 ); a3733a <=( A299 and a3732a ); a3734a <=( a3733a and a3728a ); a3737a <=( A168 and A169 ); a3741a <=( (not A267) and (not A166) ); a3742a <=( A167 and a3741a ); a3743a <=( a3742a and a3737a ); a3746a <=( (not A298) and (not A269) ); a3750a <=( (not A302) and A300 ); a3751a <=( A299 and a3750a ); a3752a <=( a3751a and a3746a ); a3755a <=( A168 and A169 ); a3759a <=( A265 and (not A166) ); a3760a <=( A167 and a3759a ); a3761a <=( a3760a and a3755a ); a3764a <=( A298 and A266 ); a3768a <=( A301 and A300 ); a3769a <=( (not A299) and a3768a ); a3770a <=( a3769a and a3764a ); a3773a <=( A168 and A169 ); a3777a <=( A265 and (not A166) ); a3778a <=( A167 and a3777a ); a3779a <=( a3778a and a3773a ); a3782a <=( A298 and A266 ); a3786a <=( (not A302) and A300 ); a3787a <=( (not A299) and a3786a ); a3788a <=( a3787a and a3782a ); a3791a <=( A168 and A169 ); a3795a <=( A265 and (not A166) ); a3796a <=( A167 and a3795a ); a3797a <=( a3796a and a3791a ); a3800a <=( (not A298) and A266 ); a3804a <=( A301 and A300 ); a3805a <=( A299 and a3804a ); a3806a <=( a3805a and a3800a ); a3809a <=( A168 and A169 ); a3813a <=( A265 and (not A166) ); a3814a <=( A167 and a3813a ); a3815a <=( a3814a and a3809a ); a3818a <=( (not A298) and A266 ); a3822a <=( (not A302) and A300 ); a3823a <=( A299 and a3822a ); a3824a <=( a3823a and a3818a ); a3827a <=( A168 and A169 ); a3831a <=( (not A265) and (not A166) ); a3832a <=( A167 and a3831a ); a3833a <=( a3832a and a3827a ); a3836a <=( A267 and A266 ); a3840a <=( A301 and (not A300) ); a3841a <=( A268 and a3840a ); a3842a <=( a3841a and a3836a ); a3845a <=( A168 and A169 ); a3849a <=( (not A265) and (not A166) ); a3850a <=( A167 and a3849a ); a3851a <=( a3850a and a3845a ); a3854a <=( A267 and A266 ); a3858a <=( (not A302) and (not A300) ); a3859a <=( A268 and a3858a ); a3860a <=( a3859a and a3854a ); a3863a <=( A168 and A169 ); a3867a <=( (not A265) and (not A166) ); a3868a <=( A167 and a3867a ); a3869a <=( a3868a and a3863a ); a3872a <=( A267 and A266 ); a3876a <=( A299 and A298 ); a3877a <=( A268 and a3876a ); a3878a <=( a3877a and a3872a ); a3881a <=( A168 and A169 ); a3885a <=( (not A265) and (not A166) ); a3886a <=( A167 and a3885a ); a3887a <=( a3886a and a3881a ); a3890a <=( A267 and A266 ); a3894a <=( (not A299) and (not A298) ); a3895a <=( A268 and a3894a ); a3896a <=( a3895a and a3890a ); a3899a <=( A168 and A169 ); a3903a <=( (not A265) and (not A166) ); a3904a <=( A167 and a3903a ); a3905a <=( a3904a and a3899a ); a3908a <=( A267 and A266 ); a3912a <=( A301 and (not A300) ); a3913a <=( (not A269) and a3912a ); a3914a <=( a3913a and a3908a ); a3917a <=( A168 and A169 ); a3921a <=( (not A265) and (not A166) ); a3922a <=( A167 and a3921a ); a3923a <=( a3922a and a3917a ); a3926a <=( A267 and A266 ); a3930a <=( (not A302) and (not A300) ); a3931a <=( (not A269) and a3930a ); a3932a <=( a3931a and a3926a ); a3935a <=( A168 and A169 ); a3939a <=( (not A265) and (not A166) ); a3940a <=( A167 and a3939a ); a3941a <=( a3940a and a3935a ); a3944a <=( A267 and A266 ); a3948a <=( A299 and A298 ); a3949a <=( (not A269) and a3948a ); a3950a <=( a3949a and a3944a ); a3953a <=( A168 and A169 ); a3957a <=( (not A265) and (not A166) ); a3958a <=( A167 and a3957a ); a3959a <=( a3958a and a3953a ); a3962a <=( A267 and A266 ); a3966a <=( (not A299) and (not A298) ); a3967a <=( (not A269) and a3966a ); a3968a <=( a3967a and a3962a ); a3971a <=( A168 and A169 ); a3975a <=( A265 and (not A166) ); a3976a <=( A167 and a3975a ); a3977a <=( a3976a and a3971a ); a3980a <=( A267 and (not A266) ); a3984a <=( A301 and (not A300) ); a3985a <=( A268 and a3984a ); a3986a <=( a3985a and a3980a ); a3989a <=( A168 and A169 ); a3993a <=( A265 and (not A166) ); a3994a <=( A167 and a3993a ); a3995a <=( a3994a and a3989a ); a3998a <=( A267 and (not A266) ); a4002a <=( (not A302) and (not A300) ); a4003a <=( A268 and a4002a ); a4004a <=( a4003a and a3998a ); a4007a <=( A168 and A169 ); a4011a <=( A265 and (not A166) ); a4012a <=( A167 and a4011a ); a4013a <=( a4012a and a4007a ); a4016a <=( A267 and (not A266) ); a4020a <=( A299 and A298 ); a4021a <=( A268 and a4020a ); a4022a <=( a4021a and a4016a ); a4025a <=( A168 and A169 ); a4029a <=( A265 and (not A166) ); a4030a <=( A167 and a4029a ); a4031a <=( a4030a and a4025a ); a4034a <=( A267 and (not A266) ); a4038a <=( (not A299) and (not A298) ); a4039a <=( A268 and a4038a ); a4040a <=( a4039a and a4034a ); a4043a <=( A168 and A169 ); a4047a <=( A265 and (not A166) ); a4048a <=( A167 and a4047a ); a4049a <=( a4048a and a4043a ); a4052a <=( A267 and (not A266) ); a4056a <=( A301 and (not A300) ); a4057a <=( (not A269) and a4056a ); a4058a <=( a4057a and a4052a ); a4061a <=( A168 and A169 ); a4065a <=( A265 and (not A166) ); a4066a <=( A167 and a4065a ); a4067a <=( a4066a and a4061a ); a4070a <=( A267 and (not A266) ); a4074a <=( (not A302) and (not A300) ); a4075a <=( (not A269) and a4074a ); a4076a <=( a4075a and a4070a ); a4079a <=( A168 and A169 ); a4083a <=( A265 and (not A166) ); a4084a <=( A167 and a4083a ); a4085a <=( a4084a and a4079a ); a4088a <=( A267 and (not A266) ); a4092a <=( A299 and A298 ); a4093a <=( (not A269) and a4092a ); a4094a <=( a4093a and a4088a ); a4097a <=( A168 and A169 ); a4101a <=( A265 and (not A166) ); a4102a <=( A167 and a4101a ); a4103a <=( a4102a and a4097a ); a4106a <=( A267 and (not A266) ); a4110a <=( (not A299) and (not A298) ); a4111a <=( (not A269) and a4110a ); a4112a <=( a4111a and a4106a ); a4115a <=( A168 and A169 ); a4119a <=( (not A265) and (not A166) ); a4120a <=( A167 and a4119a ); a4121a <=( a4120a and a4115a ); a4124a <=( A298 and (not A266) ); a4128a <=( A301 and A300 ); a4129a <=( (not A299) and a4128a ); a4130a <=( a4129a and a4124a ); a4133a <=( A168 and A169 ); a4137a <=( (not A265) and (not A166) ); a4138a <=( A167 and a4137a ); a4139a <=( a4138a and a4133a ); a4142a <=( A298 and (not A266) ); a4146a <=( (not A302) and A300 ); a4147a <=( (not A299) and a4146a ); a4148a <=( a4147a and a4142a ); a4151a <=( A168 and A169 ); a4155a <=( (not A265) and (not A166) ); a4156a <=( A167 and a4155a ); a4157a <=( a4156a and a4151a ); a4160a <=( (not A298) and (not A266) ); a4164a <=( A301 and A300 ); a4165a <=( A299 and a4164a ); a4166a <=( a4165a and a4160a ); a4169a <=( A168 and A169 ); a4173a <=( (not A265) and (not A166) ); a4174a <=( A167 and a4173a ); a4175a <=( a4174a and a4169a ); a4178a <=( (not A298) and (not A266) ); a4182a <=( (not A302) and A300 ); a4183a <=( A299 and a4182a ); a4184a <=( a4183a and a4178a ); a4187a <=( A168 and A169 ); a4191a <=( (not A267) and A166 ); a4192a <=( (not A167) and a4191a ); a4193a <=( a4192a and a4187a ); a4196a <=( A298 and A268 ); a4200a <=( A301 and A300 ); a4201a <=( (not A299) and a4200a ); a4202a <=( a4201a and a4196a ); a4205a <=( A168 and A169 ); a4209a <=( (not A267) and A166 ); a4210a <=( (not A167) and a4209a ); a4211a <=( a4210a and a4205a ); a4214a <=( A298 and A268 ); a4218a <=( (not A302) and A300 ); a4219a <=( (not A299) and a4218a ); a4220a <=( a4219a and a4214a ); a4223a <=( A168 and A169 ); a4227a <=( (not A267) and A166 ); a4228a <=( (not A167) and a4227a ); a4229a <=( a4228a and a4223a ); a4232a <=( (not A298) and A268 ); a4236a <=( A301 and A300 ); a4237a <=( A299 and a4236a ); a4238a <=( a4237a and a4232a ); a4241a <=( A168 and A169 ); a4245a <=( (not A267) and A166 ); a4246a <=( (not A167) and a4245a ); a4247a <=( a4246a and a4241a ); a4250a <=( (not A298) and A268 ); a4254a <=( (not A302) and A300 ); a4255a <=( A299 and a4254a ); a4256a <=( a4255a and a4250a ); a4259a <=( A168 and A169 ); a4263a <=( (not A267) and A166 ); a4264a <=( (not A167) and a4263a ); a4265a <=( a4264a and a4259a ); a4268a <=( A298 and (not A269) ); a4272a <=( A301 and A300 ); a4273a <=( (not A299) and a4272a ); a4274a <=( a4273a and a4268a ); a4277a <=( A168 and A169 ); a4281a <=( (not A267) and A166 ); a4282a <=( (not A167) and a4281a ); a4283a <=( a4282a and a4277a ); a4286a <=( A298 and (not A269) ); a4290a <=( (not A302) and A300 ); a4291a <=( (not A299) and a4290a ); a4292a <=( a4291a and a4286a ); a4295a <=( A168 and A169 ); a4299a <=( (not A267) and A166 ); a4300a <=( (not A167) and a4299a ); a4301a <=( a4300a and a4295a ); a4304a <=( (not A298) and (not A269) ); a4308a <=( A301 and A300 ); a4309a <=( A299 and a4308a ); a4310a <=( a4309a and a4304a ); a4313a <=( A168 and A169 ); a4317a <=( (not A267) and A166 ); a4318a <=( (not A167) and a4317a ); a4319a <=( a4318a and a4313a ); a4322a <=( (not A298) and (not A269) ); a4326a <=( (not A302) and A300 ); a4327a <=( A299 and a4326a ); a4328a <=( a4327a and a4322a ); a4331a <=( A168 and A169 ); a4335a <=( A265 and A166 ); a4336a <=( (not A167) and a4335a ); a4337a <=( a4336a and a4331a ); a4340a <=( A298 and A266 ); a4344a <=( A301 and A300 ); a4345a <=( (not A299) and a4344a ); a4346a <=( a4345a and a4340a ); a4349a <=( A168 and A169 ); a4353a <=( A265 and A166 ); a4354a <=( (not A167) and a4353a ); a4355a <=( a4354a and a4349a ); a4358a <=( A298 and A266 ); a4362a <=( (not A302) and A300 ); a4363a <=( (not A299) and a4362a ); a4364a <=( a4363a and a4358a ); a4367a <=( A168 and A169 ); a4371a <=( A265 and A166 ); a4372a <=( (not A167) and a4371a ); a4373a <=( a4372a and a4367a ); a4376a <=( (not A298) and A266 ); a4380a <=( A301 and A300 ); a4381a <=( A299 and a4380a ); a4382a <=( a4381a and a4376a ); a4385a <=( A168 and A169 ); a4389a <=( A265 and A166 ); a4390a <=( (not A167) and a4389a ); a4391a <=( a4390a and a4385a ); a4394a <=( (not A298) and A266 ); a4398a <=( (not A302) and A300 ); a4399a <=( A299 and a4398a ); a4400a <=( a4399a and a4394a ); a4403a <=( A168 and A169 ); a4407a <=( (not A265) and A166 ); a4408a <=( (not A167) and a4407a ); a4409a <=( a4408a and a4403a ); a4412a <=( A267 and A266 ); a4416a <=( A301 and (not A300) ); a4417a <=( A268 and a4416a ); a4418a <=( a4417a and a4412a ); a4421a <=( A168 and A169 ); a4425a <=( (not A265) and A166 ); a4426a <=( (not A167) and a4425a ); a4427a <=( a4426a and a4421a ); a4430a <=( A267 and A266 ); a4434a <=( (not A302) and (not A300) ); a4435a <=( A268 and a4434a ); a4436a <=( a4435a and a4430a ); a4439a <=( A168 and A169 ); a4443a <=( (not A265) and A166 ); a4444a <=( (not A167) and a4443a ); a4445a <=( a4444a and a4439a ); a4448a <=( A267 and A266 ); a4452a <=( A299 and A298 ); a4453a <=( A268 and a4452a ); a4454a <=( a4453a and a4448a ); a4457a <=( A168 and A169 ); a4461a <=( (not A265) and A166 ); a4462a <=( (not A167) and a4461a ); a4463a <=( a4462a and a4457a ); a4466a <=( A267 and A266 ); a4470a <=( (not A299) and (not A298) ); a4471a <=( A268 and a4470a ); a4472a <=( a4471a and a4466a ); a4475a <=( A168 and A169 ); a4479a <=( (not A265) and A166 ); a4480a <=( (not A167) and a4479a ); a4481a <=( a4480a and a4475a ); a4484a <=( A267 and A266 ); a4488a <=( A301 and (not A300) ); a4489a <=( (not A269) and a4488a ); a4490a <=( a4489a and a4484a ); a4493a <=( A168 and A169 ); a4497a <=( (not A265) and A166 ); a4498a <=( (not A167) and a4497a ); a4499a <=( a4498a and a4493a ); a4502a <=( A267 and A266 ); a4506a <=( (not A302) and (not A300) ); a4507a <=( (not A269) and a4506a ); a4508a <=( a4507a and a4502a ); a4511a <=( A168 and A169 ); a4515a <=( (not A265) and A166 ); a4516a <=( (not A167) and a4515a ); a4517a <=( a4516a and a4511a ); a4520a <=( A267 and A266 ); a4524a <=( A299 and A298 ); a4525a <=( (not A269) and a4524a ); a4526a <=( a4525a and a4520a ); a4529a <=( A168 and A169 ); a4533a <=( (not A265) and A166 ); a4534a <=( (not A167) and a4533a ); a4535a <=( a4534a and a4529a ); a4538a <=( A267 and A266 ); a4542a <=( (not A299) and (not A298) ); a4543a <=( (not A269) and a4542a ); a4544a <=( a4543a and a4538a ); a4547a <=( A168 and A169 ); a4551a <=( A265 and A166 ); a4552a <=( (not A167) and a4551a ); a4553a <=( a4552a and a4547a ); a4556a <=( A267 and (not A266) ); a4560a <=( A301 and (not A300) ); a4561a <=( A268 and a4560a ); a4562a <=( a4561a and a4556a ); a4565a <=( A168 and A169 ); a4569a <=( A265 and A166 ); a4570a <=( (not A167) and a4569a ); a4571a <=( a4570a and a4565a ); a4574a <=( A267 and (not A266) ); a4578a <=( (not A302) and (not A300) ); a4579a <=( A268 and a4578a ); a4580a <=( a4579a and a4574a ); a4583a <=( A168 and A169 ); a4587a <=( A265 and A166 ); a4588a <=( (not A167) and a4587a ); a4589a <=( a4588a and a4583a ); a4592a <=( A267 and (not A266) ); a4596a <=( A299 and A298 ); a4597a <=( A268 and a4596a ); a4598a <=( a4597a and a4592a ); a4601a <=( A168 and A169 ); a4605a <=( A265 and A166 ); a4606a <=( (not A167) and a4605a ); a4607a <=( a4606a and a4601a ); a4610a <=( A267 and (not A266) ); a4614a <=( (not A299) and (not A298) ); a4615a <=( A268 and a4614a ); a4616a <=( a4615a and a4610a ); a4619a <=( A168 and A169 ); a4623a <=( A265 and A166 ); a4624a <=( (not A167) and a4623a ); a4625a <=( a4624a and a4619a ); a4628a <=( A267 and (not A266) ); a4632a <=( A301 and (not A300) ); a4633a <=( (not A269) and a4632a ); a4634a <=( a4633a and a4628a ); a4637a <=( A168 and A169 ); a4641a <=( A265 and A166 ); a4642a <=( (not A167) and a4641a ); a4643a <=( a4642a and a4637a ); a4646a <=( A267 and (not A266) ); a4650a <=( (not A302) and (not A300) ); a4651a <=( (not A269) and a4650a ); a4652a <=( a4651a and a4646a ); a4655a <=( A168 and A169 ); a4659a <=( A265 and A166 ); a4660a <=( (not A167) and a4659a ); a4661a <=( a4660a and a4655a ); a4664a <=( A267 and (not A266) ); a4668a <=( A299 and A298 ); a4669a <=( (not A269) and a4668a ); a4670a <=( a4669a and a4664a ); a4673a <=( A168 and A169 ); a4677a <=( A265 and A166 ); a4678a <=( (not A167) and a4677a ); a4679a <=( a4678a and a4673a ); a4682a <=( A267 and (not A266) ); a4686a <=( (not A299) and (not A298) ); a4687a <=( (not A269) and a4686a ); a4688a <=( a4687a and a4682a ); a4691a <=( A168 and A169 ); a4695a <=( (not A265) and A166 ); a4696a <=( (not A167) and a4695a ); a4697a <=( a4696a and a4691a ); a4700a <=( A298 and (not A266) ); a4704a <=( A301 and A300 ); a4705a <=( (not A299) and a4704a ); a4706a <=( a4705a and a4700a ); a4709a <=( A168 and A169 ); a4713a <=( (not A265) and A166 ); a4714a <=( (not A167) and a4713a ); a4715a <=( a4714a and a4709a ); a4718a <=( A298 and (not A266) ); a4722a <=( (not A302) and A300 ); a4723a <=( (not A299) and a4722a ); a4724a <=( a4723a and a4718a ); a4727a <=( A168 and A169 ); a4731a <=( (not A265) and A166 ); a4732a <=( (not A167) and a4731a ); a4733a <=( a4732a and a4727a ); a4736a <=( (not A298) and (not A266) ); a4740a <=( A301 and A300 ); a4741a <=( A299 and a4740a ); a4742a <=( a4741a and a4736a ); a4745a <=( A168 and A169 ); a4749a <=( (not A265) and A166 ); a4750a <=( (not A167) and a4749a ); a4751a <=( a4750a and a4745a ); a4754a <=( (not A298) and (not A266) ); a4758a <=( (not A302) and A300 ); a4759a <=( A299 and a4758a ); a4760a <=( a4759a and a4754a ); a4763a <=( A168 and A170 ); a4767a <=( A267 and (not A166) ); a4768a <=( A167 and a4767a ); a4769a <=( a4768a and a4763a ); a4773a <=( A298 and A269 ); a4774a <=( (not A268) and a4773a ); a4778a <=( A301 and A300 ); a4779a <=( (not A299) and a4778a ); a4780a <=( a4779a and a4774a ); a4783a <=( A168 and A170 ); a4787a <=( A267 and (not A166) ); a4788a <=( A167 and a4787a ); a4789a <=( a4788a and a4783a ); a4793a <=( A298 and A269 ); a4794a <=( (not A268) and a4793a ); a4798a <=( (not A302) and A300 ); a4799a <=( (not A299) and a4798a ); a4800a <=( a4799a and a4794a ); a4803a <=( A168 and A170 ); a4807a <=( A267 and (not A166) ); a4808a <=( A167 and a4807a ); a4809a <=( a4808a and a4803a ); a4813a <=( (not A298) and A269 ); a4814a <=( (not A268) and a4813a ); a4818a <=( A301 and A300 ); a4819a <=( A299 and a4818a ); a4820a <=( a4819a and a4814a ); a4823a <=( A168 and A170 ); a4827a <=( A267 and (not A166) ); a4828a <=( A167 and a4827a ); a4829a <=( a4828a and a4823a ); a4833a <=( (not A298) and A269 ); a4834a <=( (not A268) and a4833a ); a4838a <=( (not A302) and A300 ); a4839a <=( A299 and a4838a ); a4840a <=( a4839a and a4834a ); a4843a <=( A168 and A170 ); a4847a <=( (not A267) and (not A166) ); a4848a <=( A167 and a4847a ); a4849a <=( a4848a and a4843a ); a4853a <=( (not A299) and A298 ); a4854a <=( A268 and a4853a ); a4858a <=( A302 and (not A301) ); a4859a <=( (not A300) and a4858a ); a4860a <=( a4859a and a4854a ); a4863a <=( A168 and A170 ); a4867a <=( (not A267) and (not A166) ); a4868a <=( A167 and a4867a ); a4869a <=( a4868a and a4863a ); a4873a <=( A299 and (not A298) ); a4874a <=( A268 and a4873a ); a4878a <=( A302 and (not A301) ); a4879a <=( (not A300) and a4878a ); a4880a <=( a4879a and a4874a ); a4883a <=( A168 and A170 ); a4887a <=( (not A267) and (not A166) ); a4888a <=( A167 and a4887a ); a4889a <=( a4888a and a4883a ); a4893a <=( (not A299) and A298 ); a4894a <=( (not A269) and a4893a ); a4898a <=( A302 and (not A301) ); a4899a <=( (not A300) and a4898a ); a4900a <=( a4899a and a4894a ); a4903a <=( A168 and A170 ); a4907a <=( (not A267) and (not A166) ); a4908a <=( A167 and a4907a ); a4909a <=( a4908a and a4903a ); a4913a <=( A299 and (not A298) ); a4914a <=( (not A269) and a4913a ); a4918a <=( A302 and (not A301) ); a4919a <=( (not A300) and a4918a ); a4920a <=( a4919a and a4914a ); a4923a <=( A168 and A170 ); a4927a <=( A265 and (not A166) ); a4928a <=( A167 and a4927a ); a4929a <=( a4928a and a4923a ); a4933a <=( (not A299) and A298 ); a4934a <=( A266 and a4933a ); a4938a <=( A302 and (not A301) ); a4939a <=( (not A300) and a4938a ); a4940a <=( a4939a and a4934a ); a4943a <=( A168 and A170 ); a4947a <=( A265 and (not A166) ); a4948a <=( A167 and a4947a ); a4949a <=( a4948a and a4943a ); a4953a <=( A299 and (not A298) ); a4954a <=( A266 and a4953a ); a4958a <=( A302 and (not A301) ); a4959a <=( (not A300) and a4958a ); a4960a <=( a4959a and a4954a ); a4963a <=( A168 and A170 ); a4967a <=( (not A265) and (not A166) ); a4968a <=( A167 and a4967a ); a4969a <=( a4968a and a4963a ); a4973a <=( A268 and A267 ); a4974a <=( A266 and a4973a ); a4978a <=( A302 and (not A301) ); a4979a <=( A300 and a4978a ); a4980a <=( a4979a and a4974a ); a4983a <=( A168 and A170 ); a4987a <=( (not A265) and (not A166) ); a4988a <=( A167 and a4987a ); a4989a <=( a4988a and a4983a ); a4993a <=( (not A269) and A267 ); a4994a <=( A266 and a4993a ); a4998a <=( A302 and (not A301) ); a4999a <=( A300 and a4998a ); a5000a <=( a4999a and a4994a ); a5003a <=( A168 and A170 ); a5007a <=( (not A265) and (not A166) ); a5008a <=( A167 and a5007a ); a5009a <=( a5008a and a5003a ); a5013a <=( (not A268) and (not A267) ); a5014a <=( A266 and a5013a ); a5018a <=( A301 and (not A300) ); a5019a <=( A269 and a5018a ); a5020a <=( a5019a and a5014a ); a5023a <=( A168 and A170 ); a5027a <=( (not A265) and (not A166) ); a5028a <=( A167 and a5027a ); a5029a <=( a5028a and a5023a ); a5033a <=( (not A268) and (not A267) ); a5034a <=( A266 and a5033a ); a5038a <=( (not A302) and (not A300) ); a5039a <=( A269 and a5038a ); a5040a <=( a5039a and a5034a ); a5043a <=( A168 and A170 ); a5047a <=( (not A265) and (not A166) ); a5048a <=( A167 and a5047a ); a5049a <=( a5048a and a5043a ); a5053a <=( (not A268) and (not A267) ); a5054a <=( A266 and a5053a ); a5058a <=( A299 and A298 ); a5059a <=( A269 and a5058a ); a5060a <=( a5059a and a5054a ); a5063a <=( A168 and A170 ); a5067a <=( (not A265) and (not A166) ); a5068a <=( A167 and a5067a ); a5069a <=( a5068a and a5063a ); a5073a <=( (not A268) and (not A267) ); a5074a <=( A266 and a5073a ); a5078a <=( (not A299) and (not A298) ); a5079a <=( A269 and a5078a ); a5080a <=( a5079a and a5074a ); a5083a <=( A168 and A170 ); a5087a <=( A265 and (not A166) ); a5088a <=( A167 and a5087a ); a5089a <=( a5088a and a5083a ); a5093a <=( A268 and A267 ); a5094a <=( (not A266) and a5093a ); a5098a <=( A302 and (not A301) ); a5099a <=( A300 and a5098a ); a5100a <=( a5099a and a5094a ); a5103a <=( A168 and A170 ); a5107a <=( A265 and (not A166) ); a5108a <=( A167 and a5107a ); a5109a <=( a5108a and a5103a ); a5113a <=( (not A269) and A267 ); a5114a <=( (not A266) and a5113a ); a5118a <=( A302 and (not A301) ); a5119a <=( A300 and a5118a ); a5120a <=( a5119a and a5114a ); a5123a <=( A168 and A170 ); a5127a <=( A265 and (not A166) ); a5128a <=( A167 and a5127a ); a5129a <=( a5128a and a5123a ); a5133a <=( (not A268) and (not A267) ); a5134a <=( (not A266) and a5133a ); a5138a <=( A301 and (not A300) ); a5139a <=( A269 and a5138a ); a5140a <=( a5139a and a5134a ); a5143a <=( A168 and A170 ); a5147a <=( A265 and (not A166) ); a5148a <=( A167 and a5147a ); a5149a <=( a5148a and a5143a ); a5153a <=( (not A268) and (not A267) ); a5154a <=( (not A266) and a5153a ); a5158a <=( (not A302) and (not A300) ); a5159a <=( A269 and a5158a ); a5160a <=( a5159a and a5154a ); a5163a <=( A168 and A170 ); a5167a <=( A265 and (not A166) ); a5168a <=( A167 and a5167a ); a5169a <=( a5168a and a5163a ); a5173a <=( (not A268) and (not A267) ); a5174a <=( (not A266) and a5173a ); a5178a <=( A299 and A298 ); a5179a <=( A269 and a5178a ); a5180a <=( a5179a and a5174a ); a5183a <=( A168 and A170 ); a5187a <=( A265 and (not A166) ); a5188a <=( A167 and a5187a ); a5189a <=( a5188a and a5183a ); a5193a <=( (not A268) and (not A267) ); a5194a <=( (not A266) and a5193a ); a5198a <=( (not A299) and (not A298) ); a5199a <=( A269 and a5198a ); a5200a <=( a5199a and a5194a ); a5203a <=( A168 and A170 ); a5207a <=( (not A265) and (not A166) ); a5208a <=( A167 and a5207a ); a5209a <=( a5208a and a5203a ); a5213a <=( (not A299) and A298 ); a5214a <=( (not A266) and a5213a ); a5218a <=( A302 and (not A301) ); a5219a <=( (not A300) and a5218a ); a5220a <=( a5219a and a5214a ); a5223a <=( A168 and A170 ); a5227a <=( (not A265) and (not A166) ); a5228a <=( A167 and a5227a ); a5229a <=( a5228a and a5223a ); a5233a <=( A299 and (not A298) ); a5234a <=( (not A266) and a5233a ); a5238a <=( A302 and (not A301) ); a5239a <=( (not A300) and a5238a ); a5240a <=( a5239a and a5234a ); a5243a <=( A168 and A170 ); a5247a <=( A267 and A166 ); a5248a <=( (not A167) and a5247a ); a5249a <=( a5248a and a5243a ); a5253a <=( A298 and A269 ); a5254a <=( (not A268) and a5253a ); a5258a <=( A301 and A300 ); a5259a <=( (not A299) and a5258a ); a5260a <=( a5259a and a5254a ); a5263a <=( A168 and A170 ); a5267a <=( A267 and A166 ); a5268a <=( (not A167) and a5267a ); a5269a <=( a5268a and a5263a ); a5273a <=( A298 and A269 ); a5274a <=( (not A268) and a5273a ); a5278a <=( (not A302) and A300 ); a5279a <=( (not A299) and a5278a ); a5280a <=( a5279a and a5274a ); a5283a <=( A168 and A170 ); a5287a <=( A267 and A166 ); a5288a <=( (not A167) and a5287a ); a5289a <=( a5288a and a5283a ); a5293a <=( (not A298) and A269 ); a5294a <=( (not A268) and a5293a ); a5298a <=( A301 and A300 ); a5299a <=( A299 and a5298a ); a5300a <=( a5299a and a5294a ); a5303a <=( A168 and A170 ); a5307a <=( A267 and A166 ); a5308a <=( (not A167) and a5307a ); a5309a <=( a5308a and a5303a ); a5313a <=( (not A298) and A269 ); a5314a <=( (not A268) and a5313a ); a5318a <=( (not A302) and A300 ); a5319a <=( A299 and a5318a ); a5320a <=( a5319a and a5314a ); a5323a <=( A168 and A170 ); a5327a <=( (not A267) and A166 ); a5328a <=( (not A167) and a5327a ); a5329a <=( a5328a and a5323a ); a5333a <=( (not A299) and A298 ); a5334a <=( A268 and a5333a ); a5338a <=( A302 and (not A301) ); a5339a <=( (not A300) and a5338a ); a5340a <=( a5339a and a5334a ); a5343a <=( A168 and A170 ); a5347a <=( (not A267) and A166 ); a5348a <=( (not A167) and a5347a ); a5349a <=( a5348a and a5343a ); a5353a <=( A299 and (not A298) ); a5354a <=( A268 and a5353a ); a5358a <=( A302 and (not A301) ); a5359a <=( (not A300) and a5358a ); a5360a <=( a5359a and a5354a ); a5363a <=( A168 and A170 ); a5367a <=( (not A267) and A166 ); a5368a <=( (not A167) and a5367a ); a5369a <=( a5368a and a5363a ); a5373a <=( (not A299) and A298 ); a5374a <=( (not A269) and a5373a ); a5378a <=( A302 and (not A301) ); a5379a <=( (not A300) and a5378a ); a5380a <=( a5379a and a5374a ); a5383a <=( A168 and A170 ); a5387a <=( (not A267) and A166 ); a5388a <=( (not A167) and a5387a ); a5389a <=( a5388a and a5383a ); a5393a <=( A299 and (not A298) ); a5394a <=( (not A269) and a5393a ); a5398a <=( A302 and (not A301) ); a5399a <=( (not A300) and a5398a ); a5400a <=( a5399a and a5394a ); a5403a <=( A168 and A170 ); a5407a <=( A265 and A166 ); a5408a <=( (not A167) and a5407a ); a5409a <=( a5408a and a5403a ); a5413a <=( (not A299) and A298 ); a5414a <=( A266 and a5413a ); a5418a <=( A302 and (not A301) ); a5419a <=( (not A300) and a5418a ); a5420a <=( a5419a and a5414a ); a5423a <=( A168 and A170 ); a5427a <=( A265 and A166 ); a5428a <=( (not A167) and a5427a ); a5429a <=( a5428a and a5423a ); a5433a <=( A299 and (not A298) ); a5434a <=( A266 and a5433a ); a5438a <=( A302 and (not A301) ); a5439a <=( (not A300) and a5438a ); a5440a <=( a5439a and a5434a ); a5443a <=( A168 and A170 ); a5447a <=( (not A265) and A166 ); a5448a <=( (not A167) and a5447a ); a5449a <=( a5448a and a5443a ); a5453a <=( A268 and A267 ); a5454a <=( A266 and a5453a ); a5458a <=( A302 and (not A301) ); a5459a <=( A300 and a5458a ); a5460a <=( a5459a and a5454a ); a5463a <=( A168 and A170 ); a5467a <=( (not A265) and A166 ); a5468a <=( (not A167) and a5467a ); a5469a <=( a5468a and a5463a ); a5473a <=( (not A269) and A267 ); a5474a <=( A266 and a5473a ); a5478a <=( A302 and (not A301) ); a5479a <=( A300 and a5478a ); a5480a <=( a5479a and a5474a ); a5483a <=( A168 and A170 ); a5487a <=( (not A265) and A166 ); a5488a <=( (not A167) and a5487a ); a5489a <=( a5488a and a5483a ); a5493a <=( (not A268) and (not A267) ); a5494a <=( A266 and a5493a ); a5498a <=( A301 and (not A300) ); a5499a <=( A269 and a5498a ); a5500a <=( a5499a and a5494a ); a5503a <=( A168 and A170 ); a5507a <=( (not A265) and A166 ); a5508a <=( (not A167) and a5507a ); a5509a <=( a5508a and a5503a ); a5513a <=( (not A268) and (not A267) ); a5514a <=( A266 and a5513a ); a5518a <=( (not A302) and (not A300) ); a5519a <=( A269 and a5518a ); a5520a <=( a5519a and a5514a ); a5523a <=( A168 and A170 ); a5527a <=( (not A265) and A166 ); a5528a <=( (not A167) and a5527a ); a5529a <=( a5528a and a5523a ); a5533a <=( (not A268) and (not A267) ); a5534a <=( A266 and a5533a ); a5538a <=( A299 and A298 ); a5539a <=( A269 and a5538a ); a5540a <=( a5539a and a5534a ); a5543a <=( A168 and A170 ); a5547a <=( (not A265) and A166 ); a5548a <=( (not A167) and a5547a ); a5549a <=( a5548a and a5543a ); a5553a <=( (not A268) and (not A267) ); a5554a <=( A266 and a5553a ); a5558a <=( (not A299) and (not A298) ); a5559a <=( A269 and a5558a ); a5560a <=( a5559a and a5554a ); a5563a <=( A168 and A170 ); a5567a <=( A265 and A166 ); a5568a <=( (not A167) and a5567a ); a5569a <=( a5568a and a5563a ); a5573a <=( A268 and A267 ); a5574a <=( (not A266) and a5573a ); a5578a <=( A302 and (not A301) ); a5579a <=( A300 and a5578a ); a5580a <=( a5579a and a5574a ); a5583a <=( A168 and A170 ); a5587a <=( A265 and A166 ); a5588a <=( (not A167) and a5587a ); a5589a <=( a5588a and a5583a ); a5593a <=( (not A269) and A267 ); a5594a <=( (not A266) and a5593a ); a5598a <=( A302 and (not A301) ); a5599a <=( A300 and a5598a ); a5600a <=( a5599a and a5594a ); a5603a <=( A168 and A170 ); a5607a <=( A265 and A166 ); a5608a <=( (not A167) and a5607a ); a5609a <=( a5608a and a5603a ); a5613a <=( (not A268) and (not A267) ); a5614a <=( (not A266) and a5613a ); a5618a <=( A301 and (not A300) ); a5619a <=( A269 and a5618a ); a5620a <=( a5619a and a5614a ); a5623a <=( A168 and A170 ); a5627a <=( A265 and A166 ); a5628a <=( (not A167) and a5627a ); a5629a <=( a5628a and a5623a ); a5633a <=( (not A268) and (not A267) ); a5634a <=( (not A266) and a5633a ); a5638a <=( (not A302) and (not A300) ); a5639a <=( A269 and a5638a ); a5640a <=( a5639a and a5634a ); a5643a <=( A168 and A170 ); a5647a <=( A265 and A166 ); a5648a <=( (not A167) and a5647a ); a5649a <=( a5648a and a5643a ); a5653a <=( (not A268) and (not A267) ); a5654a <=( (not A266) and a5653a ); a5658a <=( A299 and A298 ); a5659a <=( A269 and a5658a ); a5660a <=( a5659a and a5654a ); a5663a <=( A168 and A170 ); a5667a <=( A265 and A166 ); a5668a <=( (not A167) and a5667a ); a5669a <=( a5668a and a5663a ); a5673a <=( (not A268) and (not A267) ); a5674a <=( (not A266) and a5673a ); a5678a <=( (not A299) and (not A298) ); a5679a <=( A269 and a5678a ); a5680a <=( a5679a and a5674a ); a5683a <=( A168 and A170 ); a5687a <=( (not A265) and A166 ); a5688a <=( (not A167) and a5687a ); a5689a <=( a5688a and a5683a ); a5693a <=( (not A299) and A298 ); a5694a <=( (not A266) and a5693a ); a5698a <=( A302 and (not A301) ); a5699a <=( (not A300) and a5698a ); a5700a <=( a5699a and a5694a ); a5703a <=( A168 and A170 ); a5707a <=( (not A265) and A166 ); a5708a <=( (not A167) and a5707a ); a5709a <=( a5708a and a5703a ); a5713a <=( A299 and (not A298) ); a5714a <=( (not A266) and a5713a ); a5718a <=( A302 and (not A301) ); a5719a <=( (not A300) and a5718a ); a5720a <=( a5719a and a5714a ); a5723a <=( A168 and A169 ); a5727a <=( A267 and (not A166) ); a5728a <=( A167 and a5727a ); a5729a <=( a5728a and a5723a ); a5733a <=( A298 and A269 ); a5734a <=( (not A268) and a5733a ); a5738a <=( A301 and A300 ); a5739a <=( (not A299) and a5738a ); a5740a <=( a5739a and a5734a ); a5743a <=( A168 and A169 ); a5747a <=( A267 and (not A166) ); a5748a <=( A167 and a5747a ); a5749a <=( a5748a and a5743a ); a5753a <=( A298 and A269 ); a5754a <=( (not A268) and a5753a ); a5758a <=( (not A302) and A300 ); a5759a <=( (not A299) and a5758a ); a5760a <=( a5759a and a5754a ); a5763a <=( A168 and A169 ); a5767a <=( A267 and (not A166) ); a5768a <=( A167 and a5767a ); a5769a <=( a5768a and a5763a ); a5773a <=( (not A298) and A269 ); a5774a <=( (not A268) and a5773a ); a5778a <=( A301 and A300 ); a5779a <=( A299 and a5778a ); a5780a <=( a5779a and a5774a ); a5783a <=( A168 and A169 ); a5787a <=( A267 and (not A166) ); a5788a <=( A167 and a5787a ); a5789a <=( a5788a and a5783a ); a5793a <=( (not A298) and A269 ); a5794a <=( (not A268) and a5793a ); a5798a <=( (not A302) and A300 ); a5799a <=( A299 and a5798a ); a5800a <=( a5799a and a5794a ); a5803a <=( A168 and A169 ); a5807a <=( (not A267) and (not A166) ); a5808a <=( A167 and a5807a ); a5809a <=( a5808a and a5803a ); a5813a <=( (not A299) and A298 ); a5814a <=( A268 and a5813a ); a5818a <=( A302 and (not A301) ); a5819a <=( (not A300) and a5818a ); a5820a <=( a5819a and a5814a ); a5823a <=( A168 and A169 ); a5827a <=( (not A267) and (not A166) ); a5828a <=( A167 and a5827a ); a5829a <=( a5828a and a5823a ); a5833a <=( A299 and (not A298) ); a5834a <=( A268 and a5833a ); a5838a <=( A302 and (not A301) ); a5839a <=( (not A300) and a5838a ); a5840a <=( a5839a and a5834a ); a5843a <=( A168 and A169 ); a5847a <=( (not A267) and (not A166) ); a5848a <=( A167 and a5847a ); a5849a <=( a5848a and a5843a ); a5853a <=( (not A299) and A298 ); a5854a <=( (not A269) and a5853a ); a5858a <=( A302 and (not A301) ); a5859a <=( (not A300) and a5858a ); a5860a <=( a5859a and a5854a ); a5863a <=( A168 and A169 ); a5867a <=( (not A267) and (not A166) ); a5868a <=( A167 and a5867a ); a5869a <=( a5868a and a5863a ); a5873a <=( A299 and (not A298) ); a5874a <=( (not A269) and a5873a ); a5878a <=( A302 and (not A301) ); a5879a <=( (not A300) and a5878a ); a5880a <=( a5879a and a5874a ); a5883a <=( A168 and A169 ); a5887a <=( A265 and (not A166) ); a5888a <=( A167 and a5887a ); a5889a <=( a5888a and a5883a ); a5893a <=( (not A299) and A298 ); a5894a <=( A266 and a5893a ); a5898a <=( A302 and (not A301) ); a5899a <=( (not A300) and a5898a ); a5900a <=( a5899a and a5894a ); a5903a <=( A168 and A169 ); a5907a <=( A265 and (not A166) ); a5908a <=( A167 and a5907a ); a5909a <=( a5908a and a5903a ); a5913a <=( A299 and (not A298) ); a5914a <=( A266 and a5913a ); a5918a <=( A302 and (not A301) ); a5919a <=( (not A300) and a5918a ); a5920a <=( a5919a and a5914a ); a5923a <=( A168 and A169 ); a5927a <=( (not A265) and (not A166) ); a5928a <=( A167 and a5927a ); a5929a <=( a5928a and a5923a ); a5933a <=( A268 and A267 ); a5934a <=( A266 and a5933a ); a5938a <=( A302 and (not A301) ); a5939a <=( A300 and a5938a ); a5940a <=( a5939a and a5934a ); a5943a <=( A168 and A169 ); a5947a <=( (not A265) and (not A166) ); a5948a <=( A167 and a5947a ); a5949a <=( a5948a and a5943a ); a5953a <=( (not A269) and A267 ); a5954a <=( A266 and a5953a ); a5958a <=( A302 and (not A301) ); a5959a <=( A300 and a5958a ); a5960a <=( a5959a and a5954a ); a5963a <=( A168 and A169 ); a5967a <=( (not A265) and (not A166) ); a5968a <=( A167 and a5967a ); a5969a <=( a5968a and a5963a ); a5973a <=( (not A268) and (not A267) ); a5974a <=( A266 and a5973a ); a5978a <=( A301 and (not A300) ); a5979a <=( A269 and a5978a ); a5980a <=( a5979a and a5974a ); a5983a <=( A168 and A169 ); a5987a <=( (not A265) and (not A166) ); a5988a <=( A167 and a5987a ); a5989a <=( a5988a and a5983a ); a5993a <=( (not A268) and (not A267) ); a5994a <=( A266 and a5993a ); a5998a <=( (not A302) and (not A300) ); a5999a <=( A269 and a5998a ); a6000a <=( a5999a and a5994a ); a6003a <=( A168 and A169 ); a6007a <=( (not A265) and (not A166) ); a6008a <=( A167 and a6007a ); a6009a <=( a6008a and a6003a ); a6013a <=( (not A268) and (not A267) ); a6014a <=( A266 and a6013a ); a6018a <=( A299 and A298 ); a6019a <=( A269 and a6018a ); a6020a <=( a6019a and a6014a ); a6023a <=( A168 and A169 ); a6027a <=( (not A265) and (not A166) ); a6028a <=( A167 and a6027a ); a6029a <=( a6028a and a6023a ); a6033a <=( (not A268) and (not A267) ); a6034a <=( A266 and a6033a ); a6038a <=( (not A299) and (not A298) ); a6039a <=( A269 and a6038a ); a6040a <=( a6039a and a6034a ); a6043a <=( A168 and A169 ); a6047a <=( A265 and (not A166) ); a6048a <=( A167 and a6047a ); a6049a <=( a6048a and a6043a ); a6053a <=( A268 and A267 ); a6054a <=( (not A266) and a6053a ); a6058a <=( A302 and (not A301) ); a6059a <=( A300 and a6058a ); a6060a <=( a6059a and a6054a ); a6063a <=( A168 and A169 ); a6067a <=( A265 and (not A166) ); a6068a <=( A167 and a6067a ); a6069a <=( a6068a and a6063a ); a6073a <=( (not A269) and A267 ); a6074a <=( (not A266) and a6073a ); a6078a <=( A302 and (not A301) ); a6079a <=( A300 and a6078a ); a6080a <=( a6079a and a6074a ); a6083a <=( A168 and A169 ); a6087a <=( A265 and (not A166) ); a6088a <=( A167 and a6087a ); a6089a <=( a6088a and a6083a ); a6093a <=( (not A268) and (not A267) ); a6094a <=( (not A266) and a6093a ); a6098a <=( A301 and (not A300) ); a6099a <=( A269 and a6098a ); a6100a <=( a6099a and a6094a ); a6103a <=( A168 and A169 ); a6107a <=( A265 and (not A166) ); a6108a <=( A167 and a6107a ); a6109a <=( a6108a and a6103a ); a6113a <=( (not A268) and (not A267) ); a6114a <=( (not A266) and a6113a ); a6118a <=( (not A302) and (not A300) ); a6119a <=( A269 and a6118a ); a6120a <=( a6119a and a6114a ); a6123a <=( A168 and A169 ); a6127a <=( A265 and (not A166) ); a6128a <=( A167 and a6127a ); a6129a <=( a6128a and a6123a ); a6133a <=( (not A268) and (not A267) ); a6134a <=( (not A266) and a6133a ); a6138a <=( A299 and A298 ); a6139a <=( A269 and a6138a ); a6140a <=( a6139a and a6134a ); a6143a <=( A168 and A169 ); a6147a <=( A265 and (not A166) ); a6148a <=( A167 and a6147a ); a6149a <=( a6148a and a6143a ); a6153a <=( (not A268) and (not A267) ); a6154a <=( (not A266) and a6153a ); a6158a <=( (not A299) and (not A298) ); a6159a <=( A269 and a6158a ); a6160a <=( a6159a and a6154a ); a6163a <=( A168 and A169 ); a6167a <=( (not A265) and (not A166) ); a6168a <=( A167 and a6167a ); a6169a <=( a6168a and a6163a ); a6173a <=( (not A299) and A298 ); a6174a <=( (not A266) and a6173a ); a6178a <=( A302 and (not A301) ); a6179a <=( (not A300) and a6178a ); a6180a <=( a6179a and a6174a ); a6183a <=( A168 and A169 ); a6187a <=( (not A265) and (not A166) ); a6188a <=( A167 and a6187a ); a6189a <=( a6188a and a6183a ); a6193a <=( A299 and (not A298) ); a6194a <=( (not A266) and a6193a ); a6198a <=( A302 and (not A301) ); a6199a <=( (not A300) and a6198a ); a6200a <=( a6199a and a6194a ); a6203a <=( A168 and A169 ); a6207a <=( A267 and A166 ); a6208a <=( (not A167) and a6207a ); a6209a <=( a6208a and a6203a ); a6213a <=( A298 and A269 ); a6214a <=( (not A268) and a6213a ); a6218a <=( A301 and A300 ); a6219a <=( (not A299) and a6218a ); a6220a <=( a6219a and a6214a ); a6223a <=( A168 and A169 ); a6227a <=( A267 and A166 ); a6228a <=( (not A167) and a6227a ); a6229a <=( a6228a and a6223a ); a6233a <=( A298 and A269 ); a6234a <=( (not A268) and a6233a ); a6238a <=( (not A302) and A300 ); a6239a <=( (not A299) and a6238a ); a6240a <=( a6239a and a6234a ); a6243a <=( A168 and A169 ); a6247a <=( A267 and A166 ); a6248a <=( (not A167) and a6247a ); a6249a <=( a6248a and a6243a ); a6253a <=( (not A298) and A269 ); a6254a <=( (not A268) and a6253a ); a6258a <=( A301 and A300 ); a6259a <=( A299 and a6258a ); a6260a <=( a6259a and a6254a ); a6263a <=( A168 and A169 ); a6267a <=( A267 and A166 ); a6268a <=( (not A167) and a6267a ); a6269a <=( a6268a and a6263a ); a6273a <=( (not A298) and A269 ); a6274a <=( (not A268) and a6273a ); a6278a <=( (not A302) and A300 ); a6279a <=( A299 and a6278a ); a6280a <=( a6279a and a6274a ); a6283a <=( A168 and A169 ); a6287a <=( (not A267) and A166 ); a6288a <=( (not A167) and a6287a ); a6289a <=( a6288a and a6283a ); a6293a <=( (not A299) and A298 ); a6294a <=( A268 and a6293a ); a6298a <=( A302 and (not A301) ); a6299a <=( (not A300) and a6298a ); a6300a <=( a6299a and a6294a ); a6303a <=( A168 and A169 ); a6307a <=( (not A267) and A166 ); a6308a <=( (not A167) and a6307a ); a6309a <=( a6308a and a6303a ); a6313a <=( A299 and (not A298) ); a6314a <=( A268 and a6313a ); a6318a <=( A302 and (not A301) ); a6319a <=( (not A300) and a6318a ); a6320a <=( a6319a and a6314a ); a6323a <=( A168 and A169 ); a6327a <=( (not A267) and A166 ); a6328a <=( (not A167) and a6327a ); a6329a <=( a6328a and a6323a ); a6333a <=( (not A299) and A298 ); a6334a <=( (not A269) and a6333a ); a6338a <=( A302 and (not A301) ); a6339a <=( (not A300) and a6338a ); a6340a <=( a6339a and a6334a ); a6343a <=( A168 and A169 ); a6347a <=( (not A267) and A166 ); a6348a <=( (not A167) and a6347a ); a6349a <=( a6348a and a6343a ); a6353a <=( A299 and (not A298) ); a6354a <=( (not A269) and a6353a ); a6358a <=( A302 and (not A301) ); a6359a <=( (not A300) and a6358a ); a6360a <=( a6359a and a6354a ); a6363a <=( A168 and A169 ); a6367a <=( A265 and A166 ); a6368a <=( (not A167) and a6367a ); a6369a <=( a6368a and a6363a ); a6373a <=( (not A299) and A298 ); a6374a <=( A266 and a6373a ); a6378a <=( A302 and (not A301) ); a6379a <=( (not A300) and a6378a ); a6380a <=( a6379a and a6374a ); a6383a <=( A168 and A169 ); a6387a <=( A265 and A166 ); a6388a <=( (not A167) and a6387a ); a6389a <=( a6388a and a6383a ); a6393a <=( A299 and (not A298) ); a6394a <=( A266 and a6393a ); a6398a <=( A302 and (not A301) ); a6399a <=( (not A300) and a6398a ); a6400a <=( a6399a and a6394a ); a6403a <=( A168 and A169 ); a6407a <=( (not A265) and A166 ); a6408a <=( (not A167) and a6407a ); a6409a <=( a6408a and a6403a ); a6413a <=( A268 and A267 ); a6414a <=( A266 and a6413a ); a6418a <=( A302 and (not A301) ); a6419a <=( A300 and a6418a ); a6420a <=( a6419a and a6414a ); a6423a <=( A168 and A169 ); a6427a <=( (not A265) and A166 ); a6428a <=( (not A167) and a6427a ); a6429a <=( a6428a and a6423a ); a6433a <=( (not A269) and A267 ); a6434a <=( A266 and a6433a ); a6438a <=( A302 and (not A301) ); a6439a <=( A300 and a6438a ); a6440a <=( a6439a and a6434a ); a6443a <=( A168 and A169 ); a6447a <=( (not A265) and A166 ); a6448a <=( (not A167) and a6447a ); a6449a <=( a6448a and a6443a ); a6453a <=( (not A268) and (not A267) ); a6454a <=( A266 and a6453a ); a6458a <=( A301 and (not A300) ); a6459a <=( A269 and a6458a ); a6460a <=( a6459a and a6454a ); a6463a <=( A168 and A169 ); a6467a <=( (not A265) and A166 ); a6468a <=( (not A167) and a6467a ); a6469a <=( a6468a and a6463a ); a6473a <=( (not A268) and (not A267) ); a6474a <=( A266 and a6473a ); a6478a <=( (not A302) and (not A300) ); a6479a <=( A269 and a6478a ); a6480a <=( a6479a and a6474a ); a6483a <=( A168 and A169 ); a6487a <=( (not A265) and A166 ); a6488a <=( (not A167) and a6487a ); a6489a <=( a6488a and a6483a ); a6493a <=( (not A268) and (not A267) ); a6494a <=( A266 and a6493a ); a6498a <=( A299 and A298 ); a6499a <=( A269 and a6498a ); a6500a <=( a6499a and a6494a ); a6503a <=( A168 and A169 ); a6507a <=( (not A265) and A166 ); a6508a <=( (not A167) and a6507a ); a6509a <=( a6508a and a6503a ); a6513a <=( (not A268) and (not A267) ); a6514a <=( A266 and a6513a ); a6518a <=( (not A299) and (not A298) ); a6519a <=( A269 and a6518a ); a6520a <=( a6519a and a6514a ); a6523a <=( A168 and A169 ); a6527a <=( A265 and A166 ); a6528a <=( (not A167) and a6527a ); a6529a <=( a6528a and a6523a ); a6533a <=( A268 and A267 ); a6534a <=( (not A266) and a6533a ); a6538a <=( A302 and (not A301) ); a6539a <=( A300 and a6538a ); a6540a <=( a6539a and a6534a ); a6543a <=( A168 and A169 ); a6547a <=( A265 and A166 ); a6548a <=( (not A167) and a6547a ); a6549a <=( a6548a and a6543a ); a6553a <=( (not A269) and A267 ); a6554a <=( (not A266) and a6553a ); a6558a <=( A302 and (not A301) ); a6559a <=( A300 and a6558a ); a6560a <=( a6559a and a6554a ); a6563a <=( A168 and A169 ); a6567a <=( A265 and A166 ); a6568a <=( (not A167) and a6567a ); a6569a <=( a6568a and a6563a ); a6573a <=( (not A268) and (not A267) ); a6574a <=( (not A266) and a6573a ); a6578a <=( A301 and (not A300) ); a6579a <=( A269 and a6578a ); a6580a <=( a6579a and a6574a ); a6583a <=( A168 and A169 ); a6587a <=( A265 and A166 ); a6588a <=( (not A167) and a6587a ); a6589a <=( a6588a and a6583a ); a6593a <=( (not A268) and (not A267) ); a6594a <=( (not A266) and a6593a ); a6598a <=( (not A302) and (not A300) ); a6599a <=( A269 and a6598a ); a6600a <=( a6599a and a6594a ); a6603a <=( A168 and A169 ); a6607a <=( A265 and A166 ); a6608a <=( (not A167) and a6607a ); a6609a <=( a6608a and a6603a ); a6613a <=( (not A268) and (not A267) ); a6614a <=( (not A266) and a6613a ); a6618a <=( A299 and A298 ); a6619a <=( A269 and a6618a ); a6620a <=( a6619a and a6614a ); a6623a <=( A168 and A169 ); a6627a <=( A265 and A166 ); a6628a <=( (not A167) and a6627a ); a6629a <=( a6628a and a6623a ); a6633a <=( (not A268) and (not A267) ); a6634a <=( (not A266) and a6633a ); a6638a <=( (not A299) and (not A298) ); a6639a <=( A269 and a6638a ); a6640a <=( a6639a and a6634a ); a6643a <=( A168 and A169 ); a6647a <=( (not A265) and A166 ); a6648a <=( (not A167) and a6647a ); a6649a <=( a6648a and a6643a ); a6653a <=( (not A299) and A298 ); a6654a <=( (not A266) and a6653a ); a6658a <=( A302 and (not A301) ); a6659a <=( (not A300) and a6658a ); a6660a <=( a6659a and a6654a ); a6663a <=( A168 and A169 ); a6667a <=( (not A265) and A166 ); a6668a <=( (not A167) and a6667a ); a6669a <=( a6668a and a6663a ); a6673a <=( A299 and (not A298) ); a6674a <=( (not A266) and a6673a ); a6678a <=( A302 and (not A301) ); a6679a <=( (not A300) and a6678a ); a6680a <=( a6679a and a6674a ); a6683a <=( (not A169) and (not A170) ); a6687a <=( (not A166) and A167 ); a6688a <=( (not A168) and a6687a ); a6689a <=( a6688a and a6683a ); a6693a <=( A298 and A268 ); a6694a <=( (not A267) and a6693a ); a6698a <=( A301 and A300 ); a6699a <=( (not A299) and a6698a ); a6700a <=( a6699a and a6694a ); a6703a <=( (not A169) and (not A170) ); a6707a <=( (not A166) and A167 ); a6708a <=( (not A168) and a6707a ); a6709a <=( a6708a and a6703a ); a6713a <=( A298 and A268 ); a6714a <=( (not A267) and a6713a ); a6718a <=( (not A302) and A300 ); a6719a <=( (not A299) and a6718a ); a6720a <=( a6719a and a6714a ); a6723a <=( (not A169) and (not A170) ); a6727a <=( (not A166) and A167 ); a6728a <=( (not A168) and a6727a ); a6729a <=( a6728a and a6723a ); a6733a <=( (not A298) and A268 ); a6734a <=( (not A267) and a6733a ); a6738a <=( A301 and A300 ); a6739a <=( A299 and a6738a ); a6740a <=( a6739a and a6734a ); a6743a <=( (not A169) and (not A170) ); a6747a <=( (not A166) and A167 ); a6748a <=( (not A168) and a6747a ); a6749a <=( a6748a and a6743a ); a6753a <=( (not A298) and A268 ); a6754a <=( (not A267) and a6753a ); a6758a <=( (not A302) and A300 ); a6759a <=( A299 and a6758a ); a6760a <=( a6759a and a6754a ); a6763a <=( (not A169) and (not A170) ); a6767a <=( (not A166) and A167 ); a6768a <=( (not A168) and a6767a ); a6769a <=( a6768a and a6763a ); a6773a <=( A298 and (not A269) ); a6774a <=( (not A267) and a6773a ); a6778a <=( A301 and A300 ); a6779a <=( (not A299) and a6778a ); a6780a <=( a6779a and a6774a ); a6783a <=( (not A169) and (not A170) ); a6787a <=( (not A166) and A167 ); a6788a <=( (not A168) and a6787a ); a6789a <=( a6788a and a6783a ); a6793a <=( A298 and (not A269) ); a6794a <=( (not A267) and a6793a ); a6798a <=( (not A302) and A300 ); a6799a <=( (not A299) and a6798a ); a6800a <=( a6799a and a6794a ); a6803a <=( (not A169) and (not A170) ); a6807a <=( (not A166) and A167 ); a6808a <=( (not A168) and a6807a ); a6809a <=( a6808a and a6803a ); a6813a <=( (not A298) and (not A269) ); a6814a <=( (not A267) and a6813a ); a6818a <=( A301 and A300 ); a6819a <=( A299 and a6818a ); a6820a <=( a6819a and a6814a ); a6823a <=( (not A169) and (not A170) ); a6827a <=( (not A166) and A167 ); a6828a <=( (not A168) and a6827a ); a6829a <=( a6828a and a6823a ); a6833a <=( (not A298) and (not A269) ); a6834a <=( (not A267) and a6833a ); a6838a <=( (not A302) and A300 ); a6839a <=( A299 and a6838a ); a6840a <=( a6839a and a6834a ); a6843a <=( (not A169) and (not A170) ); a6847a <=( (not A166) and A167 ); a6848a <=( (not A168) and a6847a ); a6849a <=( a6848a and a6843a ); a6853a <=( A298 and A266 ); a6854a <=( A265 and a6853a ); a6858a <=( A301 and A300 ); a6859a <=( (not A299) and a6858a ); a6860a <=( a6859a and a6854a ); a6863a <=( (not A169) and (not A170) ); a6867a <=( (not A166) and A167 ); a6868a <=( (not A168) and a6867a ); a6869a <=( a6868a and a6863a ); a6873a <=( A298 and A266 ); a6874a <=( A265 and a6873a ); a6878a <=( (not A302) and A300 ); a6879a <=( (not A299) and a6878a ); a6880a <=( a6879a and a6874a ); a6883a <=( (not A169) and (not A170) ); a6887a <=( (not A166) and A167 ); a6888a <=( (not A168) and a6887a ); a6889a <=( a6888a and a6883a ); a6893a <=( (not A298) and A266 ); a6894a <=( A265 and a6893a ); a6898a <=( A301 and A300 ); a6899a <=( A299 and a6898a ); a6900a <=( a6899a and a6894a ); a6903a <=( (not A169) and (not A170) ); a6907a <=( (not A166) and A167 ); a6908a <=( (not A168) and a6907a ); a6909a <=( a6908a and a6903a ); a6913a <=( (not A298) and A266 ); a6914a <=( A265 and a6913a ); a6918a <=( (not A302) and A300 ); a6919a <=( A299 and a6918a ); a6920a <=( a6919a and a6914a ); a6923a <=( (not A169) and (not A170) ); a6927a <=( (not A166) and A167 ); a6928a <=( (not A168) and a6927a ); a6929a <=( a6928a and a6923a ); a6933a <=( A267 and A266 ); a6934a <=( (not A265) and a6933a ); a6938a <=( A301 and (not A300) ); a6939a <=( A268 and a6938a ); a6940a <=( a6939a and a6934a ); a6943a <=( (not A169) and (not A170) ); a6947a <=( (not A166) and A167 ); a6948a <=( (not A168) and a6947a ); a6949a <=( a6948a and a6943a ); a6953a <=( A267 and A266 ); a6954a <=( (not A265) and a6953a ); a6958a <=( (not A302) and (not A300) ); a6959a <=( A268 and a6958a ); a6960a <=( a6959a and a6954a ); a6963a <=( (not A169) and (not A170) ); a6967a <=( (not A166) and A167 ); a6968a <=( (not A168) and a6967a ); a6969a <=( a6968a and a6963a ); a6973a <=( A267 and A266 ); a6974a <=( (not A265) and a6973a ); a6978a <=( A299 and A298 ); a6979a <=( A268 and a6978a ); a6980a <=( a6979a and a6974a ); a6983a <=( (not A169) and (not A170) ); a6987a <=( (not A166) and A167 ); a6988a <=( (not A168) and a6987a ); a6989a <=( a6988a and a6983a ); a6993a <=( A267 and A266 ); a6994a <=( (not A265) and a6993a ); a6998a <=( (not A299) and (not A298) ); a6999a <=( A268 and a6998a ); a7000a <=( a6999a and a6994a ); a7003a <=( (not A169) and (not A170) ); a7007a <=( (not A166) and A167 ); a7008a <=( (not A168) and a7007a ); a7009a <=( a7008a and a7003a ); a7013a <=( A267 and A266 ); a7014a <=( (not A265) and a7013a ); a7018a <=( A301 and (not A300) ); a7019a <=( (not A269) and a7018a ); a7020a <=( a7019a and a7014a ); a7023a <=( (not A169) and (not A170) ); a7027a <=( (not A166) and A167 ); a7028a <=( (not A168) and a7027a ); a7029a <=( a7028a and a7023a ); a7033a <=( A267 and A266 ); a7034a <=( (not A265) and a7033a ); a7038a <=( (not A302) and (not A300) ); a7039a <=( (not A269) and a7038a ); a7040a <=( a7039a and a7034a ); a7043a <=( (not A169) and (not A170) ); a7047a <=( (not A166) and A167 ); a7048a <=( (not A168) and a7047a ); a7049a <=( a7048a and a7043a ); a7053a <=( A267 and A266 ); a7054a <=( (not A265) and a7053a ); a7058a <=( A299 and A298 ); a7059a <=( (not A269) and a7058a ); a7060a <=( a7059a and a7054a ); a7063a <=( (not A169) and (not A170) ); a7067a <=( (not A166) and A167 ); a7068a <=( (not A168) and a7067a ); a7069a <=( a7068a and a7063a ); a7073a <=( A267 and A266 ); a7074a <=( (not A265) and a7073a ); a7078a <=( (not A299) and (not A298) ); a7079a <=( (not A269) and a7078a ); a7080a <=( a7079a and a7074a ); a7083a <=( (not A169) and (not A170) ); a7087a <=( (not A166) and A167 ); a7088a <=( (not A168) and a7087a ); a7089a <=( a7088a and a7083a ); a7093a <=( A267 and (not A266) ); a7094a <=( A265 and a7093a ); a7098a <=( A301 and (not A300) ); a7099a <=( A268 and a7098a ); a7100a <=( a7099a and a7094a ); a7103a <=( (not A169) and (not A170) ); a7107a <=( (not A166) and A167 ); a7108a <=( (not A168) and a7107a ); a7109a <=( a7108a and a7103a ); a7113a <=( A267 and (not A266) ); a7114a <=( A265 and a7113a ); a7118a <=( (not A302) and (not A300) ); a7119a <=( A268 and a7118a ); a7120a <=( a7119a and a7114a ); a7123a <=( (not A169) and (not A170) ); a7127a <=( (not A166) and A167 ); a7128a <=( (not A168) and a7127a ); a7129a <=( a7128a and a7123a ); a7133a <=( A267 and (not A266) ); a7134a <=( A265 and a7133a ); a7138a <=( A299 and A298 ); a7139a <=( A268 and a7138a ); a7140a <=( a7139a and a7134a ); a7143a <=( (not A169) and (not A170) ); a7147a <=( (not A166) and A167 ); a7148a <=( (not A168) and a7147a ); a7149a <=( a7148a and a7143a ); a7153a <=( A267 and (not A266) ); a7154a <=( A265 and a7153a ); a7158a <=( (not A299) and (not A298) ); a7159a <=( A268 and a7158a ); a7160a <=( a7159a and a7154a ); a7163a <=( (not A169) and (not A170) ); a7167a <=( (not A166) and A167 ); a7168a <=( (not A168) and a7167a ); a7169a <=( a7168a and a7163a ); a7173a <=( A267 and (not A266) ); a7174a <=( A265 and a7173a ); a7178a <=( A301 and (not A300) ); a7179a <=( (not A269) and a7178a ); a7180a <=( a7179a and a7174a ); a7183a <=( (not A169) and (not A170) ); a7187a <=( (not A166) and A167 ); a7188a <=( (not A168) and a7187a ); a7189a <=( a7188a and a7183a ); a7193a <=( A267 and (not A266) ); a7194a <=( A265 and a7193a ); a7198a <=( (not A302) and (not A300) ); a7199a <=( (not A269) and a7198a ); a7200a <=( a7199a and a7194a ); a7203a <=( (not A169) and (not A170) ); a7207a <=( (not A166) and A167 ); a7208a <=( (not A168) and a7207a ); a7209a <=( a7208a and a7203a ); a7213a <=( A267 and (not A266) ); a7214a <=( A265 and a7213a ); a7218a <=( A299 and A298 ); a7219a <=( (not A269) and a7218a ); a7220a <=( a7219a and a7214a ); a7223a <=( (not A169) and (not A170) ); a7227a <=( (not A166) and A167 ); a7228a <=( (not A168) and a7227a ); a7229a <=( a7228a and a7223a ); a7233a <=( A267 and (not A266) ); a7234a <=( A265 and a7233a ); a7238a <=( (not A299) and (not A298) ); a7239a <=( (not A269) and a7238a ); a7240a <=( a7239a and a7234a ); a7243a <=( (not A169) and (not A170) ); a7247a <=( (not A166) and A167 ); a7248a <=( (not A168) and a7247a ); a7249a <=( a7248a and a7243a ); a7253a <=( A298 and (not A266) ); a7254a <=( (not A265) and a7253a ); a7258a <=( A301 and A300 ); a7259a <=( (not A299) and a7258a ); a7260a <=( a7259a and a7254a ); a7263a <=( (not A169) and (not A170) ); a7267a <=( (not A166) and A167 ); a7268a <=( (not A168) and a7267a ); a7269a <=( a7268a and a7263a ); a7273a <=( A298 and (not A266) ); a7274a <=( (not A265) and a7273a ); a7278a <=( (not A302) and A300 ); a7279a <=( (not A299) and a7278a ); a7280a <=( a7279a and a7274a ); a7283a <=( (not A169) and (not A170) ); a7287a <=( (not A166) and A167 ); a7288a <=( (not A168) and a7287a ); a7289a <=( a7288a and a7283a ); a7293a <=( (not A298) and (not A266) ); a7294a <=( (not A265) and a7293a ); a7298a <=( A301 and A300 ); a7299a <=( A299 and a7298a ); a7300a <=( a7299a and a7294a ); a7303a <=( (not A169) and (not A170) ); a7307a <=( (not A166) and A167 ); a7308a <=( (not A168) and a7307a ); a7309a <=( a7308a and a7303a ); a7313a <=( (not A298) and (not A266) ); a7314a <=( (not A265) and a7313a ); a7318a <=( (not A302) and A300 ); a7319a <=( A299 and a7318a ); a7320a <=( a7319a and a7314a ); a7323a <=( (not A169) and (not A170) ); a7327a <=( A166 and (not A167) ); a7328a <=( (not A168) and a7327a ); a7329a <=( a7328a and a7323a ); a7333a <=( A298 and A268 ); a7334a <=( (not A267) and a7333a ); a7338a <=( A301 and A300 ); a7339a <=( (not A299) and a7338a ); a7340a <=( a7339a and a7334a ); a7343a <=( (not A169) and (not A170) ); a7347a <=( A166 and (not A167) ); a7348a <=( (not A168) and a7347a ); a7349a <=( a7348a and a7343a ); a7353a <=( A298 and A268 ); a7354a <=( (not A267) and a7353a ); a7358a <=( (not A302) and A300 ); a7359a <=( (not A299) and a7358a ); a7360a <=( a7359a and a7354a ); a7363a <=( (not A169) and (not A170) ); a7367a <=( A166 and (not A167) ); a7368a <=( (not A168) and a7367a ); a7369a <=( a7368a and a7363a ); a7373a <=( (not A298) and A268 ); a7374a <=( (not A267) and a7373a ); a7378a <=( A301 and A300 ); a7379a <=( A299 and a7378a ); a7380a <=( a7379a and a7374a ); a7383a <=( (not A169) and (not A170) ); a7387a <=( A166 and (not A167) ); a7388a <=( (not A168) and a7387a ); a7389a <=( a7388a and a7383a ); a7393a <=( (not A298) and A268 ); a7394a <=( (not A267) and a7393a ); a7398a <=( (not A302) and A300 ); a7399a <=( A299 and a7398a ); a7400a <=( a7399a and a7394a ); a7403a <=( (not A169) and (not A170) ); a7407a <=( A166 and (not A167) ); a7408a <=( (not A168) and a7407a ); a7409a <=( a7408a and a7403a ); a7413a <=( A298 and (not A269) ); a7414a <=( (not A267) and a7413a ); a7418a <=( A301 and A300 ); a7419a <=( (not A299) and a7418a ); a7420a <=( a7419a and a7414a ); a7423a <=( (not A169) and (not A170) ); a7427a <=( A166 and (not A167) ); a7428a <=( (not A168) and a7427a ); a7429a <=( a7428a and a7423a ); a7433a <=( A298 and (not A269) ); a7434a <=( (not A267) and a7433a ); a7438a <=( (not A302) and A300 ); a7439a <=( (not A299) and a7438a ); a7440a <=( a7439a and a7434a ); a7443a <=( (not A169) and (not A170) ); a7447a <=( A166 and (not A167) ); a7448a <=( (not A168) and a7447a ); a7449a <=( a7448a and a7443a ); a7453a <=( (not A298) and (not A269) ); a7454a <=( (not A267) and a7453a ); a7458a <=( A301 and A300 ); a7459a <=( A299 and a7458a ); a7460a <=( a7459a and a7454a ); a7463a <=( (not A169) and (not A170) ); a7467a <=( A166 and (not A167) ); a7468a <=( (not A168) and a7467a ); a7469a <=( a7468a and a7463a ); a7473a <=( (not A298) and (not A269) ); a7474a <=( (not A267) and a7473a ); a7478a <=( (not A302) and A300 ); a7479a <=( A299 and a7478a ); a7480a <=( a7479a and a7474a ); a7483a <=( (not A169) and (not A170) ); a7487a <=( A166 and (not A167) ); a7488a <=( (not A168) and a7487a ); a7489a <=( a7488a and a7483a ); a7493a <=( A298 and A266 ); a7494a <=( A265 and a7493a ); a7498a <=( A301 and A300 ); a7499a <=( (not A299) and a7498a ); a7500a <=( a7499a and a7494a ); a7503a <=( (not A169) and (not A170) ); a7507a <=( A166 and (not A167) ); a7508a <=( (not A168) and a7507a ); a7509a <=( a7508a and a7503a ); a7513a <=( A298 and A266 ); a7514a <=( A265 and a7513a ); a7518a <=( (not A302) and A300 ); a7519a <=( (not A299) and a7518a ); a7520a <=( a7519a and a7514a ); a7523a <=( (not A169) and (not A170) ); a7527a <=( A166 and (not A167) ); a7528a <=( (not A168) and a7527a ); a7529a <=( a7528a and a7523a ); a7533a <=( (not A298) and A266 ); a7534a <=( A265 and a7533a ); a7538a <=( A301 and A300 ); a7539a <=( A299 and a7538a ); a7540a <=( a7539a and a7534a ); a7543a <=( (not A169) and (not A170) ); a7547a <=( A166 and (not A167) ); a7548a <=( (not A168) and a7547a ); a7549a <=( a7548a and a7543a ); a7553a <=( (not A298) and A266 ); a7554a <=( A265 and a7553a ); a7558a <=( (not A302) and A300 ); a7559a <=( A299 and a7558a ); a7560a <=( a7559a and a7554a ); a7563a <=( (not A169) and (not A170) ); a7567a <=( A166 and (not A167) ); a7568a <=( (not A168) and a7567a ); a7569a <=( a7568a and a7563a ); a7573a <=( A267 and A266 ); a7574a <=( (not A265) and a7573a ); a7578a <=( A301 and (not A300) ); a7579a <=( A268 and a7578a ); a7580a <=( a7579a and a7574a ); a7583a <=( (not A169) and (not A170) ); a7587a <=( A166 and (not A167) ); a7588a <=( (not A168) and a7587a ); a7589a <=( a7588a and a7583a ); a7593a <=( A267 and A266 ); a7594a <=( (not A265) and a7593a ); a7598a <=( (not A302) and (not A300) ); a7599a <=( A268 and a7598a ); a7600a <=( a7599a and a7594a ); a7603a <=( (not A169) and (not A170) ); a7607a <=( A166 and (not A167) ); a7608a <=( (not A168) and a7607a ); a7609a <=( a7608a and a7603a ); a7613a <=( A267 and A266 ); a7614a <=( (not A265) and a7613a ); a7618a <=( A299 and A298 ); a7619a <=( A268 and a7618a ); a7620a <=( a7619a and a7614a ); a7623a <=( (not A169) and (not A170) ); a7627a <=( A166 and (not A167) ); a7628a <=( (not A168) and a7627a ); a7629a <=( a7628a and a7623a ); a7633a <=( A267 and A266 ); a7634a <=( (not A265) and a7633a ); a7638a <=( (not A299) and (not A298) ); a7639a <=( A268 and a7638a ); a7640a <=( a7639a and a7634a ); a7643a <=( (not A169) and (not A170) ); a7647a <=( A166 and (not A167) ); a7648a <=( (not A168) and a7647a ); a7649a <=( a7648a and a7643a ); a7653a <=( A267 and A266 ); a7654a <=( (not A265) and a7653a ); a7658a <=( A301 and (not A300) ); a7659a <=( (not A269) and a7658a ); a7660a <=( a7659a and a7654a ); a7663a <=( (not A169) and (not A170) ); a7667a <=( A166 and (not A167) ); a7668a <=( (not A168) and a7667a ); a7669a <=( a7668a and a7663a ); a7673a <=( A267 and A266 ); a7674a <=( (not A265) and a7673a ); a7678a <=( (not A302) and (not A300) ); a7679a <=( (not A269) and a7678a ); a7680a <=( a7679a and a7674a ); a7683a <=( (not A169) and (not A170) ); a7687a <=( A166 and (not A167) ); a7688a <=( (not A168) and a7687a ); a7689a <=( a7688a and a7683a ); a7693a <=( A267 and A266 ); a7694a <=( (not A265) and a7693a ); a7698a <=( A299 and A298 ); a7699a <=( (not A269) and a7698a ); a7700a <=( a7699a and a7694a ); a7703a <=( (not A169) and (not A170) ); a7707a <=( A166 and (not A167) ); a7708a <=( (not A168) and a7707a ); a7709a <=( a7708a and a7703a ); a7713a <=( A267 and A266 ); a7714a <=( (not A265) and a7713a ); a7718a <=( (not A299) and (not A298) ); a7719a <=( (not A269) and a7718a ); a7720a <=( a7719a and a7714a ); a7723a <=( (not A169) and (not A170) ); a7727a <=( A166 and (not A167) ); a7728a <=( (not A168) and a7727a ); a7729a <=( a7728a and a7723a ); a7733a <=( A267 and (not A266) ); a7734a <=( A265 and a7733a ); a7738a <=( A301 and (not A300) ); a7739a <=( A268 and a7738a ); a7740a <=( a7739a and a7734a ); a7743a <=( (not A169) and (not A170) ); a7747a <=( A166 and (not A167) ); a7748a <=( (not A168) and a7747a ); a7749a <=( a7748a and a7743a ); a7753a <=( A267 and (not A266) ); a7754a <=( A265 and a7753a ); a7758a <=( (not A302) and (not A300) ); a7759a <=( A268 and a7758a ); a7760a <=( a7759a and a7754a ); a7763a <=( (not A169) and (not A170) ); a7767a <=( A166 and (not A167) ); a7768a <=( (not A168) and a7767a ); a7769a <=( a7768a and a7763a ); a7773a <=( A267 and (not A266) ); a7774a <=( A265 and a7773a ); a7778a <=( A299 and A298 ); a7779a <=( A268 and a7778a ); a7780a <=( a7779a and a7774a ); a7783a <=( (not A169) and (not A170) ); a7787a <=( A166 and (not A167) ); a7788a <=( (not A168) and a7787a ); a7789a <=( a7788a and a7783a ); a7793a <=( A267 and (not A266) ); a7794a <=( A265 and a7793a ); a7798a <=( (not A299) and (not A298) ); a7799a <=( A268 and a7798a ); a7800a <=( a7799a and a7794a ); a7803a <=( (not A169) and (not A170) ); a7807a <=( A166 and (not A167) ); a7808a <=( (not A168) and a7807a ); a7809a <=( a7808a and a7803a ); a7813a <=( A267 and (not A266) ); a7814a <=( A265 and a7813a ); a7818a <=( A301 and (not A300) ); a7819a <=( (not A269) and a7818a ); a7820a <=( a7819a and a7814a ); a7823a <=( (not A169) and (not A170) ); a7827a <=( A166 and (not A167) ); a7828a <=( (not A168) and a7827a ); a7829a <=( a7828a and a7823a ); a7833a <=( A267 and (not A266) ); a7834a <=( A265 and a7833a ); a7838a <=( (not A302) and (not A300) ); a7839a <=( (not A269) and a7838a ); a7840a <=( a7839a and a7834a ); a7843a <=( (not A169) and (not A170) ); a7847a <=( A166 and (not A167) ); a7848a <=( (not A168) and a7847a ); a7849a <=( a7848a and a7843a ); a7853a <=( A267 and (not A266) ); a7854a <=( A265 and a7853a ); a7858a <=( A299 and A298 ); a7859a <=( (not A269) and a7858a ); a7860a <=( a7859a and a7854a ); a7863a <=( (not A169) and (not A170) ); a7867a <=( A166 and (not A167) ); a7868a <=( (not A168) and a7867a ); a7869a <=( a7868a and a7863a ); a7873a <=( A267 and (not A266) ); a7874a <=( A265 and a7873a ); a7878a <=( (not A299) and (not A298) ); a7879a <=( (not A269) and a7878a ); a7880a <=( a7879a and a7874a ); a7883a <=( (not A169) and (not A170) ); a7887a <=( A166 and (not A167) ); a7888a <=( (not A168) and a7887a ); a7889a <=( a7888a and a7883a ); a7893a <=( A298 and (not A266) ); a7894a <=( (not A265) and a7893a ); a7898a <=( A301 and A300 ); a7899a <=( (not A299) and a7898a ); a7900a <=( a7899a and a7894a ); a7903a <=( (not A169) and (not A170) ); a7907a <=( A166 and (not A167) ); a7908a <=( (not A168) and a7907a ); a7909a <=( a7908a and a7903a ); a7913a <=( A298 and (not A266) ); a7914a <=( (not A265) and a7913a ); a7918a <=( (not A302) and A300 ); a7919a <=( (not A299) and a7918a ); a7920a <=( a7919a and a7914a ); a7923a <=( (not A169) and (not A170) ); a7927a <=( A166 and (not A167) ); a7928a <=( (not A168) and a7927a ); a7929a <=( a7928a and a7923a ); a7933a <=( (not A298) and (not A266) ); a7934a <=( (not A265) and a7933a ); a7938a <=( A301 and A300 ); a7939a <=( A299 and a7938a ); a7940a <=( a7939a and a7934a ); a7943a <=( (not A169) and (not A170) ); a7947a <=( A166 and (not A167) ); a7948a <=( (not A168) and a7947a ); a7949a <=( a7948a and a7943a ); a7953a <=( (not A298) and (not A266) ); a7954a <=( (not A265) and a7953a ); a7958a <=( (not A302) and A300 ); a7959a <=( A299 and a7958a ); a7960a <=( a7959a and a7954a ); a7964a <=( A167 and A168 ); a7965a <=( A170 and a7964a ); a7969a <=( (not A268) and A267 ); a7970a <=( (not A166) and a7969a ); a7971a <=( a7970a and a7965a ); a7975a <=( (not A299) and A298 ); a7976a <=( A269 and a7975a ); a7980a <=( A302 and (not A301) ); a7981a <=( (not A300) and a7980a ); a7982a <=( a7981a and a7976a ); a7986a <=( A167 and A168 ); a7987a <=( A170 and a7986a ); a7991a <=( (not A268) and A267 ); a7992a <=( (not A166) and a7991a ); a7993a <=( a7992a and a7987a ); a7997a <=( A299 and (not A298) ); a7998a <=( A269 and a7997a ); a8002a <=( A302 and (not A301) ); a8003a <=( (not A300) and a8002a ); a8004a <=( a8003a and a7998a ); a8008a <=( A167 and A168 ); a8009a <=( A170 and a8008a ); a8013a <=( A266 and (not A265) ); a8014a <=( (not A166) and a8013a ); a8015a <=( a8014a and a8009a ); a8019a <=( A269 and (not A268) ); a8020a <=( (not A267) and a8019a ); a8024a <=( A302 and (not A301) ); a8025a <=( A300 and a8024a ); a8026a <=( a8025a and a8020a ); a8030a <=( A167 and A168 ); a8031a <=( A170 and a8030a ); a8035a <=( (not A266) and A265 ); a8036a <=( (not A166) and a8035a ); a8037a <=( a8036a and a8031a ); a8041a <=( A269 and (not A268) ); a8042a <=( (not A267) and a8041a ); a8046a <=( A302 and (not A301) ); a8047a <=( A300 and a8046a ); a8048a <=( a8047a and a8042a ); a8052a <=( (not A167) and A168 ); a8053a <=( A170 and a8052a ); a8057a <=( (not A268) and A267 ); a8058a <=( A166 and a8057a ); a8059a <=( a8058a and a8053a ); a8063a <=( (not A299) and A298 ); a8064a <=( A269 and a8063a ); a8068a <=( A302 and (not A301) ); a8069a <=( (not A300) and a8068a ); a8070a <=( a8069a and a8064a ); a8074a <=( (not A167) and A168 ); a8075a <=( A170 and a8074a ); a8079a <=( (not A268) and A267 ); a8080a <=( A166 and a8079a ); a8081a <=( a8080a and a8075a ); a8085a <=( A299 and (not A298) ); a8086a <=( A269 and a8085a ); a8090a <=( A302 and (not A301) ); a8091a <=( (not A300) and a8090a ); a8092a <=( a8091a and a8086a ); a8096a <=( (not A167) and A168 ); a8097a <=( A170 and a8096a ); a8101a <=( A266 and (not A265) ); a8102a <=( A166 and a8101a ); a8103a <=( a8102a and a8097a ); a8107a <=( A269 and (not A268) ); a8108a <=( (not A267) and a8107a ); a8112a <=( A302 and (not A301) ); a8113a <=( A300 and a8112a ); a8114a <=( a8113a and a8108a ); a8118a <=( (not A167) and A168 ); a8119a <=( A170 and a8118a ); a8123a <=( (not A266) and A265 ); a8124a <=( A166 and a8123a ); a8125a <=( a8124a and a8119a ); a8129a <=( A269 and (not A268) ); a8130a <=( (not A267) and a8129a ); a8134a <=( A302 and (not A301) ); a8135a <=( A300 and a8134a ); a8136a <=( a8135a and a8130a ); a8140a <=( A167 and A168 ); a8141a <=( A169 and a8140a ); a8145a <=( (not A268) and A267 ); a8146a <=( (not A166) and a8145a ); a8147a <=( a8146a and a8141a ); a8151a <=( (not A299) and A298 ); a8152a <=( A269 and a8151a ); a8156a <=( A302 and (not A301) ); a8157a <=( (not A300) and a8156a ); a8158a <=( a8157a and a8152a ); a8162a <=( A167 and A168 ); a8163a <=( A169 and a8162a ); a8167a <=( (not A268) and A267 ); a8168a <=( (not A166) and a8167a ); a8169a <=( a8168a and a8163a ); a8173a <=( A299 and (not A298) ); a8174a <=( A269 and a8173a ); a8178a <=( A302 and (not A301) ); a8179a <=( (not A300) and a8178a ); a8180a <=( a8179a and a8174a ); a8184a <=( A167 and A168 ); a8185a <=( A169 and a8184a ); a8189a <=( A266 and (not A265) ); a8190a <=( (not A166) and a8189a ); a8191a <=( a8190a and a8185a ); a8195a <=( A269 and (not A268) ); a8196a <=( (not A267) and a8195a ); a8200a <=( A302 and (not A301) ); a8201a <=( A300 and a8200a ); a8202a <=( a8201a and a8196a ); a8206a <=( A167 and A168 ); a8207a <=( A169 and a8206a ); a8211a <=( (not A266) and A265 ); a8212a <=( (not A166) and a8211a ); a8213a <=( a8212a and a8207a ); a8217a <=( A269 and (not A268) ); a8218a <=( (not A267) and a8217a ); a8222a <=( A302 and (not A301) ); a8223a <=( A300 and a8222a ); a8224a <=( a8223a and a8218a ); a8228a <=( (not A167) and A168 ); a8229a <=( A169 and a8228a ); a8233a <=( (not A268) and A267 ); a8234a <=( A166 and a8233a ); a8235a <=( a8234a and a8229a ); a8239a <=( (not A299) and A298 ); a8240a <=( A269 and a8239a ); a8244a <=( A302 and (not A301) ); a8245a <=( (not A300) and a8244a ); a8246a <=( a8245a and a8240a ); a8250a <=( (not A167) and A168 ); a8251a <=( A169 and a8250a ); a8255a <=( (not A268) and A267 ); a8256a <=( A166 and a8255a ); a8257a <=( a8256a and a8251a ); a8261a <=( A299 and (not A298) ); a8262a <=( A269 and a8261a ); a8266a <=( A302 and (not A301) ); a8267a <=( (not A300) and a8266a ); a8268a <=( a8267a and a8262a ); a8272a <=( (not A167) and A168 ); a8273a <=( A169 and a8272a ); a8277a <=( A266 and (not A265) ); a8278a <=( A166 and a8277a ); a8279a <=( a8278a and a8273a ); a8283a <=( A269 and (not A268) ); a8284a <=( (not A267) and a8283a ); a8288a <=( A302 and (not A301) ); a8289a <=( A300 and a8288a ); a8290a <=( a8289a and a8284a ); a8294a <=( (not A167) and A168 ); a8295a <=( A169 and a8294a ); a8299a <=( (not A266) and A265 ); a8300a <=( A166 and a8299a ); a8301a <=( a8300a and a8295a ); a8305a <=( A269 and (not A268) ); a8306a <=( (not A267) and a8305a ); a8310a <=( A302 and (not A301) ); a8311a <=( A300 and a8310a ); a8312a <=( a8311a and a8306a ); a8316a <=( (not A168) and (not A169) ); a8317a <=( (not A170) and a8316a ); a8321a <=( A267 and (not A166) ); a8322a <=( A167 and a8321a ); a8323a <=( a8322a and a8317a ); a8327a <=( A298 and A269 ); a8328a <=( (not A268) and a8327a ); a8332a <=( A301 and A300 ); a8333a <=( (not A299) and a8332a ); a8334a <=( a8333a and a8328a ); a8338a <=( (not A168) and (not A169) ); a8339a <=( (not A170) and a8338a ); a8343a <=( A267 and (not A166) ); a8344a <=( A167 and a8343a ); a8345a <=( a8344a and a8339a ); a8349a <=( A298 and A269 ); a8350a <=( (not A268) and a8349a ); a8354a <=( (not A302) and A300 ); a8355a <=( (not A299) and a8354a ); a8356a <=( a8355a and a8350a ); a8360a <=( (not A168) and (not A169) ); a8361a <=( (not A170) and a8360a ); a8365a <=( A267 and (not A166) ); a8366a <=( A167 and a8365a ); a8367a <=( a8366a and a8361a ); a8371a <=( (not A298) and A269 ); a8372a <=( (not A268) and a8371a ); a8376a <=( A301 and A300 ); a8377a <=( A299 and a8376a ); a8378a <=( a8377a and a8372a ); a8382a <=( (not A168) and (not A169) ); a8383a <=( (not A170) and a8382a ); a8387a <=( A267 and (not A166) ); a8388a <=( A167 and a8387a ); a8389a <=( a8388a and a8383a ); a8393a <=( (not A298) and A269 ); a8394a <=( (not A268) and a8393a ); a8398a <=( (not A302) and A300 ); a8399a <=( A299 and a8398a ); a8400a <=( a8399a and a8394a ); a8404a <=( (not A168) and (not A169) ); a8405a <=( (not A170) and a8404a ); a8409a <=( (not A267) and (not A166) ); a8410a <=( A167 and a8409a ); a8411a <=( a8410a and a8405a ); a8415a <=( (not A299) and A298 ); a8416a <=( A268 and a8415a ); a8420a <=( A302 and (not A301) ); a8421a <=( (not A300) and a8420a ); a8422a <=( a8421a and a8416a ); a8426a <=( (not A168) and (not A169) ); a8427a <=( (not A170) and a8426a ); a8431a <=( (not A267) and (not A166) ); a8432a <=( A167 and a8431a ); a8433a <=( a8432a and a8427a ); a8437a <=( A299 and (not A298) ); a8438a <=( A268 and a8437a ); a8442a <=( A302 and (not A301) ); a8443a <=( (not A300) and a8442a ); a8444a <=( a8443a and a8438a ); a8448a <=( (not A168) and (not A169) ); a8449a <=( (not A170) and a8448a ); a8453a <=( (not A267) and (not A166) ); a8454a <=( A167 and a8453a ); a8455a <=( a8454a and a8449a ); a8459a <=( (not A299) and A298 ); a8460a <=( (not A269) and a8459a ); a8464a <=( A302 and (not A301) ); a8465a <=( (not A300) and a8464a ); a8466a <=( a8465a and a8460a ); a8470a <=( (not A168) and (not A169) ); a8471a <=( (not A170) and a8470a ); a8475a <=( (not A267) and (not A166) ); a8476a <=( A167 and a8475a ); a8477a <=( a8476a and a8471a ); a8481a <=( A299 and (not A298) ); a8482a <=( (not A269) and a8481a ); a8486a <=( A302 and (not A301) ); a8487a <=( (not A300) and a8486a ); a8488a <=( a8487a and a8482a ); a8492a <=( (not A168) and (not A169) ); a8493a <=( (not A170) and a8492a ); a8497a <=( A265 and (not A166) ); a8498a <=( A167 and a8497a ); a8499a <=( a8498a and a8493a ); a8503a <=( (not A299) and A298 ); a8504a <=( A266 and a8503a ); a8508a <=( A302 and (not A301) ); a8509a <=( (not A300) and a8508a ); a8510a <=( a8509a and a8504a ); a8514a <=( (not A168) and (not A169) ); a8515a <=( (not A170) and a8514a ); a8519a <=( A265 and (not A166) ); a8520a <=( A167 and a8519a ); a8521a <=( a8520a and a8515a ); a8525a <=( A299 and (not A298) ); a8526a <=( A266 and a8525a ); a8530a <=( A302 and (not A301) ); a8531a <=( (not A300) and a8530a ); a8532a <=( a8531a and a8526a ); a8536a <=( (not A168) and (not A169) ); a8537a <=( (not A170) and a8536a ); a8541a <=( (not A265) and (not A166) ); a8542a <=( A167 and a8541a ); a8543a <=( a8542a and a8537a ); a8547a <=( A268 and A267 ); a8548a <=( A266 and a8547a ); a8552a <=( A302 and (not A301) ); a8553a <=( A300 and a8552a ); a8554a <=( a8553a and a8548a ); a8558a <=( (not A168) and (not A169) ); a8559a <=( (not A170) and a8558a ); a8563a <=( (not A265) and (not A166) ); a8564a <=( A167 and a8563a ); a8565a <=( a8564a and a8559a ); a8569a <=( (not A269) and A267 ); a8570a <=( A266 and a8569a ); a8574a <=( A302 and (not A301) ); a8575a <=( A300 and a8574a ); a8576a <=( a8575a and a8570a ); a8580a <=( (not A168) and (not A169) ); a8581a <=( (not A170) and a8580a ); a8585a <=( (not A265) and (not A166) ); a8586a <=( A167 and a8585a ); a8587a <=( a8586a and a8581a ); a8591a <=( (not A268) and (not A267) ); a8592a <=( A266 and a8591a ); a8596a <=( A301 and (not A300) ); a8597a <=( A269 and a8596a ); a8598a <=( a8597a and a8592a ); a8602a <=( (not A168) and (not A169) ); a8603a <=( (not A170) and a8602a ); a8607a <=( (not A265) and (not A166) ); a8608a <=( A167 and a8607a ); a8609a <=( a8608a and a8603a ); a8613a <=( (not A268) and (not A267) ); a8614a <=( A266 and a8613a ); a8618a <=( (not A302) and (not A300) ); a8619a <=( A269 and a8618a ); a8620a <=( a8619a and a8614a ); a8624a <=( (not A168) and (not A169) ); a8625a <=( (not A170) and a8624a ); a8629a <=( (not A265) and (not A166) ); a8630a <=( A167 and a8629a ); a8631a <=( a8630a and a8625a ); a8635a <=( (not A268) and (not A267) ); a8636a <=( A266 and a8635a ); a8640a <=( A299 and A298 ); a8641a <=( A269 and a8640a ); a8642a <=( a8641a and a8636a ); a8646a <=( (not A168) and (not A169) ); a8647a <=( (not A170) and a8646a ); a8651a <=( (not A265) and (not A166) ); a8652a <=( A167 and a8651a ); a8653a <=( a8652a and a8647a ); a8657a <=( (not A268) and (not A267) ); a8658a <=( A266 and a8657a ); a8662a <=( (not A299) and (not A298) ); a8663a <=( A269 and a8662a ); a8664a <=( a8663a and a8658a ); a8668a <=( (not A168) and (not A169) ); a8669a <=( (not A170) and a8668a ); a8673a <=( A265 and (not A166) ); a8674a <=( A167 and a8673a ); a8675a <=( a8674a and a8669a ); a8679a <=( A268 and A267 ); a8680a <=( (not A266) and a8679a ); a8684a <=( A302 and (not A301) ); a8685a <=( A300 and a8684a ); a8686a <=( a8685a and a8680a ); a8690a <=( (not A168) and (not A169) ); a8691a <=( (not A170) and a8690a ); a8695a <=( A265 and (not A166) ); a8696a <=( A167 and a8695a ); a8697a <=( a8696a and a8691a ); a8701a <=( (not A269) and A267 ); a8702a <=( (not A266) and a8701a ); a8706a <=( A302 and (not A301) ); a8707a <=( A300 and a8706a ); a8708a <=( a8707a and a8702a ); a8712a <=( (not A168) and (not A169) ); a8713a <=( (not A170) and a8712a ); a8717a <=( A265 and (not A166) ); a8718a <=( A167 and a8717a ); a8719a <=( a8718a and a8713a ); a8723a <=( (not A268) and (not A267) ); a8724a <=( (not A266) and a8723a ); a8728a <=( A301 and (not A300) ); a8729a <=( A269 and a8728a ); a8730a <=( a8729a and a8724a ); a8734a <=( (not A168) and (not A169) ); a8735a <=( (not A170) and a8734a ); a8739a <=( A265 and (not A166) ); a8740a <=( A167 and a8739a ); a8741a <=( a8740a and a8735a ); a8745a <=( (not A268) and (not A267) ); a8746a <=( (not A266) and a8745a ); a8750a <=( (not A302) and (not A300) ); a8751a <=( A269 and a8750a ); a8752a <=( a8751a and a8746a ); a8756a <=( (not A168) and (not A169) ); a8757a <=( (not A170) and a8756a ); a8761a <=( A265 and (not A166) ); a8762a <=( A167 and a8761a ); a8763a <=( a8762a and a8757a ); a8767a <=( (not A268) and (not A267) ); a8768a <=( (not A266) and a8767a ); a8772a <=( A299 and A298 ); a8773a <=( A269 and a8772a ); a8774a <=( a8773a and a8768a ); a8778a <=( (not A168) and (not A169) ); a8779a <=( (not A170) and a8778a ); a8783a <=( A265 and (not A166) ); a8784a <=( A167 and a8783a ); a8785a <=( a8784a and a8779a ); a8789a <=( (not A268) and (not A267) ); a8790a <=( (not A266) and a8789a ); a8794a <=( (not A299) and (not A298) ); a8795a <=( A269 and a8794a ); a8796a <=( a8795a and a8790a ); a8800a <=( (not A168) and (not A169) ); a8801a <=( (not A170) and a8800a ); a8805a <=( (not A265) and (not A166) ); a8806a <=( A167 and a8805a ); a8807a <=( a8806a and a8801a ); a8811a <=( (not A299) and A298 ); a8812a <=( (not A266) and a8811a ); a8816a <=( A302 and (not A301) ); a8817a <=( (not A300) and a8816a ); a8818a <=( a8817a and a8812a ); a8822a <=( (not A168) and (not A169) ); a8823a <=( (not A170) and a8822a ); a8827a <=( (not A265) and (not A166) ); a8828a <=( A167 and a8827a ); a8829a <=( a8828a and a8823a ); a8833a <=( A299 and (not A298) ); a8834a <=( (not A266) and a8833a ); a8838a <=( A302 and (not A301) ); a8839a <=( (not A300) and a8838a ); a8840a <=( a8839a and a8834a ); a8844a <=( (not A168) and (not A169) ); a8845a <=( (not A170) and a8844a ); a8849a <=( A267 and A166 ); a8850a <=( (not A167) and a8849a ); a8851a <=( a8850a and a8845a ); a8855a <=( A298 and A269 ); a8856a <=( (not A268) and a8855a ); a8860a <=( A301 and A300 ); a8861a <=( (not A299) and a8860a ); a8862a <=( a8861a and a8856a ); a8866a <=( (not A168) and (not A169) ); a8867a <=( (not A170) and a8866a ); a8871a <=( A267 and A166 ); a8872a <=( (not A167) and a8871a ); a8873a <=( a8872a and a8867a ); a8877a <=( A298 and A269 ); a8878a <=( (not A268) and a8877a ); a8882a <=( (not A302) and A300 ); a8883a <=( (not A299) and a8882a ); a8884a <=( a8883a and a8878a ); a8888a <=( (not A168) and (not A169) ); a8889a <=( (not A170) and a8888a ); a8893a <=( A267 and A166 ); a8894a <=( (not A167) and a8893a ); a8895a <=( a8894a and a8889a ); a8899a <=( (not A298) and A269 ); a8900a <=( (not A268) and a8899a ); a8904a <=( A301 and A300 ); a8905a <=( A299 and a8904a ); a8906a <=( a8905a and a8900a ); a8910a <=( (not A168) and (not A169) ); a8911a <=( (not A170) and a8910a ); a8915a <=( A267 and A166 ); a8916a <=( (not A167) and a8915a ); a8917a <=( a8916a and a8911a ); a8921a <=( (not A298) and A269 ); a8922a <=( (not A268) and a8921a ); a8926a <=( (not A302) and A300 ); a8927a <=( A299 and a8926a ); a8928a <=( a8927a and a8922a ); a8932a <=( (not A168) and (not A169) ); a8933a <=( (not A170) and a8932a ); a8937a <=( (not A267) and A166 ); a8938a <=( (not A167) and a8937a ); a8939a <=( a8938a and a8933a ); a8943a <=( (not A299) and A298 ); a8944a <=( A268 and a8943a ); a8948a <=( A302 and (not A301) ); a8949a <=( (not A300) and a8948a ); a8950a <=( a8949a and a8944a ); a8954a <=( (not A168) and (not A169) ); a8955a <=( (not A170) and a8954a ); a8959a <=( (not A267) and A166 ); a8960a <=( (not A167) and a8959a ); a8961a <=( a8960a and a8955a ); a8965a <=( A299 and (not A298) ); a8966a <=( A268 and a8965a ); a8970a <=( A302 and (not A301) ); a8971a <=( (not A300) and a8970a ); a8972a <=( a8971a and a8966a ); a8976a <=( (not A168) and (not A169) ); a8977a <=( (not A170) and a8976a ); a8981a <=( (not A267) and A166 ); a8982a <=( (not A167) and a8981a ); a8983a <=( a8982a and a8977a ); a8987a <=( (not A299) and A298 ); a8988a <=( (not A269) and a8987a ); a8992a <=( A302 and (not A301) ); a8993a <=( (not A300) and a8992a ); a8994a <=( a8993a and a8988a ); a8998a <=( (not A168) and (not A169) ); a8999a <=( (not A170) and a8998a ); a9003a <=( (not A267) and A166 ); a9004a <=( (not A167) and a9003a ); a9005a <=( a9004a and a8999a ); a9009a <=( A299 and (not A298) ); a9010a <=( (not A269) and a9009a ); a9014a <=( A302 and (not A301) ); a9015a <=( (not A300) and a9014a ); a9016a <=( a9015a and a9010a ); a9020a <=( (not A168) and (not A169) ); a9021a <=( (not A170) and a9020a ); a9025a <=( A265 and A166 ); a9026a <=( (not A167) and a9025a ); a9027a <=( a9026a and a9021a ); a9031a <=( (not A299) and A298 ); a9032a <=( A266 and a9031a ); a9036a <=( A302 and (not A301) ); a9037a <=( (not A300) and a9036a ); a9038a <=( a9037a and a9032a ); a9042a <=( (not A168) and (not A169) ); a9043a <=( (not A170) and a9042a ); a9047a <=( A265 and A166 ); a9048a <=( (not A167) and a9047a ); a9049a <=( a9048a and a9043a ); a9053a <=( A299 and (not A298) ); a9054a <=( A266 and a9053a ); a9058a <=( A302 and (not A301) ); a9059a <=( (not A300) and a9058a ); a9060a <=( a9059a and a9054a ); a9064a <=( (not A168) and (not A169) ); a9065a <=( (not A170) and a9064a ); a9069a <=( (not A265) and A166 ); a9070a <=( (not A167) and a9069a ); a9071a <=( a9070a and a9065a ); a9075a <=( A268 and A267 ); a9076a <=( A266 and a9075a ); a9080a <=( A302 and (not A301) ); a9081a <=( A300 and a9080a ); a9082a <=( a9081a and a9076a ); a9086a <=( (not A168) and (not A169) ); a9087a <=( (not A170) and a9086a ); a9091a <=( (not A265) and A166 ); a9092a <=( (not A167) and a9091a ); a9093a <=( a9092a and a9087a ); a9097a <=( (not A269) and A267 ); a9098a <=( A266 and a9097a ); a9102a <=( A302 and (not A301) ); a9103a <=( A300 and a9102a ); a9104a <=( a9103a and a9098a ); a9108a <=( (not A168) and (not A169) ); a9109a <=( (not A170) and a9108a ); a9113a <=( (not A265) and A166 ); a9114a <=( (not A167) and a9113a ); a9115a <=( a9114a and a9109a ); a9119a <=( (not A268) and (not A267) ); a9120a <=( A266 and a9119a ); a9124a <=( A301 and (not A300) ); a9125a <=( A269 and a9124a ); a9126a <=( a9125a and a9120a ); a9130a <=( (not A168) and (not A169) ); a9131a <=( (not A170) and a9130a ); a9135a <=( (not A265) and A166 ); a9136a <=( (not A167) and a9135a ); a9137a <=( a9136a and a9131a ); a9141a <=( (not A268) and (not A267) ); a9142a <=( A266 and a9141a ); a9146a <=( (not A302) and (not A300) ); a9147a <=( A269 and a9146a ); a9148a <=( a9147a and a9142a ); a9152a <=( (not A168) and (not A169) ); a9153a <=( (not A170) and a9152a ); a9157a <=( (not A265) and A166 ); a9158a <=( (not A167) and a9157a ); a9159a <=( a9158a and a9153a ); a9163a <=( (not A268) and (not A267) ); a9164a <=( A266 and a9163a ); a9168a <=( A299 and A298 ); a9169a <=( A269 and a9168a ); a9170a <=( a9169a and a9164a ); a9174a <=( (not A168) and (not A169) ); a9175a <=( (not A170) and a9174a ); a9179a <=( (not A265) and A166 ); a9180a <=( (not A167) and a9179a ); a9181a <=( a9180a and a9175a ); a9185a <=( (not A268) and (not A267) ); a9186a <=( A266 and a9185a ); a9190a <=( (not A299) and (not A298) ); a9191a <=( A269 and a9190a ); a9192a <=( a9191a and a9186a ); a9196a <=( (not A168) and (not A169) ); a9197a <=( (not A170) and a9196a ); a9201a <=( A265 and A166 ); a9202a <=( (not A167) and a9201a ); a9203a <=( a9202a and a9197a ); a9207a <=( A268 and A267 ); a9208a <=( (not A266) and a9207a ); a9212a <=( A302 and (not A301) ); a9213a <=( A300 and a9212a ); a9214a <=( a9213a and a9208a ); a9218a <=( (not A168) and (not A169) ); a9219a <=( (not A170) and a9218a ); a9223a <=( A265 and A166 ); a9224a <=( (not A167) and a9223a ); a9225a <=( a9224a and a9219a ); a9229a <=( (not A269) and A267 ); a9230a <=( (not A266) and a9229a ); a9234a <=( A302 and (not A301) ); a9235a <=( A300 and a9234a ); a9236a <=( a9235a and a9230a ); a9240a <=( (not A168) and (not A169) ); a9241a <=( (not A170) and a9240a ); a9245a <=( A265 and A166 ); a9246a <=( (not A167) and a9245a ); a9247a <=( a9246a and a9241a ); a9251a <=( (not A268) and (not A267) ); a9252a <=( (not A266) and a9251a ); a9256a <=( A301 and (not A300) ); a9257a <=( A269 and a9256a ); a9258a <=( a9257a and a9252a ); a9262a <=( (not A168) and (not A169) ); a9263a <=( (not A170) and a9262a ); a9267a <=( A265 and A166 ); a9268a <=( (not A167) and a9267a ); a9269a <=( a9268a and a9263a ); a9273a <=( (not A268) and (not A267) ); a9274a <=( (not A266) and a9273a ); a9278a <=( (not A302) and (not A300) ); a9279a <=( A269 and a9278a ); a9280a <=( a9279a and a9274a ); a9284a <=( (not A168) and (not A169) ); a9285a <=( (not A170) and a9284a ); a9289a <=( A265 and A166 ); a9290a <=( (not A167) and a9289a ); a9291a <=( a9290a and a9285a ); a9295a <=( (not A268) and (not A267) ); a9296a <=( (not A266) and a9295a ); a9300a <=( A299 and A298 ); a9301a <=( A269 and a9300a ); a9302a <=( a9301a and a9296a ); a9306a <=( (not A168) and (not A169) ); a9307a <=( (not A170) and a9306a ); a9311a <=( A265 and A166 ); a9312a <=( (not A167) and a9311a ); a9313a <=( a9312a and a9307a ); a9317a <=( (not A268) and (not A267) ); a9318a <=( (not A266) and a9317a ); a9322a <=( (not A299) and (not A298) ); a9323a <=( A269 and a9322a ); a9324a <=( a9323a and a9318a ); a9328a <=( (not A168) and (not A169) ); a9329a <=( (not A170) and a9328a ); a9333a <=( (not A265) and A166 ); a9334a <=( (not A167) and a9333a ); a9335a <=( a9334a and a9329a ); a9339a <=( (not A299) and A298 ); a9340a <=( (not A266) and a9339a ); a9344a <=( A302 and (not A301) ); a9345a <=( (not A300) and a9344a ); a9346a <=( a9345a and a9340a ); a9350a <=( (not A168) and (not A169) ); a9351a <=( (not A170) and a9350a ); a9355a <=( (not A265) and A166 ); a9356a <=( (not A167) and a9355a ); a9357a <=( a9356a and a9351a ); a9361a <=( A299 and (not A298) ); a9362a <=( (not A266) and a9361a ); a9366a <=( A302 and (not A301) ); a9367a <=( (not A300) and a9366a ); a9368a <=( a9367a and a9362a ); a9372a <=( (not A168) and (not A169) ); a9373a <=( (not A170) and a9372a ); a9377a <=( A267 and (not A166) ); a9378a <=( A167 and a9377a ); a9379a <=( a9378a and a9373a ); a9383a <=( A298 and A269 ); a9384a <=( (not A268) and a9383a ); a9387a <=( (not A300) and (not A299) ); a9390a <=( A302 and (not A301) ); a9391a <=( a9390a and a9387a ); a9392a <=( a9391a and a9384a ); a9396a <=( (not A168) and (not A169) ); a9397a <=( (not A170) and a9396a ); a9401a <=( A267 and (not A166) ); a9402a <=( A167 and a9401a ); a9403a <=( a9402a and a9397a ); a9407a <=( (not A298) and A269 ); a9408a <=( (not A268) and a9407a ); a9411a <=( (not A300) and A299 ); a9414a <=( A302 and (not A301) ); a9415a <=( a9414a and a9411a ); a9416a <=( a9415a and a9408a ); a9420a <=( (not A168) and (not A169) ); a9421a <=( (not A170) and a9420a ); a9425a <=( (not A265) and (not A166) ); a9426a <=( A167 and a9425a ); a9427a <=( a9426a and a9421a ); a9431a <=( (not A268) and (not A267) ); a9432a <=( A266 and a9431a ); a9435a <=( A300 and A269 ); a9438a <=( A302 and (not A301) ); a9439a <=( a9438a and a9435a ); a9440a <=( a9439a and a9432a ); a9444a <=( (not A168) and (not A169) ); a9445a <=( (not A170) and a9444a ); a9449a <=( A265 and (not A166) ); a9450a <=( A167 and a9449a ); a9451a <=( a9450a and a9445a ); a9455a <=( (not A268) and (not A267) ); a9456a <=( (not A266) and a9455a ); a9459a <=( A300 and A269 ); a9462a <=( A302 and (not A301) ); a9463a <=( a9462a and a9459a ); a9464a <=( a9463a and a9456a ); a9468a <=( (not A168) and (not A169) ); a9469a <=( (not A170) and a9468a ); a9473a <=( A267 and A166 ); a9474a <=( (not A167) and a9473a ); a9475a <=( a9474a and a9469a ); a9479a <=( A298 and A269 ); a9480a <=( (not A268) and a9479a ); a9483a <=( (not A300) and (not A299) ); a9486a <=( A302 and (not A301) ); a9487a <=( a9486a and a9483a ); a9488a <=( a9487a and a9480a ); a9492a <=( (not A168) and (not A169) ); a9493a <=( (not A170) and a9492a ); a9497a <=( A267 and A166 ); a9498a <=( (not A167) and a9497a ); a9499a <=( a9498a and a9493a ); a9503a <=( (not A298) and A269 ); a9504a <=( (not A268) and a9503a ); a9507a <=( (not A300) and A299 ); a9510a <=( A302 and (not A301) ); a9511a <=( a9510a and a9507a ); a9512a <=( a9511a and a9504a ); a9516a <=( (not A168) and (not A169) ); a9517a <=( (not A170) and a9516a ); a9521a <=( (not A265) and A166 ); a9522a <=( (not A167) and a9521a ); a9523a <=( a9522a and a9517a ); a9527a <=( (not A268) and (not A267) ); a9528a <=( A266 and a9527a ); a9531a <=( A300 and A269 ); a9534a <=( A302 and (not A301) ); a9535a <=( a9534a and a9531a ); a9536a <=( a9535a and a9528a ); a9540a <=( (not A168) and (not A169) ); a9541a <=( (not A170) and a9540a ); a9545a <=( A265 and A166 ); a9546a <=( (not A167) and a9545a ); a9547a <=( a9546a and a9541a ); a9551a <=( (not A268) and (not A267) ); a9552a <=( (not A266) and a9551a ); a9555a <=( A300 and A269 ); a9558a <=( A302 and (not A301) ); a9559a <=( a9558a and a9555a ); a9560a <=( a9559a and a9552a ); end x25_23x_behav;
gpl-3.0
b11c46904ead3050f6d26902b0894d53
0.608337
2.137251
false
false
false
false
jdryg/tis100cpu
node_port_tb.vhd
1
2,047
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY node_port_tb IS END node_port_tb; ARCHITECTURE behavior OF node_port_tb IS constant PORT_SIZE: integer := 16; -- Component Declaration for the Unit Under Test (UUT) COMPONENT node_port GENERIC (WIDTH: integer := PORT_SIZE); PORT( I_clk : IN std_logic; I_reset : IN std_logic; I_writeEnable : IN std_logic; I_readEnable : IN std_logic; I_dataIn : IN std_logic_vector(WIDTH-1 downto 0); O_dataOut : OUT std_logic_vector(WIDTH-1 downto 0); O_dataOutValid : OUT std_logic ); END COMPONENT; --Inputs signal I_clk : std_logic := '0'; signal I_reset : std_logic := '0'; signal I_writeEnable : std_logic := '0'; signal I_readEnable : std_logic := '0'; signal I_dataIn : std_logic_vector(PORT_SIZE-1 downto 0) := (others => '0'); --Outputs signal O_dataOut : std_logic_vector(PORT_SIZE-1 downto 0); signal O_dataOutValid : std_logic; -- Clock period definitions constant I_clk_period : time := 10 ns; BEGIN -- Instantiate the Unit Under Test (UUT) uut: node_port GENERIC MAP (WIDTH => PORT_SIZE) PORT MAP ( I_clk => I_clk, I_reset => I_reset, I_writeEnable => I_writeEnable, I_readEnable => I_readEnable, I_dataIn => I_dataIn, O_dataOut => O_dataOut, O_dataOutValid => O_dataOutValid ); -- Clock process definitions I_clk_process :process begin I_clk <= '0'; wait for I_clk_period/2; I_clk <= '1'; wait for I_clk_period/2; end process; -- Stimulus process stim_proc: process begin -- Reset FSM I_reset <= '1'; wait for I_clk_period; I_reset <= '0'; wait for I_clk_period/2; I_writeEnable <= '1'; I_readEnable <= '1'; I_dataIn <= X"0001"; wait for I_clk_period; I_writeEnable <= '0'; wait for I_clk_period; I_writeEnable <= '1'; wait; end process; END;
mit
6ee15e510d68117eaf3923f16d68d0d5
0.574988
3.389073
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_ibea.vhd
1
2,902
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:30) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_ibea_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_ibea_entity; ARCHITECTURE ewf_ibea_description OF ewf_ibea_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; WHEN "00000010" => register2 := register1 + 3; WHEN "00000011" => register3 := register2 + 5; register4 := input2 + 6; WHEN "00000100" => register3 := register4 + register3; WHEN "00000101" => register5 := register3 * 8; WHEN "00000110" => register6 := register3 * 10; register5 := register2 + register5; WHEN "00000111" => register3 := register3 + register5; register2 := register2 + register5; WHEN "00001000" => register6 := register4 + register6; register2 := register2 * 12; WHEN "00001001" => register4 := register4 + register6; output1 <= register6 + register3; WHEN "00001010" => register3 := register4 * 15; register2 := register1 + register2; WHEN "00001011" => register1 := register1 + register2; WHEN "00001100" => register1 := register1 * 17; register4 := register5 + register2; WHEN "00001101" => register1 := register1 + 19; WHEN "00001110" => output2 <= register2 + register1; register1 := register4 + 22; WHEN "00001111" => register2 := register1 * 24; WHEN "00010000" => register2 := register2 + 26; WHEN "00010001" => output3 <= register1 + register2; register1 := register3 + 29; WHEN "00010010" => register2 := register6 + register1; WHEN "00010011" => register2 := register2 + 31; WHEN "00010100" => register3 := register2 * 33; register4 := register1 + 35; WHEN "00010101" => register3 := register3 + 37; register4 := register4 * 39; WHEN "00010110" => output4 <= register2 + register3; output5 <= register1 + register4; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_ibea_description;
gpl-3.0
26e4ce894a50e39fd8b11303ba118ed7
0.647484
3.15778
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
docs/sample2/input_con.vhd
1
5,845
--------------------------------------------------------------------- -- -- Functional Unit Multiplexers: -- -- MX_MULT00 = {00: [a, b], 01: [e, f], 10: [g, t2]} -- MX_MULT01 = {0: [c, d], 1: [t0, t1]} -- MX_SUB00 = {0: [t3, h], 1: [t5, t4]} -- -- Register Multiplexers: -- -- MX_REG00 = {0: i, 1: t0} -- MX_REG01 = {0: t1, 1: t5} -- MX_REG02 = {0: e, 1: t4} -- MX_REG03 = {0: a, 1: t3} -- MX_REG04 = {0: b, 1: t2} -- -- Order of Operations: -- -- Cycle 0: MULT.op1(a, b), MULT.op2(c, d) -- Cycle 1: MULT.op4(t0, t1), MULT.op3(e, f) -- Cycle 2: SUB.op6(t3, h), MULT.op5(g, t2) -- Cycle 3: SUB.op7(t5, t4) -- -- Expressions: -- -- i = f(a, b, c, d, e, f, g, h) = (a * b * c * d) - h - (g * e * f) -- --------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; entity input_controller is port ( clock, reset, s_tart : IN std_logic; finish : OUT std_logic; control_out : OUT std_logic_vector(0 to 18) ); end input_controller; architecture moore of input_controller is signal current_state, next_state : integer := 0; signal internal_finish : std_logic := '0'; signal control_bus : std_logic_vector(0 to 18) := "0000000000000000000"; begin process(current_state) begin case current_state is when 0 => control_bus(0) <= '1'; -- REG00: store output from operation op1 control_bus(1) <= '1'; -- REG01: store output from operation op2 control_bus(2) <= '1'; -- REG02: store input e control_bus(3) <= '1'; -- REG03: store input a control_bus(4) <= '1'; -- REG04: store input b control_bus(5) <= '1'; -- REG05: store input h control_bus(6) <= '1'; -- REG06: store input g control_bus(7) <= '1'; -- REG07: store input f control_bus(8) <= '1'; -- REG08: store input d control_bus(9) <= '1'; -- REG09: store input c control_bus(10 to 11) <= "00"; -- 00 MX_MULT00: select op1 control_bus(12) <= '0'; -- 0 MX_MULT01: select op2 control_bus(13) <= 'X'; -- X MX_SUB00 control_bus(14) <= '1'; -- 1 MX_REG00: select t0 control_bus(15) <= '0'; -- 0 MX_REG01: select t1 control_bus(16) <= '0'; -- 0 MX_REG02: select e control_bus(17) <= '0'; -- 0 MX_REG03: select a control_bus(18) <= '0'; -- 0 MX_REG04: select b -- Binary: 1111111111000X10000 -- Hex: ffe10 internal_finish <= '0'; next_state <= 1; when 1 => control_bus(0) <= '0'; -- REG00: keep value control_bus(1) <= '0'; -- REG01: keep value control_bus(2) <= '0'; -- REG02: keep value control_bus(3) <= '1'; -- REG03: store output from operation op4 control_bus(4) <= '1'; -- REG04: store output from operation op3 control_bus(5) <= '0'; -- REG05: keep value control_bus(6) <= '0'; -- REG06: keep value control_bus(7) <= '0'; -- REG07: keep value control_bus(8) <= '0'; -- REG08: keep value control_bus(9) <= '0'; -- REG09: keep value control_bus(10 to 11) <= "01"; -- 01 MX_MULT00: select op3 control_bus(12) <= '1'; -- 1 MX_MULT01: select op4 control_bus(13) <= 'X'; -- X MX_SUB00 control_bus(14) <= '1'; -- 1 MX_REG00: select t0 control_bus(15) <= '0'; -- 0 MX_REG01: select t1 control_bus(16) <= '0'; -- 0 MX_REG02: select e control_bus(17) <= '1'; -- 1 MX_REG03: select t3 control_bus(18) <= '1'; -- 1 MX_REG04: select t2 -- Binary: 0001100000011X10011 -- Hex: 1c0d3 internal_finish <= '0'; next_state <= 2; when 2 => control_bus(0) <= '0'; -- REG00: keep value control_bus(1) <= '1'; -- REG01: store output from operation op6 control_bus(2) <= '1'; -- REG02: store output from operation op5 control_bus(3) <= '0'; -- REG03: keep value control_bus(4) <= '0'; -- REG04: keep value control_bus(5) <= '0'; -- REG05: keep value control_bus(6) <= '0'; -- REG06: keep value control_bus(7) <= '0'; -- REG07: keep value control_bus(8) <= '0'; -- REG08: keep value control_bus(9) <= '0'; -- REG09: keep value control_bus(10 to 11) <= "10"; -- 10 MX_MULT00: select op5 control_bus(12) <= 'X'; -- X MX_MULT01 control_bus(13) <= '0'; -- 0 MX_SUB00: select op6 control_bus(14) <= 'X'; -- X MX_REG00 control_bus(15) <= '1'; -- 1 MX_REG01: select t5 control_bus(16) <= '1'; -- 1 MX_REG02: select t4 control_bus(17) <= '1'; -- 1 MX_REG03: select t3 control_bus(18) <= '1'; -- 1 MX_REG04: select t2 -- Binary: 011000000010X0X1111 -- Hex: 6010f internal_finish <= '0'; next_state <= 3; when 3 => control_bus(0) <= '1'; -- REG00: store output from operation op7 control_bus(1) <= '0'; -- REG01: keep value control_bus(2) <= '0'; -- REG02: keep value control_bus(3) <= '0'; -- REG03: keep value control_bus(4) <= '0'; -- REG04: keep value control_bus(5) <= '0'; -- REG05: keep value control_bus(6) <= '0'; -- REG06: keep value control_bus(7) <= '0'; -- REG07: keep value control_bus(8) <= '0'; -- REG08: keep value control_bus(9) <= '0'; -- REG09: keep value control_bus(10 to 11) <= "XX"; -- XX MX_MULT00 control_bus(12) <= 'X'; -- X MX_MULT01 control_bus(13) <= '1'; -- 1 MX_SUB00: select op7 control_bus(14) <= '0'; -- 0 MX_REG00: select i control_bus(15) <= '1'; -- 1 MX_REG01: select t5 control_bus(16) <= '1'; -- 1 MX_REG02: select t4 control_bus(17) <= 'X'; -- X MX_REG03 control_bus(18) <= 'X'; -- X MX_REG04 -- Binary: 1000000000XXX1011XX -- Hex: 8002c internal_finish <= '1'; next_state <= 0; when others => null; end case; end process; process(clock, reset) begin if (reset = '1' and reset'event) then current_state <= 0; control_out <= control_bus; finish <= internal_finish; elsif (clock = '1' and clock'event) then current_state <= next_state; control_out <= control_bus; finish <= internal_finish; end if; end process; end moore;
mit
f5626f386b32fbb1bde278232fee29c4
0.551754
2.617555
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/metaheurísticas/hal_nsga2.vhd
1
1,546
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:04:40) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_nsga2_entity; ARCHITECTURE hal_nsga2_description OF hal_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "00101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_nsga2_description;
gpl-3.0
4e9e44ed128abf028a0cb93e14ba3063
0.655239
2.956023
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/ram_module.vhd
1
76,228
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block jieZdw42uIrTtY1lDkSDVonEyMZ2+AMpN4+vRbfXXOYGJkVnBkchf4XUBzcuXvlXbRqOGeEoTxgN Y5Sga7iYOQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AN/x7gA/IzS1MhC/FjejNPt5ng7wcvS11/n7BZdRbo54l9s2QhZXvmMm0M+T2QgzYPuUU4UM0Jut OtugKz7ae9WHs8aQTvpu/IqeP0c/yKtE+vGyLNo1sL4FY3gWeToVohpbjvonOsPbF1YT6z/xeTJr NuyHIjHkGhJcNsMDkkQ= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TJv4gW53FsbVCe96vntU4aHrVWKnRWU0/l70XegGPRhTaq4qXzQD16pciBlxXalrjS+gXIAxCO55 ScuOp6+4UfMSNa9GEd1BHzEbfdeqgMQdD3/zc8Hcw0baK95ms0DIeYRk5NktzI2QDsm8Pm2FUHf0 5R2BhI04Df5ph9YNy2NWNSmRxMYP8W1E2XFzUSHHBJp6Md1y5kQbxyGLsQA91a5+7smHeqlLA/r3 lpqHsiYc8D59Ra9BNr/WOxn7cbHKbzvegZayW1sJdKki2kw1YVEyj7Ic57h6vhmWSWoXAJlJ1owK 1Asv59eWq9bmSK8F3AcAJXP/fZQeyWxv+2DYDA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zaEawLx0Vv2IDK1DS3DV3kWoS1sFJS0I8ljVgwbgZd/iMCvfQEgjwIDfVbTXe5tAAl08mybSVMNH 6BsdhcHn3ivds2LnJjRLJMRbRpaBYccgIx/S1RWWS9TRfQheiNio/nWnnOfd8X9Fzd075Ue1edfe uJAe5nO80FYUfiNjV3k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FDempd1A4xUxtor2rKojNGbDVpgMvhkxxbYu23m06hjw2e5GvyEH9PuoYaJLkLlsvpXAaC6aeQU/ sr2seZLoergGPWXxcSbHpTQ8xdmKC4xtUIVdjFHOBdgb8g5Uu8m9O1KeTJnamGB/XMhKDaNXLI9w 25D7ssRj2gPg8higtE/S+WOZbpOiZCVPAjDjCq1dD7I9meanEzTzFNnWOnFofBh89l8AJrMLESC2 48vJHj7btjkWROo9jsD66qykuroD42a7bACyRmiw3T+W1rmI79e1mavCMZmalZOY2RGEp/3ZiBFw 0XECp/dB2LjS3FuPJ1bowQGxYGHhWxTHNBqK5w== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 54688) `protect data_block jzumCDBOc0Y6dSlorzopu2372dCKjBJxqNEej57gj9rNU34F/V3i+WMx4zb2uPrXT0Q2Y3Lh17kv 8u0c2YSnLZao9NtS89mJ++mkO4kbeepusLavfBcBbyozZBhzFUYTLcTG8ve29qVsv/B7/LRyGEzx Vec61Gp8XXOvgCMH94g2echGyhhWnsMRy6ZzMLamnKMGFGeb+7t8DTfl9+LtzSJShtL2xbVl9Cal /XkKgL5et4NqPC0dKrzoME5XnWua1xdHidAsZ3xeyYe7S3HW/4BKSoxDcauuUY0yzHubyZO8aTSL vyyKHzB1uQCPwWU1fVQVGIwoX0EJ4NUnrWaB8f6OOU8pOLjykEUSytxVkwcUWqY+hA5Lx/PKYKsT 7Mw0wMT+bTlL/Q7xX4ATpHHwyqcCAZkNM7Mo7R/qOTMeM60Wcm2BV2ujP7H3JBsHZkvlvDmUVi0c rEwPOQaPV38dgLTdt4zz0uToWK+dC81fMjV800IPNxkuBPAymxajUhU6IwEfciUxgnGJ/IZJ8siD WdKcekmQSO1TTGaqL+OLu0dtdY08c4CMACzWpR0REhA+EjaDpPCCexCntbMVAil6JeXiwO+bzCOW oPb/iJnI7PfRcuz3canaDuuwac3zCgBB+GAqYYW/CFSEEUge1LA3Ojha2EI2TUm9pGMMvy6pzQYy zjWsq0qghEFqeaLotnaWJOY5aBWlsjs4AqM3WMD2el8i5xWBJONG61R7VsUZakBCkX3nU7+MqCD8 F4HoWRskokun50UV/3/PNA3nEeYP5XE9wmjX/UHe/jTk1t48gTSu8lLSRNUqo3tIyTWN1PJVVBwQ ueQI3p/eM0ItB1VY2k7tW+lSFHPri/RorV0+zv+eMDtOE5R1rvufOBtRSPLaKh4JJy1jte7hkr6o H7eZ1ktNLOBtWN7xKNCbfyWmujRz36PoskJKcgvwRyg29BG+y6oOnoGkIko6CqTTHAhAsYIKYpfM ZDgMCzTWxDdrkCNWWMoNLKAPLXSKAXgcZYILgH9/oP1Y/pCdkzL3YTq+nYLWiS+LrLmQFGewCMIe 4awXN6ivD7p/ySI3mFkXh0byRhylUuexL8UIIl6SZgM364D274qFbjvxU9NzBfzI97po001fuYgV p6TJRNUMSjTbGAT6vTWDH+5iUDFOfcyf6Epnm2S1EkubuyeQbVAW5rL6A1ERvvzrw7JpWSmxWykV ocfIFlfHLoke9xTLHmKNMqiQ9bVCZMIIx7K0RtymV/1I04o+hXRVLzBZ1b2Wz9SJH/8WMnWBNzcS G3g71jgU52mHAxvmDxJQClWAShtzJsebJ6JaTMRvaw3dFc3mTSnvI3pI2iBDrNoWIkRTZ9BLp7Sc xbSCltmlXZtimG6WNZI8D6Nf0AWx5WcKGX74SeZ8lVRLeERsy8l8wygtGI/LgX5RKCb6soXHadXt Ziwx2LTQayeY6+Dt5kok+iT04rPdtwZBF5Bwr1tQ/0BWgvMFtDSt/qjmb14cSOUQPsp0Q/JbIGed qNGa00Kdfhe+WPIr4H7/tS4fuOWopacCJg6M6W1hAE44OK7o46Kx6OjbowgN4XTkZQfA87DAS2Nh 30armDuJO5rezexFOTBq1KFJ53EetH5kD18dCB34vZDri6/Ijp/fZSOP6I18lV72M2JghvijbM0A WdN5wbiJQiYsbB4MIzOQeRbwHgd/rk4r7rqIUqMDnluGJridb+YMPslGX+5BNXt9zoyhkB3/ZwxU dfHdw3MGsWB4jhS3YFVuqn7mbDhPuAuDnmUZGJB2qsAMEtVYus/UegeSeu1N553bQRrzoj8na5Bf i8+ErOUu+EnUEC5S5CRUw8PO9QldeGNQqfo4OMbtVzG97/qoIQHHUtIIoUnuyWAkwKcYLuQcgHml nKuMIMxpvPcPZ6RgjDXyb1cqI/AHdGgxPfO6DGRHKcsS+5Le/Idj3wL7hjVd1TrtHXOtcAp3wXR8 mWcBQ6ymgKjT3OwbtOQDmoeXjWRfOb+4CmVVsyIJNckf0fG16NDvW9T+R7zW3Qnz7CyPfEGqU6X7 WFd5mvMLbdcrvFESTlF8VR1JcQnn/WNFV75zWxHSiabszlPGNOIW4ex7UeK48Kjjg1gBwGIIIpGD 5+x1/g61frNZ1ufkABsCQdyQ//mH9QD9DzPdoN5Z07IksOeJoFJsncvwWmHHGyctBIJ0p/C4/6mr jNmcVdR2qDMCAtJPLhSbobg7Elt3zazgXgV9LJtjMIoAnVtCE1sS8xoRsDzEkHBrAJV0kk/CHsNC aDCnHY9gFlAzY+9saFNMVpFHanBCAl+bTLJtzvMW2E3hgQN6XgDBrVj7X5lKd0x9RIc0c3dSoMQa EVLQTfz/QawWeL4NF9X0MsZ4WVrotPJftoeKAeLBPyEdcg3w4/rRvLx+1YGx8kNercsoJTi7W2/j TrN8WQLA7wvQB32eKs1juh6TsDX7njjAjB6WAcZFWLVT8QKMv3Niv4ykSZrwhIGvFt3oaLk9oR/1 +PamCsxbJmcJ2W+JzPwevJzMkkoRJkFdSrmqWgyv4UN9zVAKTDQC/bHgBBxoSsa1AZxjh4J9O0lA XRsp84cA1/iQNoNo8PGlz6NxfSMQvuZkhtrFHcOzjq6DB498zHFM0ccsB3G/ZVCEqwpNvk6PI4/U t2dFqvqeqyh7S6eDIFDtKVvhxx/6bKfLMiqiCw/+bdIeaFQvVMm0qV6nww0jVwZ7bPCxyZVYBliN bj2gRaASRnOBiIWs2wgmjPp6zD0DGJBS01IL3JFZf8SFrwLIzvSN+ZAqJBdHHnGvArc6K0oZ1AFU e0cFM+XgkVJxhwSICNE1fkisGgJ8vK8Rafnl7qePTo8nCHOxxzuOcaNHIvwDGwCaTwB6OhFwNwuv vNqeLrkvL5XrCjpPue+9OxuVJka0YgUiTdFRahlYyaB1tK/8v+w6DVeWvxFgGGKl5EmYN+IOYtjz 5o3VRiHY/s9/+Q3MKKMUxS4BJS/AIceqAGA9yBacMBzvocaew03f3R8DyrbchhTFHruz71gyyMny EijLV1+U+81rHRluci+SFaj+o3U9T1S12io//YEmLCHfXhwRgPIBI24a38kd/fseWLSHdJH+akiV 7YJCFWkObo7nqnh/5nZ3z1t32hEv5DVJHhsBVgx62VaNzxvWPdnZP786I0HXib263d1wwJ5AzoRD fUTSnL9JqpUSWdjyGyuk2vvm8fJMlE8FQHA2g2CsTpNerTwP+NGiHoHzPblkrn3+14IUzCYgXZr+ cgphDkB1bOjFNUhyu95HF7Kj6xREoBWHRZffsFFCNpEPHaYffSN9Ayv1woeSUI81JoIjDsmG+GI7 SOB9CTwSndR0M2wRzfAXj7uIRquas1gv+Z+mVpuLpdTy011z9zQe9LinQoT26MOmP+nxHsrtzL87 Q4LQGpVx727n3Kpa/kCgwHru6jayVPX658gdZbh7LYRvaOAEzCm98QKrRsssEoXvym2AQ8WNJSYP sopBzmKNSY6qbzVqpme/onpBpgJQ6SfXRfZgDTst5tmjB6XNxOcX/VoPpStJ1S4wO8zJ8nYyJcYS jFw3T0ooW34pe4POhH26z7YlZGDz6iiGeyWCgWPZ+c8hT8HmmFQ5VF39M2XT0Bkb/eqQ+a9DwWt8 S1T6AbxIay0qWNwEbYZIS+Y2VENj6Bpye7KlwlMC5SlbZKQMHsREnDCyoJs5bbfL5FkclysQovHr JsoBgJ0GGG8ZM5a+GlXRKS72yss85xAhMhidzNDxIDARyBSklAo2pmOETj2/M0jaEj26IgaerY7R 63I82Lt6b0BgegIYmfnNgYzm6L6u4KFw2Y+xkVWo9iORJCGE6/Fle1BQZiNWhPTyASxDIYkYlVAE DlK+4tP8J1+ZMNppKeDM9fkTeelNUCweIMdf+kA4XPpY8pfdDtzUjrcXSGhhw29QaNKkmMiATCRM V/u6QacPCiy341SkHrsFFlYUelTtTAlWAqTXYSulzW4mWKUVAtfq5asxyP3GTwJbhprQNWmdDxO7 G0vEbmmph8CaSdgr6z8rcng9CYJxxEUxqWC1OHlSQaDqGOwHfT6hfaEdZHKGGbumpV6ZS9EBRdAZ mlGBR9mpVMGguUQ1Quz2xeaW1z4rDSFk34IqIv+ZOvfQWSiWD18DLiqmTMIqEr+dNuMh5vAofNuk uCLdtnNw39q/vtgsM3GNww8VX0uB2O0CaNJIqsx0fYIZaFqK/em92IHmu52ZV2i6DQmv5Oyu9Sd3 eGxgOPwExAc4M6ijMJ7GGizIbdQ6F7GGMLPaSZmkokgVvgYRW5XfdER+bLjSnt3nmmzB5MBbYe6W Fi+jX2t+SujGm5EVQ04TZKyKNrQZHhUfX8n/TP6vRX4K7YMJDrvEbZOYEb+MShs6a8b9dztKXn3v DGmpLYMLnJ8+/VJ5ndz50iAoAt8dH80WiQwh08+bMhAvBl+iucMzTZdxkZBbPyBF2Uk2hXRrSFLz 4s64qxsfszyhy/i39N5lU5ynnBP7KtkyU6f4Nt0VAC11r/T5Yaud4gA5CrMlGldM08WbOso1G6Sn fMFhokMZ/Fl3XSd6a0pI2z3Ze5gKLIFJmAYmf4XyYg56wgYhbGx5fsJgnKcGo4LvjzX8EgGswXYh RJyPcAXYwVlukJVTaoYOU9BdMpQAgrIOrnoNHsK1xpC/GKWTEm+yonMmtjsDBLG4jIE9XH3b63+p CEjKiQlsWA7lwfVsqHGNdfOO8pmwhseq8TgD5WQIHOdGG6RKnevCZhmhPzEuZfEvmDv9cZld2ErD /Ue7I1Y+nLlc8HQQFqlh97eNs3/pQyVUfkEzEC2Y1sAEH4BmvXwBF791eILF7Hjob7b/dKUDZNIt x5edAKxb9jIsaK1Ko9Iu6j6xBjWPaLcv+7xENHj97sk3ohqY42UxnDL4heSuxKZVnZNmfj84MTJN qdgrqxCl+sAYngWr3Zjy6SQJBH57vP9wTpr10e/ijds2kYzVIM4Dmu4xh1ubq/yKOMa+Uwo5CIeo YSi+35nB3hTBaGHkH0cnaOF8hI8OIGwpJbwQ9gecdcqxFc8adYVNNuFYGab8ayyJW7skh2ucscwm 20TeMTy6wJj5ZcuI/nVWKA1IuHzt1Li0NsT6BND3oBfphq53PUO3ygeYNjj08FA5Z4ui5eKreR+p Xy7flXaMogCYDQmsTjOwc8izmpXqPnW0bbXXPfYfPW9k1PqtYHZtz5TkW92Mv8KTKMssSQRFQB+6 4SeEZDLSBZ0n37lLwNSODXnn8gMtbwghkTQPBHjE4pay2XHiRRo1+sJiMEUHImY4wz9IxDLyHL7n CiTun9t0GYOiRqan7uuqcedKo8vIPyPU+/mI56GCIEBlfQSUgBH2YzMXCHNMZYGxBzv41BSbsYwG D7/e+QVP8EmL9X7p/pJ0G2NRsYXMb4sVN49fGC9I3OaBUx2nxX7UohB7tJjgXfY45cgGfjc6+xue jAvlnpqsvt/rBBYuOLHv/iQMgBT91xUkPKss8AxHpRevr4NxnqLdsj+lsqOy0Bzy76MACbWvqK/1 XxaZRjad1AlVTEv9A0Yr2iVS+CQ2/7LWQ3cVCEnBRDOE1QOzRUx8/uz6UdDY1NR+j2XOGQpYQRnx 6AMUy9DSBPKnh2R27rbWu/DFXsQButE9MEsLIDuNAMpYLxdLztG3KOQnBA3iZ6TPsReQTMqClm9+ jtFmXm7voLc7BfvXSsZAzlASifO1uLLSfXwGjk9lP00Fn5fgnGdPHJXSfXIM+jr7ugY9r5+xkXvB 2zrhJmEf+ffTUWGOjtxv/5srkGjKFd8MGBP9+OUKtE2kQl08F8IrCZgSVboHOTK33ufYrhTsgE69 Gf/LdZxpj/aa0ksXh9ZMbgvigsU2dAwyEtJHK3PKYTWgP5c9Bq9Nz8CCV2LZ+sDxmdSmAkTwhs8n hJPUEXGx07yYAeEvgy60p7VrDjd6unzVupCo3Q5l1ablNTl6V6caVzAXKMBB3r1RVQ53FlvyWOri H/OSDpEJbJV2jYkCFoA3EspXnf4qD2lNmjNBqOprox71XIIjh+PwqbvADZg6YuqCa66jgWsBg/Df nEJNIiU5eO53jc4Ql9xb50aCJmue+B/1Xp95ZftSDPH2YDxKLxd6PjbfGJ6cGZZYotO6o9XCyYfV rhORJurPSHeH/f1xTST8cvKwDsI0JIKcecfC3irPoMb4PQRziawm7IM0BE9/cV9uP+Xs09kaVlfI jnBo9JX9fAJZAx3pnsc0MH+v4NyPe8HNyU6zA2xv6N9sl/+WeYP4EThIJrx/cV0OeYvKsNSDvPOo 1RN/bzcymyQ3AEBW/8tIiI/R73mVZwLB6BJNTaBGmFjvp3LuSwCvkRw1VJQHY/lQzEvKUZUhY0ji dXOeiKv428jJMlc83p/3R2srw4w/7cKk12IeDiB1k8WVm+EX2ZIlaHhAo41vAtVdfM4FteeZPyde x11v4Q95OgYO8AWBLHy28ghV5k274lpqbmSFtCoddPc/kOgkcpVuk2mA66MeJbq7WYdJupZHWoAG x8V7R0k1Ij7+GkhgUOBpzb5+987cQTrqgP7xlSDG00LDVG5wgfLZYe2CA6cpr5YnQdA8lk3hxDh6 QOUrkT/Teb4KQBoMkcL/3FRvj+7d5b4A888s+cRC5qEje4OxouNJePW8JH4RAsvR46FYzWBW+W/g 7k+jwoq0dUKFdHBaWNvCM9iIDaNflutq+CDoojG9cblBiKYwdXAx58ejpD+JQIT2KU91Kiq8Tdo/ K0twByU3j0RkH30jPDVm5WQP6ow2tQ4HTne4qqcNIyBvJGOXY8lKhanrLTLTB+w/pvzGhDuwPHYF /2vT7dY/ocUeys0Y7ltui9k0motIrcF1znlKP9aKZWdG+a/De15BLqhZLKlmSy5h2oW32TRTZNNF nOD9+0mTo0IeTT7L4kKe0Duyf+xrx9ynvYxjaB9wWVyQAWUgqSjszLBWHvsDZfhx/Gcfgxz/N7HF wBkJT3ad+i5Zu4Z1DZKlsUOi+8KzC7/PxSeicPRdlQKxl5sHjCiQw07JC7GAN3sghDgZ9TzMeJ6Y 8MvWZL+WpBQo1mwTVICieQATsNtuL7iKhXSlOyE/JwlUCgjTY7L9i0oQUZj97DYzvREjfA/hq38X x4LKEv7EDyhlToTzZpfjZ9aUTW570pu89py/E1MZvwpr+olFQcMfSVD6jD5boEIVMPoj+Pc83UgZ E2E6r+f3VFyvJknuTGkbUp7WacNfB9AR1Yf49lnenYYRfn1oAmNqLYIBgv4I2pbEr8ZghkbAaVH+ kcaIXQS/uPUVXC6DB7Au2owdpJlO8Xmq5Qx9rPs1Oi4dfJYSX/yWqINXpOSwAzjKj8XLvVwz2jVV BNbk/JF3qYSCvgOS/z4R8B4GWyOdF5jt94b4SbV2hJq5K18IMErczymQGVE0Anl1CTgxNYqGoAcC vZNVjOczVk5pdVPNyizNaEAiR5S1IitC5aqLMIVQkeFX1C8xxEoyCvFXn6TEaF5DEckQDqVXi+BZ IUKmiZj3LrJslFqdc/0zCSvcpmgQVEZIh4qrst3TXocrSmXxn6eiI7AhfL4IDvgNOlNMFZKB1xEA 0oJIX6mdhrAtTc3JVCU5+fMs+FDqOnljybaWgQPh9f1D6pVPf0gt/33jgk+Yxn1G6lGOPgn6qsim r7wWfMtVzkUHqCZTL2hMhZ1mMc0LemXK86wzh1b2tZJIOqNOSa5czodHCy6UEvOa7VLY6QDPQuB6 KMxLOfeIoquMruxOq9Gn/xdf632blLKc6e2qdm/j41vm7uWfKQO/nY4kpiClY9dcuIj4i8pgsPva 9JTg+4Kv5bTWS/vS2vUGktQgmHjASJhUzFU3io3GLwubgEVtkOIk5jpUOUOwIZCTph4+iQYkFZYc VDk6CL2F4ikwwgwkL31ojxBFOMFTzThJ9KzHaC5FCGqs/MwSC2F3Ov0aOPQV+NgmPkpJm77KUIlI Rv3MegTRih8FVbg0sBTS0fb1nET+TgQzRKrnrrl/XXJmQG+zrCUk4yZpMgVjT0GQr0PABxEsZJMY 96ICat3dxtPlTgPDr/niK9ZPvL5ULz32vNlCYDDifPDryMy6JQ99EvZ74tJOXUKjeNW52jFUQBjy 3KigK58KcvCt1XE8LLLF/aLmWrpxtjJaE5mMyjXABv/y/llHZnEpor9Ow1ARmh9LS0WtZR0y0MsZ o2+gDCxlgV29MSpd+Ei06IiCorGCqK2IRYQ9VjJH+nTwwaACNaJmaYMhBvCjFgq0t3IIPpeURosT p5Re91jezfKhc1qLrDL6u0hsss+ZVHb4ihFs6SXEELwpPfjbeW9aQRjj+FyOKfDZ1VJFjYF8JT8h YZ2HlEUQYo+QJEZRkNn1Kw3iVWHoyzyeNTdA2hsozYcODWaKPdp4J82O03NgGHduLe9UMZv9EWih ebKFFyUD36hiV5j8vvcBzze7iDmz8WulU8x9dLjztm4xVW319ClE2lY1EmcSCogi7/H6x9r2IRBm 0AZWHUzT/Oes9eOA/T5vBYuu2xDXUVkAYzoo4lqvwR0bgJP/xsiJ+V11o5FunDsqUSkP87kqjepM NbCxNwKa/LDMchltFR6dqDcpYQhIviJfcYQe/hRScwRvuG5a3mPqf57+TiRHy9TWiSC/ScIOAQfC a+sPC95rX88nXNo19YYfNo5aD7C7LQX7xW8V31QfzlQbI5IlWNQ8BcHkQMnz2X0f1Puwj76hT8Dc 2zcyBsPN31XxK1e1A5QkMFkosbtGFJ1m8SvdGYhxSnD8aQRtWNcRUa6gTqWpQzB88zyiGfI082+S Bjg+8w+el6ZLVfLIq8aClBronBEEPFgfPgHePq4OsFUbQ1RcJ/wQcam6cMs4tu05kYJ8LskAIcFM f5swe+pcCIT6RUsmqzS1kP331i0YWi2w3HKaQh7AmXs196qPtrX2doSCVmYFbdCCEpWFukR92Pgc 7pa2gkDkqrrWo6nRfPX7qsO3YXuR803CQCNezb5qtQassUZJ7PObBYwO82wXrRAogMGaekc+OcGK Kod/+w5H6H5d4BzOw+zBkvbmIHWS/KQEfEqenkARm9QBZ9PB26RCncZW5JM2Fo3pzcZ3QMm2/M44 d2CQ6SBF5MJLnDJEukYK9XmvEsRoqaSwpsf0aw9T51hGlfLVDheEthWLEFeUU5zt7yW2hTAkc9kN bkB24p8o5J5+Jcq++JEqNO4d/mRjJQMMxzlCWzS3eUeNZz7MI6RQmX5EZIieMgjekZ1G00xGslBr HKWO3H7+uIxpsNOYoAfgyfuG47kJJFNSDIG13F5XPyw2HV688b2R5dQFDoUCoe0rdqIFri3VttEs 97fC1tI0wu1fQt4fqL75G3eOfJvOtgfKsL6qMez/2gbfizIcd+ah45dulA7FXueHMM09BUsjI2b2 oxMrf8RZTbjscKo8Ga4NGzKAvGsiu68FSnRmuOqi1jUdsLatLFcpRhHesU/96G/Ism7ikwUfW69Y 3xHqPi4b+o+2kRhfSrtXy8o7xjFwFyxsfxzQkfSLtsEIMkE9AUmYUqPuHUhMyxi2hh8oCm51i9h3 2Neo6OWlzNaXGE+52aPWSelj6cwLMrNNtlBAXzALgDqYgsTZUrQfrUr9GPvpZZBwPOAoE0D61dHV ihs6dbQXO5FBXvs7irbAnpHWx8rJ5NWqWjbg0M2cvUw81LMRBy1zyJnU+pC92FdLECv73Khd101I WSrjZGzk0aDMrfxtGgwMqP7fLFOPAgVARkqTVwVzA5oZceHPo5PPWImUaAr+Pu6qXqutRgLz22yT LySbbAbgqvLzUhWjRVMt9eg0mlQ6CoeOv7W1hy05KbkrEyFJ8wP0zZtWOvF2rVprtuSgHRNulFst XSuD2A1vXJolpwEYQiayOkfiM0pMQnr6dJ+JhFfx2MW32sdACXH7QyJCCZekagj9phpxUKf1Db/e ILIHz5ENNe8zrzGYVzGKPXt8//YnRusFAtUR/AeiiqDpOCyEsfGZJ7FrICo7FmKcNDUc/Fpfx1el 2YxBaNpC4RBAqIJM52SXmS2b+CxqiOum/rlqKL2JwyBIkLxmzgLyyiawNGEFEKuLvCjtSa0c5ctw BB9xD/X168TcauWK7M0wxvk5lCFpbFjKbSfiSyCffokPrdXUT+92RjapLEaUXEAE2hC8Oy9jK4zY Y9oBPmOH3t5mYnFf4G9V6d4furJOFBFpILzwiYVi3IFKULRMRFVEsEHBzrl8i8XXtogrt5V1XMiq Po/Q8Oo7CrkOxS/wdtUWLKkZhSEPKN9VRIJXUyDqDYrr7aU6xnxwuRPAZmO3cGnGSmpF0h31Zkf/ vizsaTK23lLRupDly56VpPoumDjhhZROiw86tc9Q5ALDRyyZg5KhpvewClQ2WRxzcAwCD6TLXUyB 6QZRTm64eeHvbIcmBGW3CBIetqXWxqBVNnmuPT56sPqWTFTVUc057xJmuihnQHxcNJTFRewBosjM kmOD+4Hu2muD5OiJ4vSXWqECdqzcEDp2q+gUirmvxmhsXgGaIW83BxWG2/JcDqX0TxIG5JZaCJtS 1Ml2MHdozyoXudVrNdvOnHXlgxRcKKjT2NRXQqpGX84riMnSzfODh6U2N6ZkaAG0KfXmTLoAlpqt ySeh/qFdgOibBqc7NWfd46qQhDUUinBd5MwexFp7msoYg0zQt+rg1njiVBBSNoCpTHdv/ShTSZq0 Ag+ERttc8Qa8l4lQ9f63AiFa5jNSP3fK+/fxMLyfvnC9nL9DVUqNMtPGzDPI1i03iS9HYQ6uNSQ3 ZbuhgBPxMIgE49jRZ8eTWFAVIo1/zSpeicP4vQPkL1JsQhQNmv34WApAGgtqX8PnXE93z2wXzI1b vWJEO3FHeboe/8mW+4OYsU/ON+qgHTEfeIvNFOMp9L2dzwkpGea16F4dva2y5CJCTXkyMN9aRvBT O1I7XQIY0pMJoFFq4IXfzqfC4E0YhE39gfXDotrRXrJhMCNkJJPuD3aVkUIUoQjGiPwLHqQqAzdT 5SWU4PVrug8Tcs+lg0kLw3phtM5mvb0l3cgq/C7rU6Ac0BHOCj4lckjaG3iBN4EFbLmvCY2ueAHk EhTX1H11u2+zJtoqlyzWQ6k7cMtRrYuWjCLT2rL9YdJR84mji4d0wL7iYzlOOlVB39z74cgstUe0 dwrIJHBYRrJqWYtO8KQU4ZyHeDSECTloLhv+BrHk66kx1CaVLu1nlHex4GF9Y4C1QHG99C4oJyiO 3K2JmGkfCR0wJJt7dUu5rvZr99C5fzKzTTmZOBPuYXt5DUl6TXQpo0dDk/x81bsgFFfwuI5C4PIh cvGVBtsmewhY9RZod+BRx4EZMKpirCpZJENy07n0nZJGobbcacBMxVy/DAUfQvOkknjbRQxg1cOy kZPymMXLjEdDL3ZpQTAgQwvbBTuto9hpAZqKxfrDShDjMYn+5D/DO30ilVXBjZaMtTum88zx1AQD DeG9VIZETyapqsN+oO5sYxPUWvLxU2ZmW4bYgJkfggZaLnbA3TEZXtv8M5Xv9azrWMPntZaOnETy IXgTYj4r/AeGi2ZU4FEnfrsh/RHUatqfntdHPmICh+RcOl9xtfTps4PvqJ9BwgkU6x1BOzRu0F+I O5fcSBLsQLEG93ZgEQ5/anYIN0q2maf7VskcXjy1QqCGBqb9VqO3AdR/wOT+N4diMSTFJ7ccErdM NfjtRBQ6Jx7Ph0PiSwHRTOEocOwucLSfFX9MIGx/q/UX54TdtCAOpYv+H5ZS83vt4L/QRbkvDDaI A0c882E7BwGMGxtjmMDYf+RQoNELt5phBXDlNoxvKO2OnNVtLva3FwYF8whPr8l5hpuzpMo1JhFB Y2cIbwhuOyPPKAhKE0t7X1cDlZlMmjvipqsxI+48szlyvuSUz3TfRPQ6FTEFycz04uEtHCiwJkeA d2xPLpwpEBuzJ/Owh41a2Z04SDawzU3iJalV3VJQhbLYBTBJPSTZW2he5z2BEX8kD3HqBFJ05lZl 1TFC5ax9icpeTa4/Mzf5cu6GQ3mSH7cEhIm7nXHnVkK8axrJJJ2k7n3LOSFVn8CkMbAj1SWvadfv tMEZcOQw4ZqYFUNA5e3+yTwSy0rRVLpxgbCkcze/eUn0JSGvhau8Hsn3A/lXDP1/M02+2v0cnDsD 6IkWAvnfeTLW5D/mFhnocem/38UeqVR86Az3BX7SpYQNnekRdE6kV9h/mpafkxeEan7CkVkD6y+l dmccOhFRCD5NxO/Oo1uKlrZA/GxZNM8cN7xH8aJss+yC1drQRZzW5BLxU14Zl4yXDucsdKsKje6C RqXOvWBF3a1BLt6EpBlRIqhZNPmvMIIiVQCawc9YcZV9sg3JPvAAqr6b/C6mJrtO7Ag95GBDfdAr Hc+wcDCHeiB0QXuml3NDevNThpyIELxazznMCNdIHND2TBr6B/gecwOyVaxUIFIxgXZvXAAIfa58 h9Z4RPId6jJnQk89TikkBfWTFlSWfle1A96Tpi9jIKzp5VnI3En2fXyEXNy9Ybl33tdhivGxNTEI vugosLTBJuysbarQD2mLEZELNGrvOwn6gyrA4xolyb04w3oFiso7Fj+ezLZWj3RFOIxynHvNF17z ts+Jcv66ZuUQ5Ax3k6CefmO9djl+Qn/GUJixbdgiuJuDLa1lH6sKNj2I+bWviNZj8TthCxUBxhC6 LpP2YbU4qTRFWoJ/HB1Rsx3QGOex0ShlkyBOxEHv9FrCO1u9ntPz9VZxUQWOC7pOjdmyBapGFmSv wjWWxU0zgW0DR7OxkjoZsBdaHRTfXbG2CW2Qp4XeG6ZbNr4ZSdJI3LiO20leWxBzgN0QbKbc7SmF gKv+m8IwTXsPXnPsrebrSDQoqdhHjPfd5DZlni/MioLNg6RWXDkNMiHbnqVCqFFP+1y/mZn9t0PN 9mKwWrRz9sadqudDwW9vpT1HxmKp0kMh/HoGZ8zq/H241njsP+2i5OedpJA6tgE8Ak0v33Nb4EB5 iQBqhIRvaOZgwDbzcW4ah+qL9a0EcM2a02cZJ8lVtnut6cWxSBvklwneHFd4VED2x/hhFRM2pUUZ pwOahTJnJrhBL4D0xBQjp+uwwlZy0OB1CwGuu4LYtMovoagWqDfFLheIY2xUiSyTTpyxnYtuFscz Q2uJzwjfvNT+gr25jlmUmGHf+cVEHW9kzujC9Y2vVULsnmnG2USOcWwEX2SHlODljJoFZhEJPqWR Qvp3Ke7szWvnFrskNuDCSWKDE6F1CA1eXqK0+1yLpa57QCcDj117FNiKR3hx60bGRTtlTxiMQEJN 8dsipq8ENzi0KNlgcKkQpG0Z5Z/NLWv2kQpbHfR2DnfSPAYJTWYo6851kPkiMLSEFTMq0c3KQgXr escVitc9ORkbyH3sJPhUIQxlUy92xuUKlMe29HZxZDDpLDxmiPpm9ECS/R2EIh4liORhySTCQZxQ biOg7srz17Hxy44qzF+21+OWxE789ldmPuD8qtB0GQG1WIEC4mGFUQmYHxq+WEgUdWb8RpEneNHW 9S8iSO/LcFfR+YoVfIL3kLarl0L8xKi2XoIJQqF6FeS+OfL8lBsu8u19JNvVlOEqJpFKjXsYuFFI VlkbZi9ZJ0gkc+k8f2PeJmAbZDr4TwMMLaXPDtG24XH52A16TdkwsXd6ietZv+WE//9BPkncMc9s L9+f2H2EZg1qcJKfTaToe4Bh6jEqz45kes8RSfC+C3exbdcesY1IfHjptDl1V9HwVh9908GlKnHA KORLKikUSi9BD9nXY2nS5H96AQ5n8/ZQ5vhxqULPhK/u1SPZcB/lxLlWXjl/B++eAIFNi7imI28x RJEikEneA+OHMOGTwL7qfxZTmcD1v4Qol1G8lH41JMEcOQ5a4rmamoM0itgY/BmpZzIRyD8z6Q/b CCF+chDW3dWJP8/88fpoaKfyZNHBPNnYaaDi02tHMdwShzgoCqq9RgHxnGX0YIJsNbamCjmSNELJ yqTWJ1fHu0YjDYtpGyGzIZdCzmR6Wyt8t/t7EFm2J1XZMierM1N+Lukhc5m/MRnct70UvTGXzVPO ln8W68VYjFO+htPou4Eq0Kox5SZHbyki08UP0tgO495vIkw8F6xDXrHKbzeyJoHYja4VuHqMHr+j N11O+8B27bhV3vc2Fvevn+7UNN8TQxhis3IKeDdeBaxsmQ17kgq3LWCKLmv/GZtoAJHf8X7Xv9qK M3Eb2dch3Rr6rQ/03F8hYOr2e6fh94eQvYvf/Fy+P0+lr1hmXxutz34Vdiw9HHnPHFQqVI74i9yT 6usfT+lVSb2fLJUbzRxOIfa5EfTuLq7Jc2pdDik5wkeEAgZWPEtYLInwEtygwGM53TYeJEb7KWPt xd+Gnck3sVCmOjIbqmLgIrb7muESpDgqIyXflXwcvVDAVhMiIHOEFP+rrXhyFQ/ohwknjzFR1Qpn 5c0Cv3vP4FW7tWcwDjS142UMLPoH2yHcoxGeUiQX3JnYJJLvResXWnvtE4viodBpx8G/rUN1LnSP r+yQjHoPy0VpjSeTPnFgBeH8cfoN6NU0B1C+cnEeDAQhZFvIcXUXjyuLMSe6DD7sm4it2IhGfFGn k2O9giOWL8dmdii6J4OgNSN3IRLEuhvCpenRPkCMsPGsysZVpRFtSWvP/B8ZO8iuS3yeR+u/IRTB BPnXSH+iJGtvHwovyVDjok1ypXb0T+Guf1g7Mf6beaRL9tRKNIoZqzMfojn4NxjlwAps1hslURXl 6dOSTDM0igxhAH5EmXFTC0fI1TM1jsKNPJio20tiU9zCgn8q4qndKktkWWm4jweXF6BrHPSz2FO5 rA9i01CIwpxl04MetCIV/PqfjNZwBlAvYF1UiOitRmp40oLHXISaGAjfcMJhIfO3fGd/XhnouBzq kdZW+ZPyRevPkBjNIPuFH0vhdR9nOs+uEnNk25hxd9DKuaf59TEWsVy/HIgo+Gfys894ygb84DNK KiLDxf9/sK8uOEwh12GqgUpZbejZZsWkRxSJVn82d39Hu9+607lIF7rB6+USuk+u8v26CBcD9QR4 /lN4/y4Y93xunrwOIa6NHTJRzHvP8LOtevrznIZecPd65K4LcFb2eFi2w+BmDSAvTHMnmDh9BqDz 4LRpJvCAeH3xgKSt9PdBSqf00wKfX4jkW6Xkj07nlqOfnZWtZ3ZlzysJMgEu3AZWJWXrfPoxP1qo uM4TUu3ltiKeagEbMPNFbCApJvRNdmJWQ7OR3YtFAD9t6aQqXd+DRfs3qBytUIOCtWwUqv8sDFBJ 0fjzuYH/mHAPvrFIsPEjVW/PfgCszLP5EKnypKfqan5MNDPdufPF9OjskJ9F8pYpaCEQIlpzPDod 8Xw1/y2n3bDb7Kt4KS2tQKTXf+s5PjfC7xntmMsJzZC04d0U/NpRusq+2kENlE0bUrMwukDCMB99 n81lUOBhOJk3K8NzErNHxs3GQsul+D7WhQchVm23At1vuge9EcArKFI+MV/DHyuTedzg8TXTTtrI 23svgj5RAT0tC9shlgjrxRjtOlmBZNtcFzKZNWKlC8/musGfWVWKmEyN+FUjlM2RnUQTRc48HX+/ asgtCI2+HP1y9vyWmpjy/HO+/+i+2u24nbvNKLBfocU12XGSbI0PcQTVSW8YK/TMopqlnA4LcIaf S3kPTGT8BmPg9suLvc1l5CNhvXXEjKfk9ZF3TnBDKpzTtdTP3oLIdXtgkC02MCZeFDXQ35kjloDK LrgIAP8iA9ojXoE7jr4gqWXGRQ6UivaTb//yPRiyzliqOZpoiC2C4izEmjZ/1MZ2qWWDpEMvE+AB V1fkAqKGONIHgWlnKLbUENRRsncA6I3VwjwZk2oqiIrqTaggitDuz1A5LQ5jA8ILpY9czL07JN4/ yn0wzH7B6r97OZD9NXX3aCVj/GcXHGvHigj58QCmcoUYqvOaIX53VX7GioHCNywTklOi1DgXycMS 4kAfCZcO0BeYqWP9+9jwdX+6XVue4lJ5oy4+fp4e7LK7Wi/DXiPVqY5xyAslvepmASK122MSoFXv IETUkq0zJZPAKSl46w9fR7bHBEYIdHYl/89ygVc69xOslO5ul0stgujCqOUhap+iynBzkP27WYKF 8GwfVDRlrP3ajCLoZNdqj03ItAXYByeZeFmkUd4EHtr7H3lFALsdQKX7XQ1MUww5o/v3rZS9VU47 EMgyIvL21TIoFWgb67NcadaMv1a2lDMKmqLUkTd5wS9WRtYeaAl41DixG+qqtJRKZAR7y6BnPBOc St9rMah4g0Fm7uc9j511TA6rMnokZlpw1faejMwe9ySgwFxCDWKfvgDKpN7qdC0iBT1BmGfq0KqH sfPGd6agL0uj7IlM2G00kDbV4m19demBlsnRWE4NPEzzO1Tiql4n1iCh4mKmliiNcP9N3bLJmjwW 76WkOzIL0qRVAWbcrKZdEdWMPK62QWgEkqwcdYD7UPDS1E5LF4NNcIXRlBkiWbxhTL67WGD8Odm8 o7nfjqCNj/OWqvTY8TtjznjaiLUzE+B2k1Sm4WIP6ijvMycEGrwFSoGkqgtq6F0W23TwJMg5Fuay xDaAR3H3V+Z4kkzSTmfeH+gCqsFRaKJFL8U+JJExZF5AMc81f0zsuni7JtUiNbGblS9gSYQ+LvTg FfQvsR8wbp+HKY0EOysZFFY6OecDEW+HVSUKyVPCOK0J7NhnuhYcQmd7grWnJlh07TEW2K2kptSG wBZed2x2gpB7FZ7gm0BlEHjAjJjDSW3ejE1DQZHa49zxFskd0qXIQ2ZNvr0FcOHOPGBp66EbPJ9c 1QTQSHY2lJMgy8ptNNvRov/QjEkwiIihem5JWYDzLDtXM1lRaXeEpnUedPty6zjUQXBw2PjPRFfe 7TAj7XCl0mppXtymN8c7nBSwj/xX81r0Qzt+hKZ+YjXqZ7Itek23aLHGugTh0X2OzTmfHFxLOn+5 kDzXC8wBS8iIw2WBx+rufVBzix4cjkpD8VnktS+wS0712/9hPuXKk31s8WffQElSGOziwFgjqVIg K82QAuFY/tR/6FqCbvXrAsHavExEQ20bCVswhDM/7zPgchRRHqq7Ey3A5bMRTvKgl81vL70nycxK 5S/aeQZOVO8IMd6SkkX8qwuih8b1Tifbj/AYfWeTX8ckKGXs06P463eK+TBmuLBNy1WBqzgfaoHT Qbfc6xfvmIZm4R4JmeeC5aKiacud2Mh+ZE5WijhT8wvdpJCqcnQkZbiXO/nYMwE05QeVpaHrhb8B db4GHQv3Iuhi4EPPFqZaOjO2w89MdOJcO65zcXlOa9GmHHn5c55Nax+41GafGhMRPzbUD67gl65A p/CQX1/TGuaS3tkx50zX6MjC72Wdui+3N8C8sxNacB3ysjn9gfdOuGB24CZ7wwFx3FCPPmZ9RBqm FI14RH/Q3spnRnZMRBtAuyNJLFt00wEZ6PAxc6gha27RrKGHnomB5sr5ZMIooIbmVasteJNoCDd2 flQ0TUyjErxIGKEHymKPzvkBbViqKfNlJlQiMpqUv2prm9UuSjiFJT7xnV3c+4dpVQ7VEWH7u503 7ciUagIWvHHs5+zFOhfDfaD/M4PnNPweLujJI1flCJGQDmWxRzeVLZK9cYx/aVdQp6IXaXcyU9gS xMhhExaR+Y8iDvqBxii6CcpVEWeYd0lcrzXzc0IQcrYK8j7KAG/ErJfrVRSldQ7AQZMebgs/1dpZ ViOMu9PGK9ZKCTSWsVBZD9XoFFjrkjWedlIlhc7riD2yg/hio2pXG9C/Dcerr00ei4EF0sH3XafC H/DSkVQQAhmnfFtoBzIm+3QxBKZ05R+UIU13BzGTTFZBvam0ITlD01XxnpGHCgVBptC3KAB3MUZ+ QDmO6tlspMgRXfdEbM/8IRxr4i8kydA0INhYQtOYLpBjQkp1eflgFtx/XCqwI7bVV4P5HPrGQ+aU XSGk7NiNnPOUv0EKrlANeZmMxzcOJn2bjZGHa7meHevSRbOzh6FDj0khdecnn+m4tGG9eUDmqHlF 9Fb6q3VC9VFEAyV7nzwR/yzqD2ICQqPfKz9inBVKawonEzUKHVXqECFZJCI2bV5MLR15qa6oa8mW iKqbjvBemJXKA4VFSg7omHIeovd1frtl498WzJYyu1dSgVUeB/PjfyqyjciKBacjNLldQ2KNpPPA pwNjUBFBppVmnZ8wcPGZ/GjQ+hxhe8duy7pbNr6OKP29CGNfqhXGiVugv0AKXScIkzDvjYE4TwCv UFRuCMnxyfNyrTRt0grsjK2eYodk2nPqYUWigyxvIvF+buzdQu6KMmuCqataqfywa9qWdEmqkFgS CEk2oCzAsxYEf6MLHqUr7guiJ93VDnGOdsURQiy+0YuVQG97HFSAXKPjg5J9CVuhIwXAEbV3oJu0 WCbotWLedg5Ilv79rHA7Vv3VxJUohURx0/iVfk4jA8zeavizWlKItD1PkyJOcQZX+3wFEW8iaS26 UmHtrCFwyW3jxeEAzjHMzW4IOCSoLAz7yo0JwvsMNhCFAT41Nh85LRivkb9fJrZTLESovzpxCyy5 UAUzdn5jFrbIyy6zWxR73im2wUai/Kpz9NHOd1oyAMS+mkPB1Eg71Ab2/JnXWdJuhL0Yu6zyUFTc KmYHtTe7R7Aw5sQ7VlN84DU0IBfTmxc39vW5/yWiZj9RdEBo4VtzecObO8DNQCY5sEifv4ymxujv 81+Wmb/2w2mCGK16QQBhR97ZfvfucB0Aus6XqmhqIF/x0VcqjZzPwCFfgnJnnmoXm8P3sZKC5ArL BpLBToVVmHJBBSwFv1jU+grr/Y9/XDA5Dct2keeMQfXoBtR/p7+hyVrvFlqUqZxI66JeqaYhA//K VLWmPQ3UfOnAFPUreCzpi2dmbSPAeSe0ynyNi2OGml0nNw6URFM8CbIM7S3hTI61fTS1ROd+z6P2 Z/ppT3HcPTwHPO70UvyOL+UX42z6iONbnROtmFgMfGVaBDZoMJnpPF4jz/K6iXY4xKCjQsDmsDgd /CnLvmX9VZFlWwx6owUEZAoRv3B5/kIqG08bQXxJUZerd+W/gEj9117MhRg+Cukvv3HDRj26u6eS eUCjhK247xbJd982wo9Cggloi9xcKKpx5sRiC3gIcuh2ZpwOG9sY0ZdTF4BG5xdQLp0q7ThNRCTT njoXaayJs1lo+rnw27uDMZJEZiAibdqpVJvUVjn6uGNnGekacDgZs9pEuIpgNsUldvd9fhJwNFt2 JP9uR9jjaPVugvA9JaOzHQn+tbuN2yo3cuL/yT5kUcq3jMUCHieCN+FC6PHYnf0QgTA30IlrO/Uw dU0aYytwS+AB0ZJuL+WXD/GwaA7b+pWdmT/hfRkqo3Ap04uAt7G8nyVudrV2Rea3YcmcWmL+hz4/ XwhYACLp03e7oJauYTnuvTMnkiXJOyFYIJbaJFrE3eVeXmxZ9OxrK4AZmGh8xpDetqQp7d9x3zAE 7lcDy6uhIb8Rr7WuKI/pU++WM4AjSt5Bhq6IdVdpuBHOgsI+rn/+4o++v51Mr73n+sBPzeRZQ6Vo JU/XG/6qFfitBjeEGZWJ6XA0YWjNkeZi4rVpyk+0XqmeyjyjU6nkHdnYvjS5TeBsXDOq2384MO79 ikoBCbIm4fHApCVezUQbtTCzvnkukzZzCu22DOOXo5pE6q1uAgrSfbWAGRsSjglnXhkUO+07hN+N cBsgxGd0S3T+jN7KWsO7tCbcyve0JEEPys0UcIVfh9O+rLw+NDPTymTNZHgqYaRdDVo3yqgFKpnq huLoQ85TFxibWR2Z9ADqRI03cJ9WWBEZPxV1Hr1lxVGpMFCOTwvhyYOHn6yq730oM5qALWIMYa5r +ZLg35uxFVCGRO1YkCfxJjx9sCJ1OamD2m53zjRyxG5r5skOu3a2ExjIZjrAYf0IlzPr5JETXW2w yI0wSf2j21BgB8eywaUNPZw5zuRfa3MxmyVWh0FxaEN8E6AkM+VvYNrhC3gc7iVCapZzHSOk6/zN lc5wQDIiJsDqJEM9xcGjjsmx7xUu2aavvrJ3eN/hFgSNNTkx4RVQs82ikwSTUFIBuodbHsyD83HS GMmJXxNJ+aB6brWCv0/0yBkVlRnREAFD2xj3ciBiUpqBG2F/UGx8DAAKUh2TKF0EgM4B1l3XEP6I QqnPlaMFU+HKmhbth4WKMb/9KAWV8DV8QF47JebRUyFkKwUZG6GFmKjRW/ezjCwTsoLhF/O8GMyI hdujDLrzbx2riMUBaU+HB1WMZR+Hf4HwAUJG1wOnl8bSrn/RvckFY93me6gCSusATzja5w58VyQ0 Djy6jaV58IBfKDi2QiIJ4slzBhr08IvumtlzWb/6ABXoiGDCtYQlEsYHkNNQlFJXGaYPjRftnHF5 SRvREeiBbp1OsCx/uiPPRgojWSdt6FDDCHxN8qWmLpBixG1yRZnYTYLMthTWWiEyvV07ccnzr/7T aSAvNNWeVGPqjjq5B2TRB1njCIvrXnNEijGvfkgh7AEobDQQV3CNJcZwy4PwU6IJBH3Hd03XaEd3 k7W2myjrkBuO//YBY1HSAcqg4zCVRxxr8TfcidSzmoTgMcqsfUSwgeqRLBwjkaDfBPCzhbKlv3qM 2oE13p0h1InOYGBAvsQAYSoIrh+3ZPiBg+dRzuTIiC5ugJ6PFZRxGCgcVkZ+TY3HPdn2t5k2EnCy MExZysMdSNZATkm9Z9oYr39RTShvqR7hF8tppu+sRTzjig5trMG9ZT/zBoZ3J17RYNGmv05CUILA 7ajUDg2JR6iJcS86SEVLlZoIUE+1peP9TIEXwfQJrTzzkCcsy3oYsKxui8CO+kBOFoRDR9glYoFi Weg3QZHlViarzZcrTKf5JDg1MUv5ofpTw+fQUxnCHTgqBFaQkrid0kCZB3KtycTTyYn+K7f7OK/1 aRJL4FDzZtjfAXJsUIwh3sbuvs6mA28DGR/hEUHtYd6vliklaw5pVzOvBvTR1S+X/rVGFxc7CdlB R6Ow8gdJr0DxDh2tIhiz9lSC0u7yR62ZhgvxjLrj3WhXfG7chppGHCXel982OjnpN1Qv8bLCQGnz eLb4E8dxfTWSE/6r+nwKwsE2Z+NABBkqYT1rGMmaGSlQDUZj5C8Ia5YgF5QqGulU08sSGc2iSTCb LHwzX13F91j2Y1eQXhM+M6MMuOezvPtzCrekhm57GB50yYvIJiZPEl0OUYp5ZML5t4RRXkwSpC8N wr/zxMpgCToVu2inxPdHMmbCGKvaH964NjmRLf0pDEnez1SzQWuNHO8htIZLDVxLNQGa1gr+tTQ6 mBiLMujr6BPz++kgvpW4jBK3oi8xvprL/2Yf54LSqel031/MOYgc202kvaEVVlIDL5dHGjseXnPR PDzuGvjXxS2U/MpVmP89fhhXIV+gQEvppWzRT8X0H7JJD06ViT7xEiYB4BTt1aXP6aLQ3/EFyg7d P9ElV+sw4eBq94wWMOG3DUTSqQauqn9F1My06LrH8iplJMZh3abk4evsjH/cG/fczKihwiGlmA43 s0+x+CGJri3z7WYjyhe5Sr6cy+sZdNFU4dWpdeVsY4O1u0eJcP7LeEXPedDTlLxuxzIbg5svlCS+ 4wqaTe2FEBIqpj9xu3G12J9dE3vsw1vZVccHSWxnBHEcQrC+IG9MAQUd9afWWi0OLW1YmpAhQ9fH /MdOilCJ/ccUTwBZ8WWmzN8sG1zKnsOB7/OwwQ9VFbwsJP2HYsmcI0gkeENNny8yZAv+eJE0cb2T ymt/Q3gOZTvRVmPslZAxXSyuGg27/NQ+WxRaoBNYcS65SDmZE9DqW0/yTNU4bVmCMzoxZAL8VtIk 4QDNcBuEKrR9erEqbfr0yVplXcECGnSubD+iyKqkUYi5BY1m4pRwFO3yX56cKex9hX4/jDjwggS1 QbHJHZePZv/+G1+hlT9WQW8gTuVcBUHHzmZl8FdxEmEu0dzJ7sAZrq8kmAY966xS8kEABcgtJXRT RwjdFxzft/0TjwFWKx37jIkQ52FjIMK5ph4pFxvtT+u2aSPtZ8Dhg/n1Gk3b6EGKVYecpkLxGbDK EExkPv6y1ZmbxQTJE0B6WBWKvu9Iuh57OuO1rXeD17Rcw8doIirjNOIP4mwe6Sk/z/RxKnC5Prxd QW8lbn1O2mZr3oRZ81m1lVgh++Cu57OhOiXWd7UR85U6aI8P65f/PRmDdJ0Kn7J2a/43ngyEkoTX d9Zt1VDQfjtBNSeC18ij0MNg4pgXSjugZu6UQ0/TMpRLLxO/nS7KNuuMrwc54hMGz3vGiE18q+AO Pn51U3gfqJNr9TWbngx2f5VoEp+bQRx3+O3Q0I7zJdZ6GPRRKYUfdMmRPIGGZCn2ezdcT4U90Y/E IL3CgxLePj87k1PitmCTMce2wFGXaHjLTjqTAYR1Wmiv0qCwvMQo0twfNiOlxO+jwlmZ3+2i+TjJ m8qeiL4LaQIIp/W9D245rd6KofjaPQuVurrG+T5893/VUuwXmc8+jrlxf0cG6J2lastv8TrxtafZ IsKbo/GWJpoVyBNK6h6ZIGy5uBmLgmiAda06iJ965IcpRihQ3NgQynnk2tcgrmSjQFg1Wyj0ssrN ZFz+AJ4lAHWrGK5gvVkC8fd34hJ850GJJi/unmPRCfPJvu/Bc+s0GAt5eNqAbEcGnsy0UFZv3WI1 LqEB3XoJ4AC1kOu8AUtYQqVtLoDv8uhjSm2pi1VxRJr3s+Daaa5Td3scg26WM0xDOFMG8plVZJAw apYAqR17x5QEtU4jzNZKqN7C9wjNiCXtLgeHxPnzt67rlqPK0e+OMx5O0Kf20/k68DxwVVeICRTV MiAxGEsl76fovlkwTE6fbfWB3/lRD1Vvk7Q7uxbHMm7HR94TRgxdbGXpIQwhGCTh9tYyt8Ju2dI8 /DD1N9bG10qrupzAxxYn0eClsJ5yWQ4EGRLQyHOmHnbBEmM+IUHwd254dDCKP6S9dbUOYxFv9THR uvJMta5SucASgQsqVFG2MHc+0AuZLyAvpXGoSQOySWHmMhCgBH6WLOSE1CdOmmODDxCYV1AOvTyU fdPVs35j20S1uN9CwIlKny0Zuv+qUJpGRVkSkd2zM1C9EJIYIY53rjjw/dVK7gGqQr70uXq0nLdN 6HFctwh56honF3clXf8EBIq27ROAEaoE1ec5gKisMWXkLRiM15WyDXwR/RBb4xa13KcmxsfnVlTL FUO1WlUC0Dx7qT51hwPYZkbGMzTGpQVC88fbzJRFHPdA34OIZOl4cSUfwpiHkpsD4bZPC24nokN1 wLrn5MHPn3d2TOUkUSOSY8n5VVbhd3ED8TzDSaiwIJXbjtrjzYCgpf4QdNuOH7zxWpJk+DOmxxnm v1CQq/KITY0TdLlX08BhGGHsMp6qZ6NE0kysdKiWXkeswFX68CX7ITWoEfAkG3yJ1JP0uvEAUPav F2Qframk/UUcigSFFDhGi1PEwsxS9wRAt+dcRljOKiszaswjONMPRmel0Pvha7RctLe29su4GjfS wjz3a2ZjPkmulWwU10jl2dGtTTdrX4OxcZvz6ZFLGC4NLj42hfI2fkJs0aIfZItwTabEHenv41ZC wqm5llwZtwsszBypfI+rW6sGQy5iOs6EvC9QP1ZDW2Cs77vTCmH1kzElk7aiIsVykxpAZ5LW2o1R eMLPtvJVQ1uZdXrx3hNscQBsXTYafKBB7RGK6txZJ7uTyMb1lHNUOibhU6wLxwaVXdg7QywcJGH0 226G+chPugC6LAwfpm7I8PAtmzfbvL121p9bwGgN42gK27gaR7l/TtOT6oSaLmNytRh5XFPrxFwZ cHOXQUIBKyzR+g5yUPAQsg7/n8o/z8QnZhYahbsd/oRqjCRTIjaFwQ281aWUj6PsaWI78jIP0Knu kATtSb1vXsmKHO3kFq48Rb4WNIFPLi8gmb1kldKw7CZ4dUTkpto4/vOYIkcRanVI7JuRlFQeGCIE aSyXarPSMvdY3MksMP/yfoIAlbj/OUJrWu9HXUtxgCgIL708I4xr5CP1MfzsawllKy/VGIWd3uoN TntrvoNNCjXXAaG1AraTp+1UrJYODIiRZ7geJB0x67TRjFnTnZeKxdB1jX9XC/IkXUyVtv9nqmia I8U9xKp+4aDgxjQD3yFcb4TV4QOLB2YhQm+VfuDEIuYPRZCSpbu5G/Acz1wLmWHqtVrlYlK/nsgv TdwBzqyIaE8UiJcbY7WKwhQlztS/BiQDz0Gu9DU3loakU4EHyot7P1C20TVCCFGqoxNBRoMrx8Bn 9hirOxy1DQhkgrKnH9iy3pk6jmKfklpVr+rDhog8g7d9j0msmI586cpdc80fWdgJX2zvCNH8wyrq KkeaTZ+yF5L2gdnmw63h4eTmS5mnRP3UN9TdphT5Mcrrkct7ztE2wyz8EzJxYQUk43ja43Vmznrt fznHxWKiwYlZPCEm3sx/K9/1bEPXU78/kKiwLuxOPtW4X/xgjJqKVE0JkGj2qhCy4T22VIeJq0S2 ZrEZdkUgxa7wruuZrVMpH2SMTDXc2MWJU5j9sbv6O3mabQpdlsPXWNR/t+uQC9w3HpxkwXzSKmYY mA9DBhSfFl6n3XXd+3j5eKlC3YOxyHPr+nLKRV8RXnncnrBShVhX9PY50rAHoem4f9SZDjkVg6Gp 93xSp7yXrpEaDU9LM87Azqxu9fldomvbfpkTWXGlLGUnrzlmgGzikIuue1Wxw1zsb/dCk1mALjTx Aeo074FGPo0TYI+u7sB+q2M05DvbHzLDPrfcbf9bp9kIvaNe8cbJMrW44eLJ/zTd0Ztkj26tO7Sx N36JxXb6ZYv0dTwxb+nXtuaRXqKuDmjZsTGu8OmOuO005R8hEX8GQa0N3hbdAqNDjYaLSR6+N0Ym LQMOZQn2U6uHeBj8d4TEu03l4mWDy7LvOQl4DvwtkE9WMnI+6Xx9GsodLgjI7NRdfw3oQVuNAr4k y/7jS0S2WA1QmRzvTG5ydh520Z8BRaQM0fXLMVkHqUjgGlOuoo8eQsyqsF9s7t5/TiHRQRATOEgd 7RIFTP9PkhtOgcM9s/3iPeugsg9MSrNMN4khTcfYAW/va9y5ST9UPFoisWgn55SsdHXCFca+FF5b aH/IZ/BuEzkdWbA+zUXhwtSeebJgTLkYfjIKP20Wk4idyjcDQj8kv2fPICTregpKG15GH0QIGwjv 4D5QNF2iELEfEBrFatZLi7ebuZViR3km1r2s2g1WtHoar45wcnbyx4cLkzU682Jdy07jdSorGHEl QrSFql0qH1ggWjcYNHTAlAwo5oZTvoIfs6zDVoJvpk9CCa+l697Qwzi9RS9XBCnmI4qnzntuKOsv vGwbs5D+kFgIVse2C5wwHWwOwsMOC2D2KFnE06yBNj2XGQR3i5VjS7yaY0sFQ6R94SvQkbKHWy/1 kQcnUBEGo0aEfziFPQ9PjzwSr5fGNsFQZaYf+HI4066V0e4CFGQOedK9l44CPuV23eVemObB/C3t 8Vu3FmWbSr4YUt77JTESYaqbipNfMBrmq9gLvOllD7NLF2qoVhK41qIKl589IkVGHTiAZTdhzAri qmoe9NJRv861GHCl02h5yIynjmEZOjHS9OZo+s7EOiGvjnpDIyGU0S93n+RyqYZEdJKgwovCEwwf FZuBm58gz1aETYHYM/wv/hap0QFKbTI7t+lRNTIY9v5p7pPtCUHuC+MtYRlfUHTJOuIUecYdl9gY vgyn1wz/oIU6ZX7QsWluQUOcNjYKBUv2rYxDa0qFVDEoJr2lILQHN8bVlerfEWzJ8kPwiHO87WOo q0BTClK+v+QXD8p3AsqP4ZkNXaDurC++9C2+WNKyWw4F7vtDFF9US/71x2FKncv7N9axaARqEqMq 1BCnHYEUDz62QUuL+khFBwVhRUNemZtt3lqwU0ofJcD3xjtjDbX8GJ1OLdxiNc3afA/cZnbMOhcu kfNXApnQeOae+577UyP+euxRjSvAg3TYRg20/+Lb/hsQwSWqtEbSru91bj9nA23eVNCiBUc/nbdg CH+kKAhGw/iNnQC6SCoApiUldubN0cLEf13ucyeDfmm7uUZA6YAf8rcBGmg5fQAj5q6Y2VrAO8dk i2l9kvcgCxzG/lLWDWS/EYk8+a+9RWV5t7H+47uj71B2Cj4fyocy+oNJ1BQ3prtUsVdXQ2JU/vG1 BGbxDJO+fwdKywq6O5MTnW1AAkwoczYpGg/QPUVSFq5PDkJ3OmJQ9FMmdYx2ggzH65vh6s97RSjI TKfn+r/w0cdeZIl/afC3GTKMdRAQ6criXvAuV0lQDQLJXRdv03nBzAriYoHaztDFLvNekjR4MpPN tHFBbo1BKYmdn0HS0ujR2J1mwL/crqJFR1CdiuLycSgIxyAYwc57XX8U0zLeSnG4hkvf59BYpgln kcDDIbXOoxD3y6l0AGiuoeM2I23jIKA/7gXyXVJYoRNWquFntd6ELLQZVGII6LcrRqfqN1exZmu7 cSTl9OK2a+sGshpkRddxbhaSv7rSPBn2pcZ2KQud5BC084lMv4/Gw2Sorde5bjSOvbqLZRuSbehQ Xdd/CmqltRRIK0BgepzOieZTtLJk4aCT9d0zGqaoYqvXD9HFXUS+jz9kqt7u6vGsLPv4AI+1BfVO wvaoHpKqacA3GOgD24Owvk5dGli8J+JAmplcaWKBxpkScic9XtVJkMf/PW9hP20Ie2k2IOP1asir zpC8E+ORChVhdxbKWZBzgQc0pcY4/aEGg5U4jH5tT++IMVdClX/lxr+u4XshLr+IFdxSAKaJpo3g 3c6DHKE+d70ejWN298DixdgaCl8999/HLuIwRdvdLesOjTyLGFBhVJo4FqWB9UfLyBk70zBkZAfd UnlwuNN5zUnqOrb4tPkLYAORFeTFI/mXmEeI1TmEDrUjf5aJI26mDDG+DjUtayD22ZyarKZje0sI n8MEevaKfYqC7hshs3ATTyEJ7BxbK6Dr7caJP1/zAxTACLSpJ6AjCwOgJrKXWJvKeOVOD1Ys4mMk 8XWTyjWLEUroxsLFzQ/ncAmKtyPFRxBgoi5p5e+TbTYVt3lBBOG6s5/d9lnBT10fqC3J6j9GByge Jk181LjKm7/qepWFLUDKefnnABQE9s9mjNItnK7MV5XhVA3mpj8CJEhHvWhSgNuCFPaVFsHhOTsB iiWicfI+qXUkV5m3KLm5yjLu2Oq/l3hzFd0yyoNRRIIfpx/q38yIxPCKLGsh07fyuZbM+SlXAXQK FdD5IDIKGJ3zjIdgzWX9IQn3LbG0dl6VnSB3rvcawRPcwdPUSehT32RLozVUl3JjnjxipGkKPPh6 xDQtCbmi43YMbyzZtSoopGH5L3Gbf7JMFQU1imiNK/We6fW5OVRG8XTvP87xq9EBTUBOj7kL+nRr DtRFoyzBXsU/BRGqKeCc7O14jUGG2PH+RATkzoq7zq1d4xFKeINEwq/qUWe7f6il+C8syaUnTPi9 TKvmVVoxQvEoQxoqOnODKiZh7cTEodCdvqeCYUXBGL5B3xXAo016ffGlGwXqKljY1b1e7aYN1086 kyGLVTW0pePr5hLWJ0F7wyT4+Jc7a8j78aLmI97BIGxG704p2+65Ii+pHJLAPijqp+2nDNv8wSnx 9FteqUMxmrw6LS1fnOSYX++MSdVY1zbb6LdsEZKqvBqsT3uOYzi4RnypmDaNku2t14S13G4b5WQa pHDRkRRiBKOlSSI59zPbsxtpfNDqG2a6fGUfOYX9j3f5ekCCwvOY6vNIAGx1xGPuv58B5cjjCm/0 zIZt52xLX2ycl5lElvO5UJz5OKWYRLTxyYhOCCuTvXJeYAOh4/L42Kwa7Z6sMs2XP91SfdqRCCPX IE7sChRbqdKGXBJOTV1AE2fylo6EIqWOaWWshg8wD1jf0jk2oGu2YQ4RaVML82c7hHNr5yympcj9 YLKiOLSgQVoPxEqZsBx9d++yZMlBCL9d95vgSngjMRd2XAMoaUfp2e6ivA+N8wSyuY32FdDi61pC 0lQqWMwCWie4M19AbOEhN+opuPR1FMj/ggrToR7AHFvmvGsfmZYxts5/8ujYefrkH7qBys+g561d LOsjkxoxQvNJZ6W7AW0dHuuhZV/P7XRFUxFlDRVzNQLpkTHYlIR8DjipWp77ktMl+9amsRWv/dBC uySeLaA9PamwkpB3CcgX2Hp22OfrW27fN8F/+X58b6wCyTBdgex6vFx54be79nJS1pMqIgj6dNwA kKnI5fxk/iWwSBwbGQQs7P+jF2AFC0eOOgywnLdkl6SSaTYDSrCwYqRj7ksN8Gg41OEG5ZawSU96 /2gV13S6agd0ErRqLM5KK4FldNS3jdBMJZdAzkwJrBprpjnfyIHuVJnaHjhN7fcs8AnVnhdBB100 PHpqQ4eMe2gA00G/V9t5SCgUp853kHlP0N5EykfImovtrRDUZgEz0hnfZqEyeMD+hDJwvLDv+CTe g/RHDWlsiWBXwtZQeLpv7QIOT/OprFoAvUqVd5NqAaRl8NOYNrlKApgl+YDLtAG7m6rAxnbxiLPB TqWi2xR2V7YQ5Jcq0xrcGczKibXdnfOfNmdOPwO6S4rW/4FZxVI9gmubxfvz3kP0aDABCpoiS7Xg fa4vT4SyD7IRLkVTZign2fWXlvjIj9qDzNs6uFqZZ51qXDExJkVO0WnJ9+bozYZhF/zGVdIh4/9Q EE54Bt1GoMClDAC1MnSz8JFOB7alpevQNphoJeMNaDc2ZFgBYbrhZeKqvDUrHIdKUUUIdVJi+0Kq sWVUa5uSAzDrfE4che9969/4zfofzp4UFw8Z72GmOYdfFHyy/1OsHaPFop/n3MG49hHIaHixnigD EGaEun3nSgKgW6DFl2oQGRm3cOQ+rzOte8IzEexo4XVLoINgplFDAjGV2jBWaOeVI7PuD10YuhGL xJ7dYsoKKAQvVl2PYOcn2XAmSIvFChTLylPGZYzMOnKTR4hA+erJFPHWD890r2BvYpffwASDcS3a Wg6o3zdvWEod9oP5A44fsY5h+nzeNLxP01oXOKbW4KbnybeHDThsfdN0VhvG3/QX2ioQ/mIetLWS ivkNxSWFUvaIi32ferVwaVJf+cN+nlfEO8akA/VOK5K0V0rXnG8nVTgudfGEUwUH944bFNUhbA5/ yYHCaxdU7WRs2ZZm+8W9hn7K9q+/GbzC95n6avya+JhzNHhb2wj6FM7zV1cDwZXyfBbV3faNPHsH Zn2PCHGQhJ7JhsRfbo4dYysipEJ+ILt4AvoPhlOtoYIj0aAPj2+Ge+j9TiY2no1Gp+CF68dBBcrz QeKJHeadGx797Hc7MbEALGJuX5rBLYyFd4UkMwcIYimjZa2TI8sOPNBy7bVV/uIX4q0V/qtt0nlH XO4WlxGMckBVPZ0n+aC4ZEBkSts4co7D24ZuKXqwaDiOpN2cztD8WzzRLcJd9cNsPe67KnsE/q4V LYD9WSyH8Dl7lY9hGIZsAl2GnaBO6K70xU5yzJQThBcDJA8ldamIY0NG1IpsTlrs9zk/mvGtZFzZ og2uAlh5V07/5gxvSuAeCYMqCIX2OEemOqKjyXHDAaDzng2e3KTFTKjTVTlm6woaMlR2oeVBLP2D lR2HMXL7siieyi+8i2JnwiK7vcvobxXnUOvIxNFfHSo5vkDXA8kgFfPCyWlngvcjJ95oeYsK0Zr7 LROYqMY0lD9mLgUkr9s7vbQlOqryywe6LADNVUp17u4SBpvJJi+l1yUkDJvOhyIZTJ90sbuf0zNQ 5+7FaVc5+MESkiXs6kAOqx5eW7dalQt1/Uy+NR9js4anvJE54LjbQqfaTFqgEXvsjk8VK1RihICo 3oBDhKFqcjnBzjZbC/mUvFg6Wyvwx9mJtZe4Z15GKT8ThtlefKXY7/bJSxev4T2ci7/rFvIB3ylU zpWxD167MKYlptowVHHBNu1Xxs2D4ARCgQqR0+yEIczSogGxyU7S5pHIxpMLZfwXhx/n+0yV1gq6 bC0Pe4b8Glp4PgJMHz4f1CNZToLwxy6lmBPhBC+IPTBu862JQ9nWIjcZHkutejEStIBn0NTbBevf Iz+IYsSSSdfoxRPkq68wx4ofpK0uQsldnigHYzR6KA+U4Mr38GfhDmKh+MjsDt0jhMxDSELbKBzE tGP5110oIlhvxFkuom0/f4neFUH64GmZaz4bvoxQl5pGFAs6kyOmvq2x/dfUkxcPs1oWZ7cCIa4F mp9HYhbfd7ZmOkVV7wtNEzXlYOAYs0Oji0srmVAatrjKB6jfPCGTAmFRvmifGCBcytn+wkHrg0Ff 41JhUS424k8YqpjzUqx2Z4S9M7DzFtxCcoDtkiG5Vz25izZ/sOe2ogcifDDM/mhEU5pfYMKOTKBf 3w09ZeYARMC+tuTtsj5jWJls6MZzyHB9BHRgTvoAnB8irRo0I59JHFwr73WCUIKu2xpye2payFIX qlZEb613MCyJroEPocjqMIqJXzp8FW2hRC5wtkEW4sD0osOcGZODF6hW4vwwiZ24+GylThxR1ZhR loCscbiq9NnO5Z7zcOvOQeLXSjf7nGaRXoA+7hLBmRYNqGkYDtFeuK0CZkkqVIH/zEB5C/Bfgjbd dEEVwLc1J5ySBaLolHj4pFFAgb7Dt5q+YWKPpOX1xA+MujIFnvW+51oJCTmR0MWAxVKM6jFDyDnN p6Cfis2FpsCY2XRSEpKMK/r9i9ye0TBiDXB1el7rLeHc3aG/icTrT9HUs5gX8JHIR9SFtqt89ZZV AgMLsD5yRjJHQhUg0G1igMSe5k6CNZQZmkYFtpoWsu7KSyOjHrNnyQqqiQhcwDT9FldSQdyTXks2 oCMpg/6G0IUWJOYo7kYKirosLhjN7IkEFM83+NUQsXDAIbjgYo8XWDP+Wbw4fzzpzPjlHtmRaOLG 8lrjZ5qK0WLvcPYbPueD5JcK8OiCc+uQCNH4biUvhFRfxqu8peR545miWB2dBS2jmv5ZAy9U7c7V 1Bbb5v+e9dNPFVj3Lv4aAoR+Xvw6QGCVsIZOmSKxFnzm5rw3iyu0BN/2LCKGWvdRiL7qmtpnjUoL meNv/zY4mYyHiS7AtuXz4Wx7OHK+KXvkucJRoramBRrwmIdagaPDfR2y3athvZDZ1TGh8vaBi3vW IoVmJfHMLKnpazQ/pOMaKsx4ym2Hmgcg3/JncLAjLT1RjgWEhYbiJV+FiM7w8NDyNSLRnY1R3r0t gd52IJxbuaNajrV/iCOcxbhQ4XRy1poAx+vfxjR2x3Fg3aOyO1h3gvD2dD9tLaF1PMI1ODG5N63M ujpcxvb/BvwwCAAaSQTF7ssST/Igyw3Vm1Wsp2WyvrTFnnw4/XYPo+7BpAPl1OTJGRySWEuqkw5m o7QV5RA/1kdcCMw0S8XDYMS1DE/2e67gx8UovSH16bAkGrFzpXacz1suvdeDv4d6XGgSKkgf5tsi IwZjH1aovF7SlTLifHMY4NB8kSe42tdOmLNCJHbpuCl9ftrn6j8GcwzldxG+GKNnzMgFdjJaU56k Wnplb2uzy7FbmH47Xb3E0iE3Xjov6NI5r0pWaFag472t+nS5WroEON5gr/KvEevFTloIxReRqjA4 R3SyIdTu6Fajq6puDsZeDqmwCZeoHX7MDcZ3fJ8Nj0nBdyazF1pl/yOfeozusJNzjj6qgNMQk4HF P55HKzG6vvR2z2ZXgSYLbq6WgBnOU9BjXLS6ky81xZ246wYMRAlAbCU/JGXhccjHqletsVhxUtPK YvSYjkuC7IdA0cw20t/cCSFXbvHAQQzoFHj+1uFfl+Z040Ns2jgcUfhxL3i8G1EEHTrM/dhhtMrr GjjmxA5ZclnlW7tXZ03SMOJpeelGP04t+rcPiM0+BXmoZVrQXy7Ag1ODBlAxHuLOmQQCxvGXoXFJ Jy78FK+xOS6UWCCjLX1Bv0j8rt1OgqUG6aFa+lWRQweF0pnzov2ZYGPzMxEZ4RqDOPLyPoVRw4GX ycIFVZckByOmOKBAXUh3E9agLvgqfYWkKUchhYa1anh/5UQO9NVtinG+KxaoyS/A1s6409TAmyMJ pVeaNCWpKfJTEkWP8IqLzxIeKERdqlNLVN1iHCR6/wflcVXKmAbticPfsEpHedCXfaII2roDSb8Q xWXxC5fYwWnOLmXXQnyCmyn+/29hN/gW0Hi+GrYuO2lda4FDZSuexsf0r4hEnEaYMaMkp7z8Q3rT YORFUCKTqVX2iUctoXphQdedqtaKudrVVPGdkPj6xORliUudc5a2YpnTDCGp9QiKmCLLgBFstCS+ reOEy11Q981SobzRjTKNh4UXuXfgHrhP9sTt0Q6Tm9aJoFemoWp2n9kdN1gzwaKLDnBshgM3f9RS y+Om0H4ZumNK7X3OtKweXDdUbX9QIS/htOsSuBPRBDGcRspZI2broa8SlgK8tGCOeWcKPKI2EwM4 sgyLl45zOk+izg2M/mvvHQ9Xn5VD2zeJC1NUJ4jpaMpVp3VUIDgMqZF0QB/0bt/CxawylI/InF8d 5G0O3yDpG3VO7VxYBzoZr1wk6vj93BDgc5hvhu1eh/VRtlMeln6R7o1kCImGbkEqxnhjN3GshCBD +MTAO/t+LwiKOq48Gyg8sjZTxKD3kmj3oTRY3+OCbnQvK4S66l2znawRAEuoptEVmFtp4gCeqRoY ne8nQxxcsJJVXYMxIf3h7NhB1t6s5RIuZpiZ7dAoUdc2S4c62rRqpZ096pF02CHjKyAReHnNqSdx NO/vGJB7C1IsibVL/YWpBSRcDjyp6IJ8j2hCapHzS7Aq0JbG1V54XmPMexbNgnglcj8iCMwQNwo+ G5IIC0090BYRE6JOnqKMgozlhvDs/PtPCfB6yG5eG1ZW1kISsE4ggGk2QMGb4cPfO7/+oHqPOPeK N3MFga8KBMlsna/JkAjRp75pgHxDtlftqWa1yem+W3cjw2y8vhoE5B1OAsCCt8n/wLD26lD2fghu 5XIVp8Svir/2Vj5OXLZkyLQLEC1Gu1wMJX9bZR4iw2aYwP4yqmOzqRt6QDJY8GYwSpMHiy7Hpe+f CL9MMlLFYWkWomTBfFjCqgXnBQJ5UHjKb8nPIDyE/nWDg6wJ2HfvVxR4rYQhhsSTw35jpycIfoEW 28dmxyqTHSqqytMQFsfXZcKKeS/rzysWVoBbWpXkcLaghtHWoFrExBsZoljlLS2RbNxbFjGk2Vgb /MYECfyNcmeDw3kCZstEZshnyFX2f7dmzYICn5T5QNCm5VXLzjTlPfuG5JD8IdTZggPjHKXBlkX8 dkpKUxsqBiR5BBbMs2RvTJCVqMeWMxqPB13izfrGlca7unXHgM+b60mGkLDNNpXVzwMksUcq2qfU 65NGoJW5YwfyO7eruJihENYtoB72xdYV11GIooMiHc58WqbVr3cVpfRpVlvNP3Tz49iN1Eg4bwJ8 U+bW6VTRwBoZEg5Fux4jXhaBGdQIjlBYjqkLt+3eFiPBEfh0NxNIUoADs7FDbAfwJ0uwbXSgv8iN 5WbdPNajIc6IOvGRii6e81yEyr9FmNavfTyayMx/g8PTeqQgIXlswC6VSz4QcSHGY8FT+2dD+apg 426WNEQKWIjqbe/Ftvc4gn7fbOt6XMlkN2jd9/Y7OCPBvyCpXnnXV1Ziemo632VeJyyxupI4atBT EOnLjX92skyqPncx43clw5hlQEV+qeHiZxAkEUrnp3ErHApm6cytU6WyMj9OWzAzVUBRY31HQ8Js QaUnwBW9v4Cv9+PU8s3NZv6D+nk3C9IgtsQcXMeWMLQ+oQQVIV2tm+hkXVB7nvnk7Z3IS7NfkiZi ieZrEcHMloPKQGyonV3yA3PEeRu52vZNu/jP1XYBuOIWJJcM8oXRfJuA7lMOqEk+2mlwaf4sQWS8 p/TXWCrj1tmBG1c09Oh3Map7f+hByAR1lsEqckHhGlKp25CiygMFuBy4CtC7cqqCleQHuvgXFO5m XlGcJd19CF92m/WEliAHjSXCyyySjpDFn0md05amRwW7empDvSjtVjYjULFKvSlG8ZNZPhW73sLj nswptQuLhVL8TdbHHv22vOVizj27/r8dsUJbJtoS1/yJ9gQIEmwQJBCri59w9VsNRkylyXacO1fB kEOOiDI+gGl0pqv6pGjCqvgCn//xaQX8llj5mOWMDNktT5/2nDY/bY62Pnd+LHJoAE9IJcl1VrI7 sGSmDllvaWttWEKSAWGEK4OM3dqzreY9tknJ2Ul9O1nRWXoEuVyA5/TSusFRoU43tsV8uUyTVe6m YwhugGCXGyFCZngQDeQu0jIIQHJNOtBxjKuETeMXd/o6J+2WXCtw/7mzbdKooHGH75axn3JKA/Ow 2uTvbZ4/8grgT5CBPgxbyCNCnmGsBQDLzLOuQo0+MOrkKlzGNuhIpxPuCD6fCVz8ipKrumqmVKq5 JZXaHIXo0ayUKeSfp775iXMxdJK2518CjX71qa9ImsLoFMkHT0VqqDgiwb9krt18nPG3BWAe0YYY Yi+jw1lfVIqGxfv1LZFtYBGvJYicGNnr79wx12DWTpX8SzW/zJcJ3mJtJbRbAwoRkBBG0UqwVEqc 18uPfl5hmVlpW5sTCaqxRaQERXY+Btz6NXvvr1w2sbk1yxFM7a5zgVN8zBInNlpCPUca6EjN4riy 2NSbNg54mtDwlg0UqdMQ9zi44JGBcfyv0ZpVlM/ow/JUek++uMasjiYoTC9+GZ4qjXBAqbAhfoq1 D3iMeeduTnVvaI+T0yuejkywuVN956cfyjPHd+4pNZXNAqWRHwhN9lMhCN6bOPCqPQ4ZVeA8s8Qz vIaAXj1MAy5kZ3UIQTsFRZjtw5FWGIwu8dvVa4uQ/KepwaCV8KjAqPW68JCHQDmO2z6RlNRdEeOo gQbDeyOVcejq3GkcanEl6Fsf9z2Ujt2n4RCszQ+kky9AFOqxCoXWh+vOiti1MRmm4pVqLUTpFOW0 UlRtB59eQp7di2+ft6B51uG4+C1nSK0uM5FhlaUULuDuV3gOembBB2n2/OJkucnbTOPVZro4BOPP L3ckZikzxzX1HZ4nUwp4yXqU7M3QQC6N3Endq3roR2qt3p4+/stZ3Z+ttLMqCG/zSrHHVB2BL6+T DkxttgFca09SaQ8ndgq8Hznh/SFYPOuaCtebPlTkxA5jnaLsXsh2fEDe4xNouDUAF+jQpBOfwac0 JFaZpMxSiMKF9ivIhCDAdO6p59cm5lccOvXrgb3xQhSencJXh24BjJA+7LGgfU70aAZIFjjGJAUD BGMtMM4tSJxuiH2Yzlt0NxEGRDHGZr47jwGsUGcyaJiEJUfxLDJYdb49qc4tw0/1wwgi5WNLMF+H oX0vald387GFPVvB5FMqr3gXJRAI3tIC9Llv60/NpRSbXAlBIUMisxn2jk+rQNWE+uRxHBzEBn1M kurFVlEg5m/2FojMAFqib6D5vuHsOic8XbT5qezTA4VEI/BQE4oAHTm1bsnaA/GwCFiCdJKByO6T wB2J9ZrRRGV5h95cd5ebuhie426ahKu/hT9sOqE2MSGGIvjbBM8U5E4HRK0Pk9uc5PwEi8MBVNIP nc50mXZ7vaNP5EX5hWWpyJIEmDEw7pRd0GLfVwVawGv4ik+5rFw8hitag35BZ6NDKMC7xq6rA6cK CTX2mksk/GOwdbmhG5IRFPiSZDH73FyRzOxNlmTC3X4GRKAVgQfexoJgjXule9yZ1D1zeBn3S/0H dyZH1RPsiQIHNdTb4598Lgx9gl5Nu2n8SYcWv/TAk8YwyUyQv8yhvau7+QD5jv+KSbgdbsEPmCji ydGB0ounjCNoHlLhgUfGxPLezx6BmXOzzP6JvsI0MrurgLEFn5acIqH/ykAkVzOUFybWWv2gDrFc KSoXyF6Aku6z6wfaw5XoFv499fIZEbdKWRCKU/WdELxt0kJxycD06RABUYiYYF4eSiohhVvt7v3d Atvnn6pAaCyHHzRZsBJTmYE80UU3QK8OxTqKUpY+LyL6F+0UC2RhRcg9je34hHtShws/wY4k2FZJ URU8WcCtM6+zjPQiP+kMUjoMYxGPiuZTvYGDi8P82T/s+xZKQjYVXNI3GwDCy4KBBWllYHaC/nJF +ibobV1HZ4lqYbRn8l5ySf8deIkIeWXZyUknJKIPEJBSbRUWvkEmM8kVOxkvI+vqpkZGOuOidnQv iv3W7U0LJDYPhlflzBu7kO2vfeSsh+LLK1Xyfxw+no3s87SIcc6PM9KKriNmRNFeycPQKV3VEJhW hRuq49Fvi5IzTEjm3IiIXzzfzdGMdOrfFuwgonP0udp3SqhG+JOP0sBGHjZg5zPYt9XYjK09iD1X olcQt9N4pf4jqcr/pq9qbrNFw1T7xJZyWHeCoXCRR/t8KUolz+I4Yhq/VOXHWmsjXBrao730HTI8 VK4vDeXBAVZo3+7Le21ZUyp7nFik6xFVa0x9f8MsfcJTaCyfP4C/kbhrNBkbtKDAThsC4pPjHPCN CkNqCmL/Bz6dDyp7qTSuW6dtzr1We4I8MYHuGEevDT5johFneBOr+87lgCJ1zneoR1fE4W/P3djE 9y9fgYHDvYYlmQAjV0w3KZKmB77gko0ESJVqLdPIDSG/0wHsciLD2NLHZx76JWwH6cniSmSIAy2r cKCuxKGW++Mjy7FAPyMfwbIeOJ3TGJxVgP07hJCplBa0KyBvpk3qj/gp8lHWX0x+wxkP4hJjF0E7 qSj+iBdMXO+r2wYMPW3E66GNWlw4292k5RTRgFaA0QfdjmvDT3kEvpy3UNUyn2IAl4LhQQ8jkFGc hQiMydQKgkhVE4Wac7vGwALyExstQ7ADUshbXCWzRtsp0EbqvjWbJUySPeRVcmd0PAJSOJLh448Q A1mPbu9rQiNtX39aVuJpbD2NU7oyMagu2ux8d2XRGEo7dfC5182Es1+7QO2By1DELT+Yr7j/aZvR vfuLFL6l4NmSJ55Q/KEGdyiA5KMqD7wg8VxXu6Vjxi3m2mGazu4m5d5Gl3zRWebGIH+DmQ8iR9aA xKfjPX43fN8BISz1xVIah4VNQtTlbb5AC2mB7XxspTyym1wJguLM8D7De3h4qq9t7Xv86s+n0klM /Pef4z32U1g83SmXoOcq/CkCLc3kAT6TRt6sH9gJ+A7xIRu8cWnhH0sop7n+LJWkQYEOKNl/7GpM 2G5+Ce6rFce0Kn6buv/GgtadYkkhzjDsGD8Gb9/2IfSDpEOtSMtWWgHWuuHTMnWGKd8NiqEi+B8r uvQs0njoCCF9nVdaeZDth3lesZZUKd4Xwb3Sm/t4Rp7oKRiwir1AkgSfOm+yl5IdQd48+J5kEsrF E3uG8cNGIYTpHG7Xg/0G18H3eHY/F2Q5C+2251TbfpoXuVpU90WGLJ7bMMITo83t+tvpeUFzUPGU bvpN+QHZ5dEi+8mGiJ1lxPfC69ewumnPf1B4e8HeKmpuGn28Cv+ztZfkj+ikWLFL5lTNOEiELy44 NX3lW7W82SIbh8BijIKZC4bfgk1iXBeeDgBRdxnU8BpsVr9nTRl/JHMqlJUAbTQhAfT0d8unkCQz lz8hsI7gSddNqa1etuSnbrhj/iGyKbIrnFn0Yq5FyHenqCtJPHPv5ou9nnAZv+CIqhtzi8biFpqx /P7EOb6mTddh2vSS6GgL39+KND8DqCB1Fn9yA3ntk9t0mXKtaS0XRsYz8R07movy/a/VI/j+Xk5W ZfA2yomB8EJyqGQI/PgUk4Ctc9Ve/Oqhud8iFZ3yW0mP8PT3TvWAuQjDDn42lzFkOUn/4/YK00KI woZQzHJ1zGhbzAyDtr6IKwOSlD/ViT2IrH23d1SyRoAV8a1gvBJBntQIvEJlU1oxsx9qcHeJzyau J3xvghlWTJFGRXCEntD64/4ZwvxjtWp+a63m6MXHpae6Kmh7ivyU/CioS+frdEe4PZ6xn3uZ9mcP p4SkU2cFX3tf0Ml9lEtYEXGxUoboKgMHH7h+C3hgTFjiTWaeg42VcYk59n/skfpa7EoUMxGd/Yq7 LbgAKvQ+9IX2mwKdxO4gCq/KA5AHeSV0NQyNARAptqNso2lvqkF9L1ZydD4vFPvdlu2Mt/bjcaCR X58YiXNzfoQNNzrGopbXZtH/65Uc/1X/2MZ2zO2S3KZv1sta/T3nL8YdPtuTQXBPqrujOWCJqtSs jQmCNtgGEn4eWUfZdLseunYT2h+/FUGY19ZRD+zI4KsK6VLPTNvo0uHaNsJfQ9NGPiKMyBHxlfxu QucuoxA//DZ2CwEIhrMSg1DyUrmpvLj8eluQy0lmb12YQYC6twZnGyU2SWYWQQ8KJe9/asJDaktQ /Nxowq+KvQKylSnFkki9xd2CJjEFqIOfPvqLGcXwhUj75hwm0sBDfdTHhGkdH/KjtsyZp5yjGZr0 rLzo5QSzusxr8TP6Kwzp7FQ9joEJj4dipz8FOvuW9kzNNDbGA2w3bH2DiBEX2T/de2WUz5wjfdFv mJe234JdvlvknwAkjmt2m5XJTzV6GGe9+Z7l5uhqluVUU394O7SThEK3qsh7ZB/bJ8B2sja0siIO PVHIjDjHFTxGqF2iDPlDCZFzcREPs0xjrO4DmImEH2PtkNybuLbTXrK1+hdcNh86kZaeeGu7ce0d iQajsbfTJxJhgXpPtMcbe+1qrya1GvLKe16SDNhIqWSghBg+jt/ZqXAoBc5zgJcJJsd+ty912Fve Ovkikx8Hau8zMRJM6Cd8oOlhSMrRMDe0rPr/gcUPNOqB73ZWlwj6C81rgc6N5la4W6rDx8lC+HJZ P1ygnmt/av3hfauLh1+SD6OYJDm1u3NGZYU4hZ+M4vYVb32kQN6ATFSLctueDuj5xpYfxQZ5g3yr b7I5ZHcJMxwn7kixPnIllTH2eOisNqXAIqsJGX5LjmokfEXUuCkZcVhNYRmF73D7ZdLJSiqRflia fw/XxGBP4BlsVlI2P9P9MObRv20U3oGzgWDnJoH6z08AahPE3VB/FOQLgBy+sSql/iF7zoFcXN58 QRV0WcmnCcatXVEdjhGz/mz5Bl0qXHvyEtW8hokl5s7zfrdO82fZXoeHa+OKpiR5TvGCT/trTA/g cbBuLFQViXx5ZHyU94JIgaebfmQzQ4tfvGkT3b9x5pClK2XwIrBd4g7pQbxqzQ4tiEn92ebqfFxl MgyKkDApfQvRkWpO2VKeqRagHzuuR/ZvB9DfdsV4NmztOTwngUeDz4DV3Hnspo2S0Chf58jlX/UU QG2iMQ08BMQFRicmxx8ONFMwJzk23Mmo8C5F5MGGCvYuDR2hqlFXYFVtRmFjI3KuSZ1Nshz6hAbc twmaATeqwzfnj4Lx5YhUlVsezj1EcjHTRQeJbFxUj/6wpFTxynYY1hHd1KuphJC2xe2g3cXFdCZL r6tL5I4TgT69xuyc7fMiwRXGmA4HgeJZ6ueW00HF3h+2MxB2vH/d3PuwgpJUkhCoKQU5DkSIYuJk LHKXmTNj3KOsLTnXVDnPqdwoEgDpMyV1KTEx7sklpoThYxqDFnCMWDxj8Pi3hhhgrPsA19QGWcnW YRFidNLwpaAg9Tf0mEtggmpQ31eoVMBBulp1jzzleArpU5gZ0q0a/P0eh7OK/Q/CGl4XI94iIhmV a3AIvObYv/RtUbK30Mp7IvDN7dtbmV90YSgS8+arngVr4oxTue6/laLhRwABJjhuOyQ+Hw94tyvB Va3zHzaUlpNQS7FHrjVpN7Ip0JrxgqVtYvBixJGlQC/NHUE/Jwb8NOEXMKgbYI7Om9ghqjSnaTr5 MWzZRY3EEGdsw+3u4cH3AKwbez4kmaLtyg++8Sv5MQ79jC+YdFd7zo8uilEaGkCApkpXC7oHERHR VUE5OpKc6yFdNKVUZY5rEslDPnNavZ2Bk3+VzMLtJnNws9VDgo7cCSS1IXUP1qJSx6NXKXI0fFON CSroEhIcQh41K1rqLcR4o1yE5Qk1swZUcLJt0iJ2O0SRbrp1fcF/YIHqRReZX38UpgCPexwExYEw ry2NP0N30Yz6BYBMs8x57ybv77CV7EvywP+LjXRyH+X5CilIHSSlF5Ajvc4c12/RE3le5uRhahSo FZG+mOi+b4m+SJTi1qpq55UguJRTL/vXieF559dGDry44LAbVTXzuwoaLWFVOQKWNVTliQ639IeY +RgH9Ot8bEP6kj3xNvpo+Z0gPShNGg3NK4rcvjCkY7MOAfffZbOMFG9uJkmBJwrmf3bx+7Jes5GM XcvHu8X0lugGiKpeMaTlk2+5EiWXyAokoWhV/TLCPflA+0DQ37wCJR+tT68F8rJkLdrluAVJ1434 Tqxw+EUZtc4vm+jkvMPWE8cm3FuvHcyee/LoeY88FAgDgaer/WAG9G3VMuYOt30Oab29zDJWSCjH uC4edPdUgzxr/7JBOKCI2HHeTjjSaX6q02buCF44BkKqhp2KCC3zFzjoqNT2YY3pmOh/7q7TdLqT EmJWTmHX2Zi8pd6vFslmwCq259asgJflcu43PeId8KMtC03UdBwGYky0BD7r/xdfFOqkN1ouPB8Y ozWygoA5lS7isM7V78alXU52PPUGfyxWd2XxSiARKtygqGkWfB1JoODqnjVXS8y+A2wb15wPdKZY EAZzP8ni0nFDArfhY9W/PzcGFfHkiNP0ntHsh2fZxrFfFXyi8jdQLfPXT6WGdWS1J2mjA6TJUVms SFXlPivR8iela/8NM6dnkRCY7dA1R621slGLk+KZZndubkBHfE1bYnYlgUe95uf4VLIF6t2lQxF5 X1T5s1Zw2GQnN/r/Ie0+xudcO6xddEUT/G4JU40WJn6wcomzqZxUcm7lSYoYjSZi86iCtYND6j4z pG2+qzzsy4UPEdkdgq75DLyUdVxFuL4qT3xEduXGll9KkcdQGn21RYbTbB6h+8Myvwe8S2suOknd MyOeWCDHa3ySbez6mZ4cEsKGsyJf1Jjo39l2ucl82DoOT4YgSyh7wXcsbz2cXin7qPMfK4/WFOUN WBiN54+UxHyM/orlHrNkolV9W6p/vJGWrfbN2EKsU1G86hLrrQgk54rjB07Nd9UoRR8PMi689H6I /QMTUsWHKLcuv6cCsQP3uVS06BxgPurNrWBlHjVwSLX501Ke9ch57DC/fTHxORwfQxzQ8cVEMSv0 0Z4UNbPuglpwgonbksKbAqje29n+c0nHw16qDdr30UtVuuwLuuQsPGEkPDLp2vKULWgBDIs3x/Xu /XsaSvTI2IdHcoqmia0/lg4/s1IsqwZRIZdXPxAYxOUvsUtD95spo/64fuv0nvNwN6tVpjxbH23L FFyOonIsNfSRDckbi0HuhEb9wbkERoOZY6dCQcQeMMD4bJQXeqUYCUDZPsoPEdU3i0yMARe1MCYe 45Pm4hWSQwSz0YpyGdc+g2pK2AF5ViEGFEu2IkItWbTKQ+G3UST/s9ccllXCNWQ0f11g+Bo9WaiQ 05jM+1zCTSCpIKjZoUa/oE7hQw5ai4Q5we7ru1n75e6PZPaFy4TTRYwFEcWJh4MvyGA6UI91ASx5 evNo+2bqUlqqrU0WMGEF6OiZjLifcm5tIu9x6um6LuzLpQbQR9QUNgJb2ncJB5remu9WONbZM99q ZWOYPsEYM5Iyg7S2j5FYaW1eSciK7Ebe4wE8dGLWR+Sc9vlViyPI6moRLTIsAvjH4hMxhwJQXq2g EcC28Zl+/cJ+/tDkhjAJBy/YHgQmb3MqB1QboPKisKxTFKfglggBR6Sg3U6OWR9bvdHa7WsODrRL 1kCFGU0kWFxqaIeHu5D7MB1IXfj/csCMV+03JM3yydvF0pQxK31q4vEEA9mHCeXILH20LYYX4bKz 8kmSjYAT4zFuQenLQe4puT+6E4AeaFEyzLpP2m5yiN5cfgWjWYJGIMhnFxYztW6I/HJXNnoMNGth 3u6vN0EFgPIC4GZ6kvO/LArxsZHtoBlh3oWZ6vrnfFxisbGv//8p71H+qpLkn9Azq6bQhsINjs7+ HwdrhBhTpj/QXKIvQfxZMSnoi+kAndDNYCSFcyIe66cUTPbpQsSo1NKNWoAChkLCmxMKPJvMv6fX d6i/MeUdo8Ay2hwtGtHiVyxxIvQeXe8DltWbv3Z6XZ7qw8QRIWrdDtbFmYArrrnRCrpVTdg0Ruut wQbRTa1HfcWaqP1X5v6vm4chO2Lt6ARLz7v45CkHUYecoHzSaqW/MEmpJkxTuif1siJa3WKrDgdo QBa50rYlfBtaBUU0uTk6Q0g1/pkgMzyN1dw4ucqB9OlDOrexXynP3BhihE8kzYczjg6eVcU3An0n DuK4Ta8u6rCr1AhTzL3RfQO7xdtRoyMGr4qltA1gL/H+uhZxN33LEIaYdxYD4t26hCUaSIZfkFev SvIAavHxsacQqOtEDqdJQbZVt8zJjkmsBt4x16ux0FWPQlR2A7VrLb+GDhKRxOGvDCZnCkZGYN3T IsSjEwCiR9P45gBePsR5+T74eMeIIGlYzV9lJ5wMpRvdw/g9SBQV14OU8dpRZGdGXMMiO2lDsciC 8T2R7S+zRKSF4cHNI8teiLF7TiHW9vMwlP2NdL9ycZRtDDFehDKaprsqR2cZq7F2LGYS+RLhCDvb LGl9kuJ22w7cv22yX3arKeheyaGUGrQ6ywB0fFfR0r9Y5jTuCDN6xs1tWUM1YTiy7+dJKLszBId+ 8GZ7g4zmZp3Y+25DCoL5HegV8AiZsSabNIIxH5NMARH3FNQnXbg9HwrUENAL+E7QNuR9f3MpL1lI sKIy0miJDuu6IttsPkMafuzYoNj+65khpr7YV3y5J10RQlN1Xihlg8sSU4/rc5yBgTyhf+y5GUFA uwHu+HcttmxEurzHyTR4M6+1bn6BT2k7UvdSP6+d7SjIJW6TEWg+w9ktHBLVCK4seBVILN8oiIKw oEYwHmWVUtO+HAdYz9xXVbTwHeH7TkuCX5zcgznvH5A83OwsscWE/beIqbI+NdIOs/XVyuDbIt/+ wieW6ftpZqYa6mBqRs1sSsp+mSHs0d+0SGw23i2bHUaFccUBacg/6GPJ5j20HrNUCVAN1DFXwOU6 yc0SmnBOt2IJtkLo48VgO3PgKM7fKZG9jpxF6sBIk0m8SA0BzVBJzmwauKwqEo472cdNFII5crGs 2vRopY7rmCbVbMP3pLMgJhZK79m++63APeyLoFeO8sWOv/QM4zloLqVrcenMMy8YM/TfbwlSp9sK Tc4ClQhblEh6LIPpTnlK2AfG6Ivskvr3Anmn8TrOmu8JPNx8+1vKvhi1rIs7oFEDew1jEzweSkoO CrwrQU4ZYZpJUT9vGoZ1oQgeHSG3REqqwDNZ7Y+F62flfhlsEIcVPOatRiyRbW9k2nRseLUbamCL DnAzhAgME4p27McMMyOTiXwHheY/hFt4jbhZT1t8YOKOFQzW3+feslcMCvSxNsyAlRJtXeIjST5J ESK4woByiMYHjgRyvVNPa2h+mz9LMAx0hJfWnTKtiTC61iniFfQ36eda1vgVIKGqHKuSc5UT2pEt 7Sd8pBElAtk95fzQR+oXT/ZA+4nGqTClLohrZYxpNjquoNPa0z2HeJJxXaYwmOZ6nnsj4oOviWS+ 17MBVBl7ZbJxWByZGSN8nGTJNAy8kb/9+UzsujjgjgmtBcb+tPdM4yP2RonEE4OFpi6ySTLIDd6G 6aEUVP61gQaLcq/lU/DEPL9NOhpbBr+2tkC+XWH7UZ+ZQb+AL0mio+qnrZyvhevbFt9cg//rYuNf pV8IioxLs6E1RDoYkZLWnBRf4cG6yE15Em2GyAf5Y09N6kqvn9oz+fZQoW2og7kU8aBsHgeGheSe RF3coAd63I94lDfsd1ytG62ecaP9A6zAT45tdihEohCiVmR0iT9xUXNRDhTrlqyJNpooqiUZtkIH EaAMNhHpX5rJeusquEyabnf0dTMHNTbRXtKgjQBD6ZTbp0u5/3VnptHYA7P02Egsc0GkfT14NyIG fF6KqZZ2onQNozlWt//2onJ/nuNIrtnUO/5CFme7WLZQl3cPmbEK0kAK4b4KW2TOh0TwMIxUFg+S WkCkm7SMBJFh+gd4Cmr0wkZ17uXJXEV1P49j4qj6yqngiXbSiP9Qw890CULRHF6cmIw02HSKMjBQ klQr4tYLcmWLA3LAhaAeycwVMGhf6aWjU/lx1OKhLHZlu7dzVk2JAoA2ZMtUwGEbdA8qNfEHvT3I haXTsd6WOxbEh/q87TwiZLOcOgLbuBB34NAtSDSxQbEJwA2J4/dfiNjhRUOhhAUY1Qx6W91I5qMG QaJ2g/xys/IjW+MHW01xIibj3scv6bfxHNhr7gHHr5QLXOYmQcR1w5B63GqooQ3y2L64QBmA5Ego z3piyQ7dGEhKFOa+cBfh7b0/CjAhqTLZeQvB/wOb8oISq4eX5/qzqypsYI23qBgAX3HoO6zLwcsH q0ndSnhWBwJA11+BgAgCis8yJSX3oPIxY2ACVZ3yOX4XJmqlOE2x4e1Rm26BSdShNu4XgujLgidF 0kxGT/J/AIx/wC5e0jqOXwIaUCiEaG+DPHxUZ7BZsdHP8SBesg+AqmkJYMa6PqcSHJLDsoyZVPJ/ Q/BhuWAKNyk0n5t7XxLrYpHXw8b2r/KuCbWgwiWodGS1Q0oF9mqxAun7v4LclsV6zIs/Z7F9cmvB WGV+a5GU7f5M/hjBgh3BUqVU/B5Z0GMty6gzrTiFx1Y/qAqhx/AAT8pOh15zlPX+dpsmm+5imjt2 SNS3W8cG78MIzid9lNzw0VV3cfWFwyTgVYYcyiv1uNNual4Kp2hbO22HQfXcuz4Is/JbqWnSCs8b jTUHiktW4+t+8LT/E2GBy1QHdgi/qt0++hBCTJL6cTvLKGZaADQZbjePO9tw6nvHuT/qhPkpzqkI +KiKbxVYu9ChrWSSnsHkQiTiVh8OWL05A2t7rzy6ojexHLSeVtZahnH5cecG6Rw6DwtYqlyPxSDK CDGMKk3NBYxUAcMQOfzqQJ9gUM0NhJj+4HE/dETD2i0EwPUbcWpd/Axa/Tph1vi4gGRyprK7rwng QYUvVg65WSULSoobhjUt7VPDmPGdalYAi/G5llZs9f2Y5+N1skHhmL4bPn6OeK7q73EnGvvZNeM0 ma5qiiSklt8xJckM1ENd6AHYt9bPHyob5Ai0XW1BFIewhirjQXV5Dm5YUSyr7J3Hy/w+EXdmRCP3 UYSzVqV7QpCQoz4q0VLSoilWMbKWrT1LCdAP4nL7xmgJPL5X+COkYu+Ld2N/3dqI+X9qxE3aIKzX /uHacyW4eZVWxnGvS054erxZvTLl6r9o7q/2KYOJwtGiDQQDU5RNm8pj6xLjqvM8MbRMMqX+v9Cn MoMoayXgMWd1Jd05Eoo2GZv+kBNCUoX6r7f5dQKtaZwd1fVy03Cx705jPPhbvCMGPFh9diAVZiB1 zsqt/iXBX/eMiSanUOYcHC0ZJ+0aQW3p9qOiwbLYUBU88xkVkibwBeoYEpQ5RGnkG0paj844i289 KT6RBBj3mKo+7ckVVd3YswBHBkKIUlSETL3GrQDMvLZg+ct/OyfUN5eMo+uuR0BVxI+e7tCo57/H 6T+WCPXHBMMaXHeuh77CmYoEHuD4/lHNyHiIjfQXUwtWbhIkUzL9fPYEZ2XSSRfse7nxYKFzYdTE OAGK+8r8Oz4dB+97Eqim8CrMRt6g96e0A5qBC+trWFKLfU3iA94c7hIjzE9O8emFbRevpH/Vma9H 9/GAf+/yvX0HWuSOoBn3srIsqvrLBWCfTWqoLdLKVYcwPo/xbMKLzojd/3L98niqM7FTN+Ikdg0z ilhdTqhGTIWH9gPVWzehkEWIi5H2BaOLooyOwV13ybQyf/GsynovdCgh47xDIxqE51WHnI733jy4 VIrUZeA18TjaTlqb/e3rrJnVjHMSNgKVCO+kimsVoE9+TL3e8zmqzAGI8cszx+x//AFYtWMi6YVD N7++MgYDMEc4qZnTE7Y2rBRGOE0BS1zC3x9ZLEhTopQyz90TSy7txDKhxppfEan77pqskDDlvhCQ CjmLFchk1zz/v/x2gxGVoHGyTcdKpuuJ307704abS+A/n93AWHCVQuxcM9HnHV9ctNAK2agDhD6d xdi8uUGVh1wlrjk24C+E+z49sZbB+qU2bhDXWLtLzvc7V0Y1U4z5pSBEFbCJnJhn5ih1vw9rTBC8 lazlBgcbEKQN6XyTltZbo/7i8/oj3Wv4W6d719OP5yWcbkibJcBhxa9lEdGw1yZJaDKiRgyOoI3U vKuJUE/9fYF4cNsNYWJ9L9ViJseEmjbK5nuHYakJo5LmYxPpw8oRt3l4hl/SwNAc1S6K5LFj62cg rU66qN3qVve6YFjQamAZLAE6bT5khfYyJxR35AAeLID8UWCiH4VVRGjy5uToK77zY+EM0MXiddfI OelyktVd7ADSjvFookpf800PWETd58D59crxxdvGPjaP6/E+6Z6qXnwcCv9pzEhQXa5d9HYC88/B 1GmgWEQNLr1AN3hgRhvhcnmBxUbTr5UR8iFl79HdRrUjf1QjnAj+CG8vHsmAuglpq/MYjb25H+zF WzTHcPTnvRkAwvCtJnE+w5QwImyiAGR5cn2+I5io5O/Ws3AfHuM3l4fOq79P3McXaisHXZvglKqD fj009VnwdrnXo3/OHisltS62QBult6ZFD8kxgOujtydRfV2bayPC7kJMNy5vghgXAt/m/5YsEFHl ovstUTkQpUfwOWmKb2+8qsk9UCL0PRVRTX/gVYf81cvUyGHMH/YJ3hMYEn4XsCORIhZFX4PXulni fKrIPd9xLXNbPg6gRs5Ds9bJgOEmhQhD2r4bWhN5n3WEVdzh7OOJjCYogSjs07vBSH63Xihb2Yu+ ZCpCezQN+JQY0EUBLqE1vVG21N1XWxOnF7cAlXgJ08uBWS0JCTF195149ADb/1NHZfCdSolPSdqJ DFwHCqERIB9Xph0DGxvHKe0r3rWThbapC5cX0V7Mq3+8TKZ+6DVHayyt/Hwbm4G2b7IZ/kVwHTO0 ShFMI+/wxAUEJUUcmjO5t41k8n2SxJQnS+7DULiM6tvjhN3s2RkXZz50KeqzCyoQQiZEGujWkfEy 0rrhOQtvMPCZzsPJZSqyCuSbzw1nO0lBSvGbSIBw10l/GdgEdf8u4Eyyd7R4hCWyEfZlzOt6HNOO RLjfz4HHmEKwWeHwzReBhr5RHQA9r1h1mISibQ9jgeCgheZu71ubqO+Qm4sCOnkTwgvYAPLODnWT Kl3Z58FbU2VLxIb7LXF69lrjiliFBwHHLBe0FsJPJ6+r94oBfKCTLqi5TFgovIbppR+SNJ7CPrJd FCIxopV+G3ufIcivmtSSuV0Y0FvQX/LKE7WSfB/fuXe7h4/W6gARHWv9R4Wht4aLREF5C+KoKamv Mmd+ruB+iyHalDFiWw4DFfL6zeaSIufFH/TAUy9QJ+1JRPRAsS7afIqypj2IUsXUbe7umK7MfgJY ATVxNoqK2u7UYMx3H6sSIAspBn9hSmiiWgJdWMJTuMGMMDfjpuNoHPK16eeIq/JyDxjK+XS78VFo rbcgK9sjudU9KcJ+b/Xvw8nOTIybG6GbYuip16+OzRX+E4javjRZ5m101p48wW6nje45GfDkIVwA T3tHCwVTh53QvxraVneF8HOcguxv9vZ5i7dIPo58cJQNt9q+bT4wCYOCe9u6+uXbJCfnkXL06rhC cYY2s0bSN2DgqlajzdpOCMlCrvo7eUbu0ynkJ4+/SZJyyAI0Ni7KkpvMrDOc2jOWK+DafG5bcPa1 xBRFuM/VtHfPP5xcDkejXSdLlkKHg2DZxN2N+W34hdNBUu+ipSXetI10zR3j1XpzBREHUV20m4BV hIZST+sYkNDXjRYzS5DM5QBYfRn2Sj0cMepHq1MXl2DPFrMGzbHuAarNx3SuG57bVq85B4wNN+Yz P4QgEaSMxMQVrUwM+rrADe4h+xXeUkFEeyXNCQqkQP70jU3IhqpZOr5Yfj32HddOPtSKBQGZe8oJ TaMSwSBMziRxl4U1jMmDxngoc68fcCbWceClELXtiAhiFRiZ5Ds8GonfKbzvMu2A2BgflvjYaNW3 /VXvW0dlsiEqyElTMEwAYgeGg43l1X0WBE14P4qcXcjPCl9IBZC3/HJUWHrJt3vqQ61APhp7h2Ge y1grZpjDJSrZgXcavOB8kmZAZkphXU5oALNLtg6a3JYaM86oVoUqYDO7HRtElyiau0iNNcjDrQMb iu5w1RRzI38yvFDVgDJ3dLehPHk83XEZDTLG7EDk7PWdIaYRrfOr3uc3cvr3NvEVzLtz+uczGRyH hCw4MxKv6w16qnQ/fS6oFWVw3E7PPhNUybywhmVi5Hixn7IxR/pP4Nru/Dy2N+fxtbjAlT6Fm1nd QD/Meky/dgVh0LGwdB9nCXopKljcrb05Vl5UenKy1h3TZgDx/GAJpumv/xOppjZmlMqmtHOM+15X lOMw71oPB2RCIL3FFetiMrr83xbGy+l0dBK5rYSPOzzhfvdLQw2UwqfSQwlQex+6K+jBr2ebmqAU EUTQVNb9PMXqpFmgcb0EKGPwiHkbNMaBVmpk2Q/8g+5b1sIWaqq8gowNVIElfRQ5MGpiYWbSnSIp rl+UkXVRGLmwBkxkXPKOOEV4MYn+5oMriVb4QakgfiisbO2W/0sTQyYqd5/q2phG/3JrJHkyKy8+ K5j7f25y7DXZdxiTScjg1yqofdMNNmLDT/ZYkogLED7DmLnYbXNNfTluCFYB5hOA6WHxE0bzD8ND yraLZZlTrjDxNrgNiNGb/t4hkyRKpfYkDIQXWEpnIVlWkHwy1uqh8+STOOwfZfaPhXv69nTsHW1G tjv2SyUxzdaKlwJ7vFNVDVgSsNiuueUG6AZV5LFQGZqr2RRfNSD9SnK35u6iMjkJjFxv78XQlZUF SRZMosn7Hs/1sycwMZgx0ZdzZ+w6UrF+oKmXR05Zuw76aCI5SRAfC784HT9zeTRdqy9qX5kQh9Za 5R2KWg/yJ6qB0rRThOr9JVcaoYZ+yhdW6iFp+SGTJ1nIc9KwnWrbDxgaEhDULq89l3rzPgqVlAIf ky3+r3WQh6LheSmVqEne9Zycc0XoJZ1zPgQH6BN9g3KMslp0mdxBFdtl43kg1D2Mu5E2sU/DJXmk o3Xq6wOvtghtX58vzQTEfI5uqc/gxt0+fzRAB0AETqMgJJbX4euR4Dor9q7RDnokXf8LHt/sfo82 ik1OUiEi/uEuskdDvYofkdO5fHQbZcm5VjrFsSkyf1Ksw08zNykr66QjuURqcnR7MItFMX4vGzE5 rYb7aYDwuqhAW8xIAL7SZ0gh6f86jyBaUtIm48bySwg4BZbK7JU9r0V+Bt5fKOLZIEmMzIbs+X+U XVDU2luNnPV6cOnL064txcaX/cB2w2YO44brIHnXFejy834SHC8QEAwfUJvzvfsxt9MCG4Ww1p2a cWyDOb/RRlcnJaTL6RLdM6DgFsmVMksDSTHwS0qy7tuQyzPwczCnT6WBSeZO7QQmMTTLxo0dvT9g KPXX6PPx2AqMlU/pPIjz6hOmje0g5X4N1vLEXFzbt7gzC+Nw44aeMLMIk2fDGBab1JNG1ihpkgTn VAXGy/IqPhwIh5+anq5lC2yTIkeAsLsGMXLL++THmWJmxn6Fvc3pxo2wgmltYYDZ6mCt3WpBhpKy BbbyJmhMCXOKBzQQKhcZaMGGfBOZ+lvUx0wOMr2qNtbDlr/mBzDChwcJuD6lehlKhRP8nFO4HEZg bkMmJ1YKXlNA3gGDuXwmmRvFnuYwbLa7aILWGboOPlaOD7avxaWeY4OPkwoACzzDPxpc7B9Y0WQg B+oJAzdEDFMbAeHeFy/z6C98l2Lc9XVOG1RW6kqUh8QHKzFbrKOFeD7Lcsc2seJYJAz+3a+fUeaf MHgkeTuKgqXx2pnb11Ng4lAn1hEIvG2udBMyaSDuE1EgnviDrXKRIKAX7qfYlpjbA+XAJN39tvVk KJ2tYdSfJklvW+AFob1jB1E4S4GwHtg/7sACKkntABNjhqJVo0sQgxzB+gTkX+4f7AglFfpJGgbL HodWl0/MOzg0RniijXWTSDuR1F+EIbXGBVy8O4u35VOMtsIHkgPd6NN6Fp2aoUq6Yzuk4/QYsL20 c35N+fwXbSkhliztY6rQdXCTx7fziUT2LbNt3VApJNWC/8WdhsHaHngiLr7O0eGFq74Rxmrf/MT2 O4hkiPwSVoWtleupP31kTp7zwfUCjNByJBc9be3xcFA77AxNkpKvue5Ila7xdPkhylYutNDERbnm eoz5LbHMRA3FPKhBe4o4ig8SkRaeqhEfJ9WDoXpJUaKpF6xfxdgHoT8BzfZNLVU2+HiCz2n5um4L ZUm8ljhCtw2g07X62P7V/h5aTqNVQu66eEbcd21fhw/CvYaSXM00TjplSzndn0xLOvocyTcmmUBI DJklsAUHW/4T1+BKgZGJhIwF41gp+A3/NB+HOpoVfDqrqv8DkjbvAVR2OqK+E2GJ8SYi1NL4YQ9a qjLa8PCIot4vSaT9nqYXknb9LAXH2FINE6utrFVioSaM4ROJjaXaLC0TxbIvI1hOTeZeh+1cSgbM cSMf0UmMuAsV7z55inf7YGERX7gjuyCGzNT7Hga/5jNUR3dSXvxvikJYddlBIBmoSZHqH8gQA5Yd v7f9DtlGDsFUsHBFMpfE19MmdkYzuxgRb7ZwhdMVFCgUE//3E+Yz2GG2gY3HkhcoElIMwExzzuHA /T8lS7Wwy5GVZNYEHQ5J0nAPFBspr9YD3sZreE2BwalBgOWozysourlPkArnZKuz0hKtMcHQmQM7 BhVlM7cAmylyeWo8IRxFifVRxprogd8/ZNTUN493E8TyHrnIsaAETgcFki8tRU51x/zXKw0i3xGr D+pYSVyVOzC+su0afcffhI/AflJuSy9Lj7e4c+LrR4ZzO218xaTXuf7n3sa/4u3ybKOlZ85olNLA qNJb3K2jvXOoHC5tfws9QdSUoTIaaYHJFjAd0/r7XiguM7hQqP2SdHsrshlEf2hFhTJzUhYaXwaZ LWdhbmBpwxbiMdeWmKm40KaFCHYIfkRfszwF450E/EfkeJxv1oSY34wMO41eImpj7M+Nljw2Au8x 1jVlz3MhiKHmw9sHnwLpbLny+FXD+0UqZWDlFyCnMBQzWxy4bYyEJ+tZj2aCEipyrXxfKzrlz54P S9TVOyQMhgkZzauz+2MOsjVNU4M6TlsiI+Kf/aUrJX3lcwsG0yg4db3kEBH0a2/44NzOEWliSihF 65lPM8aFsan3Mf5wOuSoAvlRGkqMs7Ta2F0J7Men2wP7lwAzWWDxMKhNde87oZtKA1GXlXSMOOIB NSovj4CLZLKyRi1EP6NkRUvXmsFcW7jQc0sBcgNRpvNAhVhzX0Xa43nh6f6Xswi8fFPIvkQdvr0q e4mwDbIGjcMzfYw+cG3IsIs9vPoLIDbikiaKbd5OgciU3Nrne1hXhcugKHA6R2dB7B+78x/KvlM7 7sHZg0ht5HLtkLwTtk7TtDPOX+9OwrA4kXHTticFZf4fgcumiyEpkCsLLlp1oBsgqMF9AZ3BqEsz DkGa0xQT3V/adfdMyjts7Mz9OdOmxJ9beOrhY/PXlPh3n2yS7JCB1vu6pDd1BraJ83lkvPK/VylU ckBayT4fBSIRoliy3dMLQLrOYkKriGvQYSXkU+m32uwfPPJKc4sAG/mIouFqbcNvHiv5sNh2Phaf vdMsdLK1wISzJoHfTFns3YXomFXp/0i5yhDVQLCzTQRbjOBYY5Q/IAr+44m1psp0/Y+oahsOAExT k89LZ79PVakoFf45wlQ8qIPu+EZIB112T4u15viS9WCDfVvkAzbZxzeCPRPdrDuSU1MzI3TFs63Y gTHrwX8fhJHpaMarXgJU2o6I07RSpqUoswWWutKoqi/W4AUvSTryBp7Yzif7t3nUSJCWwwgv6qUM GNZ+xN8wDZNM0A7PF4FKJX9sOO87ohOJHFzzuRFNw/qf5VIgrJZqwz1+YKbedcA/7knmh/8myOzy f/zFfhzqmb2cbgtnJLw6kNhY1E6bwW7XjAjFubP7xD5cuDRnq5lCrd2UBjfk/oC0RJEmnWQ/sGts QE7xASGs/wRKPTuaCluhry9TbV9laST1lc0prwHxjpkECwn2eADBS7/wfsISDCoA7a5XhveDF44p WB3sR2CfPofHdT61Z7JsUvAIs1sWgRcRFxc7oUr5CdkHH+WxKFeC9MXjoSO+Sse9i71ZuxGQd1V6 3rWP30WuEZ9Ileo/fvXVjqBoR4ogWR2+gZpWMHAkZo4TOPG16nL578GjkXMWd99gxxxr4qEEJEC3 AV0svY2Qr5eF6WjtVJUiSTo6jfhcAbSr15mHd1SAA+9eDvQsalaS+ex1wNcjuB1b2AH/31whE2Eb 9VV+S+pkxn+c1S0YBtlM6k1vX6p6yhTPfP//UnNs/Z1r4Daq+EhS2tOv9iaaF5v93rPew1dXRBUH Csbu4//mmlAoVksWW4SqShRuv7qydmD2lsxNlXLvc/r5RcvVzqfgdcbQF6BkzN+IxQrhEN9p3D3h 3QRQy/6+7Cmbgs80QdLK0iQY8wUlfRkCQ89/FEz5HISeH82ZrVsDbum4pgBok6y0NH9BVqJbOeog f3vi9p8HthFheZPNRbKTJsWil/IyT6Nkk4rH5k2l5zCROop0wLmzeFJa1BDQZpf4PZcOd+nPaX3d XC4e6G0xBxp5bcUdk5QcTSog6e4E6IUrCOnYSS9jYkQdftF1nGqSjw3RN12yU1wTEAd+2Q9vh+sP tlIeJNcW/y1uJX8mA1FVKfqsm40/hElONCZcAxJ9ZRVmsrmaNQdAO1WNnmnYASr/dNiH05iSz2XP O424u8MqmGkfU8kAqkhmcVMVlvGOpHGxq+LCr1UFYIzrWfyW1dUqteHmIlWqKX4fcio//tD8KBxI NQb+OupOb1jlM5k5/vi5G6M8pHEVtansy4L6iBwiKCf613NOwGgWd3nE6jtBxqj05YH+TYg1wFpg 7g0uLKdxCKOXgNXzU4OeVYU7dpY/7OUIhEfhkaM/tGrlZrjCAHWIOB+tjT4R9f2r2aw+sb5br0lG uFKuVQvTSch2ChA7Z0DzpxRhvmg7P9yiqF2oLIyWMJ+OKQx3Ybu5k7Z319OkJD5MaMwKiPua+DX5 M4wLzGa7jU5pgpYMe6Fb+6hF5A7G6zjmhwis9c+y45vsk4xbV63mHXsxWVU8gRqig5Sxb4GAuEGi gYJnx7h/rK1RWEPkRJafGzAGV8opsGfHDgc62vZFVJhXxo87I0v650JZEeXI4lraWFrxHADz51B+ yip6nq5DqEA+JrXuEZQUvYCwGcTElmQLdqO8SMC5F/niGabjrl0iENdO4qMd63I3WqPdjGM6rhFZ HcuVF4qjtGV/5Ad1jzDR+FQm2raL79uC9gc7gOQZ7M/vWIF9Yw1FJ+qF3LCRkY/uBZK2wYNRWMQr G/nqOJYAjzFFWnIMvE6uMCmH3UfL6WcGCMkA8Wg3mf1a4G8ec/2K6fQBSsKbOR2NbAvsdL8he4mL gWSTHFlaNOXgVeq2Yb3xTrh/+/gPi9/JWVnNb0+aPgvLixUkS6BrXO1M4eV1DOArwn2TRwOjCjJS FqotlnbSijqAqJuas0Pc8X1o50IGlAi/Shl2cADvDbF95cJKT0IPKqqnKCu8a85+8zlXuDjAq9wz rZ43IkQ65tO7ntdWoC7X6vI9Gly3O+LDKeXJyiRNzrDlQYIqvya+Bmm2FWUsUtuJ6dB6Mp8Cg40U HlN2jJ3GywULqQYL0N/WOqob7cHU2ajmtX/Enx2BkGkbERe1sB7/QLTGgGsWlnGDIUdxK/NehoZ0 1ayClzG0IuaCvXcDNfNni3eP0NNVwBqSLegiejbtz649yQMVKOVKmFjgm8dyAL8DBMmtws+10Him yfmZMfSIvBBaiW1DrYfI480s0oMfEXPUJWTWP0yPLNBZRfq7cgnkFaWMpH18MCxSuyJisVmoek8B BwqJbhvj6kH3G1kJiyaUoZ5wVbognuSNFUwY1YIpwKlCNfk169YD2CpduOxFaXEl8wE7wkI7cUL6 p7wf3rutmfeDBlUZ/tuBD9EzTbO5RIA51jxpjLCBipC9ZxezMM4Zfs37KtlkTGwuiOeIlmFa4igS L2hiZw93W0WmsE5/6zPu1sSilVE3z0guUfa26NFalca4O+BDbgVL0zmL4wsxJrFsNlScwNdnQ+qI 6kPyaniVYipKW3vmF5p7tkMIroN1f6Gd8ISItSdrxJTlTVS2MPJ7jJdPweDjzvHQfVXjLtdwkKpM UwiDmuH9uNWN3bgVgWbB1c/MzKGwjU4QudzbMYKfgbI0a0Pk/nSdiymr2P4kXxFpdHxXnfBG5o57 imdA3e1MvBUwOZXLctyVAs+B5fZ+lMdRb14yJMVmcgwIxW/pHanIRWo9CbH3IobWIcBiI/00I9Bj f7X72hIHdPlJqCoyBYP42DDqeylHNHS9Y4vtylhz/b6TECZywKML329jPEzQIoqyH147D3A+enHL BaWTVWssuFTe5oTdR9NwsQvbK+AHESRerxNRGuer2L/L8qnPebBqKzprekyih/xPs7Pj5mS2E1Jz J6BhOJlzWd6pGdXp4goe+iSHvWbbBmJqhiUewQ+Scy1ZSsci09szJrjDVeTKtNIpJzrId/a0e00i 8ktfqFrLY8Vrvt1TtVGCC1gqTIeVEn506AyY4XeEk+rGwbvqRyFG16lA+EXTazC5bxNz6ScRZHh+ Ma4pxEZjYZssJ5Uq+dc3JO49OhigmeZ4eN0c0LJdsRkfDcvNpkFhcoEoNtdgTlwg9J9lye8+06SO DLtjcieqR8iwicvkSLfOy7tp/pq2oEJpjHzwfGq2vI8j+ynHUMdkk2JH/Zem5n7O/8YAPD+Na4XP 6s9/r5S0JJRWD0oM/K5jZlLwQ5+5gfHaOamfXbQhh6VUcqhBjqDO+IyuFTD1Pxce0lCGO+LmsU1h RuvrBuweiyyt5cNawkmzL3PLy6C5gugwjkQkOqhX/2cUmvs5wrfkqhQr/iclLljlNuhGi62SYjyh 3Ahxs5XYEUVXNEqODY1dlSC1wlAz19u0wJVbRV3+MgtjryRSwno5LJIRBn/xU1qMr8mabYKAucRB CSUt91rS1gB1vPZf9tzzt2yOhr2rTwo3CCNS7CQp9x8NIJOO0MkoLRL9mjmPRfqLW8A4FlTzicaC 6PxpGUZRxDq3E2D+HkiSeJtoVGC+2vNtbuVDnxmBVcMB6Z08edfkJIlL6P9fuXOsghAiakgr9rVq 59tq3exZjW52baGt5eO4geNJixyBi7EixZMXUaJXSuVisu7gc4p+LFyEy0yPbBqRO+MVK1/aVaKq 6hrDAbtA/E+RDx+47y2UrgzlhYycC/gii/CZKIy4mcvxwDN06r200NkETo/Tnu8ZgdhFvwFB3B/m DTGtu8Edt8oM2R8Zsh/OmLD7ziTKvyfGmb+6NtKBYyrnMI+5eLMX0X0Q7efPQEpVMD7oHDwqzRCI td9E+iefYFM22TrTWEPqd3f6BsyCKWZWPYG55PXd4UDxXWi8+XMm7euxrEeklkoufNlGkcBRoWGj y+UoYZ7lOwsUBaeufi9a67PfXOAiaMdngP/FptiaEQGPqzTZaFB3hUaiOkjo2fGtPm4hpv22Yhb1 KEqpH3aHfPRtGdK2BPTok/fnvVkIGg8mpGbbaxzn70OeNZexgAy1qwHkpIGQw3SzR/fCHwHthEr9 /AiUAia6YllE1MmVwPfwLpVnFg4lprJvm9NlHPwQmkgNXdMbTcMzZcfNAEK1Dcm8hupXKUCW1zaH CFCJH1+WKv6QJwKJZRkb23mM9eePKGdMEUevGZxihBZvDb7IvsBYOkHy6k8GD50ncB4KrwBq9ijo 9kgsyaD6VoBJ2qe6XZVn9qfBvbZ88ZdChnI7hjva08kIX+d7mSNy2GHCFRTC6n3JBjZBRRyzmOsA A9Gt+buOCNSHAQ+TX1lxT+6L5jX9lkpnvEpme9Q0GqtRrdfKmPIDAJ9BVwuMEaHqZsgYQSAOZC3Q fn8hLavf0G4nmA2Cg1saJHwz7c9PFd/qZi4HX2sW69uuqKEoiqwz161CO+e1eolUras6GWeQRNqc cE/1tLRaLlKfn4wO+L9ncqoACBZK1z774yG/k7OxIPwxI+a7wdEuNfZt23vagsbxcF+k4VKoZFCd SuI8QTdy0BV3HssfuJ1hCe8699bAYfcRUZ52u/MmujCwg0dFh26Sq5vspBUZg/r3nlsHg7fR88o/ BRlDDinnFjQhUlVSCgO8zS3jyIUFXSrp/CZh54DOOZJucER/iWi8Pv1mTqVQJ9YR1kdDalfdvUm+ ZHWO0QLjTdJ5FmyN2QTqj7n9NtmFP195ELC4PLsrzea+q9WvIPCUs/wJHDYIMu9zPbZnHUDbMH3R 5Qg82rosfozju5RXnzT6UGR19CefQIfuQ5dfeE401FEDDlgiw2v63usbFEi8cieH9MT1mse1thWM MiO5//A07ZPglimAVl5g/lPzzaggwJ7TBQv7ebG1/duqqqMdQvcQS8pxnAfesZPbjY+9SG5RODNr Ls5cgzskyPKfGq8Iqm1dqDf+RLF+9omX1oM+N5mmGUCLNg0w9Tn66hcYma10qilrUBYfCQ9ptyfB 8MHwBX3ziRp0W8xXjlJCpCs5ZHP4Kzu/UmS0CR3jjm4xVhibuyB6EFQ6wG0Rf+0pD0HG8vZ/Yy7Z rlTis0ZEpNnUXOVFw3I3/4+KBb6Er7owKLi0B4yTT8Yt9IeBAmr6x7IjgCj9B45mTqySq5/HUZQj xz5QRAjLSI5K2MKgQfWLhNWlRMfewFV0ZiB/IXCDj+Ex4oRtkXOnBzGWRQLnR0pS8Vc0ZNzwmmHX Nq5CBUQETINRW/AXSIf6s8eZJmXIMlPOGwyc5vj+RyQQs0KrjPHFEto/V47IAfKSUzTxAEXugb92 IL2xosVYsQNF1ar6DhNjdoh56l6DsAtzEuoW0ElEWOnb4y2/4CnLlfUs/a0bEdbX2aZ/WieXhOMh SnAOEI5ZVzoGfHYxj41ds9a+hwQ3GP2jS/XrqeyE4O3qLuVam+mWZ5SXHgNHHNroJFka0q8SGN8M yny0eXCTiq/xQDg9DvUjWYz3We7cXmmmjIO4svryFodtqZO2uV4KIQoKGb5FyLXEMHNyqRYv6jT8 hDx2wH8LAXrJ9lo/Qp0IesFtF0f3kWzH1DusYkM+F6c8T9RPpj0MWYq2NwWrahPJmWRrnj2mf3+d 5tvfNZ8Mlb5p1EVUPG/CKWyaehg/hqlVVEmVZPg5zpS78HViT5kqv2wwYhj1tdE1UAFTBDw0CGOD ERrUlKjJIey15W2frcvQ/mxgaYLEYe55Z4wJCuDwJ5E/H9vTLlrAcf7CclE6iLWi0YI0NSxaoeWA YO48YidzK9hgXH0ihd+f7KSwNKmre2ZTGZOjKdD3LivLVtM/Mfovf81/j+13JWCytPVFyPcnMxLy KB+EC6qwelyjFmkoo8gieJwdZLWEO76VqK/EI1es/AICKXgJR4+N0RShSKxS4qCsteIdhcaLjvfU S9a5JQ7MLv1kp7G3CE8pl2QHgPEL+MgA7Y1jTY9A1mHAK7GD5Tl1gfI5DcELRt0B5yv3mtFv41vh eNVMyZjpzpnyPWiREHmpKLO+TBCZhX8YGu50spASV7FUYp1yMv8Psy4GE2i6AHF/VJC3JpYzOmQE TJkDuXFKjEzFdopt7uDhufl+EYWXbnm/GUbg+uQMIz2r/neYwsuvhtiIV9V1nowlrBwQyz+dPGnR MZufKGUr7pzf+Kinp0DzG+8IYtVI2T0Ip3Ab7g9ZcDAVcU5knQQovbkXutoVGxLWQ/X7AvUbW5SU kzhEPX4W2hAwDI2DJt5ggAZkCxwBqBRfdTJ5a4386Jb/2vMVszt+SsfMiRhs9+xuAWqfUl6y7OT9 CuL3TCm+cBo8JQpbZWg0x5ldmM7TwF/CRgO5kTeuAP3PAHHZ1gFPDtcLx6tUeNh9QErrbfcuUsjM 03FgotC2LDRT9fHCdqxk9UtPcxlSsJAJf3lIKXSCp0npLXsH0R+kkVpFoSgnIqlchSZ2l21QJH1W eFEbcHWylSlGaBP9/Q/TEZBsHPb2Df578wfIN5FsCUGaTWgfLgKw4xaEikhzEklroVlC+/QZ2nVf nDxYobmYrweY8Bltt4OGm1kPWrBOnnPY7jfSVMToh32cExWgKk8psMjsHV+YKxvO5LkWbfIgdW+s uGyUN+Z6vZdM4Xx9xfbeIplep+fDsv0w0zH75QiYbPyIb0ELfn9K3A3QAfwNum/akAdppIZe59L3 nDGZcvxOveizfBEsG2lRYlC2FWvZuxR2CVMm3y2rrXp3YXiAasw3vFTzlUbpHryfBtWRHexkIpw8 3uu4WT/DGAyo4UOwqwCNfpTQWZ91hO903UfHxgeEEpBBMrlD7Z9xMqH8HFmL96uwcnK22q9F/0i6 xCAl7uy5SLWxqn0FbjEw+0B/MAnx39basXMEG9afJrX6NPv1+qJ6aj92Gg0mopwSIATeOEb9sata NKjivXyRFIWrmoNElU5GcmCbXogzP5bxZhgI56uC0/3bt+Kel9kRJUKl5Bfpc8gbMCMlok8ItYEA jqdU+tzfkLpXtSq/o6OQGvmyLuFlonkZuGgEjZ4g2KieWoWGU3by+YIZQCCDBLnO6zxfQh/FKsdV Y6cya0Fh2axjPsSjTqA0S3pgvKTpsqU39xCXK+uAd3uWabKn4OyQ2EDIvbul3sHqPJeR4TilsfbB kG0TQa34rnk8d7RjOnyxxRCV99avIMOQZnWV0608Akyhn+jKt0oKhR5YjKGYtKNVzxHyKYeZ5JRB vaWc6umEWHJ1hV+KCNB2fbqzNoxWjTEuksiRi+spgD+5Ubzs7REMjaRFh+RgKcVF/IrgNl747RWG UnzuASH/KkTmEykUG9JiWJB1KWiJnTe9RbE0HSt0YOi2Bgzdd1i2hjAtJnVO2nymHI0AmRiDr42q xWOdQIq0hZAE2V/rTIZtZYJ5OmHfPAiT+gcQ6k0hbO+OJ87I8Gr0j1puzOE8/jnBmwgnexQC0eZP LnRqPH3c7KUdKVDwdIqP5+E/vnVTbB+KGWVjGEeAGKNwEBPyfRrG6vQnGLlSpVZJPBNmE6R6fRAA /IwheSqD7nSByMsG/y7YQbeQiY0rccd3+u7K9XrkwlJFFDhRxqvg1Nx2JYrgWY2YXsLLuPS0Fbu4 NCnXvqIKDKTsUEzCs2jcGOd4SeVKdE5DUysGJPp8BNsvpfSyAoFComNXgIZtz0NyEY6OYnUMLaAN mumk5Nuj6V8UwRw4QXYYKSMttTeuXk/ES85DRqLtu3XmEMpqZMuIO9dSUog62lVMQ8ELmQ/01QeI 7cmLhlop2sPxr5TUP+JnWG69DwfB3L2MYgfVPaXRUcYW7RlOapw1PLk5FT7glDyIHd2ijtuM6/SF 1bKbACVoNlJtMK3gmGc43KLkZuQg4PlVILceANm8oBOA9Bx6Tdx98ohSPCKjoIH3qy1kGemNcUg6 nnEJAiQNoTmKEviunKbtmd8+aG6h/9+bPznSVYIi8eJVXhYMLebr1BJOE32l15iXVw43hlVgDqc+ skOydKtAu5yM7yoVPEMp9f73FqvWDLHtNc3FQbX/0WY3M65vzaxpPJA8Sn/47Zv7MzeSVJKfp844 1g/G1fel0d0fvIuPQm6klO23t0NSrvdHcKnCvfhhU5uGjZc0RRaEAjaB5pxFPJLW0Ky5cI8zCO+l u8hqDlcjHxIP+wOjWrmLOnJkCmynoqq0ZR/q1r1fSdZlbNZQn8W4Uypm396aSNcowEMC3zmHgL8O HVk3t4UUC2RC06eqDwnIDrEK8mLyMkiW8GeOAJZY7Vaygkb/t6xwJffXVkA3N/3OwtH9dExnzPZB dtUC0fZJwdvG+RIWJjrsG/ZS1LflSwfiEx/ri/rATpzAQ9IF0+y+rYL8hiV18Tx0umOPX2DbKtqO 7ECa2LQW+68I9uPnKE8B0nY94zcsbgPuBo7fBfts3vIN0sthk95AAEkLZbhDuQCRywJiSCNbghzb yz/HnAz2+PX9dGXLvlFPNnsxVCQjDhfrabQ+xvzKdOnPVBKDDvueRp69aUoOpWlMQgXqBRmopXf0 YbKSUfr/q0TE9QEjsCvLqXzu1u+WTflFO8PKldG8X3HmSar8g00VX0IKytcv8iOYu7GI+i453S2/ Be0fH19meW6tbGOPDoYoUMdMUG1CtG85XHA8OyOThEL+p4miGNmcsYUIIhXr9wKHR79d++iJHHuw A8N8gXersjBoFQmUwCX8b+2YIABkwczHsceFGe9PyTDWc2pnCCUE/EdIPVL4nwmBCwaFDB0HWbxR WTtUeib4nrzhKQQwnlGUTroYIgp/5RZYltE5DeK1e8SngJ+u3W+UYz/70CbuaCdslXbCokqk71KU ltuE0pJV91nVVErsfywJOHKnMmjDgqOcwRW8lGAmgQ+gv0FfHnrKaL7QdcIlh0/+Aj50lSlgTWRZ 2RXIV2LYWG5LAHSOIJ/3VV/kcaYReDVoFzJSupberFU5fjw958/v6xOaUmfJYNhP4+PD8DmXRQYH 1VBamDo8FsuVL+1+WsvOnBEZ1sX62v8aAHKEvMxqAaPKGslMgKzKy91muz20BF/1M3sqLE7FgkGJ AkT0F+bgRqPpVTEAjKXdvN19pQ4uwv7nw8VuvAsAi+Z2XI/zcKuyrk6Y4VWCimdAfG3jzdElX9T2 CVZ4E6MxjziCTI7KhvN/luytrqvGG7VqJevij4EWM49GjTL5TOozd2eUcWy692hPnJmpYNjxfgkM wAQvz5d16rWFbK5cqFRycBMpCENTVwuVfZd8P6ye8KwyGzTicbpo3rdqk71iOnBxQm0AMOwqfzoo iJjZAJLU40fULOCrYaxtjGg9RnFp8zKPNbhGW6ncvfh/mlSY+RwhN0pe656Q1sYCJP2ykOaMy3C1 U+yw5edtXli8XQVRTfvIW21fsyvBkJWzoE4e7j2QrqotcSLWw2LN/N9gRmAx+srBQSQOTldqdqF9 /YEiG4tc7eHuuLe9eMeSUCIaKUMiRUoSngeQ9EAMAadJsw9BdNG7mH+briA9sOJXpUnFxt/8ajUq p2xB1Z7uFmKrrqmH7jcx+52eHiw6G8rLt8sQ4haz4ek74EBuhZNkvPMpv29NWYANJ3qSGBMx4fwL igFiPOppYPyXCpIS4kKKDn4pl7vRmORYn4hj+HCUSdygF5yZSKw4Gg9J19g7xw8OQpQg6XQj3ic7 FkzzZuXYhR08kBZMLM6XH5+jiztvQC29rdTdMVTCOBwHpLqoYvQ93ktWGzeiPFE9xplnzS2a7aeH h4j31xjm6+VN/gHzC6o9LISwJaYvHSh0n1xDuNhTIW4+2HHqnMNr+LcqYdXCxDGM8wXP5/Cdzuwa qGmXwkwapnyI5VDONqj1bXbybIv6BViB9Yhxl3K6qzpUhBhI4LgBh7jmYkIvHyKzuBDtGg9TMuO6 H0StchEuL43vfkUCTjn6bdxRsGj2o95wfUKIs/Pl8c0cRCHb5jZKpENeMDb8WD2I4XjOWbqksdg7 Iqk48n04T/21ZC89DA47r9eIKX+6hjP7P44xNfbHXwApogN8U0AaAxGAfztM73uxzLpt6HwF+jTF bXGXSmj6SxKpTF4ry6v5iOGdOKejQvcpGaUcAiE5azCMGse7NLCNjtf70AUcHlvWhLFcZzOEqvZr CdrltVbhnI6/WFaM4MWgFgexGerAJ726OJ65qtiOqvX3Qt9CEmLMbuYcGPJ4XCH6NZLPWKruZR2u BRKBQjNwn/e45fGb24Ev+2U4z6BlhtFcIe3yhwQFrQ+k36MGT7Vy0l6y0P91MyDRGrVOqcb2Tn/4 6Teg0jteoX3nJwCD2pDxxe4q1MGmxzSkd5MJkJt6Bf01mj/uxpe/3DSMOeh8PYHbPV9lIaPO/hPy TOgehQPP+isiEP5+ofeSc77KryDC+N7TSPDzazPZ9uDEd8tPEYkN3G9oo8zK0Jtcm5wkZ6NVnau9 CMgz0VhIaDu3TFyrDzFhSzt7e4GcIPlezsrHR2SlfiuuV9d+aoWi+gXxTOCM7UIn6V1xSyzCRYaB jW6jraSon6a8/pa2RX+L4BtYWSJvNoOoUx6hU22jBuAIW7/JTJrj4OUjY8VogMeHOuc+Znb3ZO/6 bdKqxfkyxVj3eHcyM6n/7FPxArXB1otJ2QYQFbhr8XjMs+z72Kdax9auLZfKVRwrxzySwyAjAjL7 591h+wgQze3GYgt1ZKgjPUEDgIOoK5e7Y6iBsGF6tXkMmcAdvDNj+cVPVLllYikpytPN0kmWw6PL VjL1wzbjduUbIPBFtc8cbBCTPzi57ZVUtBLTV+jQEmv0vTQ8pGHEcZdDeCxoSeePv4voW8EuF0kl cKu9XvXg7ulzM9kDIVCSnwqZ5f+bu7vWVcnMMM/XR7tQ1fFARJHbZCZrx2t9uj7K1Iu0UhZyaiDG xhoSACUKp8N85S4BV0sk4YV8sb03np6wfL0ZDBxp3PK4ycqz53ldcYaXIMpJmBYnrWeEy+tPa4Rx 29M6j22w8R1kGkikj4GFvUgLjmqtzZ0fFoGYpM6SH1qMuOkJw54ggMJYNskZlN1ZsrM/IxRD9iT+ k1BsGIb0ranWXXKrQTmaFDQ0PA3jVGtG2ery23Hnxq+rmPqm2ai5KfnnwI5q3f+3h5xu36h4PVsp LE9420wARYg1dstXroiw2dw956plf70UrIMMlUaBSll9luDZTDdIgMyY8rLH841Mkus3DkxKIjV4 2U0fBpH5hFKBihCtrnF+jSmFRJnPyUsNCYbWcknKcbei5mg87sC2onP3FrZt/oizaF/LW82J+qTt 3T25sywYiPd4p5IOfJ9I6G5+A2wMlk7Ro25pBD4qw07LB7+n12FgBLpCXubGafMXgFdFaGTkYemL Th4FsZDYwhME13re3TxugHpw4dmJI5K/s9mcfWDlOWzS64jqMv7jQTGDo5br4wKqKyTEdP6ECvLf /obt5L4KWhunOioTzzW+EfRucK1TizqvhVSRc7mAugSLJpCeiCnGvqLxgQ4XRfY1JVKXAEIYuSw/ Ajz8WPy8y1ixlaEKtgcgV9edltlfb59BsOTLPfgmSZ1lx/5dgzyE0uz2Ke58xE1D5G+57dRcsqDN n5qjRVknqeeRCOPucp2Ae5Mf0qYR7lqO0YNIjUBPmHE1Z2VX7zFjSF77hlUac7WMi1jCL8pa75oc Xay91/+fcUCG15+Ym1JGVMvZWpEERExTYpvs2/CwyeeoTD/P1GTFquMUsf+Rf6TwU8Hhy3udkH/s iyjiaroXOyL/r14Dl9q1HnFMHX7gDMpPBsE3/SgHpOp+iti5Tn0UlofRqYQ7B+1ny7Ag03nb/ZHp tFKjOz/iBPNnpO6MamjuzVO0XGr2mQiTNWA1l97xGR6mYax+IoJoB9JCpjKIt6iQ+Vp81I4heUS0 c4K+s6F5a7JiSjRzihDBS4K2yRHORE+WO15n461xWqNHyYAGNZ+D8PUvp/rLQyAKPojYxVVAtiOE +oqxAOv64SeBW1VR96AhSPRw53sKE56X0BJ6lJIW2Ky3ZLSQJ3pQHWiQdm2v5qEcTT7PdsVkY0hH jw8kwjaOPmefklcoJ0ncb/JlsnBAXwJRm3KFYYHzZxfLuhIavceIJQ+glcVP8OWlMNer0eK9+nPD 93L5yG3BL4os1qFNeM0acfY7eeYo073qAfVDXyG4CUR1cmlC7uIU55YtFwJC7dPIDV5KV3JrMaXm QnVKERrNMkK3cH73ZMyrzUAM3sx0yH+dVvimnoDtUq2OKET3mA4Ki/0VsaSWXMK5ZQl/jJSMvtRp HI/zSx0wXi4YDBzdydbj1D5hKKucJ9mljWUgGOmbPGgIfdvO9WrRy/9R9scW+wl8zhk94q7wRJie rih2RbF3zOl9E3zaveJRlw6S0RX4T54HhJE2R1WNZAmwUixF8kEuUou1mEOqx37mfGYXeBLiQi27 lGWLDGBTPJkW5oFfS/hCaApXi/ojCAzkd7roCfSpCg5Pl5sTOTV3Pip4rWYLnPJqA+hp213ormsE nG4yTz/F14WUaxLBcoeU+Xoag7SsnDaI86JYkJZYoR6P/UQtYTqOe7ShwGbcZc5l3qGP2VmGcH6C MD3eDSJB6Yxv8oRdHniK03PhLikoF7zZto36xJfgzFyastwtp7+eqb5v21YCFmpa5T9BDOtapc7l ijgihDGiPnxnt53wafmj5UZ+3fJkMmIumeiI0xDVTPaG4glFKe2cy8k7vRGCeUftPjBafRshNxq+ nHeHcbAKDVfvOVYQ2DpMQwctCM0jZND7knTumFwQkhqy6Gtopp0VpoCAJg6fIR1LWGf2/CgBJXGF K7+ErT3cjjjBOIsqfwNjigKMlO035VjIaAc3nxI1/Jd5ikfKisNWSQsrJ7xCd9LXNUKWes5HV56x P6/5GG4Pz5n7kYJbap57DFQpdRZeBsBM4PLIzQL2X6E87bPT51rs7ZFvB/hjNFpWqaV5SJ45uWdq nygWLywZFuynYgBR46GtL4YxyrGYCG6pRsQRAphlTt1ErLjLJalT0XOtZfwCQTtYK5RU2jEtwI+G ALXXUOwc2snUDwgWwfss0SAV5NGMTdxY4L23TFEA3Q2KZoiDpeNWJ9CgjYvdM2aI0veIIlpjxMkK oIuQgmSdtXEuHzHgdHs/8o5J7WBK69+tm6b5XW3dHebzsb55shSBz59OpddERdhbe67+cv2Z4+LQ 45paUfvM0DBRCXe4LCgW1dbnayAxMYOf1j0EFtzvzbIl4HlDoOEEDbn/SDh7+KPYUSQ9s060q3bi Hika8ZDZ/VEihr7SS5KhfW6pysOMIkwayMLwIQ4F5QYZvUIu6ujkAUObDyNxh0zJZhleHL8gpbnC b5cXnadRsQJf7qkD47XFIYxMAf6GAeGMCER/pWxmwsBfvVmya1FCQFVwQgy9vXtQ3JkJivWt+RGg p37XH++hmZYtHyPiDPZBBEW19buPU3bfMRH0iGK3zxs7jwhwOgZBNrnFpsxnQfcmEVgBgepLLevL a+II6Pmz+8greFix1GxE6yj48BuDieVWYtQoYK1EmREGHSELeoKW0uVTddH/a69sTlbzheaV4+rJ asg1yCS4ziNWmh8ovMMGfTKjkVpnUmyIxk8mt00fkVUafvCQGrqhtzcwGwNj7qAst4szN2Mya3kJ VczAlJJ9re/cf8V7l5akzmFeGyVPILRDT9Y228W1Yq+il7zO9x5WtlF9E+evvwzTwAdqQGwbo+/O uiYYtOmHJVy6godb1A+L22u0oPXiGXVnzfwcfRMricVLqfzYV76rA85I+rHRoJkBKzKNakbpF+aU 6esh+D60WFap7tYy0QR2gunruL1JL2/EWPVDkHbFYSYjMPrGn1p7CHo4Bw+uGs3JukQszg+5zqDf Fw/Kd77InC6ZQQwNLnsgyXAmZdKB/jSA9o+divnekIzKH0UT79KrIKkdKUAUP24WVG/R31B8GdPx yJ4e8DH1kliyMhwfZ783kFKSGdRUJJQPNODhdefYNm1G+MVcnQx9MvHnZVF8JLqkGMjaPMr38uTj t0oD2RHdHfCmveGyeDkfRL2IzA98YGL9jFRxOI9Xokqvzec5Yl8DIo9xB5gvHyZShOLUPtvXDURT 23FTXCvQdGdUet9qztg7fSBnILCHGjeQaMDVY2XTIlp4xDTCPaRxlfaWwv4Eg28XqAHSQxu3nnto nT6C6HzW+1PYMb61HXtsKKqcFhxVLvFlxOzMW7fqspXCyyis0b6/Omj3vZxeFitB7VlSzyLH9Z+E hYwr7qv0lw3KBhHmo58Bfn9/AN7FMdAl1EscwjxJ5a0cBd4L72Z4BUdxs/4FFP4Yp6IYdNbzt3YP camsN+A6rbNnUavHMnG2V9JDvnDrxhA1HJvf1gB7ch+AR7LNDlUtydXqiPfM5NtI0A6LCEr1U+wN cWSxYaLxhE5mi1Pxt6v8Vg5ncINw5rETBc4IHPL6VeDAtDGUMmSbDYjm0Ivh54djo2wad4JmqYfV 8dh9JVFjMeunTBWQ4kBIA034lC+bRMLjV5rQbyS/J8HdoXYCJ/GOPI/r6ey22QrErOfyM+igc1YY s44XUPX8x2eC16yuZx50e3PqO1Iww1IYdh2eJFoaLCL5k1wuNhvEmC40ejEaj8LirSXyGavHeBQX RafORzt6mzHfi4/BNkQxBa1vcc5vnDmI+BWfl9ifXjKhwgXVB9wZTvzd8HDZfAjU5iHbsokM4cXe 5U2dc3fmEoewl2z94Hy+Ip3rAS+zy1H+46pG5fMVUE/OQKgStPiPd8y7FfUE7BSlDqTBfQabi2I2 no5mGRle+sK/L7kqbPX4tyWmlCK3j33NRjwnTrKYJnfE0CdorLUDjyWJq6maA2j8BD1rOAfrXwkp DBZlzpv7pp96Uk7TN2k1AfwsjIW7dqeei6V6je2ngZbep+l9EPVZHackKiaqdsymiTDrPEDGHrHc TkfzxbQ8hQ6IjGr9YXfjnloEUVLvkgygjz0QrLOu0giGQe747aKUzU3Ip2XvhNqvOHv0HRT0VMFw 6vYuRRYtBdRQoRqsC5nJNhEGHdgnw5BQ1lchPjj6B+NZyp9JY0cTB+aXEJnFDJQhHTbPkz6xqb/F u2232IHrKMbXAxDzfyJd9PnjAW7Xwcy9h2OnFNhBwGHKBDfOrlnmMJTNRwyMOTAbf5Kj/TbuemHT EZuDCJLndxrZ01jdvBQUzL+xrLn4+y3vmPRlHNiwz/a3Z+IgjIgR2PSp8sMRhhWJfV28qvK8DjMd NDRyUL5NJq5qB4N/j7lrmneGKEHioK8pKq/DX3Bzjv90YcJSw6T71G6gVvMKvlN7j/JyGWVqf7MT OXf4C/yPW5uLyb/13DqKutyug4RjZCO/2AZhzbbST8kua6OwcJV4A1Ysm4yAY1STdNZMVWKEM5sh vfWHzXBE3hy5yg6MlIeVY9gL9NXzP+k41eVFVKlYou9Qq6+UXYE6/r6nQYf77+/tWhD+I1NRuCo0 SnsO6WtENnaApucJmjJZntw6lEmuaGrzX3KN1Z3pNE26v5Un4Wx9ZXDx1RNdHJX7jRPjYFVjizCB GOB1mUq7+BZWUAtmR4umW2yhDiTzDdoHcfV9pk6700c9a2lfEQhTvj/T8RQRLuh9eRhTVBHtEExO iAWKM7H6sxu/UZJYcxlv+TnVLJwGcbPdPYj4BSctEZMfxoX/jZOr4m16Y63Wd0ksqNzS0jD4wT6C owAuYZ6uFUrstD+a9JUTBVdq5Xo5atqQ1fixwgUhIht8DaRI4HUqhGDhDN4a/9tBi8c8dHHtcbvg 9/gpPuikWJxOsWraTDFZ+u13GItX9D7Leqw4WhtGS+b00adrwrnsuuNINANJpFBGMSuSQAEdep/y nq/e+se5rWVwzTV/IgTqDGzYznV13Z4LhxTQNdJgXubHKPfrPK5SqDe5sACDdHnafdHvRRqgvX7F AFUFEghkPtSqr9/OlILcRGuQyxw0ndmBFQgiosZtLhyUMe9iq72JzbtNl+eBkvbtSja9G6eA4mJy 4z7M+QQBEotASzgCzGMtTpjzwm+FgVKJTiVbIUkUbtEI5Spp43yItEKp32Vo51eo6eEptmraBb0g DkS4CqasvE2LQ5exiMt0IM+zZSN5q/Wo1mRq0E4/m0GRyrqmcM2nrnt3XeBctqFOHZOQECfX8spl aZy80K7yCG1BfMP5f/nlkSwJqNqNaDg7hYsvSluzROlBv6t87o4iK9Ur8mp28iOCKiP2zbVT2Zt5 5CvpqoQR2iyLJhSCRFBsJeebO9HEgaqeGHOFFlt7hFWvz/eMOYbXS+lAcPuQHVa90jgNIKA7aGf0 Tf4BesN6TSSZckqiNgxCSVdu+CfyvPkD7afP6oi7SaSDMKNqoE3b4OhJZ9TfsZOmdvIA20r33kKp NENjbceJYHhz0dbPRfzJ4LVPXVczenxeXoQfFTkrLvRJb4Vs8FnME5KwjwJu2IPxfkb0O9pw2/K8 AGp8hQgMlzmftZqPjaCO34a1F7doG5VLQn+xdLVeBoyoMAiOG97WrVtxKdctK8SiVckSnRLjdWZO k9xQ/7PMDz2w/4+N0Cphe7VH+/4a5XX6FPgpCVDNj2u5d9RhaUs9kpcvsqRDp70QtXfMNTxjCGM6 A0SHbi6Nspf7metOPjd9YlzeeqEqOTZEBPM5Bue67U2ylHeretB52LWZkIVvd8JSWXj1iKa+T1ab Cqx45X0Huzs3WYWrNPaZfL60dlYmu24ErOYNZl3JR8gzBqzAry/GTkr/Kp9X8oHOzJHwJfP4okau +6mZRQUSq2QcmKXc/cZ6pcDKdE1iILHKXg/rcAwIStKYskBGOo3puZQBbME1M5K/jmW+Fgf0GHBU WyZ694Ji/eftlFpd0/+2ZJ0/zMmSf0OWZVkYCFiiAlF4IKIJfMjncs8w/F5KZaDi7MgJlskHLc74 W8D/5L97qe7A3Bn/R2sC/MNxKwrBl3watpJKyFvJ7R1dWYHJEe3FZddBJBFFiIYqWxz3yN2Hawlw f9HEte2nK95eEoFvC5bvq0bsxTG4Bwz30LR8GrbDt8ZpBvQyLgcFkCukR0k67jgFOVt4WEX0GD+z 2I1sK139tN6zL3KYURQ6741WmwJLa7UCF5NuK6f0D9qReP9VZRAig+8O5lIBCtUgvZA3OcueVxcc ywOukTriRWHH7P6SuUbSH05JjvRDs5PYjLKn7zCHvqG8AVTwU+BcPj5/FisCLN+dDpzyrdoJd7kn BiCi6H6J2p5d4/jysq+Im0h0V0mVB8aVRXncLYx734P35yeYJa2QlynetgJkyGDcTiI2Yf8mlCpp YXF+rKDHzUFmIH+RUN4lG6e19tfFMTKeiMNQRRz/gNajrMVJdbiv81B2mUf6SPBL81XVRZ6Wfpf7 PtJF9YS/kej1yFIf5CsEBglDOf+03U7F8JrFXzOzXDvyVKGsQXn9Ol9hvXkT366YEtHWe8oScS78 9y4C3hLR5lCeY7eOukzHK48nfGmo//oTvnkvmQG0al4A/5K8L8pSAB184pF3M+kda07tOPsKM6/D 24OdatQQ5dJYkPMPS+kGPpRJqVCUDQzEMUTv5ZWj9uhZu5W9m5od2pl+qRFqLO/Yx1S6dX9aIkk2 rmYn23Y3nEAB6iLYRQeEHmLqBSqC7YGNx9DvoLIwNMqHEG5XN9+9dDUB8/VtAkRNr25uN1DMrm3Q 95dYMNPrFbx2xc47/inkHL1DixLCuSbZH+kZO2lMVMIGtsUQlunaMqF6J9/02ik6XxMzYMiiqCiR Vjv0NntLsWu1Np9BGDxjR6xAOPRmps/AOFGZTO0xgPmTTOEdIiyb9BcSf1HNGLx83L+ZOUgmTFRv Oxe4CzBv4MUUBcEgWKCIeKOXmFudhRzDXRAwvreURD2Wf9HC3Tu/hnFwfNeUNaDOR6MQHqe8WTac bDDKoq7fg6m/i8XvbK9FVKHtkGgxvI5wwRdxJ6XaBqAh/FYYVVYqW2ZpDMAguqzVsn0091HrDp7Y 5D27/P5jDZefA+sdk3DTkVAyZC7yJnOx22BuCPICFTzqP7wiT6yQFUhHON/aLp8zdkKErw6r7zH/ wRhrHtrpmwxUbecBwTeZepRZJSkyqxrbZuVzYleZ61oQ8GspTOaeJbMBqB7BdaiMQJzoOQBkhfHt wY9b9iYnELt3J/sJzPKtQvXG8VThwb6FQS8PAaSxZ6szoj6hBJXtNFeHuLHj8AzpsfqFp13jjtWR xXKd5dOGuXCKX9jhGckTGIzwHQYaH76BJ5ltqouueujuBBQlpfKHu4wWLdVy1iIsXgB3b9NIbzvl XvMAYSwq+NtspABNIhWuel9hbJoBO81fajUmQ4gIXPZqmvmdCLrqAjLPLAUkIZHDFtq3HjBPK0J4 oawRl2ZyYPtpqGeScZFBL+lepIYhjD+SDQKoXVTuCty1voNzVPvJHokNffQY+IPXDHxUVkxGZOcT DLxts2q968EKUwItbCFJyjK1GW3+q5PCcoS97I8mbt1HyAW8DzUhuG4//rn7BYjE9MiLPH84itZC r6gqGmDLeMAC0kvowedxGpzhA9N/bMK8pn6omxaJI4ZCIMwcQbi+9gZ4ReuoAeVugCiKuMsA/xvh TJfmLZD4bNuDacyEPqFu7rOGGang/VS9TYmSgv7i8KQwcQi6wwc1IM7RJUojUZyJuEWy16KeYGwa JO6SoAc9BT4b97O9wh+4t18tonoPZYHPXiasW3Lz7NUzue6vPlnb5zlH8xyE5yajlkSkgH77J7Cp PFBztQ5o1KlJD6DQp8J1AcBXyu9LYevtng7KaIhW2W4Qo/OeuSAFMjaLDEur0gLtTKA8Ypa8JPkv fCbnyvBUWSO3CaT3vIeMvx6O5pRpGZ3PROgU8rAoQvrQthHg1M0EKs/OU7LmbpopYVF2jXzGHOGs ol/5YzVgvmRbVS7LQ7ltN1qiEyz4V9LWpfZPj1C8kf+RNEE7iyFIIcEyCWj/ddg5ebKc/YbX6LBS Wfbq9bpftCKBh3HBWfJXdjGi21PY5ETJRBxNNQnQ9tc2JkkK8Wq117DFZJmczwn8S5WaCF4sYoj+ Zgl1ZjKBzhbAOSK/tCdhsPu+OO/NnhDh07LKQEu8SwpsRaFfhW1PY1SwJZz6KsB0dpfGKQqZMT/Z DJhzTEvExxpnNs1HCONcbw8v/lrGJD/1WM3NbW4FFrJZ+eBuwSYDYnWtr1oRbY9g8+wbraoyNJRR kWX+zaG0KT2hm+ebKfdAYiKRItBW+4utWa3Snn9QdHXTw5UCJf4L3sMrHfxdBxuhKVoWAk60CTV3 MvdEQBOBnXdgGOYdWjo8fZnlzBEQITlaOMlbkIHRrKex1tREQm15LYKPvdhelDsXurQDsiInMGBW tkZZwUWWVuJQzbBAA577A1gApOgH+Zvk1uQFv3d/xHt3gITTWg7kxKqHqqN/vc7W2IJasUrH1+p5 MGUZVK0Z1Hxd3ocqZ6Vbtvsvpz3M41aijc3GB6RIc0vVLf0qG9njKo/u4GdCpGe57ciHJqXVRYB3 NGY4unGlEvixKhSRSNT3M/fz3fzjRzMty3CwOBAMjunMOPDqBkL0eaEXKG0dHm4tIbCeWqRjFYGf t8/7YZnqSPNYEi7oLxKa+SIBYVmDOzZmbHMiFk2u/Sj4inupW4olbdC0GYnkaRRB5GZiWsJ1+d9K DoxvIee+XwlIZPsFGD2gzrkSZYI6rYBhGH294+9BKfq8HnrTDs0YpUpW+hJVkyIdecOHRXMyUhN/ NAvCfaWW8xkCKlM7xHuDvDO/VxqJRpJZI//XmM+Up2pinKZqOtXnstfJeu5FDS0S0KiA9bxsT028 dv3nhbEcY8gb6/gcuvLvh51tB2yHEqkjcxPSjdYuej6LAQc43reAsE9CUHnkV03L/BLIjKsnry4w F4RC/VLRUtNlURY3rLN6Oa8pRMBogiRzl6fF/aXa7yHfcCcU1tuABE019ku03CVfM498B5OuBJyl kTM5L85KvHLn7wU55253Mk4MkjkX0VacdyfgBT6TGEZXtjrd08dXM+TEt3NBmqbTyLgDyKtkhTj/ OxHrDizksJyfYCBkZbm8FUSNuBF3X9jnN2S/O45jfmrA1qNzlY4sUSJsXkSSF9IVRJQzT/tXCDlf xCZ3YcxKOR8JnsbrBO/nc1h6JMIcbo+rdM0jtIk6NK89FAdfrB/O47XFci7PLFi5Z7ZqDzJ2yp6N WQbVPGDGWCp0Svx6YpE7+qQqmnQyAjPY42rI5nDa38OCwJ5mpfKi4nZWqYmQzE6Pivxn7FiRmCX2 qSkN1l6eVGhzM+F735vKLiKszKuHYZT7qgJYLfCoXmjjQ196BIpdGAo0T2po71N1Dm8AcUDe9Bec UsQrNl+B6e7N6URTU06z2qtSaK1M0CqxsZd1rnva/Rl+RnCaaatCgmFskQfmPXfEtqvgpGsJKx2Z VgbFdzCEtP6EK5BznVUtFiHtHd3J4u5rd9Wphz6ofAITPGxh4pqxscAsrBqrsIFHrFDT5BTkmwuu RT0uB+beHXqCT65yNegasGCmWPW6ByxKMAc3HjVrlSYUoLx1Y6afR/MLttGXDSSaMO9qdTbgpyyG c4V+jLhAIBrjOC6410pO+hFFMff9HsX3K15nd30rfgc7R2hXkUyS9P+5iEvB2vaPnpBFXU0QmvqT mytmCYvTAggIpaKNMozJka/ePIvtRItMXVA4AoZ7c4vUBzRNQKV74hy5p9mZAY4mn3TWPC8cgeDp xPvXdzVP0tIOA+XtU444lhHAaWVK2Injo6GnHOLLG717RtmzwRv4Tyo31F7k1ncAvPNprZBEJ/F4 CJVgB/GtkTIcMn90CB7Vo6zScHPfO7CxtUiUcVlVrRSycuHk+pNEL+z9cO7a+Yo5YjMyjag/hKIm 1QgVpohywJxMTP20fN+unpDGv+zHF7ol1DEPKwzAdT7LfHg/JUF9q1cyFciwo5uYw7lnIVRx4Jd9 bkirFnLop9yCvQTJqj0pDowO45p7io0gl2Htop8LRpeD1kwYxywcbzezoatoi+j9FxVutvuqjjs7 tDNoqItqEYieUdCM842LUF7c78opwgaTs7a4K3krKqo05b4Q9JlO+OH8CbMJJfdv+8Z9ZuAfDzOZ riLCs6o43Rn+xo8zzgW1ugq52Y4UcncBSSK79kFCcueYn6JdhThrTAeLgMAvL3d2Fw2M/W428J+g Oa2qeCHKy01FzanDr5ULQNw8vrK12M6XSAJUAdBUa9qyoHxv06azOYNJIurc2nnPIMHKO1ZoLoeX m1x7C1YKt0PdooXXkMOH8t5uoDN65bIz+Nn3gUhOa4QzAFKb80bHabKVnreGHGX9fiE0TR8hdOZn KgKuctGFBspRWUCLdoWOALCIA+9532XHOCKopp1a9wgEgjOnHfaxeaD0Cb0kJ6MXk8QGnRdWNOvq n3BYM0TWrxTsGxvBhbh9OuZWtxF2P6FNRA== `protect end_protected
apache-2.0
2e0bd052bfcb3d1d7ec54bb73bf6dd6c
0.952629
1.823593
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/BUFFER_DATA.vhd
2
2,672
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.std_logic_unsigned.all; use work.CONSTANTS.all; entity DATA_BUFFER is port( rst : in std_logic; row_0 : out std_logic_vector (DATA_WIDTH-1 downto 0); -- First line of the buffer. Must be read constantly by the ip manager --PORT_0 data_cpu : inout std_logic_vector (DATA_WIDTH-1 downto 0); address_cpu : in std_logic_vector(ADD_WIDTH-1 downto 0); WE_CPU : in std_logic; RE_CPU : in std_logic; GE_CPU : in std_logic; --PORT_1 data_in_ip : in std_logic_vector (DATA_WIDTH-1 downto 0); data_out_ip : out std_logic_vector (DATA_WIDTH-1 downto 0); address_ip : in std_logic_vector(ADD_WIDTH-1 downto 0); WE_IP : in std_logic; RE_IP : in std_logic; GE_IP : in std_logic ); end entity DATA_BUFFER; architecture BEHAVIOURAL of DATA_BUFFER is -- 64 x 16 buffer type mem_type is array (0 to (2**ADD_WIDTH)-1) of std_logic_vector(DATA_WIDTH-1 downto 0); signal mem : mem_type; signal tmp_cpu : std_logic_vector (DATA_WIDTH-1 downto 0); begin -- Memory Write Block MEM_WRITE: process (rst, data_cpu, address_cpu, WE_CPU, GE_CPU, data_in_ip, address_ip, WE_IP, GE_IP ) begin if rst ='1' then mem <= (others => (others => '0' )); else -- In case of simultaneus write from both CPU and IP, CPU has priority if (GE_CPU = '1' and WE_CPU = '1') then mem(conv_integer(address_cpu)) <= data_cpu; elsif (GE_IP = '1' and WE_IP = '1') then mem(conv_integer(address_ip)) <= data_in_ip; end if; end if; end process; -- Tri-State Buffer control data_cpu <= tmp_cpu when (GE_CPU = '1' and RE_CPU = '1' and WE_CPU = '0') else (others=>'Z'); -- Memory Read Block row_0 <= mem(0); -- In case of simultaneus write and read, write has priority -- Simultaneous read from both CPU and IP is possible tmp_cpu <= mem(conv_integer(address_cpu)); data_out_ip <= mem(conv_integer(address_ip)) when (GE_IP = '1' and RE_IP = '1' and WE_IP = '0'); -- MEM_READ: process (address_cpu, GE_CPU, RE_CPU, WE_CPU, address_ip, GE_IP, RE_IP, WE_IP, mem) begin -- -- In case of simultaneus write and read, write has priority -- -- Simultaneous read from both CPU and IP is possible -- if (GE_CPU = '1' and RE_CPU = '1' and WE_CPU = '0') then -- tmp_cpu <= mem(conv_integer(address_cpu)); -- end if; -- if (GE_IP = '1' and RE_IP = '1' and WE_IP = '0') then -- data_out_ip <= mem(conv_integer(address_ip)); -- end if; -- end process; end architecture BEHAVIOURAL;
lgpl-3.0
030470f71a9a05c1075a7f5539e74f3b
0.607784
2.815595
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu_types_pkg.vhd
1
10,388
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block dPI2Kuf4xz7CemPH/QlaD7hcYqKhcR3NrIcD8v0oKrpOlL+W1YPz0HzOs6fITkhHPw6GpiNmP1w0 YZaKH/vuQw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LKBMBPM2d4xR6GBUOC+BvDP5wZzXqqQ9m1OpIG5zDdhNfLoiwTXATh3DCuN3aa/Dayo8pfS08DWr aASYjAENp3kVaM5l4J30ay8/s6VsyQ5q+TLsgX3YbPs0REJKOp9L7P+uikKwGIdh8jywuE4A7peh 5WSRnykewDaNFp6V0D4= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block mGZwjZFw6lSXejIDvo8bJmdzCBD1f7njaT8rYSNTIskEfSM3eC61RUpfK9qL6ZkYHgjAnoDrRjj4 FZEah8aGEfvwPauPJAXt9V8LpC+y/XzFItJ3A1U5sxk04oAtQnRjbV1h/EYcmd4XGuFfF515XsRs QjgYEiO3ANJJQPTpDo/GzCM2J0dWqOI4woF3xqsKJF/L+aIHuPG3BSVSQSc6j368uBfvvVi3+JBf ZsqhTYHWNAsdDp1zi2bBQwreChtxH9uTLIYIVWvJUbxQ8/vVEFhj7j26SaLhmeXieBb8yJyS3+gr t2Cf0VEuKtPoTFDjvJ1BKsrypNn6uaikxsTfIw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HT91nkJv30l2sL+hYvGWt5lDRpi32qw9IhSnIDUWPiPRCVNOitS13UeHRPjWZUxd+iLf8PlyeC8j cuwJFVjRdw4r86nwERiL1DCbqjs1Q583liHZmkXWAwMOFik/kxn5q3bo5EkhcD3Rc2B0nOSRGdky 5w0/jD13VREQe8JC79Q= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block TFr8QARZ4fqhfixs6hmzCJmfF5+3OgY7+x+mgGOKNcZqRhPsDDwSnz/+cbiQPQQgvN17Fj9Dx31Q lvrXOMnLHWKF4Vzxw+O9LQVe7HvsJUBziR3FOlikKCCsaTCM81aewoN/pR6ITL/ZkO5KKbpmNPpm bQKK6fmlZkXVTFNnZwqlUdjx3Cr1Hb35cyIfZ/LQ4ipaGCNTdLmIO6qGKYj1i7hZ73BuG+74ePxe 6w8VRG3vjW1D2OdTbezAIsKA4RtrMMJvlEkpuq2WH42w4oiU1u7MYgF88pD7y54WnaCmJ/vCDmUS GHAUM3AM3iFBs51StKs3LSNIi5CWN3J7ehFXyw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5952) `protect data_block WbWY++SfiYVc6G09QVRM3u+uOQgyxsIuI1L/PPBdydfAA7FumRyebLDPwvHoJ/qBhtixt/ZupKdJ +rK20+OH2+UKzQ2AlKLn0LFzgS10uz9bELLOW6prVWPb2aYQf4KROcqvX5AyncviYZ3L0kl+OOd4 Z1k3J+xwMICIw/Ru2SeNBr2rlyMNbhSIVriBZYZsX/VPuguqOWdhUfTQUXj/Go+avLxvKOT8RvNa XsCejBJkAJHg4vjxYLmuLWbfbr7wR6UkK5UEtD+uuHmgIQGBvTrWecESEwwxEfRs08OxhRxZ2Al2 9byISB88tjuRv1fBDbVfKKTH5XBQfc1Pgh9hAcN7s9rOJUNHADs5KG0iYXyJAjdXfI1ksPiGiyC+ Nr2VhBqq1tx07+RUdmIdvchi+mfQ5aSYGY3xQhQrhwulTTYQJz0f4fk9nIbrhBNy0GNotoz3ewmX eC6EWot2P2O1phGM7mzhrFPK88/4NWcf4onQPmeYKihl5zBFq9gNFayNwNFzVaqhj4ZeqcNQikrS i0m/NiGnBrwYVHstaEx6Ir9a9rkgWVrJIwRCcNo0XIptn7qOrFnAHLbqJwrie9b5JGdoS9MTLq// WeyQKwNealVM9abKEFsCnUf+PTSStP1v0+tR3vw0Q+jk6e/kZ1CHSuD0DCvaZVnLmybCNtQ/5SaD 292zFc/hdQ8btYbsGzATnAyhKIdCS7m262e0kLmt/UufQ2x09GQrL/Kl1TrEdmV95UWpifkI06tK zQXo7KYtWM2KJLC+YEwM9o910DwCvqc6D3i8KuuW44c0QTdltP3M4+B/Xr4hWL+4tUSpkKLYHZYh ztE+H+m0AiPK0KXdpFwstkLH35U8g4ZlNuROZ1/LzRONwFkGmgOasXvQPrSNqYhh8rNgSAcBRpIh ts4Z+mwcEYHi5ymurAQgLy3O/QhO4VNNCDSIS1evqN6ABiryTmUYoM2MCIRZFD/XQZCnmw8A2hDd ufH+3QyJTyrC3jvG+1eMcV9S+Ka+3fpSOZIRAoZFlHot8PRf+FIGwFg/jHl3LcqM60tLzm2q5Mjz 0dYzGlAdzs+iQx6l7TcBuFhBePov15op04WRn7ntJkZEMysmMPWF1VN73Dc6Dnu/fLNg9sCCGap1 k8eAmGrQr1EiNDKlqlXXoT85hkXwMRUO/+Ev5sqJAIwulC7EPmFDAL2bjWCZZsVPvHVSm5HgmQc4 29SIBzATn1wJoJvmnpiC0Qh+18e3Kgeks/qla01nVu6QnfOvFMDZzvnc+FYesdPg2Mw5/nZyDR2m 4blqkRqko2ahN9LNbceEI2RVIjC8/Y6+k2w6tavfUJwiGSEmZXEptQGLb2Ar29P1E0BTvlHYkPJ/ 5HOBIakEZGnuk4kfVzW5D2O5QBiikOnb51nl1fM54RPjnGgY7sY56zwUnhGOQ+swpwA8xx7tjX2o 6MaaKzpSXVKeutir2VoYEj71Nf+YUEJiSvoCwlyIcxt8znnR8MjkPBzrZ7A7u4WGiWHvBEluahyI /QizDTN/3dE+/U9zhP5gCYjW1OlH8B9MGTXLuikM+zrAIlD2WrG8QM7gsXF0BrPWqtyl+Xb+Fffa Gwl8o1JUGfDqPRTvWBFgjtmVrp98u9nFb0IfWsCWXx+7yoOBQtFQsYIOflNsupRqDNYSvl5fT3ki CgmR4zx6mgRRLiP4ENSjUfhvUiOCBFrjHlDPwxPrMvp4Lcyf6g/bwJ99Y66uLVS2p866lrkXBSPL wT6FYjrB4SnKhsS3dj4bcB0fGdJxyfK5wAMViL3cn/AgmH6PqNgav2bZuhfl/5de9sPMZNYg006R en4NVy/dDKkdcnJg3wcMOH/l7rSElrRUmBVzsDbBMRAphwkcwlZ/XrJfbJ8wzvwec3pAyUxk23VI naOSHQC+zIutZ98iSlCoEAhjF56S5lSucuy0Ewi39Y3ZOMOdqkR6g+0dBCnB0RlTvZcoqb31p7CK dBgMFSKi1bltB6RrvdwcM4JAg7WNbxn3TPCDwJQ/gZdp4DLxIJnSGlgp5aJYVx8DctMnSrSngD0t 2YQG7W91SFdMmqLQF3uxqZdYiSRF7QelSNl67F05NE9/GCk1fMObuLby2TkWaNAIuRPYaMlq8Sz4 r0TR3fN8/W2HKp3DFO8L8X/wQGimPliIdRbSGjNyEYqdLOQ+Dkm4/7mplLFoS/tsKAMNCU8ugyLj 8LPJcvdXOXNKFSoG4Z4hWIxsTcyKW9kGxDOh2hd9dyrTs9fjykCCO0JbuU4915S5OoPeavQcc+ig I5eVCjqrrBDqDxqnntwwFr+MsjHkAODQkaWIjp5jO0XdJWYPIy9mUmhM4RyFYEVUW9gV0xAvIcCA c9a57wQI8gC/QTttt9un5LGfJMYUJifmi/i/ZPPOg1pmRdgCiOjq08ROWhJY2clqHPvNCPY9Mx3U BgWkEsArbsj/7n54pt8F8b9To3WqVs5VR1mMrUcUss+j6FqQmplkWigeyWkAR9pxIre3Zxn3Z7cr DxroQlR+itqSOgxp3XB1K/BOb+Ty3REwiz0VFx7v3UefOGJmp8xrnfOZnjN29kgfrnNWEcyodN5R UOjwP2FkNIhHPKkRbiWANnuf56ud7Ru4xdZbH2ppW7JAzppPmPs0RQUVq/MtlDoR7nIXqvp57Lm7 BjeJHjDExDZ2nL0hT2tScX/jpnDhOmDJPstwRzimeDCBl+T5Qnk6mHWDz2Qc9JlRlLspOGSu9hWn 4h/G4/Zb+BJdqLlxWd1pFjdCfuQVfbvAKYsavEO+PYEk0CuDHDUz6E64jY1CiAcJ4vuZX9p0Rxzi cf77018S7Xrg+gqMY40fR499W3dIZnREujKCLHZwZax3k9cdHfZ3rYMMEvdjUDGGH6TRHxcjHnyw VPkhgi+8gNInu/ripBdxkWgt1CQMpuAN9ogWrB9iZFYine0PFHxhBDQROGgg6GmUw7zrXOl1kWg2 lRVS57YV9xKKoUniUKTJzvlYFzMiy7SSRrTc+/CwCkfisQtgGf5HNYXs8PbxkQz3AOXtNvf1TxMQ pULwBLhjQj1rVpbbpt9PQVIVl/VvRwIJ2aV9xhu+KotgDQkML/VuRZpoG3hY8EIbdUDykDmRvL8Y hrP9Usw8QOpisJgLQBHLMICc2xIedNLhD6w3DD+7aEcCvuo9434KUeD9CkfoMIqJAy1ICoykW0px Ugw2Eb51Hw4mk271kxyRj4yZxVUOI9vpfB9ufDTU6ul4CufAZL2rcgoKjDzHeRHU2sJJW4dQbSHg SOIiaDettPLVGACC8wpJZlfgMqzzrT4/vqxCHjcIVKF5qtP7IsO5dWctHEDGIe/M0VFi0+WngK3+ x/qkJ/P4G/t3p3nRXHzE87es514P4vJ7Up35jMcvdOGup9BbyBrKanQtxOocMB4+JsPxAT+6hWh3 222PWCHkHN/pCmSeVDUOMx296U+xhabzEPBtWn4YiPmBpILusEcbq4CRLqnsS7f4dTh3ZwyqG9gu I/u37P9sR4lzU7HTFUmEwEQvkywSFG4GUMuUUrHZrltTOv+jCc4EPs86uTHB0MqWgQuGXsf1VWJe YRqlBwB4SFVoDcw5lt46Mwyv9wk+/mknQ/l7w84UBCQr56gk8B2kPuVoCt9QrxmpsSE1xUEBdgr9 CoDh2C4XIRoQvIl9s1jYnv9cG9gYAmsPxKrvoS8TnNnkz4uy3CSX8kNiYqSeFOEHQUeQbKwbVQYQ zOFc8HrxlL40Srpckp6EsGi8IruhehvHmei/f4+AA+tqmZjbjJRoh6aEKkxTeLq+kFlAfLZpQbvE hh3Z1wPj1+oHh3frP7TuK6dKEyYWxD9NTTS+T7iWmkvTiIBXF0dQFoOYw+Mncso94XpA8wkbK1HR x6HCqGp885q2QO7G56hm0l08CwmSHruGoeoLSRHdKzuQxMWaeLE6vj4IxQQwMFTX216xtAv5MWVL hBkHZJKfmsd+LzZ3cGUP9IVFWbJskZ1LVnFpqECUWUpItDLVgs2h/9QtqKONvBD+Ekn7ZuWop4g5 CLuoA+R1zmy6YnJb7ot1dDUzKyIhkEidoL18TNGzO21h6qFKu/Y0v4PEKwwFvg7U1krQPk/aJizW Ozd+KK/2auVOXh3wIM1WEAunwHPF7IIuapW1XyA3UFSATvOodM2Ab4UcM8fyjE7doUdSniEBTS7C NTyvkGyJWrf/cDFdXkDGsKmd1BQ7roNm77/Rw0SYSDr6jH/15JFLcEBMNvP6kABqXvd6OTNjE9sz YgYFOQBKdX9DZaruL2Zrykl4RXg7qMe8A7JUNtQi6kkcjHY79vqEcdA8NSyh8c+xo48dbIPnwCUN 6evikJZwCbRjdXhMHzGRLuav9IP5K36zT4Vy/8xZlCIgyREr5uo+gngBFAEgWNMKZeQUdaCkoqj7 NUNYCHnKhk6VVEGr+spQZBOeu1ngsMOhj+3HoR0qSih9IWKNVdd2S5WfU7FD2U/wdrKHOVrUGZTW Y4g1BGbMsMPjPfUezxDTaWyqFe0rmMfmrkWYBPP705t/vAj9fx8iBFLxRO+3k+FLls0WWfGHPjvg 6gdSqltSN5MVGDFhxYvi2pDhgWuh9VxfeUvvjaU+fCyYO1VUayrSxN/rAU2dUyaeKvOgfY3pwieo HA1ro0RqstvDMzT5AhQPkIFD41TSJPgUy2kreS3l/pvcx46bL4sBVDNofci5Ox/wgqyEWk1DnCA3 S7EkoxnaC29cluyeOxRogdwxVOkI3dYOaQWTlHtWbM2uJSPUoj6PcsO2oA5h5N1deQxKzelQGdju FLEmBuA37Epc1LnAh5NNo2eJqwt/SW0FDfUXhHQOV32rhZpglsIAlYfdHRbamesCj944P+di07+k oxTIuyjZn7tDRCgb+tY0PSI7xhJQEPoqHD5nlTx4KMMLD7TajRG/A2s3AK4ygJ4grUAj3r8X3lYb i1G1dEK74hcWKH5G+vAeS2piLElp+pSwQtDZd8UuUlocbG9Im6lGsBnK5ppAt/re47GA15D8Gnua txzNK7M5KveKpk2eZhrq6xxh0W1sJia2D2NKCZepqqterD7avgKjzO7jJuVi9UkesC2WFhN6kEl9 wizkeXjXAYdoXjm6nCnFV3AMFQFyoE7auFzGTAsLacqLuHIZuamM/7hjjV5JTv1JEG5Q0vJJYJGa oI3VU/na8JTzUL915BGOA+DARrti8/txRxquyeH7pnQsNFTK41JlQsOuPXaOTg+UQvn5MOrKFY46 od/J0fTEUsT+8fr6i7o4IeKx9aNSQeZFRO+rGUomUAG8NLFh4PxM8hs2vjamZG3jkMRDJQSj6Pr7 gSOKUThEGMJ1+VTV6cBpUq45ChFYI/3n2qK+mmvnv7l6pDEdEk8oVrn/P+1ljBZFH/JOTBEv+0JR UkOF3LzhtQjiIJg32aqZ5azvyQbnTs5VTDJVzoqDqRF35X8jBkmEd30fbDe9MPuio1KcL9sksgbY l09iMYyy/VQAfsxMJG5BWVwYT4wQWLe2gyCThU7mX4HxtyVotxUXYdKtnNuvjpO+ioCW7Is4+et1 NH96Tlm05CYmKzc6Xe1ifxJEoVKDFxulFwROspXTCgqG9i8TqrsKnAzu7OX/YM8TRlDZR9LHlX5d dusLihJd6cq2fweAbjRPrViHFQEGwbPi4LKJ65Tj8/pcdIQI1N1SKty6FLIERBGjNzz2YbLZS2xl /KjJ2Jc7GoeCtwje0EsDcFHeCFdowtXqzfIq1p8W/yTL7ag1MS6e3IiS63YvnoZckGKFnpgYsBz6 DjS7mdshGG8hJDjVDM9pFjVR9hHfJ1Sl2lVQVb+vwqRforKMTs9U762pWs40RrmQ+WqSTWoXV8Dj 6BYV5aHhVLASSRcVJkRFeaFqBM+xoUiJq0eL0/3UlHZFxkeftRGETflf0MAtGsZq6e3z5mg1UwcD VovSkwMVKjSPYmumuPGhV6EbliD+SV7oCs8JXT4bAgHJ0hfU0eGwwGX4sJ7fl4iO8pc0gaiAMNgY AOdtQ1OJi3o0r73t1t5nyeZGPbqJSk1TfHm53XH0Gxym4II6FOZomwThuwyfD5XqT/zqQOFlDXAV kWmpdwAcnNnq8dcbk4DBOMK7w+eermXURmTAmcwFOUckW8/OPu9vJwGO17EYrwEzHrLla3Vg0ssF A4hQK67qdSZbEw0gYhiSc04yLldQzQlThroTwivJKQmwSEVRDe4OnupxwR8XdCO+bkPNe9mJ8Uru 4Zt6rwpkghTbN5xi8wGAM/qot9xOlzyHNn4e//N2RBalbm0SfkIIc+tRTCI08X8OvCOnErXCu6L4 MziFIQCasM9/Y6N1TwStaA85g5ePpV0ieTaaYuelCRnyOCkDC52XhhamM+49GvB7VeqU/gRloiRQ /G6NGb5p8eEjgFjlY8Yz7yl/Z70WR3K2XwZJP6XCSXvWpuM52JvKyzaz4pKM+/k3Rrt1CbKSSVpO kMudXMkCLHe6QDDQxq0FfwjkCUvxthQWNkQbpfRlXr00X3fH1/SfX+s7PrNuAURHFBstuidcTplV 0gpJ22amdDuuy7QxN5GlVeoNH54+fRnCXfWisP7gkThoDDeDWF9kk9T+eYYBtc7jWJQHJ0MGT6qn xk3zZ4ISU9VqWg+rcAZGojGP1ViOhqCmyiuJPYGLclmyANZ4j+SCqHul11HUaNb/0nOKX4Ajftr8 K+04QiQgpfFZ/FUhaEmVHnLxyhJ3ilFqMah32SkwziF7WxAHAmq+nLsqXlHcARez17hnc3XeV9nv LESqcnWoBW5pxoWMmsc6VAhxbA4G1H0LQB4PBTrjRFavegJ9fgudsXb6vErmY1g9a9GqcK8Szs+/ yWnE/lNAAMi3CG8se7rZT7/WQqd8lWbnVHqxQJEiiglMYMGBEugr+wfHg1wNBHzqeq8I1c8dQ5Eg PmMdxPOE8kQjmoHC7vk3QXEPacRVkNqy0Asd2F5/EAcgXCrN98tNyxG51dLYf2mFFosJx3iysGuS crkEKdmMWHEaJl1luPLw65mUo4XTmZvx9S6E2FbOcmiXy8Cc+0e1WrAMck3OhAEysLxrRob6h1FP bidlpNp/76Dx3ipIrL/vYCdQUHXmia3SH+16se6RtgJN44+EWDi+OLwNjfqQRz7XtQ5frUF83Oke vGhY0HAJ7LzajRXyGyI3P2x+vSAiss2Iiw4XhEdO4ZfWM0HRUlAyX40DTbldu8j5E8Ab0rLwausD KkjM1QvUq5BOlPNSmT0Ybmi+JMOOSkfonsNLUiBYJgJ3ubkU5LkaPBelI+g08SxmOjRhapbd0nem ZRtgd7+5tfHo2AmHzngrN3PmAqdMXBoB35dZ5R3691syVGTdPWVPprquCAHXgme/vf2JWpAteVAm PUpZF935raq3hvrz+bW92XibM+EXlPkMqvGFZjCzLWYjTZvHhpi72bdrJYa4y2sIA8SIXqWBMgqf senaLRxVq/o2xEU88FVgpWeR57xskm518fTS8D7q1XJIxS1HeaG9UWBLu4d1E9GC/b0fhGNYaj5T OkEQAHtSFOTLGvayD4alxsXPUp0Jfclf3iEdSycwmDH9YhLp3K1VuI6h4zfrngCRHRbeUJGpFK0n gj5kAqWwyIJBF90Ap3GKrRTGXZA/DHHve76cbH+Rf28THdFGNHkw//S7u0pYEltTcGVEkflQn2ef repHTKnF3mecjexieY7pH2IFetkX3IR0jf7TYeI6QM3HQw1aj+hGXvjTJMHGoXKhZtedhyokx9/v OIbjhVIatars/2fEpBwbbGeqFTc2pgcGA3kjZGV+a7TUPJUlkXXIieukdZVrlaIyFqb2oJ5ZCtt8 rgxYRyNOvamL01xzRs3OsvkXKVQap3aMXLtOpcZjtJuKPBWk1fkFgU8NBQ9E0FzVrFvbbfLcQbYz BQeRBSR5zQeKPuWWs5fBu0z3Ib1JOFEg `protect end_protected
apache-2.0
3b11f88083be27c2a555b0db0cc8132c
0.926454
1.912723
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/direct_path_cntr.vhd
15
12,275
--ENTITY_TAG ------------------------------------------------------------------------------- -- $Id: direct_path_cntr.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- direct_path_cntr.vhd - entity/arch ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: direct_path_cntr.vhd -- -- Description: This is an up counter with a combinatorial direct pass- -- through mode. The passed-through value also serves as -- the initial "loaded" value when the counter switches to -- count mode. In pass-though mode, Dout <= Din. -- -- The mode is controlled by two signals, Load_n and Cnt_en. -- The counter is in direct pass-through mode any time Load_n -- is true (low) and up to the first cycle where Cnt_en is -- true after Load_n goes false. When Load_n is false, -- Dout increments by one each time Cnt_en is true at -- the positive edge of Clk. -- -- The implementation has a one-LUT delay (via the XORCY) -- in direct pass-through mode and the same delay plus -- carry-chain propogation in count mode. ------------------------------------------------------------------------------- -- Structure: direct_path_cntr.vhd ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 05/30/2003 -- First version -- -- <initials> <date> -- ^^^^^^ -- Description of changes. If multiple lines are needed to fully describe -- the changes made to the design, these lines should align with each other. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; entity direct_path_cntr is generic ( C_WIDTH : natural := 8 ); port ( Clk : in std_logic; Din : in std_logic_vector(0 to C_WIDTH-1); Dout : out std_logic_vector(0 to C_WIDTH-1); Load_n : in std_logic; Cnt_en : in std_logic ); end direct_path_cntr; library unisim; use unisim.all; architecture imp of direct_path_cntr is component MULT_AND port( LO : out std_ulogic; I1 : in std_ulogic; I0 : in std_ulogic); end component; component MUXCY is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; O : out std_logic); end component MUXCY; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; component FDSE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; S : in std_logic ); end component FDSE; component FDE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic ); end component FDE; signal q_i, lut_out, q_i_ns : std_logic_vector(0 to C_WIDTH-1); signal cry : std_logic_vector(0 to C_WIDTH); signal sel_cntr : std_logic; begin ---------------------------------------------------------------------------- -- Load_n takes effect combinatorially, causing Dout to be directly driven -- from Din when Load_n is asserted. When Load_n is not asserted, then the -- first clocking of asserted Cnt_en switches modes so that Dout is driven -- by the register value plus one. The value of Dout is clocked into the -- register with each Cnt_en, thus realizing the counting behavior. -- The combinatorial override of Load_n takes place in the LUT and covers -- the cycle that it takes for the mode to recover (since the mode FF has a -- synchronous reset). Use of an asynchronous reset is rejected as an -- option to avoid the requirement that Load_n be generated glitch free. ---------------------------------------------------------------------------- I_MODE_SELECTION : process(Clk) begin if Clk'event and Clk='1' then if Load_n = '0' then sel_cntr <= '0'; elsif Cnt_en = '1' then sel_cntr <= '1'; end if; end if; end process; Dout <= q_i_ns; cry(C_WIDTH) <= '0'; PERBIT_GEN: for j in C_WIDTH-1 downto 0 generate signal gen_cry: std_logic; begin gen_cry <= '1' when j = C_WIDTH-1 else '0'; -- cntrl increments -- at LSB ------------------------------------------------------------------------ -- LUT output generation. ------------------------------------------------------------------------ LSB_LUT_GEN: if j = C_WIDTH-1 generate begin lut_out(j) <= not q_i(j) when (sel_cntr and Load_n)='1' else Din(j); end generate; -- NON_LSB_LUT_GEN: if j /= C_WIDTH-1 generate begin lut_out(j) <= q_i(j) when (sel_cntr and Load_n)='1' else Din(j); end generate; ------------------------------------------------------------------------ -- Propagate the carry out. ------------------------------------------------------------------------ LSB_MUXCY_GEN: if j = C_WIDTH-1 generate signal sel_cntr_and_Load_n : std_logic; begin I_MULT_AND : MULT_AND port map ( LO => sel_cntr_and_Load_n, I1 => sel_cntr, I0 => Load_n ); MUXCY_i1: MUXCY port map ( DI => sel_cntr_and_Load_n, CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; -- NON_LSB_MUXCY_GEN: if j /= C_WIDTH-1 generate begin MUXCY_i1: MUXCY port map ( DI => '0', CI => cry(j+1), S => lut_out(j), O => cry(j) ); end generate; ------------------------------------------------------------------------ -- Apply the effect of carry in. ------------------------------------------------------------------------ XORCY_i1: XORCY port map ( LI => lut_out(j), CI => cry(j+1), O => q_i_ns(j) ); FDRE_i1: FDE port map ( Q => q_i(j), C => Clk, CE => Cnt_en, D => q_i_ns(j) ); end generate; end imp;
apache-2.0
cc3b205002d1363dee26a2ef2ed4a48a
0.421589
4.874901
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/delay.vhd
5
10,088
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block ahrhEGUHHc+Fv+y8HP2i3fkx+FngEvN0bgNvZmnfQxIzEsAtHUZBLc1Td+0Ub2L4YMFezul5Mftc 00jj0oWM8g== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block FS1H7vKU3gUQ4X76cmM+FLJ+EYreMRbqqYgm8Im9/YT48Jomn1zLPmS6aTBuIsXLNw7aJFuf/AHH QPDXJkYJIKIYp4Acqr+mT1vn2eQ/+Ce/OAZDAZbVMIOSdQkeTXIrjWchoQV34jDNOU/xckatDTz5 RZ25vsVdAewWvs9lKdY= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block q6u20dAFfl2hVymFft+wzUJVneYgakGEIM9nM49sBxYE1bBf3FwnwacN3Vt70j9UJspgl+XKT5Dv UFY76qu9M5M7KCsppHJMeiH2aMzfIDCmtbCPrd6krlxrGOuCxfAIdH1pft30WiA7940JMecizJ/W HhaK+ozAsU13N+qjssN5m9pQHiaRKf7zd5RGSfGmI4E0I37wAiX7beUHQnEf2aestCzp6FvqfNQP rNRaIjkRBXHnrvdXhc4QBpUGTWa5gRsMwVvhPS1LEScIEdgxgKNgKyIktkxi7+y4ScPdmpxoTbPt WsDqQpduJquBDD4Xwm6KFhjVi3N8fPEe3TcEJw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HFzKvrFgBdSqhQmcvHkL3buLoxr2sQ8snAcWg17b0eSelgutgSYxrGDzNGGS7M5VVdMnaN1UYG9b EDjYIf5rBKsHKf8rePYTQC7W5EH9f39VO7AwWmKqA0lWlyJZk73qClW/3lwfn8Dfc/Mi4NZ8baqP qRohp7GXPJcKdHXku9g= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block FzWluHb7i7b4N1KjeJiFC8vRTJfOWkJDrORn68zM3oo59wb9R0kfGOELPIou+0ucpcLHFrH3SrIK goE0BIEAgpR9kRXzKuXq+OITYR+NCJ7sxBe7jGNQnoWIlVbCaqyxBhCswa6PS4QnCAqm3zOFBnWZ qeBR0pkWLoEIgbFxdWvnWfS2u49tu0GAmpTSkOj/VbFc8njdmWNekfA+dwJ7So9G64Hrtouvj3jO cEDpufnIiLAMK+70OCIQiAikR2BcKXIC90KwK34D6UHJc3DgDBwvjlh+j4z0TNJnGzq3/twWeqE0 7V250DL8Kt9VFDXEeXrfzod263Nts5r9ajb/Vg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5728) `protect data_block MKRLFvyieNYCkUuHudo4Ejf8ZFXRA9a1QQmSiTIKeWlPCgqZOpnOVbWV1MtNI9cXwTAL7phPf59V ZXqf9cM0Wd2ikCdU7HYC9iFL5vBLUO2Z54Cpj/qyMiBD1Nhwt6FMPLGXMjtQKSVuqIpuqRMLwpxE mQXSjE3HwJSWFMSyH3MXs5LfHx+MhzGbeyVKEAUGZIp/bPQN633cVBEe3U+BGDFYbUnaZVM3LBk/ tTAv4YoEW5p9Hc/60cmT5GoH3EbmWH7Zb98DTjgWhSkistBJuQp8TdojNKqNV/aLBi19h027VEPs tSsyoK5y778pCeAplPHvLjghNjRFWNR1s7Y6EuF0aFRPeRAVypBhu/3QVi4iM3Kzp5gGj0vgQU4s CJQZTF9Hg02QQ/Dkv7nA1PkfHVs1DCNvGH1W6z4LmfUC8EA6jpntE2qbhk+vsNwz9+VRhNV35Uv8 sSYjyEuSmqwWBW3QAY32YSKRYlNWoYs3Bzzq8NQNtcAJqQLrbShpBKROyd52eqmz6k1PKZqYy1yK zSMKPpvYOSRFfgYHzPBS1vWtQ3jCHPcVKKXHuyqGuxVklvb+pWRCyiNWRUAX/wS8wpaDdBiZFlKd wBjGXJDMrr0fxku7T339Ow2befJlFY4wyT5ofBjDPsInYieTP04nz4nB67T7ayl4q0OtF3touu6/ YjkQBnvmJx/rB8qpRyy5z010fKN01Emi5TGSBR6ZmAqHikMCBrMqAhLqjbBznCPOQRXI+kFDcvkM Pe1zERd4QhoVOFF40txe9mNelMxlPPBZEi2g1+dVbB9SzqWazktgtvYFIZyXMryci89fbo8omvze dHMzVIuET1bysP62bYT3LQmGInq1SZxHYbIHVWPtmwCUfSGmuPt9jB2h7PrasoMVyXXeDAqneJKU 9IYobeTLZRQjYbVp/PCeu6Uwa5v8t2gMV1NaQcO734UZ4jU2psRU3nX5O37zTfy9JNCOEhRl8ejW oC6E68fsKm+4K5I+CAkdY99Db+SbJ2RsOSYl3QTziIwcjszMe2WIiLkS64NfnvWb0eV7+RvXaPZr HGqjHwt4iGKc6trBhg4JwYCH4LxmfMKQi70q4iWtff4odxhKvTdrEe+t5pl1ufAypwQEUZM/F1cQ J3U4xVAfiuNiGgax0Wa5KLVLu0zJ5apDYl7zqVrfp7U7aFLNsNzwaKUKX/DMZN/Lf7n1w004nnD+ CyhcMe4HwOxjCPM8y6KOARHw6vEK5E5PUV1DtHrQaSbpvro6cMvSVuUGpbKQXeWrrtQrPj7pw2AH JsJFYVext3Q/GmyB7f/XrW8tT30yUtDzqcMZZZLs2Gjam4Q18jR8Xnrhe5rYYakZqOjSW/Pru4ol 0sWh0yynabqdF6pIwj1VuGtFZ6gO1R43Bdz4WM1ZDDkBBj3X970r9R8kvusRNPn7qm7Pr9om+Wz0 upOlxoj4wYlhd8safQer8//vCjJWy2R3x8OfJ582bMjQhIv6xOSvYTgPC8qafXvm1ntIj2H5eKXm dd+sReENT9uAZ/G7xEmdLjrXCnehpGvsv+LkdR7mBulCWDycNSTvnMD8JxsTe0WJNjoUxGN5TCfh ulXwgq2wMCm1bfzaO6ZZbo+7tf0f0kSKHshxE9Wp88SgX1iRvIrlIJdUaTGG4h4TFZG7zxBRE7ss zfk9OjuAPGZ+c1PcsHGJNtL+qvvyGLLHzSytPzOgVZtzVtTD6eJL/PrqdJ7J8ThiBvb6B/3YInz3 esu9LLSjWUwCc0LtATGOxoNC7JC/MZo7kQF/F43oztd2QyzqvHFaU4jsLLcHZ5n6HjoZA+SY8nPj e7W+SdBn3FXfFl/334ONYRXCPB5lciN7iiwwCFbGKENK1TBzA390dvjFsmyGlvKIlj2q1UBU6yZa KPSRQYjDsjA04uuhAx9s4+Dcnkx9vbz3n21VKg0SggahpLZaRZ6558AML/f8e9g2Opm3yNIh0TSU +Pn8RR01GSi0XtdA/oPewAfPW7yH9+AnRuGylUQB48SYzdcuAD2epslK/aRl5dRfmM2EwnF6EwmB 9xYzadoIuyhYJYulyzzYntx9H7zR0XpHF/G7OlWR4K1ApGwLSgKTNpTiVJFyKclsJe1co154puzk /xxY4SJ85fcjrBrKG2SvH/74H6VoVU8S/ZjqJ2ly/ieZlVHNQS0yTge7onskn+wwSOKpvVQTS9u/ gi2ckx2WcVbVkgaQ6qUccdwzPLFsyRGcSPVyuKPtC7PzEsBS5ZD/ubLihb9UGsDaZwBd34b4Jh0Y zrpTAwqldc/xhCkv4inEp4s5m7Iq/btJ5aC1r9BalOZRFAyjHa5VCcx271pdteXZp++es58XLijq 29Vy3jtGYk4Q0OfleKUBmzqlctJvuElGJ3hx76v0/kTKPGcEfzFeOESvcE58SbnavHmQZ315pHqP mUwkXflMvVkyYl7unlUfPVuQEV/e81YJXYGc82AMX9mOh3yXE6fcaUC6VzK+S/M761nJP1GwFVw8 J6fL9s10HuzdUJjYs2pOPKT5hFUY4wygYAk6Zd10Do+xZ8BkjHmhdy/UcUYE++FhpmJfydPkeYLE Sgov+IfmRxRbHACy4qrizLTblPMxm9me501r3E2VamPQ9hcBPILIprM4ZmBdH8N4UfDLhl6jwvTh Dvb0ytd5Qfh6BebbR+XHdyahbhAZ+a3R94fnFWVG6rOiktdUXctR+qyOjJFXTpSTx0TxFt7RBlEB McE1LbZ6dYsl8v7xMEr8brGLzHEvDIJO1DRyPr6UMQVHqYAF4pnxGcyERvK4zpdKBUEk+4ERT/8y h8/MLhNwJNHKhkC78xH5J0XDV9JtnfhcmS3mfDQtZaPEuTciAGqOYIclqhLrj2wMTbcA7qeLNnH5 LG/udbS5dcBXX9N08P23R2Z6x47ipgbXiXS0iSIo2BmSM9Jp9L8IEX5xwQ5LMOQCtF/wXJGFmaUg f6TQ+/iKCkwr7+leg13a///DdmuEE4QwzgsxWsuk3hFBTwtR48qpuZBmNEov3seGDguQyzv4d1VO bE2Be56qe3PyO6UMrD1SA4zWgzOsEfeMMlWRU9cZ4hHlwURNjLyFFAIx0pd0orVLres929v+R1I3 UHueVQVpw1MRakRQ5QmQ2fgoS5GdOuxhOXiReeTJ02i/xU5QsOe5AP5kDaRyjAmsojkwpF+Rtv1n ZmaGvgZwrnL1cPxXpyV8gutDg6WHJMzQeIxV0zNHPteGgAbFkSaPmmtvS83l7V37HD7fHlioRJO2 9kITQui4nRkPIolQnRq/PCvRmUTAuy+Zv67b2eHV2ye4stIm/iw1hL7P3/0WAX6Kx0hdZBsnzSEk bYt5lVMGkS7WjiiliKX+CnrtNLLazcUgjl7QZWgrt0gmlYHLiYdUfW+ADtE8u6ztBheQUSr9ziK0 eV4RYAnOoRLN/T+LvgCbIAreSvBU4G4hYWJT5D2GmSEQfwSCoPiRUtRlI1hq4twoSujTAbY8+NW5 3lCdWGKi2cOBU1/lfUBaubHjwLWdp9syUnjv87GPqBZIYAmRAyXm2+Zs3U5iTrjDa3jGov3o95Cp dXZT7xpzNtl6pt1oNgeXiZN4eiJfThzL6q4m6tF69nJbgggBOBXkFcybS7aHLeQ7d5kEjQDDOitk 6668QFJ/FoiDFqBptX6cVNrI/FrfHloMYYlsQ2BsZsYnLwyUwKy1+w1+pw1HzQRQO2khgV6/KeU2 MreRjJ2LvUXTg3mGQSTIcg3+P8aFS+jcYEy3ior41zHOKBguI8NDmXXTRVx6h5j42R9OWXLZjOaX /MR2WhonfZT3VvdlXitApq02FDADmQryLA6HtkodCJaGzN4IR/wSwr05ndga7M6++EKd3l6CgUh9 ep8V/dw0qFDhjQ0GWAI03svnM9CCgLNfQhPlIpZDWHtJIQ+WUXc1bQ32EFoKtoUuPxk3sr4nDY2x dX7WtTCiVqrMvkc6ZtLii9CitODpmHzIj1IgsBe9/1uxH2vqJ9i4FDUJv+k287u90MlJMFpnPtCF 1ZTIpAkerkMafQr3NJ8s5H1oVbqK/HUfiBBAbg7p+CR2FZbl4YfqATtvrErfPY3z0VnyMmNRyGP3 Kh4Ev6OYXvo/O0kY+advs0atDrnYj2K9zrEBsshZhws1Fa6EeHkQJs2JstVnvECEKdwVTz/LnWO9 Dqrl6bz5R+e89GADGu1xd4FLzVPiUfm77djmi+OlLALDe82B/UidaD5YFw6kqccVqUT3OfdLmrMq mwbqTALoqqyuwX42L7vn6+ldzVqAYubqJjiu13baN8LDWp8PTr84WlJCKZCTnGHznYeHut+iDSt0 HeflZHaxBXPiLkjOJ7xOFAKaCYKT2gpUCLQv7Gp12OgTY4SWwt+xjFll9W8eeNdICvzk/N1xUW5q xJBqJn+Mzi9nlSrs7Alee4EoNWZJmL31Wj4/aTnUVOENF867nwZENCM7/i7tKAoCOfbEhOjBdYuu Y9HMh0M8sL6I3tW3hUlgy8UqDPbEN37IozJIOJZrUVWEMgAnTAuh09DVEtGu7w3I4jvKM5XD6NCh nI28x5uBJ2qYrdiNIXYHoEWBn1MrzuGpnbneVN58TNu9Xn1t71GAwW2T6+ayU26xolufXzcww7Fs djLbTne08GYiU9yj67H3h7FCm0WDzqn+nheyp10dyswVf93dEeXW2kEeYbEy5CSN2VPBZQmEW7gF JJOf7eiX7qZoK4uVVgFTrZKTwLImKiNvM45KIn7u43yOd/EY02FSLUa02GQz2SQaQUzTxXMylqez wuiM82xIuOySi/RcEP9mrOs4QBzmG4uWuI0PoFa5lEAhVcLFfNuEsm/EOqpdlOP4RzVG7ITGMoxJ 0Z848D67BEfDrju5IFZ8tNwWFak2Hc34+uulEiDZkyOvta48jgw02InSPevTKvrIPJePkNwuoC1O PqVNhiilrDcRBVnIhwsySuuB341sdnQluQ2XqihytPMTk/nFUNUypiKtwuQkhPbzPwEyRep0AZfx ndvvtdGFZkM1l23SeK34dYaKIy8RFKtUViq5HjdBCiMLVMH1bbgyfn0QxjIpKJSO2OAS+K2uRI9I fphrJdDo/gZ97/sbK1tHPDu9xDgxULNoflAxApxFWtK8kSG2dQkMrHmsqgIVus82JgCuPtc3hYpw pyBPP9V6K/rW0MC+VvVoHu3O1MPrgGyVi2P/dPDscEH7zyBfBkfNMGnoIiq5vDHPY5YKu74W4Btl T/JNy/lAWYE1mRkL3v3+Avyb8apLsvqZx69GlbFexs16U8Om09SZoQKG2OauO8wZiNz++QSnEZKm CrEvOf86J+/eyMPCmTN6zkX1FPjG7YbYbV0aqyIA+N4LlMdS9YyvsQNIAcntZeiacQ/VoZR0/e2z CWeV7mno3fLNFugybGdSLQ/+Ra4mK/0/Rb3iKflDLgjNBf3X76uaLNlLFW2JJuMQ5tIWhXEkpxSr M3kx8ooQ56/f7YO5WbOmBvNgxKHXrcU2h3tIU2ba3W0Wt3TBqEATPF6PjCh0q39/6Ay2QspPSUoI N4rCPkYdZmPxEu/gkGgFe4AXRzt/sLJIp8kzj0+IAyTRygCfgX6M+fQSrB5bvQuAuOsDd8h8cl34 bH+Cagzm/+hblMwc3PAnTuvGZIIZRDPot++pNp86uvsFNEDowRQ9SPMuFKPODx2GP1QhVKtbjk2K c9zsg/6dOx92G8PCjG5We0QLdqhidckTqrd1LsdooufjNpEePRSxe0NluiMULItolWE420uwyFP8 0HLYb+Ly4nQImnlRx3OPS5PnOnMzjvgJN710nGa9vxObH52lWvwb71ZVB1hvspZcPtYplH1D+DtU COcjnlGojL6D9xkUkoAhA55Fetn8Yxrd1kPt3DU0XE/6tYCLAxEdvsz7VyWfSd+/jqq0kqOe1SAv 0eLxEDvDGYdqt9q4+leijfA9g+5anbsmRt+tSAwO6u8lxGgv4K6qq/E22AgQawtY7q1IjRGkxfYc uux+wWZ/uWoixTG2tqDzKbQ/pz29/ylgXjJbjBvhMbI5ao5Qt3pyncRmr++tkSn3uB3GS4Z0eFKc AXsv3lTjI2LVwkbBdLBThu5h/04UfWhX+IiOncXviVKqn71uld3JTXH6gOKGIe2KGuMx+7eiflUo fDE5OVaq/t2gRyKyo2fDXg4zN2hhtRoKeOFypcAvC+BS8brGPuveUfYnRenU9B60JHnlHin7saJT ZF3+RUNQH4qeNuKgF7TGarHgUbaojwcDO0SgmijfzfnXMWCgnMtmhrF0xGFWv2deZyCRVH/reGMg wBpMPTY3K98hqcS+bp/ijyQjddb44TfGLJ2rd2a5MT3EKmAiuLPl2iIez3chr/+ji3Cj2cgcr7Hs vk8zYoGGzs3JJSiv+q+1yEJf7EPXWIIXS9Zh3C/Vaq+AfQGMqG0cYRHShkcBEACH/XHWreUCKPsq 0SplabHHdTQ6eTDtyG3kE4A/wgW1bBZ6Hk6e3KsFAWPFZeu1jXo98rrTtA+MK52z+gNhMVHEwpdN /iW+HiBzcaqtlFKsFQA2mf8i5W8r453pLmwIVo+8LdwXNmqwK8nOFRHSKczK6/WOE+Fuv+M7Op9i c4JAJVdlFM/Rcba81MdaOzGvTmQBMWYXgHp4IIsOLZIlZNiCDDMXDnGeJqkJUdgHjI2myclKRAXh PaNRr6FFzJjGT/HXKpj4qZ5pOP8EqzPj0BAvgEpgqcsPMFE3kpoZexyI2NUIJxq8EvdJce49P4Vz 2SnqcDkildOGy5wRUoJJweNDmiOraengnN6OQqQh8CSpQY5dxjgD5UMhx4kQIuvfpvEy5afSB0P5 kbQ84pOACVgFancsVSoietLC9rtPbFkB6rd4YJOknD97YZaPf1WDcg+wBjxLoOnl5584G/yqTQVv HlgkCR2ppgyY5zVCdVO9fjdgA+Mrf/cCyDVuSGC9/LbNbDs/sg1Nas6d07wwNqgFpa3me8+QhTxG Re67OEyQiyiCq01UEJIaI2bmmpTVkp8C+HefYuuph1o04BKeUuV+Zkx0Rq9O+c1JJhDhhYU9+sUF +iRCQo/f15ZU14/IiaxWN1sJkvNN+NxT5sOM62aDksfmCLc82drCZkr/INcp15zLN3nRnxnDdGmA n7jWpeAvl7btCogHqrVHupBwbpG2LuvMwwxVXMjDRyRo1lz+a5gAFPcNT/xesCzVnL5POB0NU312 fzHiDsH7qmup74Pzo5hx+Zbx1F7sYjHJNbYDPKzjgBrMH75WA7hNUl5iZNdZDAmb9QDxtXuU/F23 ZdJAkWPbLFdff9UrWXFRo2YkcbNmMV6vknMy5l72GFJ63Mm9PREZebzQUl2lsF34vkOYTqnkkeD2 5Xt1fUIjKLdWlHwsT+Mj8B/qYo4WrxiF66820uFQleqDcpDsxaeBKzETCpGIo0x+zEnGSczSdyLb hPiZ0SOoqLEuhAZ14jnBBf8r/BANDU3XoURfwTtvIXWg8/l+QxPL+LFnCh/qpafg4znWNYdVbjU+ tOtzoa6su4j0vWE1gyLxLfUgfrT8qhmPN0IyCXqUmYFg2eFxts63zIHsLGZWhgzV316BYQ3SpgeJ yrRmb4dGKas0EzhsbdWcYazkVcQmrcL3o2B98g== `protect end_protected
apache-2.0
0d383dd11ef5ced115fcf219722bb7d6
0.925059
1.916413
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/lmb_bram_if_cntlr.vhd
1
50,495
------------------------------------------------------------------------------- -- $Id: lmb_bram_if_cntlr.vhd,v 1.1.2.13 2010/11/25 12:28:48 stefana Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright [2003] - [2013] Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES -- ------------------------------------------------------------------------------ -- Filename: lmb_bram_if_cntlr.vhd -- -- Description: -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_bram_if_cntlr -- lmb_mux -- correct_one_bit -- xor18.vhd -- axi_interface ------------------------------------------------------------------------------- -- Author: rolandp -- Revision: $Revision: 1.1.2.13 $ -- Date: $Date: 2010/11/25 12:28:48 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library lmb_bram_if_cntlr_v4_0; use lmb_bram_if_cntlr_v4_0.all; entity lmb_bram_if_cntlr is generic ( C_FAMILY : string := "Virtex7"; C_HIGHADDR : std_logic_vector(0 to 31) := X"00000000"; C_BASEADDR : std_logic_vector(0 to 31) := X"FFFFFFFF"; C_MASK : std_logic_vector(0 to 31) := X"00800000"; C_MASK1 : std_logic_vector(0 to 31) := X"00800000"; C_MASK2 : std_logic_vector(0 to 31) := X"00800000"; C_MASK3 : std_logic_vector(0 to 31) := X"00800000"; C_LMB_AWIDTH : integer := 32; C_LMB_DWIDTH : integer := 32; C_ECC : integer := 0; C_INTERCONNECT : integer := 1; C_FAULT_INJECT : integer := 0; C_CE_FAILING_REGISTERS : integer := 0; C_UE_FAILING_REGISTERS : integer := 0; C_ECC_STATUS_REGISTERS : integer := 0; C_ECC_ONOFF_REGISTER : integer := 0; C_ECC_ONOFF_RESET_VALUE : integer := 1; C_CE_COUNTER_WIDTH : integer := 0; C_WRITE_ACCESS : integer := 2; C_NUM_LMB : integer := 1; -- AXI generics C_S_AXI_CTRL_BASEADDR : std_logic_vector := X"FFFF_FFFF"; C_S_AXI_CTRL_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_CTRL_ADDR_WIDTH : integer := 32; C_S_AXI_CTRL_DATA_WIDTH : integer := 32); port ( LMB_Clk : in std_logic := '0'; LMB_Rst : in std_logic := '0'; -- LMB Bus LMB_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_AddrStrobe : in std_logic; LMB_ReadStrobe : in std_logic; LMB_WriteStrobe : in std_logic; LMB_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl_Ready : out std_logic; Sl_Wait : out std_logic; Sl_UE : out std_logic; Sl_CE : out std_logic; -- Supplementary LMB Bus 1 LMB1_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB1_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl1_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; -- Supplementary LMB Bus 2 LMB2_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB2_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl2_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; -- Supplementary LMB Bus 3 LMB3_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB3_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl3_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; -- ports to data memory block BRAM_Rst_A : out std_logic; BRAM_Clk_A : out std_logic; BRAM_Addr_A : out std_logic_vector(0 to C_LMB_AWIDTH-1); BRAM_EN_A : out std_logic; BRAM_WEN_A : out std_logic_vector(0 to (C_LMB_DWIDTH+8*C_ECC)/8-1); BRAM_Dout_A : out std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); BRAM_Din_A : in std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); -- AXI Interface S_AXI_CTRL_ACLK : in std_logic; S_AXI_CTRL_ARESETN : in std_logic; S_AXI_CTRL_AWADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_AWVALID : in std_logic; S_AXI_CTRL_AWREADY : out std_logic; S_AXI_CTRL_WDATA : in std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_WSTRB : in std_logic_vector((C_S_AXI_CTRL_DATA_WIDTH/8)-1 downto 0); S_AXI_CTRL_WVALID : in std_logic; S_AXI_CTRL_WREADY : out std_logic; S_AXI_CTRL_BRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_BVALID : out std_logic; S_AXI_CTRL_BREADY : in std_logic; S_AXI_CTRL_ARADDR : in std_logic_vector(C_S_AXI_CTRL_ADDR_WIDTH-1 downto 0); S_AXI_CTRL_ARVALID : in std_logic; S_AXI_CTRL_ARREADY : out std_logic; S_AXI_CTRL_RDATA : out std_logic_vector(C_S_AXI_CTRL_DATA_WIDTH-1 downto 0); S_AXI_CTRL_RRESP : out std_logic_vector(1 downto 0); S_AXI_CTRL_RVALID : out std_logic; S_AXI_CTRL_RREADY : in std_logic; -- Interrupt and error signals UE : out std_logic; CE : out std_logic; Interrupt : out std_logic); end lmb_bram_if_cntlr; library unisim; use unisim.vcomponents.all; library lmb_bram_if_cntlr_v4_0; use lmb_bram_if_cntlr_v4_0.lmb_bram_if_funcs.all; architecture imp of lmb_bram_if_cntlr is ------------------------------------------------------------------------------ -- component declarations ------------------------------------------------------------------------------ component lmb_mux is generic ( C_BASEADDR : std_logic_vector(0 to 31) := X"FFFFFFFF"; C_MASK : std_logic_vector(0 to 31) := X"00800000"; C_MASK1 : std_logic_vector(0 to 31) := X"00800000"; C_MASK2 : std_logic_vector(0 to 31) := X"00800000"; C_MASK3 : std_logic_vector(0 to 31) := X"00800000"; C_LMB_AWIDTH : integer := 32; C_LMB_DWIDTH : integer := 32; C_NUM_LMB : integer := 1); port ( LMB_Clk : in std_logic := '0'; LMB_Rst : in std_logic := '0'; -- LMB Bus 0 LMB0_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB0_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB0_AddrStrobe : in std_logic; LMB0_ReadStrobe : in std_logic; LMB0_WriteStrobe : in std_logic; LMB0_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl0_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl0_Ready : out std_logic; Sl0_Wait : out std_logic; Sl0_UE : out std_logic; Sl0_CE : out std_logic; -- LMB Bus 1 LMB1_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB1_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB1_AddrStrobe : in std_logic; LMB1_ReadStrobe : in std_logic; LMB1_WriteStrobe : in std_logic; LMB1_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl1_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl1_Ready : out std_logic; Sl1_Wait : out std_logic; Sl1_UE : out std_logic; Sl1_CE : out std_logic; -- LMB Bus 2 LMB2_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB2_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB2_AddrStrobe : in std_logic; LMB2_ReadStrobe : in std_logic; LMB2_WriteStrobe : in std_logic; LMB2_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl2_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl2_Ready : out std_logic; Sl2_Wait : out std_logic; Sl2_UE : out std_logic; Sl2_CE : out std_logic; -- LMB Bus 3 LMB3_ABus : in std_logic_vector(0 to C_LMB_AWIDTH-1); LMB3_WriteDBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); LMB3_AddrStrobe : in std_logic; LMB3_ReadStrobe : in std_logic; LMB3_WriteStrobe : in std_logic; LMB3_BE : in std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl3_DBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); Sl3_Ready : out std_logic; Sl3_Wait : out std_logic; Sl3_UE : out std_logic; Sl3_CE : out std_logic; -- Muxed LMB Bus LMB_ABus : out std_logic_vector(0 to C_LMB_AWIDTH-1); LMB_WriteDBus : out std_logic_vector(0 to C_LMB_DWIDTH-1); LMB_AddrStrobe : out std_logic; LMB_ReadStrobe : out std_logic; LMB_WriteStrobe : out std_logic; LMB_BE : out std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); Sl_DBus : in std_logic_vector(0 to C_LMB_DWIDTH-1); Sl_Ready : in std_logic; Sl_Wait : in std_logic; Sl_UE : in std_logic; Sl_CE : in std_logic; lmb_select : out std_logic); end component lmb_mux; component axi_interface generic ( C_S_AXI_BASEADDR : std_logic_vector := X"FFFF_FFFF"; C_S_AXI_HIGHADDR : std_logic_vector := X"0000_0000"; C_S_AXI_ADDR_WIDTH : integer := 32; C_S_AXI_DATA_WIDTH : integer := 32; C_REGADDR_WIDTH : integer := 5; -- Address bits including register offset. C_DWIDTH : integer := 32); -- Width of data bus. port ( LMB_Clk : in std_logic; LMB_Rst : in std_logic; S_AXI_AWADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_AWVALID : in std_logic; S_AXI_AWREADY : out std_logic; S_AXI_WDATA : in std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_WSTRB : in std_logic_vector((C_S_AXI_DATA_WIDTH/8)-1 downto 0); S_AXI_WVALID : in std_logic; S_AXI_WREADY : out std_logic; S_AXI_BRESP : out std_logic_vector(1 downto 0); S_AXI_BVALID : out std_logic; S_AXI_BREADY : in std_logic; S_AXI_ARADDR : in std_logic_vector(C_S_AXI_ADDR_WIDTH-1 downto 0); S_AXI_ARVALID : in std_logic; S_AXI_ARREADY : out std_logic; S_AXI_RDATA : out std_logic_vector(C_S_AXI_DATA_WIDTH-1 downto 0); S_AXI_RRESP : out std_logic_vector(1 downto 0); S_AXI_RVALID : out std_logic; S_AXI_RREADY : in std_logic; RegWr : out std_logic; RegWrData : out std_logic_vector(0 to C_DWIDTH - 1); RegAddr : out std_logic_vector(0 to C_REGADDR_WIDTH-1); RegRdData : in std_logic_vector(0 to C_DWIDTH - 1)); end component; component checkbit_handler is generic ( C_ENCODE : boolean; C_USE_LUT6 : boolean); port ( DataIn : in std_logic_vector(0 to 31); CheckIn : in std_logic_vector(0 to 6); CheckOut : out std_logic_vector(0 to 6); Syndrome : out std_logic_vector(0 to 6); Enable_ECC : in std_logic; UE_Q : in std_logic; CE_Q : in std_logic; UE : out std_logic; CE : out std_logic); end component checkbit_handler; component Correct_One_Bit generic ( C_USE_LUT6 : boolean; Correct_Value : std_logic_vector(0 to 6)); port ( DIn : in std_logic; Syndrome : in std_logic_vector(0 to 6); DCorr : out std_logic); end component Correct_One_Bit; constant C_USE_LUT6 : boolean := Family_To_LUT_Size(String_To_Family(C_FAMILY,false)) = 6; constant C_HAS_FAULT_INJECT : boolean := C_FAULT_INJECT = 1; constant C_HAS_CE_FAILING_REGISTERS : boolean := C_CE_FAILING_REGISTERS = 1; constant C_HAS_UE_FAILING_REGISTERS : boolean := C_UE_FAILING_REGISTERS = 1; constant C_HAS_ECC_STATUS_REGISTERS : boolean := C_ECC_STATUS_REGISTERS = 1; constant C_HAS_ECC_ONOFF_REGISTER : boolean := C_ECC_ONOFF_REGISTER = 1; constant C_HAS_CE_COUNTER : boolean := C_CE_COUNTER_WIDTH /= 0; constant C_BUS_NEEDED : boolean := C_HAS_FAULT_INJECT or C_HAS_CE_FAILING_REGISTERS or C_HAS_UE_FAILING_REGISTERS or C_HAS_ECC_STATUS_REGISTERS or C_HAS_ECC_ONOFF_REGISTER or C_HAS_CE_COUNTER; constant C_AXI : integer := 2; constant C_HAS_AXI : boolean := C_ECC = 1 and C_INTERCONNECT = C_AXI and C_BUS_NEEDED; constant C_ECC_WIDTH : integer := 7; -- Intermediate signals to handle multiple LMB ports signal LMB_ABus_i : std_logic_vector(0 to C_LMB_AWIDTH-1); signal LMB_WriteDBus_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal LMB_AddrStrobe_i : std_logic; signal LMB_ReadStrobe_i : std_logic; signal LMB_WriteStrobe_i : std_logic; signal LMB_BE_i : std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); signal Sl_DBus_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal Sl_Ready_i : std_logic; signal Sl_Wait_i : std_logic; signal Sl_UE_i : std_logic; signal Sl_CE_i : std_logic; signal lmb_select : std_logic; signal lmb_as : std_logic; signal lmb_we : std_logic_vector(0 to 3); signal Sl_Rdy : std_logic; signal bram_din_a_i : std_logic_vector(0 to C_LMB_DWIDTH+8*C_ECC-1); begin ----------------------------------------------------------------------------- -- Cleaning incoming data from BRAM from 'U' for simulation purpose -- This is added since simulation model for BRAM will not initialize -- undefined memory locations with zero. -- Added as a work-around until this is fixed in the simulation model. ----------------------------------------------------------------------------- Cleaning_machine: process (BRAM_Din_A) is begin -- process Cleaning_machine -- Default assignments bram_din_a_i <= BRAM_Din_A; -- pragma translate_off bram_din_a_i <= To_StdLogicVector(To_bitvector(BRAM_Din_A)); -- pragma translate_on end process Cleaning_machine; lmb_mux_I : lmb_mux generic map ( C_BASEADDR => C_BASEADDR, C_MASK => C_MASK, C_MASK1 => C_MASK1, C_MASK2 => C_MASK2, C_MASK3 => C_MASK3, C_LMB_AWIDTH => C_LMB_AWIDTH, C_LMB_DWIDTH => C_LMB_DWIDTH, C_NUM_LMB => C_NUM_LMB) port map ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, LMB0_ABus => LMB_ABus, LMB0_WriteDBus => LMB_WriteDBus, LMB0_AddrStrobe => LMB_AddrStrobe, LMB0_ReadStrobe => LMB_ReadStrobe, LMB0_WriteStrobe => LMB_WriteStrobe, LMB0_BE => LMB_BE, Sl0_DBus => Sl_DBus, Sl0_Ready => Sl_Ready, Sl0_Wait => Sl_Wait, Sl0_UE => Sl_UE, Sl0_CE => Sl_CE, LMB1_ABus => LMB1_ABus, LMB1_WriteDBus => LMB1_WriteDBus, LMB1_AddrStrobe => LMB1_AddrStrobe, LMB1_ReadStrobe => LMB1_ReadStrobe, LMB1_WriteStrobe => LMB1_WriteStrobe, LMB1_BE => LMB1_BE, Sl1_DBus => Sl1_DBus, Sl1_Ready => Sl1_Ready, Sl1_Wait => Sl1_Wait, Sl1_UE => Sl1_UE, Sl1_CE => Sl1_CE, LMB2_ABus => LMB2_ABus, LMB2_WriteDBus => LMB2_WriteDBus, LMB2_AddrStrobe => LMB2_AddrStrobe, LMB2_ReadStrobe => LMB2_ReadStrobe, LMB2_WriteStrobe => LMB2_WriteStrobe, LMB2_BE => LMB2_BE, Sl2_DBus => Sl2_DBus, Sl2_Ready => Sl2_Ready, Sl2_Wait => Sl2_Wait, Sl2_UE => Sl2_UE, Sl2_CE => Sl2_CE, LMB3_ABus => LMB3_ABus, LMB3_WriteDBus => LMB3_WriteDBus, LMB3_AddrStrobe => LMB3_AddrStrobe, LMB3_ReadStrobe => LMB3_ReadStrobe, LMB3_WriteStrobe => LMB3_WriteStrobe, LMB3_BE => LMB3_BE, Sl3_DBus => Sl3_DBus, Sl3_Ready => Sl3_Ready, Sl3_Wait => Sl3_Wait, Sl3_UE => Sl3_UE, Sl3_CE => Sl3_CE, LMB_ABus => LMB_ABus_i, LMB_WriteDBus => LMB_WriteDBus_i, LMB_AddrStrobe => LMB_AddrStrobe_i, LMB_ReadStrobe => LMB_ReadStrobe_i, LMB_WriteStrobe => LMB_WriteStrobe_i, LMB_BE => LMB_BE_i, Sl_DBus => Sl_DBus_i, Sl_Ready => Sl_Ready_i, Sl_Wait => Sl_Wait_i, Sl_UE => Sl_UE_i, Sl_CE => Sl_CE_i, lmb_select => lmb_select); BRAM_Rst_A <= '0'; BRAM_Clk_A <= LMB_Clk; lmb_we(0) <= LMB_BE_i(0) and LMB_WriteStrobe_i and lmb_select; lmb_we(1) <= LMB_BE_i(1) and LMB_WriteStrobe_i and lmb_select; lmb_we(2) <= LMB_BE_i(2) and LMB_WriteStrobe_i and lmb_select; lmb_we(3) <= LMB_BE_i(3) and LMB_WriteStrobe_i and lmb_select; No_ECC : if (C_ECC = 0) generate begin BRAM_EN_A <= LMB_AddrStrobe_i; BRAM_WEN_A <= lmb_we; BRAM_Dout_A <= LMB_WriteDBus_i; Sl_DBus_i <= bram_din_a_i; BRAM_Addr_A <= LMB_ABus_i; -- only used wen ECC enabled, tie to constant inactive Sl_Wait_i <= '0'; Sl_UE_i <= '0'; Sl_CE_i <= '0'; UE <= '0'; CE <= '0'; Interrupt <= '0'; ----------------------------------------------------------------------------- -- Writes are pipelined in MB with 5 stage pipeline ----------------------------------------------------------------------------- Ready_Handling : process (LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then Sl_Rdy <= '0'; lmb_as <= '0'; else Sl_Rdy <= lmb_select; lmb_as <= LMB_AddrStrobe_i; end if; end if; end process Ready_Handling; Sl_Ready_i <= Sl_Rdy and lmb_as; end generate No_ECC; ECC : if (C_ECC = 1) generate constant NO_WRITES : integer := 0; constant ONLY_WORD : integer := 1; constant ALL_WRITES : integer := 2; signal enable_ecc : std_logic; -- On/Off Register constant C_ECC_ONOFF : natural := 31; constant C_ECC_ONOFF_WIDTH : natural := 1; signal ECC_EnableCheckingReg : std_logic_vector(32-C_ECC_ONOFF_WIDTH to 31); -- Fault Inject Registers signal FaultInjectData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal FaultInjectECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- Signals for read modify write operation when byte/half-word write signal write_access : std_logic; signal full_word_write_access : std_logic; signal IsWordWrite : std_logic; signal RdModifyWr_Read : std_logic; -- Read cycle in read modify write sequence signal RdModifyWr_Modify : std_logic; -- Modify cycle in read modify write sequence signal RdModifyWr_Modify_i : std_logic; -- Modify cycle in read modify write sequence signal RdModifyWr_Write : std_logic; -- Write cycle in read modify write sequence signal LMB_ABus_Q : std_logic_vector(0 to C_LMB_AWIDTH-1); -- Read ECC signal Syndrome : std_logic_vector(0 to C_ECC_WIDTH-1); signal CorrectedRdData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CorrectedRdData_Q : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CE_Q : std_logic; signal UE_Q : std_logic; -- Enable and address same for both data and ECC BRAM signal bram_en : std_logic; signal bram_addr : std_logic_vector(0 to C_LMB_AWIDTH-1); subtype syndrome_bits is std_logic_vector(0 to 6); type correct_data_table_type is array(natural range 0 to 31) of syndrome_bits; constant correct_data_table : correct_data_table_type := ( 0 => "1100001", 1 => "1010001", 2 => "0110001", 3 => "1110001", 4 => "1001001", 5 => "0101001", 6 => "1101001", 7 => "0011001", 8 => "1011001", 9 => "0111001", 10 => "1111001", 11 => "1000101", 12 => "0100101", 13 => "1100101", 14 => "0010101", 15 => "1010101", 16 => "0110101", 17 => "1110101", 18 => "0001101", 19 => "1001101", 20 => "0101101", 21 => "1101101", 22 => "0011101", 23 => "1011101", 24 => "0111101", 25 => "1111101", 26 => "1000011", 27 => "0100011", 28 => "1100011", 29 => "0010011", 30 => "1010011", 31 => "0110011" ); type bool_array is array (natural range 0 to 6) of boolean; constant inverted_bit : bool_array := (false,false,true,false,true,false,false); begin -- Enable BRAMs when access on LMB and in the second cycle in a read/modify write bram_en <= '1' when LMB_AddrStrobe_i = '1' or RdModifyWr_Write = '1' else '0'; BRAM_EN_A <= bram_en; IsWordWrite <= LMB_WriteStrobe_i when (LMB_BE_i = "1111") else '0'; -- ECC checking enable during access and when checking is turned on enable_ecc <= ECC_EnableCheckingReg(C_ECC_ONOFF) and Sl_Wait_i and not(full_word_write_access); ----------------------------------------------------------------------------- -- Writes are pipelined in MB with 5 stage pipeline ----------------------------------------------------------------------------- Ready_Handling : process (LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then Sl_Rdy <= '0'; lmb_as <= '0'; else -- Directly drive ready on valid read access or on valid word write access -- otherwise drive ready when we have written the new data on a -- readmodifywrite sequence Sl_Rdy <= ((LMB_AddrStrobe_i and lmb_select) and (LMB_ReadStrobe_i or IsWordWrite)) or RdModifyWr_Write; lmb_as <= LMB_AddrStrobe_i; end if; end if; end process Ready_Handling; Sl_Ready_i <= Sl_Rdy; Wait_Handling: process (LMB_Clk) is begin -- process Wait_Handling if (LMB_Clk'event and LMB_Clk = '1') then -- rising clock edge if (LMB_Rst = '1') then Sl_Wait_i <= '0'; elsif (LMB_AddrStrobe_i = '1') then Sl_Wait_i <= lmb_select; elsif (Sl_Rdy = '1') then Sl_Wait_i <= '0'; end if; end if; end process Wait_Handling; -- Generate ECC bits for checking data read from BRAM checkbit_handler_I1 : checkbit_handler generic map ( C_ENCODE => false, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( DataIn => bram_din_a_i(0 to 31), -- [in std_logic_vector(0 to 31)] CheckIn => bram_din_a_i(33 to 39), -- [in std_logic_vector(0 to 6)] CheckOut => open, -- [out std_logic_vector(0 to 6)] Syndrome => Syndrome, -- [out std_logic_vector(0 to 6)] Enable_ECC => enable_ecc, -- [in std_logic] UE_Q => UE_Q, -- [in std_logic] CE_Q => CE_Q, -- [in std_logic] UE => Sl_UE_i, -- [out std_logic] CE => Sl_CE_i); -- [out std_logic] -- Discrete error signals UE <= Sl_UE_i and Sl_Ready_i; CE <= Sl_CE_i and Sl_Ready_i; -- Correct Data Gen_Correct_Data: for I in 0 to 31 generate Correct_One_Bit_I : Correct_One_Bit generic map ( C_USE_LUT6 => C_USE_LUT6, Correct_Value => correct_data_table(I)) port map ( DIn => bram_din_a_i(I), Syndrome => Syndrome, DCorr => CorrectedRdData(I)); end generate Gen_Correct_Data; -- Drive corrected read data on LMB Sl_DBus_i <= CorrectedRdData; -- Remember address and writestrobe AddressReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if LMB_Rst = '1' then LMB_ABus_Q <= (others => '0'); write_access <= '0'; full_word_write_access <= '0'; elsif LMB_AddrStrobe_i = '1' then LMB_ABus_Q <= LMB_ABus_i; write_access <= LMB_WriteStrobe_i; full_word_write_access <= LMB_BE_i(0) and LMB_BE_i(1) and LMB_BE_i(2) and LMB_BE_i(3) and LMB_WriteStrobe_i; end if; end if; end process AddressReg; bram_addr <= LMB_ABus_Q when RdModifyWr_Write = '1' else LMB_ABus_i; BRAM_Addr_A <= bram_addr; Do_Writes : if (C_WRITE_ACCESS /= NO_WRITES) generate signal WrData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal WrECC : std_logic_vector(0 to C_ECC_WIDTH-1); constant null7 : std_logic_vector(0 to 6) := "0000000"; begin DO_BYTE_HALFWORD_WRITES : if (C_WRITE_ACCESS = ALL_WRITES) generate signal wrdata_i : std_logic_vector(0 to C_LMB_DWIDTH-1); signal writeDBus_Q : std_logic_vector(0 to C_LMB_DWIDTH-1); signal lmb_be_q : std_logic_vector(0 to (C_LMB_DWIDTH/8 - 1)); begin -- Remember correctable/uncorrectable error from read in read modify write CorrReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if RdModifyWr_Modify = '1' then -- Remember error signals CE_Q <= Sl_CE_i; UE_Q <= Sl_UE_i; elsif RdModifyWr_Write = '1' then -- Keep the signals one more cycle CE_Q <= CE_Q; UE_Q <= UE_Q; else CE_Q <= '0'; UE_Q <= '0'; end if; end if; end process CorrReg; -- Remember byte write enables one clock cycle to properly mux bytes to write, -- with read data in read/modify write operation -- Write in Read/Write always 1 cycle after Read StoreLMB_WE : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then RdModifyWr_Modify_i <= RdModifyWr_Read; RdModifyWr_Write <= RdModifyWr_Modify; CorrectedRdData_Q <= CorrectedRdData; end if; end process StoreLMB_WE; RdModifyWr_Modify <= RdModifyWr_Modify_i and lmb_as; RdModifyWr_Read <= '1' when lmb_we /= "1111" and lmb_we /= "0000" and (C_WRITE_ACCESS = ALL_WRITES) else '0'; -- Remember write data one cycle to be available after read has been completed in a -- read/modify write operation StoreWriteDBus : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then WriteDBus_Q <= (others => '0'); lmb_be_q <= (others => '0'); elsif (LMB_AddrStrobe_i = '1') then WriteDBus_Q <= LMB_WriteDBus_i; lmb_be_q <= LMB_BE_i; end if; end if; end process StoreWriteDBus; wrdata_i <= WriteDBus_Q when RdModifyWr_Write = '1' else LMB_WriteDBus_i; -- Select BRAM data to write from LMB on 32-bit word access or a mix of -- read data and LMB write data for read/modify write operations WrData(0 to 7) <= wrdata_i(0 to 7) when ((RdModifyWr_Write = '0' and LMB_BE_i(0) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(0) = '1')) else CorrectedRdData_Q(0 to 7); WrData(8 to 15) <= wrdata_i(8 to 15) when ((RdModifyWr_Write = '0' and LMB_BE_i(1) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(1) = '1')) else CorrectedRdData_Q(8 to 15); WrData(16 to 23) <= wrdata_i(16 to 23) when ((RdModifyWr_Write = '0' and LMB_BE_i(2) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(2) = '1')) else CorrectedRdData_Q(16 to 23); WrData(24 to 31) <= wrdata_i(24 to 31) when ((RdModifyWr_Write = '0' and LMB_BE_i(3) = '1') or (RdModifyWr_Write = '1' and lmb_be_q(3) = '1')) else CorrectedRdData_Q(24 to 31); end generate DO_BYTE_HALFWORD_WRITES; DO_Only_Word_Writes : if (C_WRITE_ACCESS = ONLY_WORD) generate RdModifyWr_Write <= '0'; RdModifyWr_Read <= '0'; RdModifyWr_Modify <= '0'; CorrectedRdData_Q <= (others => '0'); WrData <= LMB_WriteDBus_i; CE_Q <= '0'; UE_Q <= '0'; end generate DO_Only_Word_Writes; -- Generate BRAM WEN, which will always be all 1's due to read modify write -- for non 32-bit word access WrDataSel : process(IsWordWrite, lmb_select, RdModifyWr_Modify, RdModifyWr_Write, UE_Q) begin if (RdModifyWr_Modify = '1') then BRAM_WEN_A <= (others => '0'); elsif (RdModifyWr_Write = '1') then if (UE_Q = '0') then BRAM_WEN_A <= (others => '1'); -- byte or half word write, and not UE else BRAM_WEN_A <= (others => '0'); end if; elsif (IsWordWrite = '1') then -- word write BRAM_WEN_A <= (others => lmb_select); else BRAM_WEN_A <= (others => '0'); end if; end process WrDataSel; -- Generate ECC bits for writing into BRAM checkbit_handler_I2 : checkbit_handler generic map ( C_ENCODE => true, -- [boolean] C_USE_LUT6 => C_USE_LUT6) -- [boolean] port map ( DataIn => WrData, -- [in std_logic_vector(0 to 31)] CheckIn => null7, -- [in std_logic_vector(0 to 6)] CheckOut => WrECC, -- [out std_logic_vector(0 to 6)] Syndrome => open, -- [out std_logic_vector(0 to 6)] Enable_ECC => '1', -- [in std_logic] UE_Q => '0', -- [in std_logic] CE_Q => '0', -- [in std_logic] UE => open, -- [out std_logic] CE => open); -- [out std_logic] -- Drive BRAM write data and inject fault if applicable BRAM_Dout_A(0 to 31) <= WrData xor FaultInjectData; BRAM_Dout_A(32 to 39) <= ('0' & WrECC) xor ('0' & FaultInjectECC); end generate Do_Writes; No_Write_Accesses : if (C_WRITE_ACCESS = NO_WRITES) generate RdModifyWr_Write <= '0'; RdModifyWr_Read <= '0'; RdModifyWr_Modify <= '0'; CorrectedRdData_Q <= (others => '0'); FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); CE_Q <= '0'; UE_Q <= '0'; BRAM_WEN_A <= (others => '0'); end generate No_Write_Accesses; Has_AXI : if C_HAS_AXI generate -- Register accesses -- Register addresses use word address, i.e 2 LSB don't care -- Don't decode MSB, i.e. mirroring of registers in address space of module -- Don't decode unmapped addresses -- Data registers occupy 32 words to accommodate up to 1024-bit words in other IPs -- ECC registers occupy 16 words to accomodate up to 512-bit ECC in other IPs -- Address registers occupy 2 words to accommodate 64-bit address in other IPs constant C_REGADDR_WIDTH : integer := 8; constant C_ECC_StatusReg : std_logic_vector := "00000000"; -- 0x000 ECC_STATUS constant C_ECC_EnableIRQReg : std_logic_vector := "00000001"; -- 0x004 ECC_EN_IRQ constant C_ECC_OnOffReg : std_logic_vector := "00000010"; -- 0x008 ECC_ONOFF constant C_CE_CounterReg : std_logic_vector := "00000011"; -- 0x00C CE_CNT constant C_CE_FailingData : std_logic_vector := "01000000"; -- 0x100 CE_FFD[31:0] constant C_CE_FailingECC : std_logic_vector := "01100000"; -- 0x180 CE_FFE constant C_CE_FailingAddress : std_logic_vector := "01110000"; -- 0x1C0 CE_FFA[31:0] constant C_UE_FailingData : std_logic_vector := "10000000"; -- 0x200 UE_FFD[31:0] constant C_UE_FailingECC : std_logic_vector := "10100000"; -- 0x280 UE_FFE constant C_UE_FailingAddress : std_logic_vector := "10110000"; -- 0x2C0 UE_FFA[31:0] constant C_FaultInjectData : std_logic_vector := "11000000"; -- 0x300 FI_D[31:0] constant C_FaultInjectECC : std_logic_vector := "11100000"; -- 0x380 FI_ECC -- ECC Status register bit positions constant C_ECC_STATUS_CE : natural := 30; constant C_ECC_STATUS_UE : natural := 31; constant C_ECC_STATUS_WIDTH : natural := 2; constant C_ECC_ENABLE_IRQ_CE : natural := 30; constant C_ECC_ENABLE_IRQ_UE : natural := 31; constant C_ECC_ENABLE_IRQ_WIDTH : natural := 2; -- Read and write data to internal registers constant C_DWIDTH : integer := 32; signal RegWrData : std_logic_vector(0 to C_DWIDTH-1); signal RegRdData : std_logic_vector(0 to C_DWIDTH-1); signal RegAddr : std_logic_vector(0 to C_REGADDR_WIDTH-1); signal RegWr : std_logic; -- Correctable Error First Failing Register signal CE_FailingAddress : std_logic_vector(0 to C_LMB_AWIDTH-1); signal CE_FailingData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal CE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- Uncorrectable Error First Failing Register signal UE_FailingAddress : std_logic_vector(0 to C_LMB_AWIDTH-1); signal UE_FailingData : std_logic_vector(0 to C_LMB_DWIDTH-1); signal UE_FailingECC : std_logic_vector(32-C_ECC_WIDTH to 31); -- ECC Status and Control register signal ECC_StatusReg : std_logic_vector(32-C_ECC_STATUS_WIDTH to 31); signal ECC_EnableIRQReg : std_logic_vector(32-C_ECC_ENABLE_IRQ_WIDTH to 31); -- Correctable Error Counter signal CE_CounterReg : std_logic_vector(32-C_CE_COUNTER_WIDTH to 31); signal sample_registers : std_logic; begin sample_registers <= lmb_as and not full_word_write_access; -- Implement fault injection registers Fault_Inject : if C_HAS_FAULT_INJECT and (C_WRITE_ACCESS /= NO_WRITES) generate begin FaultInjectDataReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); elsif RegWr = '1' and RegAddr = C_FaultInjectData then FaultInjectData <= RegWrData; elsif RegWr = '1' and RegAddr = C_FaultInjectECC then FaultInjectECC <= RegWrData(FaultInjectECC'range); elsif (Sl_Rdy = '1') and (write_access = '1') then -- One shoot, clear after first LMB write FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); end if; end if; end process FaultInjectDataReg; end generate Fault_Inject; No_Fault_Inject : if not C_HAS_FAULT_INJECT or (C_WRITE_ACCESS = NO_WRITES) generate begin FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); end generate No_Fault_Inject; -- Implement Correctable Error First Failing Register CE_Failing_Registers : if C_HAS_CE_FAILING_REGISTERS generate begin CE_FailingReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then CE_FailingAddress <= (others => '0'); CE_FailingData <= (others => '0'); CE_FailingECC <= (others => '0'); elsif Sl_CE_i = '1' and sample_registers = '1' and ECC_StatusReg(C_ECC_STATUS_CE) = '0' then CE_FailingAddress <= LMB_ABus_Q; CE_FailingData <= bram_din_a_i(CE_FailingData'range); CE_FailingECC <= bram_din_a_i(33 to 33+C_ECC_WIDTH-1); end if; end if; end process CE_FailingReg; end generate CE_Failing_Registers; No_CE_Failing_Registers : if not C_HAS_CE_FAILING_REGISTERS generate begin CE_FailingAddress <= (others => '0'); CE_FailingData <= (others => '0'); CE_FailingECC <= (others => '0'); end generate No_CE_Failing_Registers; -- Implement Unorrectable Error First Failing Register UE_Failing_Registers : if C_HAS_UE_FAILING_REGISTERS generate begin UE_FailingReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then UE_FailingAddress <= (others => '0'); UE_FailingData <= (others => '0'); UE_FailingECC <= (others => '0'); elsif Sl_UE_i = '1' and sample_registers = '1' and ECC_StatusReg(C_ECC_STATUS_UE) = '0' then UE_FailingAddress <= LMB_ABus_Q; UE_FailingData <= bram_din_a_i(UE_FailingData'range); UE_FailingECC <= bram_din_a_i(33 to 33+C_ECC_WIDTH-1); end if; end if; end process UE_FailingReg; end generate UE_Failing_Registers; No_UE_Failing_Registers : if not C_HAS_UE_FAILING_REGISTERS generate begin UE_FailingAddress <= (others => '0'); UE_FailingData <= (others => '0'); UE_FailingECC <= (others => '0'); end generate No_UE_Failing_Registers; ECC_Status_Registers : if C_HAS_ECC_STATUS_REGISTERS generate begin StatusReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ECC_StatusReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_ECC_StatusReg then -- CE Interrupt status bit if RegWrData(C_ECC_STATUS_CE) = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '0'; -- Clear when write '1' end if; -- UE Interrupt status bit if RegWrData(C_ECC_STATUS_UE) = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '0'; -- Clear when write '1' end if; else if Sl_CE_i = '1' and sample_registers = '1' then ECC_StatusReg(C_ECC_STATUS_CE) <= '1'; -- Set when CE occurs end if; if Sl_UE_i = '1' and sample_registers = '1' then ECC_StatusReg(C_ECC_STATUS_UE) <= '1'; -- Set when UE occurs end if; end if; end if; end process StatusReg; EnableIRQReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then ECC_EnableIRQReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_ECC_EnableIRQReg then -- CE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE) <= RegWrData(C_ECC_ENABLE_IRQ_CE); -- UE Interrupt enable bit ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE) <= RegWrData(C_ECC_ENABLE_IRQ_UE); end if; end if; end process EnableIRQReg; Interrupt <= (ECC_StatusReg(C_ECC_STATUS_CE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_CE)) or (ECC_StatusReg(C_ECC_STATUS_UE) and ECC_EnableIRQReg(C_ECC_ENABLE_IRQ_UE)); end generate ECC_Status_Registers; No_ECC_Status_Registers : if not C_HAS_ECC_STATUS_REGISTERS generate begin ECC_EnableIRQReg <= (others => '0'); ECC_StatusReg <= (others => '0'); Interrupt <= '0'; end generate No_ECC_Status_Registers; ECC_OnOff_Register : if C_HAS_ECC_ONOFF_REGISTER generate begin OnOffReg : process(LMB_Clk) is begin if LMB_Clk'event and LMB_Clk = '1' then if LMB_Rst = '1' then if C_ECC_ONOFF_RESET_VALUE = 0 then ECC_EnableCheckingReg(C_ECC_ONOFF) <= '0'; else ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end if; elsif RegWr = '1' and RegAddr = C_ECC_OnOffReg then ECC_EnableCheckingReg(C_ECC_ONOFF) <= RegWrData(C_ECC_ONOFF); end if; end if; end process OnOffReg; end generate ECC_OnOff_Register; No_ECC_OnOff_Register : if not C_HAS_ECC_ONOFF_REGISTER generate begin ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end generate No_ECC_OnOff_Register; CE_Counter : if C_HAS_CE_COUNTER generate -- One extra bit compare to CE_CounterReg to handle carry bit signal CE_CounterReg_plus_1 : std_logic_vector(31-C_CE_COUNTER_WIDTH to 31); begin CountReg : process(LMB_Clk) is begin if (LMB_Clk'event and LMB_Clk = '1') then if (LMB_Rst = '1') then CE_CounterReg <= (others => '0'); elsif RegWr = '1' and RegAddr = C_CE_CounterReg then CE_CounterReg <= RegWrData(CE_CounterReg'range); elsif Sl_CE_i = '1' and sample_registers = '1' and CE_CounterReg_plus_1(CE_CounterReg_plus_1'left) = '0' then CE_CounterReg <= CE_CounterReg_plus_1(32-C_CE_COUNTER_WIDTH to 31); end if; end if; end process CountReg; CE_CounterReg_plus_1 <= std_logic_vector(unsigned(('0' & CE_CounterReg)) + 1); end generate CE_Counter; No_CE_Counter : if not C_HAS_CE_COUNTER generate begin CE_CounterReg <= (others => '0'); end generate No_CE_Counter; SelRegRdData : process (RegAddr, ECC_StatusReg, ECC_EnableIRQReg, ECC_EnableCheckingReg, CE_CounterReg, CE_FailingAddress, CE_FailingData, CE_FailingECC, UE_FailingAddress, UE_FailingData, UE_FailingECC) begin RegRdData <= (others => '0'); case RegAddr is when C_ECC_StatusReg => RegRdData(ECC_StatusReg'range) <= ECC_StatusReg; when C_ECC_EnableIRQReg => RegRdData(ECC_EnableIRQReg'range) <= ECC_EnableIRQReg; when C_ECC_OnOffReg => RegRdData(ECC_EnableCheckingReg'range) <= ECC_EnableCheckingReg; when C_CE_CounterReg => RegRdData(CE_CounterReg'range) <= CE_CounterReg; when C_CE_FailingAddress => RegRdData(CE_FailingAddress'range) <= CE_FailingAddress; when C_CE_FailingData => RegRdData(CE_FailingData'range) <= CE_FailingData; when C_CE_FailingECC => RegRdData(CE_FailingECC'range) <= CE_FailingECC; when C_UE_FailingAddress => RegRdData(UE_FailingAddress'range) <= UE_FailingAddress; when C_UE_FailingData => RegRdData(UE_FailingData'range) <= UE_FailingData; when C_UE_FailingECC => RegRdData(UE_FailingECC'range) <= UE_FailingECC; when others => RegRdData <= (others => '0'); end case; end process SelRegRdData; AXI : if C_HAS_AXI generate begin axi_I : axi_interface generic map( C_S_AXI_BASEADDR => C_S_AXI_CTRL_BASEADDR, C_S_AXI_HIGHADDR => C_S_AXI_CTRL_HIGHADDR, C_S_AXI_ADDR_WIDTH => C_S_AXI_CTRL_ADDR_WIDTH, C_S_AXI_DATA_WIDTH => C_S_AXI_CTRL_DATA_WIDTH, C_REGADDR_WIDTH => C_REGADDR_WIDTH, C_DWIDTH => C_DWIDTH) port map ( LMB_Clk => LMB_Clk, LMB_Rst => LMB_Rst, S_AXI_AWADDR => S_AXI_CTRL_AWADDR, S_AXI_AWVALID => S_AXI_CTRL_AWVALID, S_AXI_AWREADY => S_AXI_CTRL_AWREADY, S_AXI_WDATA => S_AXI_CTRL_WDATA, S_AXI_WSTRB => S_AXI_CTRL_WSTRB, S_AXI_WVALID => S_AXI_CTRL_WVALID, S_AXI_WREADY => S_AXI_CTRL_WREADY, S_AXI_BRESP => S_AXI_CTRL_BRESP, S_AXI_BVALID => S_AXI_CTRL_BVALID, S_AXI_BREADY => S_AXI_CTRL_BREADY, S_AXI_ARADDR => S_AXI_CTRL_ARADDR, S_AXI_ARVALID => S_AXI_CTRL_ARVALID, S_AXI_ARREADY => S_AXI_CTRL_ARREADY, S_AXI_RDATA => S_AXI_CTRL_RDATA, S_AXI_RRESP => S_AXI_CTRL_RRESP, S_AXI_RVALID => S_AXI_CTRL_RVALID, S_AXI_RREADY => S_AXI_CTRL_RREADY, RegWr => RegWr, RegWrData => RegWrData, RegAddr => RegAddr, RegRdData => RegRdData); end generate AXI; end generate Has_AXI; No_AXI : if not C_HAS_AXI generate begin FaultInjectData <= (others => '0'); FaultInjectECC <= (others => '0'); Interrupt <= '0'; ECC_EnableCheckingReg(C_ECC_ONOFF) <= '1'; end generate No_AXI; end generate ECC; No_AXI_ECC : if not C_HAS_AXI generate begin S_AXI_CTRL_AWREADY <= '0'; S_AXI_CTRL_WREADY <= '0'; S_AXI_CTRL_BRESP <= (others => '0'); S_AXI_CTRL_BVALID <= '0'; S_AXI_CTRL_ARREADY <= '0'; S_AXI_CTRL_RDATA <= (others => '0'); S_AXI_CTRL_RRESP <= (others => '0'); S_AXI_CTRL_RVALID <= '0'; end generate No_AXI_ECC; end architecture imp;
apache-2.0
fcb29d98222f25d4f5df82dd1bfba9c3
0.536251
3.59933
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/lmb_bram_if_funcs.vhd
1
8,246
------------------------------------------------------------------------------- -- $Id: lmb_bram_if_funcs.vhd,v 1.1.2.4 2010/09/28 11:56:10 rolandp Exp $ ------------------------------------------------------------------------------- -- -- (c) Copyright 2001-2013 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. -- ------------------------------------------------------------------------------- -- Filename: lmb_bram_if_funcs.vhd -- -- Description: Support functions for lmb_bram_if_cntlr -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- lmb_bram_if_funcs.vhd -- ------------------------------------------------------------------------------- -- Author: stefana -- Revision: $Revision: 1.1.2.4 $ -- Date: $Date: 2010/09/28 11:56:10 $ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; package lmb_bram_if_funcs is type TARGET_FAMILY_TYPE is ( -- pragma xilinx_rtl_off VIRTEX7, KINTEX7, ARTIX7, ZYNQ, VIRTEXU, KINTEXU, ARTIXU, -- pragma xilinx_rtl_on RTL ); function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE; -- Get the maximum number of inputs to a LUT. function Family_To_LUT_Size(Family : TARGET_FAMILY_TYPE) return integer; end package lmb_bram_if_funcs; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; package body lmb_bram_if_funcs is function LowerCase_Char(char : character) return character is begin -- If char is not an upper case letter then return char if char < 'A' or char > 'Z' then return char; end if; -- Otherwise map char to its corresponding lower case character and -- return that case char is when 'A' => return 'a'; when 'B' => return 'b'; when 'C' => return 'c'; when 'D' => return 'd'; when 'E' => return 'e'; when 'F' => return 'f'; when 'G' => return 'g'; when 'H' => return 'h'; when 'I' => return 'i'; when 'J' => return 'j'; when 'K' => return 'k'; when 'L' => return 'l'; when 'M' => return 'm'; when 'N' => return 'n'; when 'O' => return 'o'; when 'P' => return 'p'; when 'Q' => return 'q'; when 'R' => return 'r'; when 'S' => return 's'; when 'T' => return 't'; when 'U' => return 'u'; when 'V' => return 'v'; when 'W' => return 'w'; when 'X' => return 'x'; when 'Y' => return 'y'; when 'Z' => return 'z'; when others => return char; end case; end LowerCase_Char; -- Returns true if case insensitive string comparison determines that -- str1 and str2 are equal function Equal_String( str1, str2 : STRING ) RETURN BOOLEAN IS CONSTANT len1 : INTEGER := str1'length; CONSTANT len2 : INTEGER := str2'length; VARIABLE equal : BOOLEAN := TRUE; BEGIN IF NOT (len1=len2) THEN equal := FALSE; ELSE FOR i IN str1'range LOOP IF NOT (LowerCase_Char(str1(i)) = LowerCase_Char(str2(i))) THEN equal := FALSE; END IF; END LOOP; END IF; RETURN equal; END Equal_String; function String_To_Family (S : string; Select_RTL : boolean) return TARGET_FAMILY_TYPE is begin -- function String_To_Family if ((Select_RTL) or Equal_String(S, "rtl")) then return RTL; elsif Equal_String(S, "virtex7") or Equal_String(S, "qvirtex7") then return VIRTEX7; elsif Equal_String(S, "kintex7") or Equal_String(S, "kintex7l") or Equal_String(S, "qkintex7") or Equal_String(S, "qkintex7l") then return KINTEX7; elsif Equal_String(S, "artix7") or Equal_String(S, "artix7l") or Equal_String(S, "aartix7") or Equal_String(S, "qartix7") or Equal_String(S, "qartix7l") then return ARTIX7; elsif Equal_String(S, "zynq") or Equal_String(S, "azynq") or Equal_String(S, "qzynq") then return ZYNQ; elsif Equal_String(S, "virtexu") or Equal_String(S, "qvirtexu") then return VIRTEXU; elsif Equal_String(S, "kintexu") or Equal_String(S, "kintexul") or Equal_String(S, "qkintexu") or Equal_String(S, "qkintexul") then return KINTEXU; elsif Equal_String(S, "artixu") or Equal_String(S, "artixul") or Equal_String(S, "aartixu") or Equal_String(S, "qartixu") or Equal_String(S, "qartixul") then return ARTIXU; else -- assert (false) report "No known target family" severity failure; return RTL; end if; end function String_To_Family; function Family_To_LUT_Size(Family : TARGET_FAMILY_TYPE) return integer is begin return 6; end function Family_To_LUT_Size; end package body lmb_bram_if_funcs;
apache-2.0
ce1f236ef4284ffe85d11a65076cd117
0.562333
4.123
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/read_data_mux_gti.vhd
1
9,675
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block WuMWCiAkLtdMT0KUSjifPw7GBWyUd6vGq6zKg7FewqOWkrmzKIS+hPjcUD2D/B1ngg0vxo8+CHeD BIIxY4qSGQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block AOaRJFEWJVJhLWUlmk71gwEjGzhpX6vNPY/WbLFmnGgHR1d3G7MMhTkHbN5gVhUAhVyR/X8u8X+i ux7uzjaFPQmBGjPvFJUf3tO5S5XxQQFeIlQ7qN3sMZC83F2kdbhhSv7YuoxE/9JeOlSDykHwaqVD yOvmciHBZiZ2Kbidxlg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block BDufGACpFksjBO+kdmu9k6L2MRYm8xkdulRz+SnYjxtPZaco5gGGBw+GNNkdMWKvo1/7CRx4oY0F NqNLz9qReDl4lsHLfyO/iIhD9aFcPZkoxDCJoDLW5ew9dEure9/JFyp6OIYh0QaYxF1ZJx21QHao yYKM/uAay7yvBWfhLHPwFGmVPVjeno1WP24y/DIqFx0u193AEKFqGFZCCOrBC2LJsr6GCTzf1D1C HuJrva8sAqJBuQZ7LIcAN8EUOXJwVwWljSdPQHCTAGiAXtBLy87OmIYgKXd7ZG065ePEj05rhO99 F40LUGQJ2KmqTB/Cl7lGTw/MdPsvtIPRuEoxAw== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block tTt8N5lniGwIq5TvJIZNZ1uVmEnFRCgb1Qwnbcpq4knOBikkoMGG6tKY9QkwG9VnvkRXjaoX6KLX sIe7PrB6htgAPfzBYcCoaAahTYJN0OvcoD94+3KUMNhHCOLwZEgypOgAP3lYWEzZYpUhK8X3Ey3t m4b2EDTVZRlX5jHjCoU= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block shYYdoPi6Ei+hAhrwhXV6qIyW2HCrxGmhMknFqmAPZ61IUkWXnZjlDIgs1dI6FCpYf3/2fXH5efg BFyOHMAqSB4LHRVN+tIjG9VZ5w14ZLq1aIr/yjY03zZ391oD2WZGForRoWu8PhCr1kj1qMJ4izG6 KM/DhNPuTGghsUTef1DkQYIx4AKuyhJVYvPX20nXsiLLKa0fCFN+4uGq+pSPFx/AXf1Fn+rJc+2K WxDpaVdBtEQA/K5WyqNEbzxFz+tZFQxN84e3iaeyWrlmTaGyNC6EaELhV0rTKpE1IZqVafxrvfAN lferGcVBP0MjVYpIfla+c3MehucEejeaNS7V1Q== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5424) `protect data_block /GYgTt0o2fD7kKAM2r09XONuqBIAOlNbOqhY6xKblYp7JOHkDmyV6ZrXC/S0ta2wQTF5asQGZW9T IpWWoxA7nJelYSxwVIcnIXkv/+G9fCnhMPut9Kb1tgMrP8QMqsgBjFKTR/Jhtj8FyXKRChDe2psT tiZoMNJ2pimDck4MmjOeTSRac1Ic9dVmgoQgXcfvcu8NNFzmeRKtMAgF5SlCdZak4gmv7qbH4a68 WRZ+APXJbfD8qnsDH18hc9EbW4CjNcyQKWDKNQiV9CV5zMk9qTCRllNrCXsA2EEfpwZV0FI7RqYX ZANeEsZAyPaafsFpRZkMsZ9PO0izo89bn0krOH4pP4MlJ7mSi1sfGRgb3Oy2OfW/Ez4aNAPl4uYg 8G2eHm92goD55LsYlm0B2UGHk04Q9ZzumO1z6xAkW7TGxB+kS+gGKULfb4cM2JtYqy384cYeyrDr GlbRaD+RU9hfNzXOmNolY+SsBYIlvtXqKBIdk71IjJzJzZSijLw1tanze9evRfX91O56qCueO4f/ F9HjweIc03q1/NwZgoc3Z3Iv1Zi0wn+zVxEefIsa4QvsA/XCNWkJeXcLix5qtlIvDzn843JL3eCF 3qOnAHKUepwWgp45IvRt3whWQQZr4sZ+yZuyIKWDf6a2fboo1spofdDTWZz6YAh/QQuOkTJHpgKY mCaHmw0KZhhrNVe1xaNktb4dU9CBo5CVi9sm9X+21POrk4/PeOcOUZyZpCSpdud/gWHl1kxa2aVO JPSsTG4CjGYEMY3Qhi2JPnFHLu+C06Vvow7Co0O9ikGRc7yv/5V7LWEZEIQHBOpHZ2ZTZeaZ2wAR xNeS8SvGpEQZlWbH6B5XUrTHFIiADdWZh2IOexyGutauIihc4+wAIoSyQiWrDMdMcIWGOJvhyag6 ToFK5PMpR8TYWQbm82CU6IeRyrpDw/Dadbyox/VBu6z0z0lzHOcBW/QTMb/S/9zgbij1ahBTl/gR 4wTqbY0a5DxGcSXLt39r+ZnW3gvbZamsauSWXa7+7QMRl5NIoCeCMRh4jDeJQlkwb1jnoyZwb3Ib FMNOfurMTN5HAFUNnnYrSmjrfphizx1CfSrOg6kjvoFB3igoug9W2RB/G62BRteWc7Xzoqn/U8nX cGXXv0dh+pjqOpaBelL1hLi8ojJ0mMqrwnHwUrXg7xEvTu17J5DNcnBP/cSJm4r8e+RwIqkdB4xg 52raiMpqOX2BEzNYdbmuSAap5Yp+kf7b+DmeEnJGzb5gY8NwbEW7//wC6JD3bW+v4ATiF9MoH2Ht Dt8HG8W58T8FVwV9A4DjwA3fPP3UxA7Uvg/pejZCnWR0vhu/6YH8Oy3eazmX8+c36d0pK7rEtvAh uUSpBEQsQAFWHEqNToJBaAC0ZaJMZ0XYI2PkyJRYkn+9Gl5w0Lhg60c5rfOXqnmwhVfNfBAqdUVO Ku6xvqnIrgcG94gnXAFpDWxIl8fSYXA/tapOmRE15iS+8HJQvAMujOE6azJIYBNfLcRHa/ZKJ1cj uTofRjnpROzr5HwsjxJzP52mmWMLsrJJ6TwmQOAcrU+80vH5PUlJNquecQz95X3CxHrP+Pjhe52E KR6LfWatnqLv5y+owJquzP+qSK/vO7bMyzTee90pVcWzLeduK1UHF/AP0sE2ux5otZ8WuoBoC1+C 7aenOwlX9duuA08TJsnfwX0YjtgAiagQmu+ogKV7vWdLDDDJRr4m7pZ9GM4ovXpc54692gACKmhl oEpyRo6FLlkwyrxPEb31zGbGLcZP6+HkLeyjBEQmd41fTGg3V1AMIoOcbvlcVxv18ceAXW8Z8Ezd zcMkG1SGmNVUTofq/SjV8UFVCYks7cmT2Gb3/eOnURFpGz1ts0XQp7yDA4g88/29aMVM56lieKCs 6ogOYJt/EoCGE+zKtjPgihgm2FpGnVw55nADUeMGdQU/NVmE7zkAE1kCxpcntCw6zZwN9g3yuMMn GAhiRVcRaujW1oJTJanN0GhY6YcSbgzreob4wcY137RgfxHGFs3ImKp/UZj2l76jf9JWb6uqHiof MXHgr2eE4zDtbNAVaPFpjo0aYdMgLh2vKD20hpimJ3NZ2VZfUFDhUBE0/vQQSO7Ht/vnby1DZnol gIcpfUUGft56XnfgNWD6p/Yx0gBVH347Z5p0VJnayuKMmRBmMt7voWULpmO2Lw5teH/aJrWrKgcb O7f2kodErP0iATiqhZFH9/mXRqEw8Q3/WLKgWm0fy87pnE45qwwLypSwgSCR3TlFvHygty/bf6xF vh1yCjkdI1yZtdjLbzUUZLZmWpfBEjVcsUilgfRCBPRRbp9gyXWozTiYPIvPk67ef/NISixPuThl GHmJRNF5jchdikkCZRYdud/FjallQWmT8rIPoiyuBbvH7bV08wVHDSj00VGQ1RrKlLd3JDVktmfh Hyj/MfTf9OXSS7K6EosAng7JeRGYrON2R8vD0+iOIrtMoT+ahzciX2jYMBTZRX8dL653ySKnWKnD yPy4NUYljePa3SDUFmzjpHdn9qtY1hHa/V92Kpt+ryhNNGhW1ZMka+oZhQcv+xYvws+TktVq2DXQ jdFQZCbTdyA5zGV4GofuhmcpaTSFIhukxt832U+lPb+al7ULidHrvZAZBY4Yop1we3YcwqmS3wPb norYItoNOraucbYMzmFmNCuuhB7o/Zn4brvA71fr9ofG/v5BCDf09s302DN6hWKgcJ/qwOy7bxWI k5L1Q5XU6ylqmwZNgmMQnLMnHDA7SB83GUAJ1nk37sHFv+IyLcbhH/b7Cnmp/KzTlUAaEnpwisIP XBuZxtSStsZU+LdjO3UgK5nGztOy7a7cNL9AuQ1pr7WCn9kpP4oDNmjxXlrntWI1Sw5/Kh34onFu FjIj3phgQXX88ASOUVgzd1lGGsKQTJIg7QILW87uyosQtf92uyg4dIUZCMaMQE0vexQI4DKrl94K s/tsejoqkV64tbrLdgHnPmGnwVLqPjXHNi5xX3OgILh/nQPiuaNjgTF9CjeUVhkF3+tPDH4AwymC +VzTgl3R2iu3c4DORevsSKTs83zGCyBvGxCJ9qnyPyrI7M4tn60PvCU2sMd/f5WEApYai4RIldhs 3fiqHz9901/Qyeq2/vLJaeJd9mknCU16NXnZq4ef3RZ6OaU9FXh+dZA4H6wLncvkh3Dq3D8ZaKKm VSSWSMye9kURG3qwjs6MJiGNeiJ0ineQoxHPVBrQ+TPFp5lwV+W7vqxLISWH3Ql9VmqHsO03AnRE clIWn7htymZBKYNu/qy0cjw51XBdO8HbNqaX7vpzW9gnZbtIypOVP+Muwx1JVdCQchYzuSr5J9lP Y9zI302tzko8zWj7c8BtadK/VyGJ9UYgAKdEjCeBsTLcq4zylqjqO0WpGzeU2kXsNF1V4hhUF7eF XzZDo6NGAGCezYBR1ZydjMEP3t90oblV47hOqDV6P1EBM1KcQFtxIgtDYB+seYoJUh0r7O3ETnRy KB0OohkoI32t7o6FUBHnFenypJRJB9oek8gpXIoYiq6ZfvmQwnJ5pNJScDpDvU8tMweYaVBAExVd t3vfJEyj6vfLKeeftpc3IGZ4fx7gmODOdzjKqGDltjE4Rgkbp3wAmKf8NwVuPTIR/lbXkiAhEXJv JC871uyA9/sVh3xL7CfRv6zglSR1/ePyFPlDaPPtb7/ZQyzhqAnxHCrDsk0o/ygzjVbRvnT/fS1p hsVfGjiDC3lpG4AIbMt7hkrG5jDv+ccTEhmai2945vK1DKT15/UkjLbfN02EppcYIAq2ZzRxmO9G ISxJYSZ2KIrKHrKlCS8PV4jvCFcexKRM+71Vicd1MbqDS3H8cUk9EtRk+GQV1FUBPWWbtduBPLk/ sKwQm15sxqP0/VO2JPZZJxMcehbGoVSUQayLYv/DQ1IlGWHVzD8jgqu3gsOx+BCw3kVup5dKWMhN 9yo3EEdqYn0c1d7qc3fAIeD/eCTqqbRpHIVjL29Qj6AfrLL7rdSmeCXF63ueW4BMRfjLdPYxcLvo eJqYHrUoxFk4ZPqeKDJkyDr2ahMwJAi5b8Z41J/ySuE4/CEOkKZQLuBpqPife9FS6UdEjXKC9mHe w7d9L2u5oMmRq61ABZesYc8y1ZsInH0jzyaSgr4plhrpImJnq95bE7lkeX6NW3jkT/lAlATvQQOX ayGx0P7qLIF5BWBvQ7K2KkW7i4XzaUHymi7R9IshD9LAR/w47FXfIwYXW/e4phshrUea50HPXm4w uydzNrt5yktTyr30isqU36rgP+pKTvDvrbyWzpwAP0D6kyh754F6l9U7vDFDahg268tsIGwTZUcG Utm2a7uVh09Wsc83A/KWUWrqMijoGJJSoY+uQK45td/IPhb8FVzlBLe8Q3gqhF1xagPqU7JP7vlQ 4eVYgihdNgt+3eaaNRLoPmDutgo0crICd/AoN8Orm8wFIlkBk9rrTU+dsm4CZmYJqBdACoAyclwB bX8CPO2KldiJDxuS3E9muofSDKr8uLzNk+Yu9jpx49kZTNGgFlPBeFvFAE39SKedLRItmh8uEtbs kSSsBlmzHR7onckVslVwrH9zh2hVTqKmOLC/45si8UrpQoxvfe5Z+gxVpRQyMdWb0rjsKld/L38x 29SOysbkyr1h7obyTAqNf7L9m1oFgF5MANVcBkxhTztlXxPgq33gnpadoRXog6crzB2zat0/0TJK PK6pjy/K4VB7I1SrK5pWMYjHqU5E7nKph5c0xn96gut1x6lHihktxmKCta5Foh+eROUtdULIdWIz UFRZ8ZnsQ4aQv2SlAxOeTqedD4GIr+l4ahpNi2nuc/fEaWvs16Bs9uEAypaDE51QcWdKeoGlgZu1 hYa52ZvNlGMuUtU6fb70XBArwb2/cUgWKIWayJZYcHTyATFlRB/aHsrnDt5n9Z9A642DR7g+iqB5 W6DDvSRNGL6tM9upugmU9Rg+DrpnX2fA0reI2TfvKJgHnlQPKalZQeUH7BlL0gW4DizLSQQ/vtjc wNJeV9csCsNRwLhed6dtY4N6t0dQKFdDMsZPYvmPsiny+q0UY8hxjt7KuYwzphNU3QBZDaDBmQEA VNP96I1I5MVCSQ9sREAbLXkO9uMMAQ3vO95VM4i4VeTyX4qB64uUnBfk8utxDeyHxda5ssUH0sUE 5C6QfUx6cEmqWDKLG+uGYvuycDQZLs080fXk3GKJfCJxpZsZsioPY8ZKCfMGln5PsrMtkRCEP/Ud 4zjBGieJQhwOOW+r3HaPLfLWr3+SrldM92FvG8aokXpSdjaLmbBZiK8rwn6YVuvU0nuqin6ZPR2A zLnZR0xcPg47FSQou4/hvEcLalnD9U/2+cU8tSRi6MhEOLC8jsf0ebXWu8Zu/9Tjzx+GHhOOKjeh PurYRCxINWmksHog/uCvdGvDQxxN8wNbmP+CyMup/KEjiswfvB+1U0g2eTViPxY70OP+WT/0hrZq eCBRgq/TfE806MCId+BCOkf4i+RvcQ1cKAw4xvcT2hmU5bZlERA80rTJBuvYq2sCW4sdIhP3KEpS TjC6bDjRyqQDMWQfyjpsemwLsqu7VvUmyTsvxzUQNxNtKY4EZ8r7pG2XkK1snkBLr+AMT+VDSdyl s1DpdPkXz1aiacZ16PjuRVUFhzk4TGCKZJIvgODhd8Z5KQUlNae7bh3JaEevQECb8+4XRjDIfJ75 zvR5zlyGVtjq+rMVWL8it7v5mh2rRNstaxG+zMtnmjft37tt4MzO2Rr8JKfVGLvWFVTLKzBFTCYH trhwuHBcpac4yiW7jG9mQJkFpDPLa1VJ5fduw4yczkKt4ZNSfzVG0Pvarry7jMoXKUGKKiirAECN WnEv6hCU5TSQFlsHJDdSeRAlwfhL1L4oD31X2OnOHx9NqB006iC0d0tA08Ctux12QuHsEnk/2gc7 nYx2wnFmiVj7lBMbVKB5k78h412KuhKFlGS8h3rF3qhrkY1EAV1BkZcBF85Ua3Zm5U1+ZG7nQzEc LrHxHBekPC7l5uo9y7nkwP9iCzA3DVblx7VnsuFJ8JBJdz5IOqw9TC3qC3GLEFiHp1gFjS2MC2uB Cjta9sP45S+uVnM5U1QmTTB0zYbj4qTybNl327IjubLkTOTALw3Fsth4tIrKaLkPOQu1Ur9felFN E/oWfiY72GG15ih7+dCx1AQF6LpLzApU9/OG5kJl7HYzA5AVuxLWtsl2T3kgRevHATiGfkSJxLZs SoWIO3PehZWPbB5Uvl7tdOc1r4N0pT9HoqNO927f8ES4B+8DEBUBXqBxx8bIrmt3ZizHoN7At03m Zesu1SUJNVli6TUPJMa8w9E2waeWbye+yT7i9Fv3uJFFd8JTLO6yiVA3+1udBA65t5LyP45eRwJd ahVydWisAyKzZ+CYUPzbyRr02zUta6CCxpiEoTAn4ansnUHBBMTHb4LMXx/QQE+MGxhMx+9e+J4a LhKM2X6pw+qsj7TJbTFncq59kFC6XDm2FzuCsfgA3e/YYCPuLGp2R5w4QMILiITXpZRL+nCcD2C5 LBYGjHJBESCn8+/a8/0aWx7Ly7WOVi7fQdm39uWhCf5/uQI0uznRR0ug3X9lpAo6YQpuiTxTu5PB VRKoAXsR589PHi8412SV+6f9itK/cELUwaYbh/snw3bfbyYRPz8fY30TyvqjOkYD35eNu3Ma900X iiFl23e/l6TrGsb4eDhZQFFj5ch6AxOSnKofn76EqVcESkHAWMIdI8P27eBIynhTm6erFvby4Dm7 pOuncmA5s9DtPTMrliMLEOaP5RfHej5O3t0BeABTjvNMeKmjXLYQm+4vbyZ6mLwt+D8N9cL7b/KA EE1VZs/6Q4Z7QDN2aBjEWwh1IQlS3zoBxlhHeXl4OWd77Mv8J7RAUK+XQY4X2tCaxdoy1mxoxly5 t2pGOxno0B9oarGVSO7S+kR8UL1CMr3J4jEWzexbdi9jXzj/0C+tGHpl+iAMPW+lriKAKvy2gku2 14y1ibueS4kBJWkvzhFQZGIdC0IDtz6HeMCNAGVewcjTRu6pA4u9xBAmPyaNqHpzKYxD8w+nvdFc 3R6GkHKdPRm+OZLTjxlqRQwrIjN0MTvK2HYST44wnhDEz9EL9jwImup+FBegth3l6IBqXtfr1fl/ H5hkjIXw8Y17yTT0WtmZfa40w6baeqM1MBm+b/ugiM+6PAQ7NVnYhcp+9gqsTUtNsbxQlIRjBiJd rrOfvXeueLoU `protect end_protected
apache-2.0
e41e846b7c8659f291743dd95fafb362
0.925375
1.913568
false
false
false
false
sils1297/HWPrak14
task_3/task_3.srcs/sim_1/new/testeverything.vhd
1
737
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity testeverything_tb is end testeverything_tb; architecture Behavioral of testeverything_tb is signal led : std_ulogic_vector(3 downto 0); signal clock : std_ulogic := '0'; signal SDA, SCL, USER_RESET: std_logic; begin SDA <= 'H'; SCL <= 'H'; clock <= not clock after 7.57575757 ns; i2cclient : entity work.ADS7830(RTL) port map ( SDA => SDA, SCL => SCL ); united : entity work.unite(Behavioral) port map ( LED => led, CLK_66MHZ => clock, SDA => SDA, SCL => SCL, USER_RESET => USER_RESET ); stimuli : process begin USER_RESET <= '1'; wait for 10us; USER_RESET <= '0'; wait for 1000ms; wait; end process; end Behavioral;
agpl-3.0
0b57b270b0c569fce911e6a215f66730
0.660787
2.739777
false
true
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/asap-alap-random/fir2_asap.vhd
1
3,694
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:42:49) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir2_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir2_asap_entity; ARCHITECTURE fir2_asap_description OF fir2_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := not input1 or input1; register2 := not input2 or input2; register3 := not input3 or input3; register4 := not input4 or input4; register5 := not input5 or input5; register6 := not input6 or input6; register7 := not input7 or input7; register8 := not input8 or input8; register9 := not input9 or input9; register10 := not input10 or input10; register11 := not input11 or input11; register12 := not input12 or input12; register13 := not input13 or input13; register14 := not input14 or input14; register15 := not input15 or input15; register16 := not input16 or input16; WHEN "00000010" => register1 := register1 + register2; register2 := register3 + register6; register3 := register4 + register5; register4 := register7 + register8; register5 := register10 + register9; register6 := register11 + register12; register7 := register14 + register13; register8 := register16 + register15; WHEN "00000011" => register1 := register1 * 18; register2 := register2 * 20; register3 := register3 * 22; register4 := register4 * 24; register5 := register5 * 26; register6 := register6 * 28; register7 := register7 * 30; register8 := register8 * 32; WHEN "00000100" => register4 := register4 + register5; WHEN "00000101" => register4 := register6 + register4; WHEN "00000110" => register4 := register7 + register4; WHEN "00000111" => register3 := register3 + register4; WHEN "00001000" => register1 := register1 + register3; WHEN "00001001" => register1 := register2 + register1; WHEN "00001010" => register1 := register8 + register1; WHEN "00001011" => output1 <= to_unsigned(2 ** to_integer(register1), 4); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir2_asap_description;
gpl-3.0
a4a7bb1054d1b8b3b0dce15d353a8095
0.677856
3.229021
false
false
false
false
sils1297/HWPrak14
task_2/project_2/project_2.srcs/sim_1/new/LEDPWM_tb.vhd
1
476
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity LEDPWM_tb is end LEDPWM_tb; architecture Behavioral of LEDPWM_tb is signal led : std_ulogic_vector(3 downto 0); signal clock : std_ulogic := '0'; begin uut : entity work.Dimmer(DimmerArchitecture) generic map (WIDTH => 25) port map ( LED => led, CLK_66MHZ => clock ); clock <= not clock after 7.57575757 ns; stimuli : process begin wait for 5 sec; end process; end Behavioral;
agpl-3.0
23158bd2202ba68fefecac94deed6d7d
0.701681
2.884848
false
false
false
false
BBN-Q/APS2-Comms
src/ip/cpld_bridge/cpld_bridge_pkg.vhd
1
6,952
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; package CPLD_bridge_pkg is --Sort out whether we are in simulation or synthesis constant in_simulation : boolean := false --pragma synthesis_off or true --pragma synthesis_on ; constant in_synthesis : boolean := not in_simulation; function byte_swap(word_in : std_logic_vector) return std_logic_vector; component axis_frame_length_adjust generic ( DATA_WIDTH : natural := 8; KEEP_WIDTH : natural := 1 ); port ( clk : in std_logic; rst : in std_logic; --AXIS input input_axis_tdata : in std_logic_vector(DATA_WIDTH-1 downto 0); input_axis_tkeep : in std_logic_vector(KEEP_WIDTH-1 downto 0); input_axis_tvalid : in std_logic; input_axis_tready : out std_logic; input_axis_tlast : in std_logic; input_axis_tuser : in std_logic; --AXIS output output_axis_tdata : out std_logic_vector(DATA_WIDTH-1 downto 0); output_axis_tkeep : out std_logic_vector(KEEP_WIDTH-1 downto 0); output_axis_tvalid : out std_logic; output_axis_tready : in std_logic; output_axis_tlast : out std_logic; output_axis_tuser : out std_logic; --status status_valid : out std_logic; status_ready : in std_logic; status_frame_pad : out std_logic; status_frame_truncate : out std_logic; status_frame_length : out std_logic_vector(15 downto 0); status_frame_original_length : out std_logic_vector(15 downto 0); --control length_min : in std_logic_vector(15 downto 0); length_max : in std_logic_vector(15 downto 0) ); end component; component axis_adapter generic ( INPUT_DATA_WIDTH : natural := 8; INPUT_KEEP_WIDTH : natural := 1; OUTPUT_DATA_WIDTH : natural := 8; OUTPUT_KEEP_WIDTH : natural := 1 ); port ( clk : in std_logic; rst : in std_logic; --AXIS input input_axis_tdata : in std_logic_vector(INPUT_DATA_WIDTH-1 downto 0); input_axis_tkeep : in std_logic_vector(INPUT_KEEP_WIDTH-1 downto 0); input_axis_tvalid : in std_logic; input_axis_tready : out std_logic; input_axis_tlast : in std_logic; input_axis_tuser : in std_logic; --AXIS input output_axis_tdata : out std_logic_vector(OUTPUT_DATA_WIDTH-1 downto 0); output_axis_tkeep : out std_logic_vector(OUTPUT_KEEP_WIDTH-1 downto 0); output_axis_tvalid : out std_logic; output_axis_tready : in std_logic; output_axis_tlast : out std_logic; output_axis_tuser : out std_logic ); end component; -- comment out this component declaration for simulation component ApsMsgProc port ( -- Interface to MAC to get Ethernet packets MAC_CLK : in std_logic; -- Clock for command FIFO interface RESET : in std_logic; -- Reset for Command Interface MAC_RXD : in std_logic_vector(7 downto 0); -- Data read from input FIFO MAC_RX_VALID : in std_logic; -- Set when input fifo empty MAC_RX_EOP : in std_logic; -- Marks the end of a receive packet in Ethernet RX FIFO MAC_BAD_FCS : in std_logic; -- Set during EOP/VALID received packet had CRC error MAC_TXD : out std_logic_vector(7 downto 0); -- Data to write to output FIFO MAC_TX_RDY : in std_logic; -- Set when MAC can accept data MAC_TX_VALID : out std_logic; -- Set to write the Ethernet TX FIFO MAC_TX_EOP : out std_logic; -- Marks the end of a transmit packet to the Ethernet TX FIFO -- Non-volatile Data NV_DATA : out std_logic_vector(63 downto 0); -- NV Data from Multicast Address Words MAC_ADDRESS : out std_logic_vector(47 downto 0); -- MAC Address from EPROM BOARD_TYPE : in std_logic_vector(7 downto 0); -- x"00" for APS2 and x"01" for TDM -- User Logic Connections USER_CLK : in std_logic; -- Clock for User side of FIFO interface USER_RST : out std_logic; -- User Logic global reset, synchronous to USER_CLK USER_VERSION : in std_logic_vector(31 downto 0); -- User Logic Firmware Version. Passed back in status packets USER_STATUS : in std_logic_vector(31 downto 0); -- User Status Word. Passed back in status packets USER_DIF : out std_logic_vector(31 downto 0); -- User Data Input FIFO output USER_DIF_RD : in std_logic; -- User Data Onput FIFO Read Enable USER_CIF_EMPTY : out std_logic; -- Low when there is data available USER_CIF_RD : in std_logic; -- Command Input FIFO Read Enable USER_CIF_RW : out std_logic; -- High for read, low for write USER_CIF_MODE : out std_logic_vector(7 downto 0); -- MODE field from current User I/O command USER_CIF_CNT : out std_logic_vector(15 downto 0); -- CNT field from current User I/O command USER_CIF_ADDR : out std_logic_vector(31 downto 0); -- Address for the current command USER_DOF : in std_logic_vector(31 downto 0); -- User Data Onput FIFO input USER_DOF_WR : in std_logic; -- User Data Onput FIFO Write Enable USER_COF_STAT : in std_logic_vector(7 downto 0); -- STAT value to return for current User I/O command USER_COF_CNT : in std_logic_vector(15 downto 0); -- Number of words written to DOF for current User I/O command USER_COF_AFULL : out std_logic; -- User Control Output FIFO Almost Full USER_COF_WR : in std_logic; -- User Control Onput FIFO Write Enable -- Config CPLD Data Bus for reading status when STAT_OE is asserted CFG_CLK : in STD_LOGIC; -- 100 MHZ clock from the Config CPLD CFGD : inout std_logic_vector(15 downto 0); -- Config Data bus from CPLD FPGA_CMDL : out STD_LOGIC; -- Command strobe from FPGA FPGA_RDYL : out STD_LOGIC; -- Ready Strobe from FPGA CFG_RDY : in STD_LOGIC; -- Ready to complete current transfer CFG_ERR : in STD_LOGIC; -- Error during current command CFG_ACT : in STD_LOGIC; -- Current transaction is complete STAT_OEL : out std_logic; -- Enable CPLD to drive status onto CFGD -- Status to top level GOOD_TOGGLE : out std_logic; BAD_TOGGLE : out std_logic ); end component; end CPLD_bridge_pkg; package body cpld_bridge_pkg is function byte_swap(word_in : std_logic_vector) return std_logic_vector is variable word_out : std_logic_vector(word_in'range); variable num_bytes : natural := word_in'length/8; begin for ct in 0 to num_bytes-1 loop word_out(8*(ct+1)-1 downto 8*ct) := word_in(8*(num_bytes-ct)-1 downto 8*(num_bytes-ct-1)); end loop; return word_out; end function byte_swap; end package body;
mpl-2.0
b47b4937b20c15985f9b33c9cd3b1022
0.627158
3.448413
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/async_fifo_fg.vhd
2
122,643
------------------------------------------------------------------------------- -- $Id:$ ------------------------------------------------------------------------------- -- async_fifo_fg.vhd ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2008, 2009, 2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: async_fifo_fg.vhd -- -- Description: -- This HDL file adapts the legacy CoreGen Async FIFO interface to the new -- FIFO Generator async FIFO interface. This wrapper facilitates the "on -- the fly" call of FIFO Generator during design implementation. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- async_fifo_fg.vhd -- | -- |-- fifo_generator_v4_3 -- | -- |-- fifo_generator_v9_3 -- ------------------------------------------------------------------------------- -- Revision History: -- -- -- Author: DET -- Revision: $Revision: 1.5.2.68 $ -- Date: $1/15/2008$ -- -- History: -- DET 1/15/2008 Initial Version -- -- DET 7/30/2008 for EDK 11.1 -- ~~~~~~ -- - Added parameter C_ALLOW_2N_DEPTH to enable use of FIFO Generator -- feature of specifing 2**N depth of FIFO, Legacy CoreGen Async FIFOs -- only allowed (2**N)-1 depth specification. Parameter is defalted to -- the legacy CoreGen method so current users are not impacted. -- - Incorporated calculation and assignment corrections for the Read and -- Write Pointer Widths. -- - Upgraded to FIFO Generator Version 4.3. -- - Corrected a swap of the Rd_Err and the Wr_Err connections on the FIFO -- Generator instance. -- ^^^^^^ -- -- MSH and DET 3/2/2009 For Lava SP2 -- ~~~~~~ -- - Added FIFO Generator version 5.1 for use with Virtex6 and Spartan6 -- devices. -- - IfGen used so that legacy FPGA families still use Fifo Generator -- version 4.3. -- ^^^^^^ -- -- DET 2/9/2010 for EDK 12.1 -- ~~~~~~ -- - Updated the S6/V6 FIFO Generator version from V5.2 to V5.3. -- ^^^^^^ -- -- DET 3/10/2010 For EDK 12.x -- ~~~~~~ -- -- Per CR553307 -- - Updated the S6/V6 FIFO Generator version from V5.3 to 6_1. -- ^^^^^^ -- -- DET 6/18/2010 EDK_MS2 -- ~~~~~~ -- -- Per IR565916 -- - Added derivative part type checks for S6 or V6. -- ^^^^^^ -- -- DET 8/30/2010 EDK_MS4 -- ~~~~~~ -- -- Per CR573867 -- - Updated the S6/V6 FIFO Generator version from V6.1 to 7.2. -- - Added all of the AXI parameters and ports. They are not used -- in this application. -- - Updated method for derivative part support using new family -- aliasing function in family_support.vhd. -- - Incorporated an implementation to deal with unsupported FPGA -- parts passed in on the C_FAMILY parameter. -- ^^^^^^ -- -- DET 10/4/2010 EDK 13.1 -- ~~~~~~ -- - Updated the FIFO Generator version from V7.2 to 7.3. -- ^^^^^^ -- -- DET 12/8/2010 EDK 13.1 -- ~~~~~~ -- -- Per CR586109 -- - Updated the FIFO Generator version from V7.3 to 8.1. -- ^^^^^^ -- -- DET 3/2/2011 EDK 13.2 -- ~~~~~~ -- -- Per CR595473 -- - Update to use fifo_generator_v8_2 -- ^^^^^^ -- -- -- RBODDU 08/18/2011 EDK 13.3 -- ~~~~~~ -- - Update to use fifo_generator_v8_3 -- ^^^^^^ -- -- RBODDU 06/07/2012 EDK 14.2 -- ~~~~~~ -- - Update to use fifo_generator_v9_1 -- ^^^^^^ -- RBODDU 06/11/2012 EDK 14.4 -- ~~~~~~ -- - Update to use fifo_generator_v9_2 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v9_3 -- ^^^^^^ -- RBODDU 07/12/2012 EDK 14.5 -- ~~~~~~ -- - Update to use fifo_generator_v12_0 -- - Added sleep, wr_rst_busy, and rd_rst_busy signals -- - Changed FULL_FLAGS_RST_VAL to '1' -- ^^^^^^ -- ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; library fifo_generator_v12_0; use fifo_generator_v12_0.all; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.all; --use proc_common_v4_0.coregen_comp_defs.all; use proc_common_v4_0.family_support.all; -- synopsys translate_off --library XilinxCoreLib; --use XilinxCoreLib.all; -- synopsys translate_on ------------------------------------------------------------------------------- entity async_fifo_fg is generic ( C_ALLOW_2N_DEPTH : Integer := 0; -- New paramter to leverage FIFO Gen 2**N depth C_FAMILY : String := "virtex5"; -- new for FIFO Gen C_DATA_WIDTH : integer := 16; C_ENABLE_RLOCS : integer := 0 ; -- not supported in FG C_FIFO_DEPTH : integer := 15; C_HAS_ALMOST_EMPTY : integer := 1 ; C_HAS_ALMOST_FULL : integer := 1 ; C_HAS_RD_ACK : integer := 0 ; C_HAS_RD_COUNT : integer := 1 ; C_HAS_RD_ERR : integer := 0 ; C_HAS_WR_ACK : integer := 0 ; C_HAS_WR_COUNT : integer := 1 ; C_HAS_WR_ERR : integer := 0 ; C_RD_ACK_LOW : integer := 0 ; C_RD_COUNT_WIDTH : integer := 3 ; C_RD_ERR_LOW : integer := 0 ; C_USE_EMBEDDED_REG : integer := 0 ; -- Valid only for BRAM based FIFO, otherwise needs to be set to 0 C_PRELOAD_REGS : integer := 0 ; C_PRELOAD_LATENCY : integer := 1 ; -- needs to be set 2 when C_USE_EMBEDDED_REG = 1 C_USE_BLOCKMEM : integer := 1 ; -- 0 = distributed RAM, 1 = BRAM C_WR_ACK_LOW : integer := 0 ; C_WR_COUNT_WIDTH : integer := 3 ; C_WR_ERR_LOW : integer := 0 ; C_SYNCHRONIZER_STAGE : integer := 2 -- valid values are 0 to 8 ); port ( Din : in std_logic_vector(C_DATA_WIDTH-1 downto 0) := (others => '0'); Wr_en : in std_logic := '1'; Wr_clk : in std_logic := '1'; Rd_en : in std_logic := '0'; Rd_clk : in std_logic := '1'; Ainit : in std_logic := '1'; Dout : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full : out std_logic; Empty : out std_logic; Almost_full : out std_logic; Almost_empty : out std_logic; Wr_count : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack : out std_logic; Rd_err : out std_logic; Wr_ack : out std_logic; Wr_err : out std_logic ); end entity async_fifo_fg; architecture implementation of async_fifo_fg is -- Function delarations ------------------------------------------------------------------- -- Function -- -- Function Name: GetMemType -- -- Function Description: -- Generates the required integer value for the FG instance assignment -- of the C_MEMORY_TYPE parameter. Derived from -- the input memory type parameter C_USE_BLOCKMEM. -- -- FIFO Generator values -- 0 = Any -- 1 = BRAM -- 2 = Distributed Memory -- 3 = Shift Registers -- ------------------------------------------------------------------- function GetMemType (inputmemtype : integer) return integer is Variable memtype : Integer := 0; begin If (inputmemtype = 0) Then -- distributed Memory memtype := 2; else memtype := 1; -- BRAM End if; return(memtype); end function GetMemType; -- Constant Declarations ---------------------------------------------- Constant FAMILY_TO_USE : string := get_root_family(C_FAMILY); -- function from family_support.vhd Constant FAMILY_NOT_SUPPORTED : boolean := (equalIgnoringCase(FAMILY_TO_USE, "nofamily")); Constant FAMILY_IS_SUPPORTED : boolean := not(FAMILY_NOT_SUPPORTED); Constant FAM_IS_S3_V4_V5 : boolean := (equalIgnoringCase(FAMILY_TO_USE, "spartan3" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex4" ) or equalIgnoringCase(FAMILY_TO_USE, "virtex5")) and FAMILY_IS_SUPPORTED; Constant FAM_IS_NOT_S3_V4_V5 : boolean := not(FAM_IS_S3_V4_V5) and FAMILY_IS_SUPPORTED; -- Get the integer value for a Block memory type fifo generator call Constant FG_MEM_TYPE : integer := GetMemType(C_USE_BLOCKMEM); -- Set the required integer value for the FG instance assignment -- of the C_IMPLEMENTATION_TYPE parameter. Derived from -- the input memory type parameter C_MEMORY_TYPE. -- -- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO) -- 1 = Common Clock Shift Register (Synchronous FIFO) -- 2 = Independent Clock BRAM/Distributed RAM (Asynchronous FIFO) -- 3 = Independent/Common Clock V4 Built In Memory -- not used in legacy fifo calls -- 5 = Independent/Common Clock V5 Built in Memory -- not used in legacy fifo calls -- Constant FG_IMP_TYPE : integer := 2; --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal PROG_FULL : std_logic; signal PROG_EMPTY : std_logic; signal SBITERR : std_logic; signal DBITERR : std_logic; signal WR_RST_BUSY : std_logic; signal RD_RST_BUSY : std_logic; signal S_AXI_AWREADY : std_logic; signal S_AXI_WREADY : std_logic; signal S_AXI_BID : std_logic_vector(3 DOWNTO 0); signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_BUSER : std_logic_vector(0 downto 0); signal S_AXI_BVALID : std_logic; -- AXI Full/Lite Master Write Channel (Read side) signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0); signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_AWUSER : std_logic_vector(0 downto 0); signal M_AXI_AWVALID : std_logic; signal M_AXI_WID : std_logic_vector(3 DOWNTO 0); signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0); signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0); signal M_AXI_WLAST : std_logic; signal M_AXI_WUSER : std_logic_vector(0 downto 0); signal M_AXI_WVALID : std_logic; signal M_AXI_BREADY : std_logic; -- AXI Full/Lite Slave Read Channel (Write side) signal S_AXI_ARREADY : std_logic; signal S_AXI_RID : std_logic_vector(3 DOWNTO 0); signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0); signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0); signal S_AXI_RLAST : std_logic; signal S_AXI_RUSER : std_logic_vector(0 downto 0); signal S_AXI_RVALID : std_logic; -- AXI Full/Lite Master Read Channel (Read side) signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0); signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0); signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0); signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0); signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0); signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0); signal M_AXI_ARUSER : std_logic_vector(0 downto 0); signal M_AXI_ARVALID : std_logic; signal M_AXI_RREADY : std_logic; -- AXI Streaming Slave Signals (Write side) signal S_AXIS_TREADY : std_logic; -- AXI Streaming Master Signals (Read side) signal M_AXIS_TVALID : std_logic; signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0); signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TLAST : std_logic; signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0); signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0); signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AW_SBITERR : std_logic; signal AXI_AW_DBITERR : std_logic; signal AXI_AW_OVERFLOW : std_logic; signal AXI_AW_UNDERFLOW : std_logic; signal AXI_AW_PROG_FULL : STD_LOGIC; signal AXI_AW_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Data Channel Signals signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_W_SBITERR : std_logic; signal AXI_W_DBITERR : std_logic; signal AXI_W_OVERFLOW : std_logic; signal AXI_W_UNDERFLOW : std_logic; signal AXI_W_PROG_FULL : STD_LOGIC; signal AXI_W_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Write Response Channel Signals signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_B_SBITERR : std_logic; signal AXI_B_DBITERR : std_logic; signal AXI_B_OVERFLOW : std_logic; signal AXI_B_UNDERFLOW : std_logic; signal AXI_B_PROG_FULL : STD_LOGIC; signal AXI_B_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Address Channel Signals signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0); signal AXI_AR_SBITERR : std_logic; signal AXI_AR_DBITERR : std_logic; signal AXI_AR_OVERFLOW : std_logic; signal AXI_AR_UNDERFLOW : std_logic; signal AXI_AR_PROG_FULL : STD_LOGIC; signal AXI_AR_PROG_EMPTY : STD_LOGIC; -- AXI Full/Lite Read Data Channel Signals signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXI_R_SBITERR : std_logic; signal AXI_R_DBITERR : std_logic; signal AXI_R_OVERFLOW : std_logic; signal AXI_R_UNDERFLOW : std_logic; signal AXI_R_PROG_FULL : STD_LOGIC; signal AXI_R_PROG_EMPTY : STD_LOGIC; -- AXI Streaming FIFO Related Signals signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0); signal AXIS_SBITERR : std_logic; signal AXIS_DBITERR : std_logic; signal AXIS_OVERFLOW : std_logic; signal AXIS_UNDERFLOW : std_logic; signal AXIS_PROG_FULL : STD_LOGIC; signal AXIS_PROG_EMPTY : STD_LOGIC; begin --(architecture implementation) ------------------------------------------------------------ -- If Generate -- -- Label: GEN_NO_FAMILY -- -- If Generate Description: -- This IfGen is implemented if an unsupported FPGA family -- is passed in on the C_FAMILY parameter, -- ------------------------------------------------------------ GEN_NO_FAMILY : if (FAMILY_NOT_SUPPORTED) generate begin -- synthesis translate_off ------------------------------------------------------------- -- Combinational Process -- -- Label: DO_ASSERTION -- -- Process Description: -- Generate a simulation error assertion for an unsupported -- FPGA family string passed in on the C_FAMILY parameter. -- ------------------------------------------------------------- DO_ASSERTION : process begin -- Wait until second rising wr clock edge to issue assertion Wait until Wr_clk = '1'; wait until Wr_clk = '0'; Wait until Wr_clk = '1'; -- Report an error in simulation environment assert FALSE report "********* UNSUPPORTED FPGA DEVICE! Check C_FAMILY parameter assignment!" severity ERROR; Wait; -- halt this process end process DO_ASSERTION; -- synthesis translate_on -- Tie outputs to logic low or logic high as required Dout <= (others => '0'); -- : out std_logic_vector(C_DATA_WIDTH-1 downto 0); Full <= '0' ; -- : out std_logic; Empty <= '1' ; -- : out std_logic; Almost_full <= '0' ; -- : out std_logic; Almost_empty <= '0' ; -- : out std_logic; Wr_count <= (others => '0'); -- : out std_logic_vector(C_WR_COUNT_WIDTH-1 downto 0); Rd_count <= (others => '0'); -- : out std_logic_vector(C_RD_COUNT_WIDTH-1 downto 0); Rd_ack <= '0' ; -- : out std_logic; Rd_err <= '1' ; -- : out std_logic; Wr_ack <= '0' ; -- : out std_logic; Wr_err <= '1' ; -- : out std_logic end generate GEN_NO_FAMILY; ------------------------------------------------------------ -- If Generate -- -- Label: LEGACY_COREGEN_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User specified depth and count widths follow the -- legacy CoreGen Async FIFO requirements of depth being -- (2**N)-1 and the count widths set to reflect the (2**N)-1 -- FIFO depth. -- -- Special Note: -- The legacy CoreGen Async FIFOs would only support fifo depths of (2**n)-1 -- and the Dcount widths were 1 less than if a full 2**n depth were supported. -- Thus legacy IP will be calling this wrapper with the (2**n)-1 FIFo depths -- specified and the Dcount widths smaller by 1 bit. -- This wrapper file has to account for this since the new FIFO Generator -- does not follow this convention for Async FIFOs and expects depths to -- be specified in full 2**n values. -- ------------------------------------------------------------ LEGACY_COREGEN_DEPTH : if (C_ALLOW_2N_DEPTH = 0 and FAMILY_IS_SUPPORTED) generate -- IfGen Constant Declarations ------------- -- See Special Note above for reasoning behind -- this adjustment of the requested FIFO depth and data count -- widths. Constant ADJUSTED_AFIFO_DEPTH : integer := C_FIFO_DEPTH+1; Constant ADJUSTED_RDCNT_WIDTH : integer := C_RD_COUNT_WIDTH; Constant ADJUSTED_WRCNT_WIDTH : integer := C_WR_COUNT_WIDTH; -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := ADJUSTED_AFIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := ADJUSTED_AFIFO_DEPTH-4; -- The parameters C_RD_PNTR_WIDTH and C_WR_PNTR_WIDTH for Fifo_generator_v4_3 core -- must be in the range of 4 thru 22. The setting is dependant upon the -- log2 function of the MIN and MAX FIFO DEPTH settings in coregen. Since Async FIFOs -- previous to development of fifo generator do not support separate read and -- write fifo widths (and depths dependant upon the widths) both of the pointer value -- calculations below will use the parameter ADJUSTED_AFIFO_DEPTH. The valid range for -- the ADJUSTED_AFIFO_DEPTH is 16 to 65536 (the async FIFO range is 15 to 65,535...it -- must be equal to (2^N-1;, N = 4 to 16) per DS232 November 11, 2004 - -- Asynchronous FIFO v6.1) Constant ADJUSTED_RD_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); Constant ADJUSTED_WR_PNTR_WIDTH : integer range 4 to 22 := log2(ADJUSTED_AFIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(ADJUSTED_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(ADJUSTED_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- IfGen Signal Declarations -------------- Signal sig_full_fifo_rdcnt : std_logic_vector(ADJUSTED_RDCNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(ADJUSTED_WRCNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => ADJUSTED_RDCNT_WIDTH, C_RD_DEPTH => ADJUSTED_AFIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => ADJUSTED_RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => ADJUSTED_WRCNT_WIDTH, C_WR_DEPTH => ADJUSTED_AFIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => ADJUSTED_WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_AXI_LEN_WIDTH => 8, -- : integer := 8; C_AXI_LOCK_WIDTH => 2, -- : integer := 2; C_HAS_AXI_ID => 0, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', backup_marker => '0', clk => '0', rst => Ainit, srst => '0', wr_clk => Wr_clk, wr_rst => Ainit, rd_clk => Rd_clk, rd_rst => Ainit, din => Din, wr_en => Wr_en, rd_en => Rd_en, prog_empty_thresh => PROG_RDTHRESH_ZEROS, prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, prog_full_thresh => PROG_WRTHRESH_ZEROS, prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, int_clk => '0', injectdbiterr => '0', -- new FG 5.1/5.2 injectsbiterr => '0', -- new FG 5.1/5.2 sleep => '0', dout => Dout, full => Full, almost_full => Almost_full, wr_ack => Wr_ack, overflow => Wr_err, empty => Empty, almost_empty => Almost_empty, valid => Rd_ack, underflow => Rd_err, data_count => DATA_COUNT, rd_data_count => sig_full_fifo_rdcnt, wr_data_count => sig_full_fifo_wrcnt, prog_full => PROG_FULL, prog_empty => PROG_EMPTY, sbiterr => SBITERR, dbiterr => DBITERR, wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate LEGACY_COREGEN_DEPTH; ------------------------------------------------------------ -- If Generate -- -- Label: USE_2N_DEPTH -- -- If Generate Description: -- This IfGen implements the FIFO Generator call where -- the User may specify depth and count widths of 2**N -- for Async FIFOs The associated count widths are set to -- reflect the 2**N FIFO depth. -- ------------------------------------------------------------ USE_2N_DEPTH : if (C_ALLOW_2N_DEPTH = 1 and FAMILY_IS_SUPPORTED) generate -- The programable thresholds are not used so this is housekeeping. Constant PROG_FULL_THRESH_ASSERT_VAL : integer := C_FIFO_DEPTH-3; Constant PROG_FULL_THRESH_NEGATE_VAL : integer := C_FIFO_DEPTH-4; Constant RD_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); Constant WR_PNTR_WIDTH : integer range 4 to 22 := log2(C_FIFO_DEPTH); -- Constant zeros for programmable threshold inputs signal PROG_RDTHRESH_ZEROS : std_logic_vector(RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); signal PROG_WRTHRESH_ZEROS : std_logic_vector(WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- Signals Declarations Signal sig_full_fifo_rdcnt : std_logic_vector(C_RD_COUNT_WIDTH-1 DOWNTO 0); Signal sig_full_fifo_wrcnt : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); --Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE" signal DATA_COUNT : std_logic_vector(C_WR_COUNT_WIDTH-1 DOWNTO 0); begin -- Rip the LS bits of the write data count and assign to Write Count -- output port Wr_count <= sig_full_fifo_wrcnt(C_WR_COUNT_WIDTH-1 downto 0); -- Rip the LS bits of the read data count and assign to Read Count -- output port Rd_count <= sig_full_fifo_rdcnt(C_RD_COUNT_WIDTH-1 downto 0); ------------------------------------------------------------ -- If Generate -- -- Label: V6_S6_AND_LATER -- -- If Generate Description: -- This IFGen Implements the FIFO using fifo_generator_v9_3 -- for FPGA Families that are Virtex-6, Spartan-6, and later. -- ------------------------------------------------------------ V6_S6_AND_LATER : if (FAM_IS_NOT_S3_V4_V5) generate begin ------------------------------------------------------------------------------- -- Instantiate the generalized FIFO Generator instance -- -- NOTE: -- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!! -- This is a Coregen FIFO Generator Call module for -- legacy BRAM implementations of an Async FIFo. -- ------------------------------------------------------------------------------- I_ASYNC_FIFO_BRAM : entity fifo_generator_v12_0.fifo_generator_v12_0 generic map( C_COMMON_CLOCK => 0, C_COUNT_TYPE => 0, C_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_DEFAULT_VALUE => "BlankString", C_DIN_WIDTH => C_DATA_WIDTH, C_DOUT_RST_VAL => "0", C_DOUT_WIDTH => C_DATA_WIDTH, C_ENABLE_RLOCS => C_ENABLE_RLOCS, C_FAMILY => FAMILY_TO_USE, C_FULL_FLAGS_RST_VAL => 1, C_HAS_ALMOST_EMPTY => C_HAS_ALMOST_EMPTY, C_HAS_ALMOST_FULL => C_HAS_ALMOST_FULL, C_HAS_BACKUP => 0, C_HAS_DATA_COUNT => 0, C_HAS_INT_CLK => 0, C_HAS_MEMINIT_FILE => 0, C_HAS_OVERFLOW => C_HAS_WR_ERR, C_HAS_RD_DATA_COUNT => C_HAS_RD_COUNT, C_HAS_RD_RST => 0, C_HAS_RST => 1, C_HAS_SRST => 0, C_HAS_UNDERFLOW => C_HAS_RD_ERR, C_HAS_VALID => C_HAS_RD_ACK, C_HAS_WR_ACK => C_HAS_WR_ACK, C_HAS_WR_DATA_COUNT => C_HAS_WR_COUNT, C_HAS_WR_RST => 0, C_IMPLEMENTATION_TYPE => FG_IMP_TYPE, C_INIT_WR_PNTR_VAL => 0, C_MEMORY_TYPE => FG_MEM_TYPE, C_MIF_FILE_NAME => "BlankString", C_OPTIMIZATION_MODE => 0, C_OVERFLOW_LOW => C_WR_ERR_LOW, C_PRELOAD_LATENCY => C_PRELOAD_LATENCY, ----1, Fixed CR#658129 C_PRELOAD_REGS => C_PRELOAD_REGS, ----0, Fixed CR#658129 C_PRIM_FIFO_TYPE => "512x36", -- only used for V5 Hard FIFO C_PROG_EMPTY_THRESH_ASSERT_VAL => 2, C_PROG_EMPTY_THRESH_NEGATE_VAL => 3, C_PROG_EMPTY_TYPE => 0, C_PROG_FULL_THRESH_ASSERT_VAL => PROG_FULL_THRESH_ASSERT_VAL, C_PROG_FULL_THRESH_NEGATE_VAL => PROG_FULL_THRESH_NEGATE_VAL, C_PROG_FULL_TYPE => 0, C_RD_DATA_COUNT_WIDTH => C_RD_COUNT_WIDTH, C_RD_DEPTH => C_FIFO_DEPTH, C_RD_FREQ => 1, C_RD_PNTR_WIDTH => RD_PNTR_WIDTH, C_UNDERFLOW_LOW => C_RD_ERR_LOW, C_USE_DOUT_RST => 1, C_USE_ECC => 0, C_USE_EMBEDDED_REG => C_USE_EMBEDDED_REG, ----0, Fixed CR#658129 C_USE_FIFO16_FLAGS => 0, C_USE_FWFT_DATA_COUNT => 0, C_VALID_LOW => 0, C_WR_ACK_LOW => C_WR_ACK_LOW, C_WR_DATA_COUNT_WIDTH => C_WR_COUNT_WIDTH, C_WR_DEPTH => C_FIFO_DEPTH, C_WR_FREQ => 1, C_WR_PNTR_WIDTH => WR_PNTR_WIDTH, C_WR_RESPONSE_LATENCY => 1, C_MSGON_VAL => 1, C_ENABLE_RST_SYNC => 1, C_ERROR_INJECTION_TYPE => 0, -- AXI Interface related parameters start here C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0; C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0; C_HAS_SLAVE_CE => 0, -- : integer := 0; C_HAS_MASTER_CE => 0, -- : integer := 0; C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0; C_USE_COMMON_OVERFLOW => 0, -- : integer := 0; C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0; C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0; -- AXI Full/Lite C_AXI_ID_WIDTH => 4 , -- : integer := 0; C_AXI_ADDR_WIDTH => 32, -- : integer := 0; C_AXI_DATA_WIDTH => 64, -- : integer := 0; C_HAS_AXI_AWUSER => 0 , -- : integer := 0; C_HAS_AXI_WUSER => 0 , -- : integer := 0; C_HAS_AXI_BUSER => 0 , -- : integer := 0; C_HAS_AXI_ARUSER => 0 , -- : integer := 0; C_HAS_AXI_RUSER => 0 , -- : integer := 0; C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0; C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0; C_AXI_WUSER_WIDTH => 1 , -- : integer := 0; C_AXI_BUSER_WIDTH => 1 , -- : integer := 0; C_AXI_RUSER_WIDTH => 1 , -- : integer := 0; -- AXI Streaming C_HAS_AXIS_TDATA => 0 , -- : integer := 0; C_HAS_AXIS_TID => 0 , -- : integer := 0; C_HAS_AXIS_TDEST => 0 , -- : integer := 0; C_HAS_AXIS_TUSER => 0 , -- : integer := 0; C_HAS_AXIS_TREADY => 1 , -- : integer := 0; C_HAS_AXIS_TLAST => 0 , -- : integer := 0; C_HAS_AXIS_TSTRB => 0 , -- : integer := 0; C_HAS_AXIS_TKEEP => 0 , -- : integer := 0; C_AXIS_TDATA_WIDTH => 64, -- : integer := 1; C_AXIS_TID_WIDTH => 8 , -- : integer := 1; C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1; C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1; C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1; C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1; -- AXI Channel Type -- WACH --> Write Address Channel -- WDCH --> Write Data Channel -- WRCH --> Write Response Channel -- RACH --> Read Address Channel -- RDCH --> Read Data Channel -- AXIS --> AXI Streaming C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie -- AXI Implementation Type -- 1 = Common Clock Block RAM FIFO -- 2 = Common Clock Distributed RAM FIFO -- 11 = Independent Clock Block RAM FIFO -- 12 = Independent Clock Distributed RAM FIFO C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0; C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0; -- AXI FIFO Type -- 0 = Data FIFO -- 1 = Packet FIFO -- 2 = Low Latency Data FIFO C_APPLICATION_TYPE_WACH => 0, -- : integer := 0; C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0; C_APPLICATION_TYPE_RACH => 0, -- : integer := 0; C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0; C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0; -- Enable ECC -- 0 = ECC disabled -- 1 = ECC enabled C_USE_ECC_WACH => 0, -- : integer := 0; C_USE_ECC_WDCH => 0, -- : integer := 0; C_USE_ECC_WRCH => 0, -- : integer := 0; C_USE_ECC_RACH => 0, -- : integer := 0; C_USE_ECC_RDCH => 0, -- : integer := 0; C_USE_ECC_AXIS => 0, -- : integer := 0; -- ECC Error Injection Type -- 0 = No Error Injection -- 1 = Single Bit Error Injection -- 2 = Double Bit Error Injection -- 3 = Single Bit and Double Bit Error Injection C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0; C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0; -- Input Data Width -- Accumulation of all AXI input signal's width C_DIN_WIDTH_WACH => 32, -- : integer := 1; C_DIN_WIDTH_WDCH => 64, -- : integer := 1; C_DIN_WIDTH_WRCH => 2 , -- : integer := 1; C_DIN_WIDTH_RACH => 32, -- : integer := 1; C_DIN_WIDTH_RDCH => 64, -- : integer := 1; C_DIN_WIDTH_AXIS => 1 , -- : integer := 1; C_WR_DEPTH_WACH => 16 , -- : integer := 16; C_WR_DEPTH_WDCH => 1024, -- : integer := 16; C_WR_DEPTH_WRCH => 16 , -- : integer := 16; C_WR_DEPTH_RACH => 16 , -- : integer := 16; C_WR_DEPTH_RDCH => 1024, -- : integer := 16; C_WR_DEPTH_AXIS => 1024, -- : integer := 16; C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4; C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4; C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4; C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0; C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0; C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0; C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0; C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0; C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0; C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0; C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0; C_REG_SLICE_MODE_WACH => 0, -- : integer := 0; C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0; C_REG_SLICE_MODE_RACH => 0, -- : integer := 0; C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0; C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0 ) port map ( backup => '0', -- : IN std_logic := '0'; backup_marker => '0', -- : IN std_logic := '0'; clk => '0', -- : IN std_logic := '0'; rst => Ainit, -- : IN std_logic := '0'; srst => '0', -- : IN std_logic := '0'; wr_clk => Wr_clk, -- : IN std_logic := '0'; wr_rst => Ainit, -- : IN std_logic := '0'; rd_clk => Rd_clk, -- : IN std_logic := '0'; rd_rst => Ainit, -- : IN std_logic := '0'; din => Din, -- : IN std_logic_vector(C_DIN_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); wr_en => Wr_en, -- : IN std_logic := '0'; rd_en => Rd_en, -- : IN std_logic := '0'; prog_empty_thresh => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS, -- : IN std_logic_vector(C_RD_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_assert => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); prog_full_thresh_negate => PROG_WRTHRESH_ZEROS, -- : IN std_logic_vector(C_WR_PNTR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); int_clk => '0', -- : IN std_logic := '0'; injectdbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; injectsbiterr => '0', -- new FG 5.1 -- : IN std_logic := '0'; sleep => '0', -- : IN std_logic := '0'; dout => Dout, -- : OUT std_logic_vector(C_DOUT_WIDTH-1 DOWNTO 0); full => Full, -- : OUT std_logic; almost_full => Almost_full, -- : OUT std_logic; wr_ack => Wr_ack, -- : OUT std_logic; overflow => Rd_err, -- : OUT std_logic; empty => Empty, -- : OUT std_logic; almost_empty => Almost_empty, -- : OUT std_logic; valid => Rd_ack, -- : OUT std_logic; underflow => Wr_err, -- : OUT std_logic; data_count => DATA_COUNT, -- : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0); rd_data_count => sig_full_fifo_rdcnt, -- : OUT std_logic_vector(C_RD_DATA_COUNT_WIDTH-1 DOWNTO 0); wr_data_count => sig_full_fifo_wrcnt, -- : OUT std_logic_vector(C_WR_DATA_COUNT_WIDTH-1 DOWNTO 0); prog_full => PROG_FULL, -- : OUT std_logic; prog_empty => PROG_EMPTY, -- : OUT std_logic; sbiterr => SBITERR, -- : OUT std_logic; dbiterr => DBITERR, -- : OUT std_logic wr_rst_busy => WR_RST_BUSY, rd_rst_busy => RD_RST_BUSY, -- AXI Global Signal m_aclk => '0', -- : IN std_logic := '0'; s_aclk => '0', -- : IN std_logic := '0'; s_aresetn => '0', -- : IN std_logic := '0'; m_aclk_en => '0', -- : IN std_logic := '0'; s_aclk_en => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Slave Write Channel (write side) s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_awvalid => '0', -- : IN std_logic := '0'; s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic; s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wlast => '0', -- : IN std_logic := '0'; s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_wvalid => '0', -- : IN std_logic := '0'; s_axi_wready => S_AXI_WREADY, -- : OUT std_logic; s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0); s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic; s_axi_bready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Write Channel (Read side) m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0); m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic; m_axi_awready => '0', -- : IN std_logic := '0'; m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0); m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic; m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0); m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic; m_axi_wready => '0', -- : IN std_logic := '0'; m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_bvalid => '0', -- : IN std_logic := '0'; m_axi_bready => M_AXI_BREADY, -- : OUT std_logic; -- AXI Full/Lite Slave Read Channel (Write side) s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0'); s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axi_arvalid => '0', -- : IN std_logic := '0'; s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic; s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0); s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0); s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic; s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0); s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic; s_axi_rready => '0', -- : IN std_logic := '0'; -- AXI Full/Lite Master Read Channel (Read side) m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0); m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0); m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0); m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0); m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0); m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0); m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0); m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic; m_axi_arready => '0', -- : IN std_logic := '0'; m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rlast => '0', -- : IN std_logic := '0'; m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); m_axi_rvalid => '0', -- : IN std_logic := '0'; m_axi_rready => M_AXI_RREADY, -- : OUT std_logic; -- AXI Streaming Slave Signals (Write side) s_axis_tvalid => '0', -- : IN std_logic := '0'; s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic; s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tlast => '0', -- : IN std_logic := '0'; s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0'); -- AXI Streaming Master Signals (Read side) m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic; m_axis_tready => '0', -- : IN std_logic := '0'; m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0); m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0); m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0); m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic; m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0); m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0); m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0); -- AXI Full/Lite Write Address Channel Signals axi_aw_injectsbiterr => '0', -- : IN std_logic := '0'; axi_aw_injectdbiterr => '0', -- : IN std_logic := '0'; axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0'); axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0); axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic; axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic; axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic; axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic; axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Data Channel Signals axi_w_injectsbiterr => '0', -- : IN std_logic := '0'; axi_w_injectdbiterr => '0', -- : IN std_logic := '0'; axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0); axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic; axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic; axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic; axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic; axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Write Response Channel Signals axi_b_injectsbiterr => '0', -- : IN std_logic := '0'; axi_b_injectdbiterr => '0', -- : IN std_logic := '0'; axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0'); axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0); axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic; axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic; axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic; axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic; axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Address Channel Signals axi_ar_injectsbiterr => '0', -- : IN std_logic := '0'; axi_ar_injectdbiterr => '0', -- : IN std_logic := '0'; axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0'); axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0); axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic; axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic; axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic; axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic; axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Full/Lite Read Data Channel Signals axi_r_injectsbiterr => '0', -- : IN std_logic := '0'; axi_r_injectdbiterr => '0', -- : IN std_logic := '0'; axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0'); axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0); axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic; axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic; axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic; axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic; axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0'; axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1'; -- AXI Streaming FIFO Related Signals axis_injectsbiterr => '0', -- : IN std_logic := '0'; axis_injectdbiterr => '0', -- : IN std_logic := '0'; axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0'); axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0); axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic; axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic; axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic; axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0'; axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1'; ); end generate V6_S6_AND_LATER; end generate USE_2N_DEPTH; ----------------------------------------------------------------------- end implementation;
apache-2.0
67dbfec60be8de0dc47435e5d4008c6a
0.399256
3.943251
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/shift_logic_bit.vhd
1
13,241
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block k9/dYdnuY/E5P5TbcX7e8WvPBtMP1PKT4RjXquAAPtktKrB928Ri4wT1/qmjvELGN4reA78W2PLX QbCb9G5Hlg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block jIb9PoMedx21eLZenY8SYkaItgoxkWkJWyDEKJaxiHKJjD5FWepMtJ3lXXhhMNp7j61Lf4mE1ipo rvooq+sKE+FgNSO2HZfcQDTBAXX9I8wsISdQADC06OMPo3OoLROgpxKLJJi6JoswV3ke0einQIkp J0O8zZOJtmWJpEJ+cC8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block aiytWESk/d/UR7eO5Y9uBVpcg1pX5jSgwSmmmVpbQCXgnuT7Cy1quaL4rXsETPNxAEkPiWOs9bgx zdPJj5A/lRoYXk+bNfwEJramqCTZsCZVBMBp6/8MpPtEQyInd4SNeBny0RXq+tNv3fviKJ+WkR6h zXZe8P6bYIY+5gtdIBrS5uCcS8kNx1+GrHH/XjOYdNA5Mv6ZYEiufQMW0xNtLFvdvMU6tLU1CllY oRlzw/jQKwokjWBaPEPwEK8S2RSeIl3I9BFSWuucZXZgUV9x8kdfS1u/1+tLNH7ttjBvBwk7cpCa YA5bsdRhQRE5PTy5ixzfqChsqAtPhL//nKNkDA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oYyMeQMTjobX0xLanVujmWb2omCumHx3Pl+cNVSBFOYzp/qhDupLpkQMveJnLzWsF35TETrhKygT 704vs2e4CaCvGEXF0IfEwibwQzbx9CfQp76Amp8wxeJTQWoW07RPOy53nQ0LpZrw4HKtda08YORx p1c9h/VV8/v4kaRwOWw= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YwqWruKZA0vya925fROjzJww6Cg/t+oSJotICU9tt31suX95+xCu3lxMkJKoYncorK3xPE6Ire+6 QrFiPplTkKUwKkRi3iunQhGGxJKWGMskIsdldRxiflhdnm/k/3GLF0o4sGKA0dEHpvT0oZgAOovG e4CzsnUp/BpSCluLQFsL2WB0Jb0cwnzwekys3WSOv2qgLRtdVa2+dvqzpogmwkVNOTilOWyu0E6F 6JlS6GtJWm6M0w3mo69emsLALxGbsc9MDFwv0W4xJmnKRKrgP/A8BxtozKx9od/dEI84q2DiwsQ0 +tnhYGzAygG2Y5AudyMZrQdRaoOrUJm2xlm07g== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8064) `protect data_block Ap/AdyH1LwYaf9jmkcubXE86jXbDhDjn0KjZWiPLGAtID9FaS91Jx9qnyror/26j6/eA3S1tY55C XMt/tS0fTKlQyg3V5XF0dgjSvSqZ3ASYzPP4PMC3Nm4OufZRJQZK7iyVDDPAjwjej20O6OmVr9RB +pZSuuVE1Iwrh3MexS5w1UxIiDJtD+GHyW1SE0OkFzFOTCeDBzxVPrXi37TrDCWlAVbn5yjm17Gb PgCuArqXtyjmMePCF0Wz9aN0qw8/N/oSdps/QoOuLMIs+laSCuEABTx8wqHVw92Fqq3ULXiRpxlR +tYDoprfDzlEBu/FSjvj7bLATVf1JmLktcwPSNiZ2JhjUfhuBfq8rtN8zAuKwo0Dj+mJiU/aXYjo vN3F5Eaup3qDjKQd7rtjsvx3fy3Z86VWomzze1I2MRuQKIVMxRrzdAdB5l7nFzahcH8y8eIMPfzD IlTIjdHwbh7y6R7TZFNSsbioUxpM1wkdZ63RyLorkMxAUrEwkS94bl6LjR/wK72gezJj4IPGNTC7 TlvNEbRnydm/tDOvvJVXKGczkSkBJxSIQ4ysADIJ2wfWQTC9UGJXEr6bbrgEwI3OkTkjUMWuraYC ihuSr5+0pWp6GeRVahOIM1cJPvCvVtDZfKlxayI5ti+pXGV1SbCtVhzLp7qG3Udlk0Gvt8bxbTFh PNYGfpmJCpKO0GFjrz0ANwYWx+e+ECZQ5W7UV6Tx8ZKjLxPOj4WH8FLC6MIN4NBQQLnu5ixTYp+x yEKT3P2PNHjq2bwCcIHoDMsyeMjViaFPJL45WonfMUOeeNGpkQw6zJ8f79AzC+yYOTZtJJB48Vhg VV2gyU0qt765kgedOczE7qVbyDCMmxFZb5FqCgewGy4V3qA+INMcgPVriTI1GBtvvUHAugRXhvAr fUef1wzKponsN7h4oCifHjW8tLLkTzNHv7CRh1UpC4f0uDoe436eoUvGEpqzjcrVk3vGAPCOgvli XINQPUwz5UO581cq8l6DFU5PR7DXio3V18BHanIkRQN4YnGIvF/8eQ9o9zwmwLAKd7CKp+LGTKjw BIhOScTvNl21WY1djsWMYhNJ0rjISU3/wmY5hr0wUw812IpHJNvFoweYpu2PQw5eCdmi0yxvfN5G p7Qv5eLKtae/p6QuW1dN+npClB3O8WPJpWwlFo0eg9yUubqN/VYLr+sZ1E/kI8oMzxOXezHILBd3 jVxcfgoWFc6QIZU+zhJotmFQzwVVyPNXzj+Dv4i+P4DCXRCaGxU3DyPmS6MUwx12uLShvP7LZrDw qJ57pD5sJssUamIvRpum9hr/f1/j6gObAnetjUtnWkSzcRcd6eAvrUxo949mw97Scpp33qOfESXu AC4FgbOvnc23YUEsaSHRo4Iui26iX3pZ2sGWoWeymB/bu+gPEKBffgmYDOPluUL7Um2b30AeSTJD jwh3VZInR1f9czG+M1D9dIUSt2qgsdM0qbyG4BskA3VneZoJeAhSa8guP3m+JX9iXNsR9A+3VAyY +DecsDa/l9gOyU2DDI1hxTlPi6srDbyzJhFv/Dslc+WTxIhjTrw6rwXLwmDtiqGKWe0pS9RgkmXT glB+AHqI69z1aGuS2MK4aRpn0FDOhtleG3i9AKYqMZx/u2oAGyBdbSgVgVXnSe1+AnBPofADW9c0 uelfR3HzH/s7cDkuxLtQi14vUhlmqrgExWX01W5s8IHfNJSovOc46gRvSWzXM/nmdAhRPAXeqOD0 U7GN5IMkap4b5i69wxirzadHpsxD/GpXj/ld7J7r8h/u15dhHQYaB4DJFzLwe8zzpGwdibw9wP4/ 3GfWMms38x5XWCqtIa1psCL4PEQpLKAPJsUCnF2jxkyFUXhMJq/wiCnSkyF7NqLV2dcbDzOaYALX yUNkDMrFmhGKhwO8gvi9WA1ik8xrJZefxu0xAFjM0MO9aGlKXEUy3xcMBeGxk8d17yjmaXA/46BZ L/Ub1K1ql+lg3z04ftRrla/ZF5cIQvbbqcsWJr/nEzmBlwEt15+37bvaxC3sKcvkR/NFGa/EgV/P ERZ28meAq/SiygFIWzW10UYBJ/ZHvnMXx6fSAJrNinMp2zMUqesDVzEC5W7y3AO15ZG1H871jUDa sqhUE6GpdQod2ufOkSvjxqV/jNSL0k+mCjrr6DriJd3q9h6/bBAO5nNlxI1yLwXPk7OAKE3JjPoG P7XGfNDjz4rqbU+s+/AFjV9uEOSjZ+XggrvW9ocfiizMSLpJeZoR1aGwghf4gj+O917Tt0xXq26K OdaDz/LIob0bTtyEY8MmnVPiZpB/rR3cc2NrHysP8UNrbr+e0Px3wk/5ab48o6oLZ3GDxmkyNnxK jOAJUC80+ZVsRtMzDRSlZimcfW7c6QGXwnLs7S67ptv/1khOoiAOJLF9I3akSE2eWs815n6WEom2 3iMsyr5NK220amJx+INp0QQXjueH931QzCXXfVB//WCmIE1Rd8ZVdNHrVB41yKVdONaPU5nlKYXz VwhAMLxsu9CL2Dlgik+Ar8v/g6kG/txswu4LP/Hhox5Z+C71wWfn0GtooF2PAFlDp5I0Qjb5Exze iSGUJi+w+U5xT1cf3kdZllqfAeMRWN82zO3xvb/FSg+QkQBqKaCAjfnRUiZxbURQspIE84hDWgHg tQmHQu5rdT/4a3PA+RGE/CPdsMeoKm9b9t7Kjzc1bLtTm0bLK1RxQHM6QtY5nxy56SzKf+sMc9ol blw9ct8MQimv+SmRGhbhDg6PGZHlHUdRBOg6BGWoHbswI0F/xXsfb3oPoll2cZig+8XE7yencvLp U83MJbCT3rCWDBkuHdBkoe6j1ykQ7ec9y6t9bsC8zaDWw//1JV+/BvJrTse2b9fVuGwSYX5k3gY8 H3BmmIzCGTjb++nPqYHei1h6HKXxVbi59yVRS6ZL/HmEzlVrCNDkjAK2w7H9JKHGQyBZrAAhzjur oj2rRF2EngmDrmDCipFw/CjXP7LDNWze2jajDi6T0gaTyuckKF41iHFdxci2f8tC7KRkqFG6p0sS qlp0UcxGNWYnl42AulIpgAXTHBRDVXxFda/D54z6KtDg6nl1612cUwkpSvRpAOGKfr+NPY3SqLX0 XFOJVasSnfz/UU7Jlxnlxm0xPe2IklKxGnUFmsCCaxu8EBJ1q88iEzT3WwO49+9+gmVGY3wFAQE8 TiVtd6R2If9OHNI8RqI6uJwkR0GmTrxHR3IpdK4oPquOI2mD6/x3e1C+onbiIj1p+ZdroZ1qCB5F T3KYmK7kHSGpvekITg1n07MBf7KtKsXl3Ti4dPhAMQoqHNnJL7WT3tAmfeZ2BIgFdWs6/AWVZa7/ iAz4UQmLx/sEyIfNKhH/AZsjumE9LUqc4wPG/Z/YjbQZZ0VPLpC/McQdW6N421nsmgIjNttbGziZ wAam7ZnPTTgowI/Qn8rxt+GPqjymYD3YQUDjf50YNs9pKIHpzuEFCK+2ur1suUbfmFjY8Pti6LK0 IhR+8M79x6+A+MMsfwZirdd93INf0Q1nyHwxV79ATSeis/ZpOmeV/0+3DCrrJx+NXGu3siuz6G7i iCA8LcJgEeOK0uG70crNvGBfPvHH8QsbsENCGzTea1PmjsXWUb0/I5tm3GFlJtBPbK2oKznL5r2y Jw5dbdzLX6xwrY13wBxisVYrdAvJoVp5wRHhFYHZYPkdQguug61jvFSHjNMYUOhcb9aKaY9/og2D KlJFTCP/ohYTKgB+qMrhitrwG6vMQA/4xZIB17aN26g6FwM4aMXzu4MqV9Rq9RlEsLVGvvPAK2eJ 3pH3jks/MI9GeGl60NQ4LNuYySc3uZduTO/5gJvaOhHI4r9Kl+ON0E644FntZxc1kXhIQW7sUcd2 8l+qYViiX/vcdskXOLOP7RYLnoCJS+dxv7DIVtZYwAJ6gJb3/G43eW5398piYe2JH722H2wJqkFr V0UgEjj+mL2zsTbKOmQVTR3hjvBNybWwbnlLum3euWT1FW9xcjUCn/4fk5YD4yuc0mgR2TmpZ7rF W2hic6qbSQLC8pZtvHPw0othnBFJaBf7TWTM5IH3aGWuJvhGuM7qZ85MDbrG0XqMxRyEUW5N+ptb 9XeuaXGae7eIuyEz9dqJDndHtIRzL6D0s+lpZLxiok85eJBHea8JRFuDgbf/m+hkqvRY3zTW8mgZ WiH9bQo1c7GDeB0/aejQHrjcPhH5A7kT2lGTGoV2xKVoZhZX8TPwvakNYrM60vYCJomddBm1rr+k 22puD+E3ZTx0gDfRFKPx/BJiv+9l5Ve/SlIORUcd8M0/4JWemQYQJcXjy64FXvuM701yjo2IrVTb KeW2Himjw2kAa4kZfon0Yerv2oeE9Eamg2wTPjMiEenBSmokwk/z4TwOz5DClEUrsDtQ5oJ89FdN i4uTglDA8gJ8pggO6xK8o41cUmrWNPRY74A9VlrWLeDWuc6PEAGoOZnVW6hoffcfqE/KoDHAEepf n7priNz1PHH+dR83roXoPwhSFb0BRzHE3zdEtMLlje0Er/QHr8o+Vn5eY718NcPPLN0J/Fh+sJjR YNZN0DxoESRidYrZCsjmj0nb01G/tyy+H3qO05kanfwMjgFPrHhPWxS2wmyaz9atfyj9pZ/Kg2xD 7YX1z5zf9k8msy5z1gaItF6cINcmiXkzPKM8Wh/djkO3yHXLfMdTdFpFihyPvlHoIzVn2OMkWyZ9 fFSROinvu7F0D1VdhcuwrZ64kOvSX+AMlQcX0ZZQSBTy/F5iR9MdE8Gkv0B7tOTRBwsENx06t4Sc AODffecYMpMT5mmTGxHgNV7b/PGczqxtXn1hJQN4zl+OgAj3YII163HD1DN8/LZmbFy1pqcoSs1R L/zpFdpoxo2UrzYsrhC3ZwJ/xhtFOsqwCpB6PYwDtWbCxWSfpq29BPY3PZKOPZMCzJddkyUHiXEr rmSJDjMOe8Z6lvYLEREPQeaO/h3rj3ETysxcTq9FHtM/GEtKdr3+rbr1LeWpzh/zXaYJxXVy/y8n 1neQOb4FcR6XIPGJeATvPVgICYnthLuhcj5LAFBeb1KOMRSkbalP8TP1P8ZN6wvUO91IIdtp+9XQ utjHsOiZJE9U7ximytC1CYHE0Kv/NMoPcrYRB5AvA4qlFxNX4vReJKwE+XScdykwIjiEyk0FqcYX Rrif+z5KxCwjZyZ1FI+HKKRXD85Nr0CSZG/aM+cav6DV0Yameu8fA1qTWFqvDA4JKKEBKff+XsXp oa8lOzMihSc0r5bdaeTGl7VK2G6TbX7FOdI8otFQSfZjDKYQsDjvffhRgB1W+dH4zrSdgymcRCjp SNc6BRRISAlt+0oJx7k6lHwduxqaCJDJKjvBIe2Qi4KrtAXDlOZH70fu6Sw6VskUo2Ybc9L5XERF wj53mAWet0Y0RULum/JV+2g9vHJ5fHWUDFgiDXV7pelLprfoK7Qg4B9xTAPKTpguv84X2PkiN9U3 /IuBLtekpidCYWPDN67JG+mHPQ7qPjDBxnRpWU6D3nR8ZC+H+X37F4HAeWQU1BTjTho9DtkOGxTX ZvQMX3yRno7KOZQ30jpQMlGCJX0WUZ48AsNfBFnaZqoc+tdG4NgQ7Wz+2GcB3HoJMpzPXn11AU0G xHFBvZi4QKfnRIxNNUb1gHrhf8gadxWyvoG4pNWdeiwciMijunZc2B7STGG0TmGP4t8jDCsZOxZS mqDb0yERoCPQWSr88rlbou6Y9Vfzy68uYRgfiBx58gLNHl8UxH/XWdzMaV1P16YqbOCxXhgc4wZE MiA4oPVZJk7Jix89kVk1z+dXJ6Cb1VWQpCwTItcgjJEndmQPC6YdSK+PenlSbxX+HsFDYCCvVARW UrF8xiPMTFR5yTc5wGegwn5jEgntzfy+q7Atn4pDX8odd8F8wB1CbFkBASRpbLxBCnvYlsMAoxRd XjGEsGPdxsAdpa0+H5QJ6VA/RGi15LXQHJUXXj6o3LR4+AzS8eR4TVvoNEedqxBz58tX0+jBjeoA Q66MFpgRbybF/q9LL1n6fjQ61pUlOieAuyelI3GnAW4+gWklvXEj5emsMFchi3TwIBDf+06IFNao cYez8SRwmCxb/fSycrqT3CYbfqxR2zgVLmEdjMuG0XSr5KXf6E0KV89j+1r/d/c58lUsqVx6XpH+ MtmTh3nbqZVmtfinDVWcD9N/+o8KTO85ALmp5eO6sGKqCbqzhWicLIs2df8VxOXjS1LM6f3QjcFb TVV2vKO19+Y6mqxAroRqqjF9m700TQ9CaNNnuABoQ4KZ8KWea5ykL7jHDGUBMsrn9pbJnFMgQZHS TrAGGECO6ncNHiZu3NwMvJZ/fBG0AHMht9CU9t9CYr1Sg2F9LBXjVcFo7pPsAIjjT+VVpTwTj0y9 wfe0CtjWCH9Jbwj8pp9iycsZ50fqDW88MSDRs31Q7Xh/Ryjw08pdH6NglBMS2Ctsg49YnhcrVuDS 5La/UevpilhBRSFfIii5NYdU0iNK+iGKnl5tBQUF+KPDLO8gQRVWLAsFdWTWM3c+0HAt2uM9ZgRA xgzwinRbE4jVXFg8o3uJbsD5ws8oYN4aGalRP2uGMa6M6svQjsiBMWYyUxySNNE+ZKDBH/KMMklt hvjzLQ+PQZiw5USc8HOlKFfSzdZoD/qBpaOYc6At2FlpZG19cR7a/2KgrLhpX2DJBt8S74aAMV0Q n44yMW69hOjW/cskYBc+d3RcXvWatJGRbkL7dAl5tblKQLCO08VmJ5EySoWccu1R9VwClIUX/eCt DVwWvTPhKmbqTuFRGEecK/6DbL/bRVyT5Ip2HY1zrhP9m5ig+2w+DWpXu3sNE4DnG/yfgFBUu4sH HpqBCq/cJURcoFTW4AYF2+TN7aenR648wQV6zJxD/ZRv8tFjKonJwRqXw36b0R8X9oznPGH4abcB ZIRG5iraj8b6BO4CeuUfAPbRvgVPXLRXR89g3zh0iwuz1tNwsSFGRNGehzZT8COJhckYcHm2SkwG IPFBdDASXb/SF2ETifN4YPsOISkIuLTNTEUbdVZhyM/1CCTKMRd9yWrZktkSav1pqJDrxyzRpRkl yEKjheeW/BNQfhluMEl0sJAlpot6DxTNTID3jQAfqPY0D8+lD3irLBjC3N2Kwp31BrHTqmjiorPM IGdUIXLrmri8gnuFgeidWI7KPfQbrlDfUeI5jXV1aZwByPtH1aqxj4KrJKQDJk1WNHa0y3+cX9+V VtGDYNJL7sU4LDgV43Nd6Q9oG8aa0JDSBvSX/IHP0kUi9b+GKWmU6lGt1u0p+lVq6pZqywbjj8/U mlDUYOgKnE5nM4E1yb8sqgawAt1o/hF6PbN7IdZ8RY7wwe0u32TMpNKykWEQn0RMN3R0/z1RlTIR 7mGlL27FiKqJnvUhw9YBgk5LK1XGYuAI74r97RhezpqA3GS/YK5lCb5syyTzOIZFe97wGp2hozZg hrMSbupIzXhV9nANUtkjBaakmzj5FaXuF8hOoXbpX8S3DJm6pTVjTdEYCPSROWX/AQhG0Bai5cWG vg/aLG/qzSvi2KPIGgrx+HMpuamkQhkUr/VUydFnjOPFPV77ESaA82LGcA/nIGcovosbPzxdyP3J 8ZdpHzczPqAclpH+yaok7TqRU93pmINAQUKnOIEh6MpICMfxksnnEPhRjNxf7Bhfuu9UeApgm5Wc SKDA2sRQQRoIEAHiiz9Z0EgbhPOPp2H9NiJCQYsyOj9AHnryaWsg6nAvAKjrMpRdFCvBipwp7GHa IjwtUrS22w0gmIZ2QNpuzke4cfSjD4bTVHW2PmwpGjqu2DK+55iCc0IDw4tKxZUB2DHFJ8fnvmYu c2JCjjWisCO5IQMGSGyvcbLNMyVbkWroNnU1X9j4UBDg9mS4PmFDaUZRp1N2bJdxI6xfDPZmL9Yg bM8+1NBG3FXw3/ftCjNsBdr1OcW/931y2PjJJFDVQ7reB16p4B+42rdhW+pIhO1IQN5nbGtRrB3j DeKV/2gwvJH2XXqw+XlsnJWLqha2PgHCSiLr98YJK4KymF2psGf2Xo4V/hcYX1WmayV0ygJbPaTf LSbqtEuVWdGMBuPPuSTpJkzRL7vuZuIk6hG+zxy/ZFYqCpt2jEu/t0VQUgPkfxTAOfnPjZlmX2Lx nGf3FC/MRJFC4T1MKlZ4k3txE5KLtETwec1wp81afiXGFTQCD2gHuspj+PwAca67G4iwxbOpnE/C v0GswOj0ycQBwxLA5piZxz585jTZvAFB7II9PLtLwV55dCke3krt2dKHA1DySw80BxsrB/fT4xL5 91n1TftBj7mDaBN+HX/gvz30wy12xxP+Z9RVoxiLSkczKeHsCfP61x0KWNcmCgw+WGxzPmbDlXnl Z3C0Ni+mm9i0QLO6jZr+dnW6MoAzlrBN8sUKDPwXWDHBkJ3MoUzo9Zvmrbq1QhnZeHh+UGraDuul tUNC9lwpcUaVGuteJPXnodCzLYkBAYu8FPu8uyQlU38TiZPM7O5fnxJ7mXw3uknYHzhpZlBBJrgK rbmazfZwyBVw5pXMdFkQePmp/ECzVvfcEqLWJCR/vIAFaw3USjNUHA5r2/yFqblPmwuD67hVtThN HLcDhWs3Qzc6RFn7V1dPUXodc86jps0zFPfVqTvXFE5O1MP1lpZ+g9QEzTREOeM0UvrQYbV+4cP0 pxsy7j+GuzvjHK8/m+HxJiLUQklSfeVAmgooE+u3t1OPEVKQoWh7E4j1Us0vF3knKZi3FNFzbxiH cmhgy2L7SFmXo/bHravem1mPcsNcv1VPpqNJx8HCA81a2FQRYMqBHtlQIOeJ63AowQTmSA1lcFu6 w2tZvKj1b1a9CP7+TbsX7/GfRGcsQQJEJxZu5mgqEaKpMbB0+dIWTgQMuux5jN21NlYGcH0qtn9o BYG3P+JNRXdqXYwVJaYaKDEGV2PnLi1T0LLMAvtTShkBzZFw8WVxlr2OxcbhLAxxk+T/u3j9IdiD 4P9/DR8Kns8p2pg8156UxwSoSK0qaq1NYSSXW8gn92PGOPnoNL0PzrxLd9kA1ZNM48cV8o/3coTD OOqrZUeeQwoNVMRRc1zV7Z1Onkuh1aghzAq1R5yc3KARf1VmglnNYU5aL7R7GJx9VKAYmyuB0sAB 3lisK/CyjNfYjPtLiJQ1ngm8VznAg+x1yER72fyaOXtRWmZqpuhUqOuCwfA74xwLqzyMVfNiuHep Zd7ts9qFz/wT7NkjOBRI/GBGzD7RBRq+wUl6YkTQ9NeZjDplCCvztmkEH1GvqLwyleOoyhUIQxOZ mYD+2OFcAk78Y7UwUdYPBUJMYyswwV3uly1yA0X77wFEmLnKJ98joLyLuQbAbgJrOsu2r06HG8ay mkUa1sO90lwoWwylL8t4BDiJBA+IEXE+ilw5V+1TyjGoxVuB4NxdJ/Xm5QakTttwa+a3jlBqAjGM vwFpGvVhquliXw7zEBQzaDEQc4RtnIGkIEmBmdEzj0xMMbMlzJzG3xakruyLByQ8EpzHZvfjPHZn U+rAYth5wTHIIzGwNexDt0mN/+JOOCTmNXFe/w+zhwMx/7hVrqa7UvT+AkjGMWSOl6ZH5RhGWfCW BKJqiSnYzV4w9+Oe+/KRAhix8vK5OgCWeFI3q0KJwZ1yz1cisn4f69d7+vewdBs91PGNSoqg5ymN EQqm2paJjR9DpIVS6o81JIapX+nRFtBUH6R8K3K3P1tkYX6IhEj/XWtTsaFH4BpCERqm/wzAnlzK 6jajkdDydaGQgDhSDMDJQ7VXsizHNNJAT7RWdqZ6TtkEks5SjrnSgtlr7+1HwHtZ9467RMnFEens ccxmjjQJjBBM0Dd9yxaJsfGkrbICY5VF5uNIM7yaVHv6o+WqO6sxJLiaY1ceJASh7MYQ6kIdoiTY vd2BT0vPZZw1uVXqYGnV0IoBj/aaTBUAKQD/LoYMNUYNNaQx/C7u3gdur+GYC1glrAm2UyY9TNOA xDPArP5dJzRLczVtt4l0XHr775VddGjIduwTqzYYbVNZaB7HI1NrSQ98mSIAywhbWc6CnF1QBaU0 fdp0fitwu8xeiJPmFqDJzvYFKFRYHx0IwpPlSLiLcPRj0sZcdQld054w/1e+ffiM1Uh8xi0+zJUm GUhiC/y13cZbzsMD3bE41e2oJqhGBMi57TRq2dVOtY6lXL7/ouXbViQJ9Swq9wLRgGJowGykPHEf RVSXlPA6g41Oxl609g5i/IXRrfkWW8zQHOlGxM1byo7TxwfXWRSHglr89J14kGsjSS/d2qHNqh1P RbgR3HHRpaAUJm3T7JD1brHGTfEA7E+ehEHGMEwmcNxwqYSbOOtgU1zpJue/rlSglMblrrzhYDS6 AgyTxXpwGkTs5dL0Fh1EVwJDGGdReG6QBMomj7+xEYzIpFg2de8nWqmfi3Odjjc1qgW+QnETba7H ma8cFD5DTf+vQyImd5nYdjFjNlSt1kV8xvhhZcwTWE9CJlFbPikP0KlEYceidB3MHgxNw+cGAIzn 4Fpy608Qz9VXnmJPFUD9J3gEMHCdOuupXSzZxO7ouk3pods3aEIexa5vzbsnxuO8m8Dv9HD+3sPT 1VFMN3nS8n5tLOzxaucehAgH33xxHA9fqcz3yXNRC+In1NMVB1/ZoM8YxkMEfJko9kDd7Jvphm8s 97AHG3UqQvBMiX6RCPazRrqIaRpx3l+dDjKTECUM+iUS79zdgsPnKgb98or+5nwFoERDd+XAR+M1 Og6/o2YHrxTtngSPJ5KibA7pW0H/YBoJM56B `protect end_protected
apache-2.0
ddc2ea460c78e3f63e0344f53aeb2817
0.930821
1.872843
false
false
false
false
rhexsel/xinu-cMIPS
xinu/zSrc/memory.vhd
1
11,907
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; MIPS executable loaded into ROM at CPU reset, wd-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.p_wires.all; use work.p_memory.all; entity simul_ROM is generic (LOAD_FILE_NAME : string := "prog.bin"); port (rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' strobe : in std_logic; addr : in reg32; data : out reg32); constant INST_ADDRS_BITS : natural := log2_ceil(INST_MEM_SZ); end entity simul_ROM; architecture behavioral of simul_ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component FFT is port(clk, rst, T : in std_logic; Q : out std_logic); end component FFT; constant WAIT_COUNT : max_wait_states := (NUM_MAX_W_STS - ROM_WAIT_STATES); constant WAIT_FOR : reg10 := std_logic_vector(to_signed(WAIT_COUNT, 10)); signal waiting, do_wait : std_logic; begin -- behavioral U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); U_ROM: process (rst, sel, strobe, addr) subtype t_address is unsigned((INST_ADDRS_BITS - 1) downto 0); variable u_addr : t_address; subtype word is std_logic_vector(data'length - 1 downto 0); type storage_array is array( natural range 0 to (INST_MEM_SZ - 1) ) of word; variable storage : storage_array; variable index, latched : natural; type binary_file is file of integer; file load_file: binary_file open read_mode is LOAD_FILE_NAME; variable instr: integer; -- := to_integer(unsigned(NULL_INSTRUCTION)); variable s_instr : signed(31 downto 0); begin if rst = '0' then -- reset, read binary executable index := 0; -- indexed by word for i in 0 to (INST_MEM_SZ - 1) loop if not endfile(load_file) then read(load_file, instr); s_instr := to_signed(instr, 32); -- assert false report "romINIT["& natural'image(index*4) &"]= " & -- SLV32HEX(std_logic_vector(s_instr)); -- DEBUG storage(index) := std_logic_vector(s_instr); index := index + 1; end if; end loop; -- i else -- normal operation u_addr := unsigned(addr((2+(INST_ADDRS_BITS-1)) downto 2)); -- >>2 = /4 index := to_integer(u_addr); -- indexed by word, not by byte assert (index >= 0) and (index < INST_MEM_SZ/4) report "romRDindex out of bounds: " & SLV32HEX(addr) & " = " & natural'image(index) severity failure; if sel = '0' and rising_edge(strobe) then latched := index; end if; if sel = '0' then data <= storage(latched); -- assert false -- DEBUG -- report "romRD["& natural'image(index) &"]="& SLV32HEX(storage(index)); else data <= (others => 'X'); end if; end if; end process; end behavioral; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous RAM; initialization Data loaded at CPU reset, byte-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use std.textio.all; use work.p_wires.all; use work.p_memory.all; entity simul_RAM is generic (LOAD_FILE_NAME : string := "data.bin"; DUMP_FILE_NAME : string := "dump.data"); port (rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' wr : in std_logic; strobe : in std_logic; addr : in reg32; data_inp : in reg32; data_out : out reg32; byte_sel : in reg4; dump_ram : in std_logic); -- dump RAM contents constant DATA_ADDRS_BITS : natural := log2_ceil(DATA_MEM_SZ); end entity simul_RAM; architecture behavioral of simul_RAM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component FFT is port(clk, rst, T : in std_logic; Q : out std_logic); end component FFT; constant WAIT_COUNT : max_wait_states := NUM_MAX_W_STS - RAM_WAIT_STATES; signal wait_counter, ram_current : integer; subtype t_address is unsigned((DATA_ADDRS_BITS - 1) downto 0); subtype word is std_logic_vector(7 downto 0); type storage_array is array (natural range 0 to (DATA_MEM_SZ - 1)) of word; signal storage : storage_array; signal enable, waiting, do_wait : std_logic; begin -- behavioral U_BUS_WAIT: wait_states generic map (RAM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); enable <= not(sel); -- and not(waiting); accessRAM: process(strobe,enable, wr,rst, addr,byte_sel, data_inp,dump_ram) variable u_addr : t_address; variable index, latched : natural; type binary_file is file of integer; file load_file: binary_file open read_mode is LOAD_FILE_NAME; variable datum: integer; variable s_datum: signed(31 downto 0); file dump_file: binary_file open write_mode is DUMP_FILE_NAME; variable d : reg32 := (others => 'X'); variable val, i : integer; begin if rst = '0' then -- reset, read-in binary initialized data index := 0; -- byte indexed for i in 0 to (DATA_MEM_SZ - 1) loop if not endfile(load_file) then read(load_file, datum); s_datum := to_signed(datum, 32); -- assert false report "ramINIT["& natural'image(index*4)&"]= " & -- SLV32HEX(std_logic_vector(s_datum)); -- DEBUG storage(index+3) <= std_logic_vector(s_datum(31 downto 24)); storage(index+2) <= std_logic_vector(s_datum(23 downto 16)); storage(index+1) <= std_logic_vector(s_datum(15 downto 8)); storage(index+0) <= std_logic_vector(s_datum(7 downto 0)); index := index + 4; end if; end loop; data_out <= (others=>'X'); else -- (rst = '1'), normal operation -- to simplify (and accelerate) internal address decoding, -- the BASE of the RAM addresses MUST be allocated at an -- address that is larger the RAM capacity. Otherwise, the -- base must be subtracted from the address on every reference, -- which means having an adder in the critical path. Bad idea. u_addr := unsigned(addr( (DATA_ADDRS_BITS-1) downto 0 ) ); index := to_integer(u_addr); if sel = '0' and wr = '0' and rising_edge(strobe) then assert (index >= 0) and (index < DATA_MEM_SZ) report "ramWR index out of bounds: " & natural'image(index) severity failure; case byte_sel is when b"1111" => -- SW storage(index+3) <= data_inp(31 downto 24); storage(index+2) <= data_inp(23 downto 16); storage(index+1) <= data_inp(15 downto 8); storage(index+0) <= data_inp(7 downto 0); when b"1100" | b"0011" => -- SH storage(index+1) <= data_inp(15 downto 8); storage(index+0) <= data_inp(7 downto 0); when b"0001" | b"0010" | b"0100" | b"1000" => -- SB storage(index+0) <= data_inp(7 downto 0); when others => null; end case; -- assert false report "ramWR["& natural'image(index) &"] " -- & SLV32HEX(data) &" bySel=" & SLV2STR(byte_sel); -- DEBUG end if; -- is write? if sel = '0' and wr = '1' then assert (index >= 0) and (index < DATA_MEM_SZ) report "ramRD index out of bounds: " & natural'image(index) severity failure; case byte_sel is when b"1111" => -- LW d(31 downto 24) := storage(index+3); d(23 downto 16) := storage(index+2); d(15 downto 8) := storage(index+1); d(7 downto 0) := storage(index+0); when b"1100" => -- LH top-half d(31 downto 24) := storage(index+1); d(23 downto 16) := storage(index+0); d(15 downto 0) := (others => 'X'); when b"0011" => -- LH bottom-half d(31 downto 16) := (others => 'X'); d(15 downto 8) := storage(index+1); d(7 downto 0) := storage(index+0); when b"0001" => -- LB top byte d(31 downto 8) := (others => 'X'); d(7 downto 0) := storage(index+0); when b"0010" => -- LB mid-top byte d(31 downto 16) := (others => 'X'); d(15 downto 8) := storage(index+0); d(7 downto 0) := (others => 'X'); when b"0100" => -- LB mid-bot byte d(31 downto 24) := (others => 'X'); d(23 downto 16) := storage(index+0); d(15 downto 0) := (others => 'X'); when b"1000" => -- LB bottom byte d(31 downto 24) := storage(index+0); d(23 downto 0) := (others => 'X'); when others => d := (others => 'X'); end case; -- assert false report "ramRD["& natural'image(index) &"] " -- & SLV32HEX(d) &" bySel="& SLV2STR(byte_sel); -- DEBUG elsif rising_edge(dump_ram) then i := 0; while i < DATA_MEM_SZ-4 loop d(31 downto 24) := storage(i+3); d(23 downto 16) := storage(i+2); d(15 downto 8) := storage(i+1); d(7 downto 0) := storage(i+0); write( dump_file, to_integer(signed(d)) ); i := i+4; end loop; -- i else d := (others=>'X'); end if; -- is read? data_out <= d; end if; -- is reset? end process accessRAM; -- --------------------------------------------- end behavioral; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
7582c5a45ed7c2f01638aa9ff34092e3
0.515075
3.770424
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/14-MESA-IA/asap-alap-random/mesaia_asap.vhd
1
8,428
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.13:53:38) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesaia_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31, input32, input33, input34, input35, input36, input37, input38, input39, input40, input41, input42, input43, input44, input45, input46, input47, input48: IN unsigned(0 TO 3); output1, output2, output3, output4: OUT unsigned(0 TO 4)); END mesaia_asap_entity; ARCHITECTURE mesaia_asap_description OF mesaia_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register17: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register18: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register19: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register20: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register21: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register22: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register23: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register24: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register25: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register26: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register27: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register28: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register29: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register30: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register31: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register32: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register33: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register34: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register35: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register36: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register37: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register38: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register39: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register40: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register41: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register42: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register43: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register44: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register45: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register46: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register47: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register48: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; register5 := input5 + 5; register6 := input6 + 6; register7 := input7 + 7; register8 := input8 * 8; register9 := input9 * 9; register10 := input10 * 10; register11 := input11 + 11; register12 := input12 * 12; register13 := input13 * 13; register14 := input14 * 14; register15 := input15 + 15; register16 := input16 * 16; register17 := input17 * 17; register18 := input18 + 18; register19 := input19 * 19; register20 := input20 * 20; register21 := input21 * 21; register22 := input22 * 22; register23 := input23 + 23; register24 := input24 * 24; register25 := input25 * 25; register26 := input26 * 26; register27 := input27 * 27; register28 := input28 * 28; register29 := input29 + 29; register30 := input30 * 30; register31 := input31 * 31; register32 := input32 + 32; register33 := input33 * 33; register34 := input34 * 34; register35 := input35 * 35; register36 := input36 + 36; register37 := input37 * 37; register38 := input38 * 38; register39 := input39 + 39; register40 := input40 * 40; register41 := input41 * 41; register42 := input42 * 42; register43 := input43 + 43; register44 := input44 * 44; register45 := input45 + 45; register46 := input46 + 46; register47 := input47 * 47; register48 := input48 + 48; WHEN "00000010" => register1 := register24 + register1; register2 := register2 + register32; register3 := register3 + register39; register5 := register38 + register5; register6 := register10 + register6; register7 := register40 + register7; register9 := register9 + register48; register10 := register22 + register11; register11 := register12 + register45; register12 := register14 + register36; register14 := register27 + register15; register15 := register17 + register43; register17 := register28 + register18; register18 := register19 + register23; register19 := register34 + register29; register22 := register44 + register46; WHEN "00000011" => register1 := register25 + register1; register2 := register33 + register2; register3 := register4 + register3; register4 := register37 + register5; register5 := register30 + register6; register6 := register41 + register7; register7 := register8 + register9; register8 := register21 + register10; register9 := register31 + register11; register10 := register13 + register12; register11 := register26 + register14; register12 := register16 + register15; register13 := register42 + register17; register14 := register20 + register18; register15 := register35 + register19; register16 := register47 + register22; WHEN "00000100" => register1 := ((NOT register1) + 1) XOR register1; register3 := ((NOT register3) + 1) XOR register3; register4 := ((NOT register4) + 1) XOR register4; register6 := ((NOT register6) + 1) XOR register6; register7 := ((NOT register7) + 1) XOR register7; register8 := ((NOT register8) + 1) XOR register8; register11 := ((NOT register11) + 1) XOR register11; register12 := ((NOT register12) + 1) XOR register12; register13 := ((NOT register13) + 1) XOR register13; register14 := ((NOT register14) + 1) XOR register14; register15 := ((NOT register15) + 1) XOR register15; register16 := ((NOT register16) + 1) XOR register16; WHEN "00000101" => register1 := register6 - register1; register4 := register16 - register4; register6 := register7 - register12; register7 := register13 - register14; WHEN "00000110" => register1 := register1 * 74; register4 := register4 * 76; register6 := register6 * 78; register7 := register7 * 80; WHEN "00000111" => register1 := register15 + register1; register3 := register3 + register4; register4 := register11 + register6; register6 := register8 + register7; WHEN "00001000" => output1 <= register1(0 TO 1) & register9(0 TO 2); output2 <= register3(0 TO 1) & register5(0 TO 2); output3 <= register4(0 TO 1) & register2(0 TO 2); output4 <= register6(0 TO 1) & register10(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesaia_asap_description;
gpl-3.0
9318906acfd72ad0d4d71916ddc931cd
0.671927
3.287051
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/4-MPEG-MV/metaheurísticas/mpegmv_nsga2.vhd
1
2,996
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:45:24) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mpegmv_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END mpegmv_nsga2_entity; ARCHITECTURE mpegmv_nsga2_description OF mpegmv_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; WHEN "00000010" => register1 := register1 + 3; register2 := input2 * 4; register3 := input3 * 5; WHEN "00000011" => register3 := register3 + 7; register2 := register2 + 9; register4 := input4 * 10; register5 := input5 * 11; WHEN "00000100" => register4 := register4 + 13; register6 := input6 * 14; register1 := register5 + register1; register5 := input7 * 15; register7 := input8 * 16; WHEN "00000101" => register1 := register7 + register1; register7 := input9 * 17; WHEN "00000110" => output1 <= register7 + register3; register3 := input10 * 19; register4 := register6 + register4; register2 := register5 + register2; register1 := ((NOT register1) + 1) XOR register1; register5 := input11 * 22; WHEN "00000111" => register4 := register5 + register4; register5 := input12 * 23; WHEN "00001000" => output2 <= register1(0 TO 15) & register4(0 TO 15); register1 := input13 * 25; register2 := register5 + register2; WHEN "00001001" => register2 := ((NOT register2) + 1) XOR register2; register4 := input14 * 28; register1 := register1 + 30; WHEN "00001010" => register1 := register4 + register1; WHEN "00001011" => register1 := register3 + register1; WHEN "00001100" => output3 <= register2(0 TO 15) & register1(0 TO 15); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mpegmv_nsga2_description;
gpl-3.0
fb17d68bb1e2175c17907d32d32c39ff
0.678238
3.277899
false
false
false
false
rhexsel/xinu-cMIPS
xinu/zSrc/rom.vhd
1
13,152
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- syncronous ROM; MIPS executable defined as constant, word-indexed -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.p_wires.all; use work.p_memory.all; entity fpga_ROM is generic (LOAD_FILE_NAME : string := "prog.bin"); -- not used with FPGA port (rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' rdy : out std_logic; -- active in '0' strobe : in std_logic; addr : in reg32; data : out reg32); constant INST_ADDRS_BITS : natural := log2_ceil(INST_MEM_SZ); subtype rom_address is natural range 0 to ((INST_MEM_SZ / 4) - 1); end entity fpga_ROM; architecture rtl of fpga_ROM is component wait_states is generic (NUM_WAIT_STATES :integer := 0); port(rst : in std_logic; clk : in std_logic; sel : in std_logic; -- active in '0' waiting : out std_logic); -- active in '1' end component wait_states; component single_port_rom is generic (N_WORDS : integer); port (address : in rom_address; clken : in std_logic; clock : in std_logic; q : out std_logic_vector); end component single_port_rom; signal instrn : reg32; signal index : rom_address := 0; signal waiting, clken : std_logic; begin -- rtl U_BUS_WAIT: wait_states generic map (ROM_WAIT_STATES) port map (rst, clk, sel, waiting); rdy <= not(waiting); clken <= not(sel); -- >>2 = /4: byte addressed but word indexed index <= to_integer(unsigned(addr((INST_ADDRS_BITS-1) downto 2))); U_ROM: single_port_rom generic map (INST_MEM_SZ / 4) port map (index, clken, strobe, instrn); U_ROM_ACCESS: process (strobe,instrn,sel) begin if sel = '0' then data <= instrn; assert (index >= 0) and (index < INST_MEM_SZ/4) report "rom index out of bounds: " & natural'image(index) severity failure; -- assert false -- DEBUG -- report "romRD["& natural'image(index) &"]="& SLV32HEX(data); else data <= (others => 'X'); end if; end process U_ROM_ACCESS; end rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- Adapted from Altera's design for a ROM that may be synthesized -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use work.p_wires.all; entity single_port_rom is generic (N_WORDS : integer := 32); port (address : in natural range 0 to (N_WORDS - 1); clken : in std_logic; clock : in std_logic; q : out reg32); end entity; architecture rtl of single_port_rom is -- Build a 2-D array type for the RoM subtype word_t is std_logic_vector(31 downto 0); type memory_t is array(0 to (N_WORDS-1)) of word_t; -- assemble.sh -v mac_lcd.s |\ -- sed -e '1,6d' -e '/^$/d' -e '/^ /!d' -e 's:\t: :g' \ -- -e 's#\(^ *[a-f0-9]*:\) *\(........\) *\(.*\)$#x"\2", -- \1 \3#' \ -- -e '$s:,: :' constant test_prog : memory_t := ( x"00000000", -- 0: nop x"3c0f0f00", -- 4: lui $15,0xf00 x"35ef0120", -- 8: ori $15,$15,0x120 x"24100001", -- c: li $16,1 x"adf00000", -- 10: sw $16,0($15) x"3c040009", -- 14: lui $4,0x9 x"34848968", -- 18: ori $4,$4,0x8968 x"0c0000b7", -- 1c: jal 2dc <delay> x"00000000", -- 20: nop x"3c1a0f00", -- 24: lui $26,0xf00 x"375a0160", -- 28: ori $26,$26,0x160 x"24130030", -- 2c: li $19,48 x"af530000", -- 30: sw $19,0($26) x"24040177", -- 34: li $4,375 x"0c0000b7", -- 38: jal 2dc <delay> x"00000000", -- 3c: nop x"24130030", -- 40: li $19,48 x"af530000", -- 44: sw $19,0($26) x"24040177", -- 48: li $4,375 x"0c0000b7", -- 4c: jal 2dc <delay> x"00000000", -- 50: nop x"24130039", -- 54: li $19,57 x"af530000", -- 58: sw $19,0($26) x"24040177", -- 5c: li $4,375 x"0c0000b7", -- 60: jal 2dc <delay> x"00000000", -- 64: nop x"24130014", -- 68: li $19,20 x"af530000", -- 6c: sw $19,0($26) x"24040177", -- 70: li $4,375 x"0c0000b7", -- 74: jal 2dc <delay> x"00000000", -- 78: nop x"24130070", -- 7c: li $19,112 x"af530000", -- 80: sw $19,0($26) x"24040177", -- 84: li $4,375 x"0c0000b7", -- 88: jal 2dc <delay> x"00000000", -- 8c: nop x"24130056", -- 90: li $19,86 x"af530000", -- 94: sw $19,0($26) x"24040177", -- 98: li $4,375 x"0c0000b7", -- 9c: jal 2dc <delay> x"00000000", -- a0: nop x"2413006d", -- a4: li $19,109 x"af530000", -- a8: sw $19,0($26) x"24040177", -- ac: li $4,375 x"0c0000b7", -- b0: jal 2dc <delay> x"00000000", -- b4: nop x"24100002", -- b8: li $16,2 x"adf00000", -- bc: sw $16,0($15) x"3c0400be", -- c0: lui $4,0xbe x"3484bc20", -- c4: ori $4,$4,0xbc20 x"0c0000b7", -- c8: jal 2dc <delay> x"00000000", -- cc: nop x"3c040026", -- d0: lui $4,0x26 x"348425a0", -- d4: ori $4,$4,0x25a0 x"0c0000b7", -- d8: jal 2dc <delay> x"00000000", -- dc: nop x"24100003", -- e0: li $16,3 x"adf00000", -- e4: sw $16,0($15) x"3c0400be", -- e8: lui $4,0xbe x"3484bc20", -- ec: ori $4,$4,0xbc20 x"0c0000b7", -- f0: jal 2dc <delay> x"00000000", -- f4: nop x"3c1a0f00", -- f8: lui $26,0xf00 x"375a0160", -- fc: ori $26,$26,0x160 x"2413000f", -- 100: li $19,15 x"af530000", -- 104: sw $19,0($26) x"24040177", -- 108: li $4,375 x"0c0000b7", -- 10c: jal 2dc <delay> x"00000000", -- 110: nop x"24130006", -- 114: li $19,6 x"af530000", -- 118: sw $19,0($26) x"24040177", -- 11c: li $4,375 x"0c0000b7", -- 120: jal 2dc <delay> x"00000000", -- 124: nop x"24100004", -- 128: li $16,4 x"adf00000", -- 12c: sw $16,0($15) x"3c0400be", -- 130: lui $4,0xbe x"3484bc20", -- 134: ori $4,$4,0xbc20 x"0c0000b7", -- 138: jal 2dc <delay> x"00000000", -- 13c: nop x"24130001", -- 140: li $19,1 x"af530000", -- 144: sw $19,0($26) x"24040177", -- 148: li $4,375 x"0c0000b7", -- 14c: jal 2dc <delay> x"00000000", -- 150: nop x"24130080", -- 154: li $19,128 x"af530000", -- 158: sw $19,0($26) x"24040177", -- 15c: li $4,375 x"0c0000b7", -- 160: jal 2dc <delay> x"00000000", -- 164: nop x"24100005", -- 168: li $16,5 x"adf00000", -- 16c: sw $16,0($15) x"3c0400be", -- 170: lui $4,0xbe x"3484bc20", -- 174: ori $4,$4,0xbc20 x"0c0000b7", -- 178: jal 2dc <delay> x"00000000", -- 17c: nop x"8f530000", -- 180: lw $19,0($26) x"00000000", -- 184: nop x"32730080", -- 188: andi $19,$19,0x80 x"1660fffc", -- 18c: bnez $19,180 <check> x"00000000", -- 190: nop x"02608021", -- 194: move $16,$19 x"adf00000", -- 198: sw $16,0($15) x"3c0400be", -- 19c: lui $4,0xbe x"3484bc20", -- 1a0: ori $4,$4,0xbc20 x"0c0000b7", -- 1a4: jal 2dc <delay> x"00000000", -- 1a8: nop x"24130080", -- 1ac: li $19,128 x"af530000", -- 1b0: sw $19,0($26) x"24040177", -- 1b4: li $4,375 x"0c0000b7", -- 1b8: jal 2dc <delay> x"00000000", -- 1bc: nop x"3c046c6c", -- 1c0: lui $4,0x6c6c x"34846548", -- 1c4: ori $4,$4,0x6548 x"0c000097", -- 1c8: jal 25c <send> x"00000000", -- 1cc: nop x"3c046f77", -- 1d0: lui $4,0x6f77 x"3484206f", -- 1d4: ori $4,$4,0x206f x"0c000097", -- 1d8: jal 25c <send> x"00000000", -- 1dc: nop x"3c042164", -- 1e0: lui $4,0x2164 x"34846c72", -- 1e4: ori $4,$4,0x6c72 x"0c000097", -- 1e8: jal 25c <send> x"00000000", -- 1ec: nop x"24100007", -- 1f0: li $16,7 x"adf00000", -- 1f4: sw $16,0($15) x"3c0400be", -- 1f8: lui $4,0xbe x"3484bc20", -- 1fc: ori $4,$4,0xbc20 x"0c0000b7", -- 200: jal 2dc <delay> x"00000000", -- 204: nop x"241300c0", -- 208: li $19,192 x"af530000", -- 20c: sw $19,0($26) x"24040177", -- 210: li $4,375 x"0c0000b7", -- 214: jal 2dc <delay> x"00000000", -- 218: nop x"3c046961", -- 21c: lui $4,0x6961 x"34847320", -- 220: ori $4,$4,0x7320 x"0c000097", -- 224: jal 25c <send> x"00000000", -- 228: nop x"3c044d63", -- 22c: lui $4,0x4d63 x"34842064", -- 230: ori $4,$4,0x2064 x"0c000097", -- 234: jal 25c <send> x"00000000", -- 238: nop x"3c042053", -- 23c: lui $4,0x2053 x"34845049", -- 240: ori $4,$4,0x5049 x"0c000097", -- 244: jal 25c <send> x"00000000", -- 248: nop x"24100008", -- 24c: li $16,8 x"adf00000", -- 250: sw $16,0($15) x"08000095", -- 254: j 254 <end> x"00000000", -- 258: nop x"3c1a0f00", -- 25c: lui $26,0xf00 x"375a0160", -- 260: ori $26,$26,0x160 x"af440004", -- 264: sw $4,4($26) x"00042202", -- 268: srl $4,$4,0x8 x"240500fa", -- 26c: li $5,250 x"24a5ffff", -- 270: addiu $5,$5,-1 x"00000000", -- 274: nop x"14a0fffd", -- 278: bnez $5,270 <delay0> x"00000000", -- 27c: nop x"af440004", -- 280: sw $4,4($26) x"00042202", -- 284: srl $4,$4,0x8 x"240500fa", -- 288: li $5,250 x"24a5ffff", -- 28c: addiu $5,$5,-1 x"00000000", -- 290: nop x"14a0fffd", -- 294: bnez $5,28c <delay1> x"00000000", -- 298: nop x"af440004", -- 29c: sw $4,4($26) x"00042202", -- 2a0: srl $4,$4,0x8 x"240500fa", -- 2a4: li $5,250 x"24a5ffff", -- 2a8: addiu $5,$5,-1 x"00000000", -- 2ac: nop x"14a0fffd", -- 2b0: bnez $5,2a8 <delay2> x"00000000", -- 2b4: nop x"af440004", -- 2b8: sw $4,4($26) x"00000000", -- 2bc: nop x"240500fa", -- 2c0: li $5,250 x"24a5ffff", -- 2c4: addiu $5,$5,-1 x"00000000", -- 2c8: nop x"14a0fffd", -- 2cc: bnez $5,2c4 <delay3> x"00000000", -- 2d0: nop x"03e00008", -- 2d4: jr $31 x"00000000", -- 2d8: nop x"2484ffff", -- 2dc: addiu $4,$4,-1 x"00000000", -- 2e0: nop x"1480fffd", -- 2e4: bnez $4,2dc <delay> x"00000000", -- 2e8: nop x"03e00008", -- 2ec: jr $31 x"00000000", -- 2f0: nop x"00000000", -- 2f4: nop x"00000000", -- 2f8: nop x"00000000", -- 2fc: nop x"00000000", -- 300: nop x"00000000", -- 304: nop x"00000000", -- 308: nop x"00000000", -- 30c: nop x"00000000", -- 310: nop x"00000000", -- 314: nop x"00000000", -- 318: nop x"00000000", -- 31c: nop x"00000000", -- 320: nop x"00000000", -- 324: nop x"00000000", -- 328: nop x"00000000", -- 32c: nop x"00000000", -- 330: nop x"00000000", -- 334: nop x"00000000", -- 338: nop x"00000000", -- 33c: nop x"00000000", -- 340: nop x"00000000", -- 344: nop x"00000000", -- 348: nop x"00000000", -- 34c: nop x"00000000", -- 350: nop x"00000000", -- 354: nop x"00000000", -- 358: nop x"00000000", -- 35c: nop x"00000000", -- 360: nop x"00000000", -- 364: nop x"00000000", -- 368: nop x"00000000", -- 36c: nop x"00000000", -- 370: nop x"00000000", -- 374: nop x"00000000", -- 378: nop x"00000000", -- 37c: nop x"00000000", -- 380: nop x"00000000", -- 384: nop x"00000000", -- 388: nop x"00000000", -- 38c: nop x"00000000", -- 390: nop x"00000000", -- 394: nop x"00000000", -- 398: nop x"00000000", -- 39c: nop x"00000000", -- 3a0: nop x"00000000", -- 3a4: nop x"00000000", -- 3a8: nop x"00000000", -- 3ac: nop x"00000000", -- 3b0: nop x"00000000", -- 3b4: nop x"00000000", -- 3b8: nop x"00000000", -- 3bc: nop x"00000000", -- 3c0: nop x"00000000", -- 3c4: nop x"00000000", -- 3c8: nop x"00000000", -- 3cc: nop x"00000000", -- 3d0: nop x"00000000", -- 3d4: nop x"00000000", -- 3d8: nop x"00000000", -- 3dc: nop x"00000000", -- 3e0: nop x"00000000", -- 3e4: nop x"00000000", -- 3e8: nop x"00000000", -- 3ec: nop x"00000000", -- 3f0: nop x"00000000", -- 3f4: nop x"00000000", -- 3f8: nop x"00000000" -- 3fc: nop ); function init_rom return memory_t is variable tmp : memory_t := (others => (others => '0')); variable i_addr : integer; begin for addr_pos in test_prog'range loop tmp(addr_pos) := test_prog(addr_pos); -- i_addr := addr_pos; end loop; for addr_pos in test_prog'high to (N_WORDS - 1) loop tmp(addr_pos) := x"00000000"; -- nop end loop; return tmp; end init_rom; -- Declare the ROM signal and specify a default value. Quartus II -- will create a memory initialization file (ROM.mif) based on the -- default value. signal rom : memory_t := init_rom; begin process(clock,clken) begin if(clken = '1' and rising_edge(clock)) then q <= rom(address); end if; end process; end rtl; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
848ee54f780b46a6ff5a09d4ed7194de
0.554592
2.474506
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/updn_cntr.vhd
5
10,193
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block T9SzZY8k9gM9533XtJLJJkA/o+75gJmaKuOMoep9nwkvSLy1Jo67di/zYro0J9GKF2MDoM+xdUm1 JbcqDZ75Hw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Dui2pA/UhqLaXatx9Z70nDBW19H1lO7dKPhN140V07Jc0L2D0YrpN0+8y39D1dI4yG1WkpVSgMFC 4B3SffF3OHMOB5cVjgRcHnx54QL9GaSEGOH1LoxwctA8gmSzkvmO8iRzhOvDgcczXOkCt+YXoT4s dI3nTAWBI2a3XCOvbgg= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block JQb4pHLv43VbvjLeiQJp3sIaVgayZH2jIbOLxS/lF33brBhGOwcZGs2QFJP/0nlReGUheF+Xc6pR 0dkN+UbWw6xe2SJa9THc+uqNRi/AH5TgC1c8QmhmmJH0AmEl/CEJfIVMvEiSRzCNiNuW1K3PDsAE a8P4/pEjz8Fsb08sFGA8vD7Ef3K/JwqC+FBjvZAoW9GwTiUA0EKoFk/wJe0ynb+j+yO33vcxzMa6 /9MexNMW9TQ+cHK7/DRnGd0DNdfhevWedoIUnAonlSIlBkUYIOpQKkvomESvW9MMqDxOGYQ5pSN0 kObpxMZj7lAUgd8VISAObqCyIx7CrBKgM+zSaQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block WaLpv7BCNtvA9OZ28TETtjkauYBgURrqhVIKwtw0ZVyfFTqKRC9mtBWPZGCDBhLuuADPOgHsX3jj aS63izHd0dSr1OYNmyh3v9MtBhLsxdGt/epV6Qmtum9fYv3kTMd7bNQS3UmaA1I+CMj3qhjSDudm Rw31tvJDbSg7Vj4Y9c4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block joapmiOHjgcOy6kSpCaLwZJOHjP2ocHYZH41A2gdy9376SmNad0bsibThe95jKeHRdlkisAJ6fCz w/dznlFTjV5LgMORrJ/iMFs4Yt79Uie1qUVi074PrvHqPGKKfTSxn9lROaw3OUHGAyNj8FdyKgPD YV75YwlpOqgPp8w3C0r1qyY8IJIE0mnTPypK0MDZNJmOvXqRon1HpWzduGOCuNJGX1tovGduaMU3 4NSkrflmvq4eTglUE1oNgna3N1wPuJNgcIDwEfYR390A/614GIoON5WAHBV3KLGiLOtPZ/uh7X2g ZNxD8MDdyNduMSTNIq0EX+g2eoSUXElNgk+7Dw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5808) `protect data_block lJFbW3BScupe60htgIKGGeowbMK7NiFmyzKFVON7ExhcIEE4DbV/kwLrHoTJUbGZjU+Xaim4Q4X5 kMW1J0BOuWGw7UXDbZSI1UZPVoUdtK/QnOOeJ4ZmM4qrlVRhblZngRHEWks44USH0nebXoruCmKT ieV7M0xh7ALkpyHfX15j6PJwMGcZXwMjqZ5YgFJJ5ukVR6LbsaKXvpQmR2cpwT/RxfkrIZR9JvC4 J4z9BQcXJ0WsXKq2YkUwLt1GO01L641mx0XP4LtCdpJLWgfyWgnMLlP4lDlwn/j35BmA7QcveIsP 5pVDOpiiVExTVL5dqYokH02WxBMe15YZBscoSSmA4WGOojV1e8IVCnLl8egSGMc4m/jm7oBLkoBY qv8IZrKuRd1Olf744Xh6Cy1KdrHmM2cqRuTkmIEV5Xuby+etbuWM287a0Y/RAicS6o+PrwfD0edV BwITEYl/QuGUeGH83e9Dd236R7m10fEgWZP6UBleFtj3auocaBOpNIBKCYGNt3JXo+gPVdHgI9+5 dv8dzKJZAvD7ukqjt19qZeq4DU0rSs62ORlSEKaT05SlTNUcoDACD7w1lB2+53w4a0hH0W/OcjXt OV3MHa/iTJOjqdUOBVnGUyy9F/gK5L/mYIer5o8U7EXxurQ9C4sBNlI8VdegJo9lIUiP9gBbniuq n3nnU+d5P2Ki5E7V06Du1wkjgxs7UTpgt16bNRIY+c/ju3E/hZCyq/IebQS/iu9/gS6GU1liYRW4 edPF0nvdiD8pcwR8hB35ly7cgqak6wgAV1hADT99X+QlIlPibmg0jCRXkqnvFx8aR+b844iXSyDU 7LAZE3Rw9ZstZQ7tbuwhMZyMbQoy7CIFCYcfMGTK7eOFPjz0kAKiv0h9xz94qYbrN7l1XUjFcrTp DIPotEcE3cW7fiWhHcrysHfLzWI3baxUYagURK+PYA0TGTb1rEYp1ZpxiYzs79A/ZoRilQAETZv1 d4UjbMljwZ9WCSS1BJJo4u+EljZdwWRr7bKLH0VdQn0zQRmVbBBfccRvkQi+V01ta8j2hdd4fHPl Ma4683IvUtlJx42uZeqnmo8DnSupxAQsVIeLSdXG8yynsRfs+T0uAaXrqmz3AWytzn5IKpK5Jfky NbDF0OXhIcJzADc0hzhkNDDEODzh9ddA7ZE/7M9a3drAgpAKzRgZsxCHBii8tMQAYwGWt87SKER5 xZS0WZnsceouoCB0EB/iIAkuBbROyQhxVwPK66Wfp0xmF/a25yrHsgfSbVoCaJjw1dgqRPVjsbzM Xoh5iu4KAGJgX5tqpE09HN4WG2a9q7jA1MVsDfmvoWtyKB+AgbGDi8z/cL6pgZo28q5T4WMfesSZ 6D+//ymSWKXsQH9cRpS3Rfbdwm+Ihj6xybwVVsWBVg+CDEn8PG2aRKEp5ig3AqRMn2oHrgdIVKp3 tL4AZ2lILj1t3sgQGEBdDSuFMa6pLq8C/uh/I6vH4iHUWKu0AvVipwa9YL86sPQiCYMXS76j5UhB h7y2edkVFfmbz6I5n4oMkVIO+85+jiTAoWD7gi0/fD8dZL8DjKe+Ct+4Bibnd5bEy77lhn/5RY4+ GwSSD4CCCHXsoLTC9O5tNH0KViRD4Yb+GrJb9eOBjkh9caHi2+B/Tcl3AaOgdSUJqo2k2gV0WsDj pMTkVWfxibnKHpQTCl49wm0aeW/66hkURa0YFh9YpC5+Lq0Gg6yQTI7gFnZYEUMilCtPkcOF80XP e6FMhcroP7KLAQ+4YpEp/Z1Dr2JbbOLkMBMMoeZtPOZEjjr30GpAO71K5zcidI7kjy2Kk4835Sjr 4YlZzGNlo/tZv02/tFqRR4bBKwtPoY+ncOl+PCRybUS14CWWmEm59RMdwF2hsS0DprivtUvMPfZi UU+OH4LhXR4UgMOVCNXciGDRr3OpYSFlGdypEH/Nk87v/3ypfNOLEXrUYIVOOjJhuVO/Fw858HQU kPK6Vf7I+t37xVSW3aryH7B+TQP4W114lBqsRXDl7ZpSlfpopb+iVnMqj+ZYIkajRoUAp2JYtUVw crlZQUOOkLAjnkuCQw6omwmCMOxZ2mfwfzkb8SSlA+ivxkDQDXVkEnh05ae54rYnMhQSQf9EVdK4 iJzdusac9XWjXR22FQpycUm6iRLjUXoh0laT/sMcBuRp6C8Q04ULMlfzS89QKGRPUmJVGkWLXuaa hlFTHjd2maysXju4KutQkM/nZc+G/U+seVChCY2B4KP6/paLejmBkagHUmMtQdnyZUvNZBMTttm1 DjXboxnNv5BKkquRSwOQbC+3LFSOO7bzILhbwnYC09Unw/fg0uJNc5HXGDMmqKUqbIkxln9pPmTP 9GZCptIGQU14nxuI4B5bZ6vkdrO10tloA3D+XrgJZfbtKl5amkHU8uy4HaNGQgbBgWP2NkZnSe7N CG9HKsBIkCMuJdMN2eZyORfWpEVgmP2Y2etTjx0L0XgKaMyRA7NxmfmLllagZKOIo2ae9nUVZiEq JVfWexfcsKlEPe+IsfyAliRTK4dRpLsESi8xRQMnzZJqSU2aj1XWdOG2vcC9AhMjOJQBRsO3rKBP PNCM50XcPu6hsJ494X+0UHuKwmXDLYtrAZp7Dsbd3KpNzoWxLalLHzS0MXNypfjiC6Y7wM+UHYB1 3ZJ2VZuFMcBuZaoehfZyCQ5UC9DsZuMVC948+oonrvIB3HoaUxC5Hw4LVq6F06ValUU5lbPlFS2b xh9TivFBTxik380m4Awwnrubo9AI9vV43meJ6kgoPiTb7Fbaw+oNu+arvp1JkWxMo+jfwkv+AmV1 +gXSlfum2CNOLf8V/IenlDHlHAlAO3EcBz8yQi3WCJSat+CQ1roB0CcndtYEuq0EMZ7UnoLuDki3 7KHM9g6B+V2FHlau4X0HzX2/FnqE8uoaQY9tJx7DDdlFR/OP+X4WFDyXirXtdhVSaMK4EBD5QTlH 46/7fWJwLP6JIUQZZg7eMhI/SaKZqTWZNodMTRZYOjgJXmPm0L5cjDCpgeTDhuCizp2FPMuyvtrF 2QAYWBqcA5fwK8ilYnh9hos7FbcgY1i/yVFZvxAix91W6ZH6QNQ/Iet5E85JfnuqqCFc621lidnv uD+vsDXiGbqnZJT2VycVsvtLrxIpZb3fdIdMWVhHnflI5Bx7ddp38uEo+Wd6xEx8MgQ7U2juRKPn qlSndS8ili46r2tjCZwBSh4b+fkt1tTqgCCcURvSpSqQLi/56ZD26DeGZu4di329xBmJ9eQVJoft erfotx06bVpU234iKwjrwJI8oE7D/Nyrwo2uE3eDHpiq9D7ykjEkoGjIkxjm2s/dVbpo/m4k6A3w WRf0uqwhhAP+B4HfBGMXRVdJyig0loOu3EkKVV32RugnV4elxmKyyl4RjUvUVXtryYTu22zi7eFL geo7lajlZ4K7AXvMjmK4p24m6hf570siho3ILQuFweGDkMm2yzsU1L/Y8DTa6oBOESABF7190AjE cK+CF5JZjWtqCXyDGjPVEANEYCwf0AzFIYfN9uJkVYNxZEqXp4Vl6tGgk57l5YVdZoK/DV7DeZ3d IolPFBQw/C1FjgghzAwTFYq+mQYBYb/rf0aOuRDKGLMjih6o72zO27lCH9I1StWgrZM4xSKkfUAn ojXkuvxjZEP6+98U6hn9hG0DFrxekWJmEPaWGNKLJcS8axR+SyvMj/eBIAD8ef6y6kecDnaKWvPh O0+JOH1L7xuOmqETss1ibyscpV6+UB4ElULxHuAMnRHfMIBJMJB4AaPs+hLcHNwDyEPgJtdyhDzi yY0pP8DAkZm45lZ+hPe2aUXB+P7MqF1ksOUhsbqJ1RS6hOothXKKhb1YE0shj0aQavFuvjZW97KT P3znRS+wcSDWcQMuLvyFiZiHc7KmUQcdJXAjM4Hjq6Xf88rP0ZYGDpxBHgwXYQJtVL0F/fU/ESwI zviLR0e5L1XULqqXM+RPtdV/dMLqMkk8npcCMSsVwK6ZuG4ufYJH4a0vKurXXUaEslcBmjQ1zmXO E56ezF/3RfGMBQmVtPiYqI8qqH+Rm+dAfoF2ZUO0cS68uY3KoXyQY6l5+zMMOBF0AOEZpX/0ArBz YqHFhozbvX0airtIwfUg5SMqSickEB3A36H/u1mythHUO4lbIO1yGaQkSFeCSjkWT90ULs9pnHIY SUzFOxqxneEyaBmWLTYoyLIg/X5g3i2iveuN3aBf3HciBOCIPVBvDebSDRzEt0/wVwVj8vFWEzrq CyZuyzOUtL0T0i3VGzMe8aAS7RgCcpSC4+QGhqsHQ1GEmv4CAOEU6rHNnq/ybbzHd3jgPCcGxPzU CZ6OoD8+WNLnXq97pmLTIAKtNYUZzQLNYoW2TO5BCaiHwedd/PORgqDDo8oBneN2FeUQh74wwSIj NqGNAjwPDjURI7KyOzaD27SxHbtaNFaP9ObcpNI2ZQ0ZYrjZ75AQNwke8lD4kBcEjLYsMT+8819Z BTqswvAk0NarytDXbC2ta6QwQallc7X6xVnQquCFJV+AJ6xkdHSrBrDBHDL0zNP2AUOQLjEB9FlL MwkgJm3RJtlddk0xsV38u3qUOZ6SsGVNuaZv3BI3YS79ohiDru57ypYx1SfWDkZZRTJLL9yuuEyd UvHmtiUtO/W4kNSp8sSHzuRuEZWWzSaR9RyNFmjiCHT0EffogdYUXefI2BFwy3202CZ3sXDH5iZC yztFPbg3CF1SMG7j3e8gbobrucc1mgO182V2mnLwA0aYwSiaAAEIcm/u5oulZWeSadyPiAFg3F1M EcjVdqxlc7w+/PmlT5hQb7ofwFwqkTiXpYF65ncx42XZgV0Ndxe0XFnmHuBAPblWkbthtWRCjXSG t28+odyUcvKacfl9SFYkbsDTLF5gwKTjgwSkJVFeR0K37z4pmN6sBQdlo2n1euXcc6WfItQ0+64F HlXRx23vWcaNZepNakUjcuEQDLw+iADnLDWvKkpwQMZUj0kX/pZg4p/2x30UdRJxMltvtYKv4gt3 0wGf0FpL0+m2biUE4gZiE8DainNHL8ovaHMVKheDPY1/dMa87JBixA5poYzYNhBTXwDgTYiiYhCB ZbcQzbQFjnXKT1imwNqbSmnX8A/k90cNiDDcr8Exn9Wh5j/up9SmK1vCa9jBBA0dEFh8RHF5EJ5F e4Znoo4pyzDtmk2vB3u066QZ7ase6J0bNUiP1MR26YOEQsO5dqFM9yIgo1DrpnoJArQ8wakTjUbd 6/xuHoDrphFyw8LdrWMlNyjf/AKfMTVjhNm7G2UgthmdTPa2f+r3Wz/X3v/TO4wh65OZJbmxyGiO XmP6rcINevxJNP6EAvwmc1O1J4/5jRbIWBcnp4xes8KbsiS2AfaLRA656kiGg0ENiP2SeWEb3zuK HsZKd5LH0Lo/DWZUBXhyO7xWZi6vN9hDpY5iarIW4LXFrZJqri8g1z41fLZkipNOdl/fWz3l1H9b oKA5un3WVwHf/up0if8prxBSwuVfVmD6Qk8awZjn4mkPuNmOpH2f/FcXXdbCd8bPvXDpF4YLBWiF 1CqwgVOEL8pBwKmBb+4p6NgiuXTDseBEFIjaGaaRS5uPZAJK1OF4iXNiwT6crWYWlc6l4vA9/cv7 3QpU7pzudibGkt9I3nyTvxzD8ggYmVNuR1Z6WZrkiiMnYlKYeUkxko0rKResVes0VeLnQpgDNEYG UN6IwNImrWsWxAUu2DHN1LSTa/z8efOo5p8Gxyj8bNjAXz964o1YX/8ISdEWIcV5XCWEurVuXNbH EwZUWVYveGFuUWTridbT9Zyi+u/gwx+xNSIEeQuTs+wqF4atHfO/kb11lJGdQbvS58v5CUc0e+NC yKbB53SkCzJR7vhFIGz+3IDyScbMuOAMNhp893tmtc7KJTbnUgRyeSx4eehneLOqGX5JwY0vDk4n bffP1mJBDQ8ze6AmcXRwJio7VDAIEUDOqe5ZWzK7lHGyg/AWyiNk6Gx3s+VsQ2NbsdfWoH4TAD+q NenKE4zPmhkgPj4Tc7JlGL67WJtU8xOeDYuKocx6ja7sFNGsd6ZomXdROipWScx03BNO3WJJWq5z D8EskiTFOih6OZxohS/qi5ceFrayF3OU9PYgUW4WRUNZc2Q6zezpmhHyFMnb1Wn2sUnoiYW2fsGG m7AP0ZnzEIfhXywQqLIt+W2KXEw76PkcY+NhyFYx+/q1AMTwg3gQFbbhgHQv/fkyfxTqE08ClVS5 unosOQ0+AkZb4mo1P+BZQ7I008kjPhvyysDn4vTQwPWfnuy27kBltcbLp8emjsjlaVV1GXm6rBm2 5dv8rQJ9/ovc/CN4hFhpY92QkE5ZUbXDRGXFjXrTivFbySH3DAHPZmE+lm+Cj/7y4rJ4aepn3Lbw 4ldZtsO/WgoVyb+ugbcGgwGGVmsDo2Q+irQwjWemfvNfACQSXi0cnXbIq7W5FRd7GgtyR3Ww0vZk o/Hfu2jQH8q9TN9XR5pIgeePPWZOQrTckYBvLPksSiOpvho9ENyDqBoF+qhenE7z6ukp6w9VmaC4 IY8JQ+1dKcjkahuYwy7ELhToebN7W2SEC7qS+0Nk0PZGz2eLznOcz2rX4Femi+vmgsqCPu7sIhEr nk9l4I0ck9V/qRMF12PXRTmBQzC9o+tD5nMH11j16aT0LeAnQLOppAFktyiGxHtuxxwnJQ6ub9vA 7+hVo1u32YR5XyzM1Ob/IDuUEu+WyepU5QXABJDCKCi31iRR0HYuN4bJY5Krij5pRwJdt3zhbBl0 sjQlW+QQn0uGlhT2IbNpxgXSRABwgDGjg5P6lIJ8zi7a148sCHSk1sTOeSh2IuzI8Xa+6WkflFA5 zLE9lgD/5HLPz/kBCt/6N8qDzzEjM5SiXL6QKUQhfAnlvEqHTQ0GRowAt3THI4vl9XgfXF8Z0C1q kRbzqqOcis4pMQSsHPDFML7aCWCglPRrdUAMymz9GiB+F6w1Hqkhqpz4xq2l5aMI7ph7F5KdNDkN 3sQx0jHNsd535DBA/YoiuJJUMLyHFa4xM2mHDF4FwH8agw6vc8znFmXJFUOUm5ht0pSK/X/+bC+H olTJme1CPF6hwODL+jsoKJ8YoqEnrYaUoLUthkbeR4W4tRg5Gr8tY9gqa8NYri5sKB1Suhbb2f56 E2kiGrnTk0A8ovuZO/+gWscbqJX1QDZ3dmMTp9ZMzJIC07NjkRKFkQZkh4+Ob1le6M+wBYjlvwcu oPk+frRYYarP1mKjinATee9YB7S5BSC8a6b8azyKOE+7lxQ2fSmIurJBs2EoX4kFTAOOBjeJeLe5 oY2DPU1ctCxDOJnu2AC90oMa1adVHR2JThS0SOdJ1PY33dd7rVCzBMMpKdFtmJjds1R21ev5Skyg HG8W7PSbh/x8wyb1OX2Ad9TatnYMAgELF6Utyf423EPCbf1cpAtkxD2zJx/4wzd/MLjHidTHJUX7 aBmPh1+uM2/q1uv1S8EmoSKo3sKYdgYRBA3LTtmks4HKO/FAI8zgdDSG1vhDqJFBPE5zdI9zNRdd ylVqLwLMQByyPmD1SKnT7PChLK2pAigwqYRpbtLzWX8fhn54oYe6DySX1IbI8hpS21ZwgdbFvX7W f88dhkbW2E/8SSNU9y5sHeevTSgnt50H+4x4Ps3M/Du1ZFqsVXpPYZ4i19kJzL36ndfqZAm62QU2 MT06Y5pPix1L+Gg6a8FMksPysuPzdV+KjbKAvN4CjdbFl+/i65MZbDyCGwTJA3lhQQIA `protect end_protected
apache-2.0
81c8e998b5f1418c1cd8f61e8db12470
0.926518
1.905234
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/temp_divider.vhd
1
2,022
library ieee; use ieee.std_logic_1164.all; library WORK; use WORK.all; entity c_divider is generic ( width : integer := 4; const : integer := 16 ); port ( input1, input2 : in std_logic_vector((width - 1) downto 0); output : out std_logic_vector((width - 1) downto 0) ); end c_divider; architecture behavior of c_divider is function twocomp_bits_to_int (input : std_logic_vector)return integer is variable ret_val : integer := 0; begin for i in input'range loop if (i < input'HIGH) then if (input(input'HIGH) = '0') then if input(i) = '1' then ret_val := 2 ** i + ret_val; end if; else if input(i) = '0' then ret_val := 2 ** i + ret_val; end if; end if; end if; end loop; if (input(input'HIGH) = '1') then ret_val := ret_val + 1; ret_val := 0 - ret_val; end if; return ret_val; end twocomp_bits_to_int; function twocomp_int_to_bin (INPUT, wid : integer)return STD_LOGIC_VECTOR is variable TEMP_A, TEMP_B : integer := 0; variable OUTPUT : STD_LOGIC_VECTOR((wid - 1) downto 0); variable comp_input, abs_val : integer; begin if (input < 0) then abs_val := 0 - input; comp_input := (2 ** (wid - 1)) - abs_val; assert(comp_input >= 0); else comp_input := input; end if; TEMP_A := comp_input; for I in (wid - 2) downto 0 loop TEMP_B := TEMP_A/(2 ** I); TEMP_A := TEMP_A rem (2 ** I); if (TEMP_B = 1) then OUTPUT(I) := '1'; else OUTPUT(I) := '0'; end if; end loop; if (input < 0) then OUTPUT(wid - 1) := '1'; else OUTPUT(wid - 1) := '0'; end if; return OUTPUT; end twocomp_int_to_bin; begin P0 : process (input1, input2) variable l_val, r_val, value : integer; variable result : std_logic_vector((width - 1) downto 0); begin l_val := twocomp_bits_to_int(input1); r_val := twocomp_bits_to_int(input2); if not (r_val = 0) then value := l_val / r_val; result := twocomp_int_to_bin(value, width); output <= result; end if; end process P0; end behavior;
mit
0cce9db73509dda5993f55195b6bf53f
0.598417
2.639687
false
false
false
false
BBN-Q/APS2-Comms
src/udp_responder.vhd
1
7,570
-- Respond to APS2 UDP packets on port x"bb4e" -- Implements an eumerate response; tcp port reset -- -- Original author: Colm Ryan -- Copyright 2015, Raytheon BBN Technologies library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; use ieee.math_real.all; use work.udp_responder_pkg.all; entity UDP_responder is port ( clk : in std_logic; rst : in std_logic; udp_rx_tdata : in std_logic_vector(7 downto 0); udp_rx_tvalid : in std_logic; udp_rx_tlast : in std_logic; udp_src_port: in std_logic_vector(15 downto 0); src_ip_addr : in std_logic_vector(31 downto 0); dest_ip_addr : out std_logic_vector(31 downto 0); udp_tx_tdata : out std_logic_vector(7 downto 0); udp_tx_tvalid : out std_logic; udp_tx_tlast : out std_logic; udp_tx_tready : in std_logic; udp_tx_ack : in std_logic; udp_tx_nack : in std_logic; rst_tcp : out std_logic ); end entity; architecture arch of UDP_responder is signal packet_in_error : std_logic := '0'; signal packet_in_tvalid : std_logic := '0'; signal packet_out_tdata : std_logic_vector(7 downto 0) := (others => '0'); signal packet_out_tvalid, packet_out_tready, packet_out_tlast : std_logic := '0'; signal overflow, good_frame, bad_frame : std_logic; signal is_bbn_packet : boolean := false; signal cur_cmd_vld : boolean := false; signal cur_cmd : std_logic_vector(7 downto 0) := (others => '0'); type packet_processing_state_t is (IDLE, LATCH_COMMAND, DRAIN_PACKET); signal packet_processing_state : packet_processing_state_t; signal start_enumerate_resp, start_tcp_rst : std_logic := '0'; type byte_array is array(natural range <>) of std_logic_vector(7 downto 0); -- "I am an APS2" constant ENUMERATE_RESPONSE : byte_array(0 to 11) := (x"49", x"20", x"61", x"6d", x"20", x"61", x"6e", x"20", x"41", x"50", x"53", x"32"); type enumerate_response_state_t is (IDLE, SEND_RESPONSE, WAIT_FOR_ACK, ARP_DELAY); signal enumerate_response_state : enumerate_response_state_t; signal enumerate_response_ct : integer range 0 to ENUMERATE_RESPONSE'length; begin --Convert from ComBlock error indicator (last high but valid low) --and more conventional AXIS style with a tuser signal packet_in_error <= udp_rx_tlast and not udp_rx_tvalid; packet_in_tvalid <= udp_rx_tvalid or udp_rx_tlast; --Store the packet in a FIFO to make sure we have a valid UDP packet packet_fifo : axis_frame_fifo generic map ( ADDR_WIDTH => 12, DATA_WIDTH => 8, DROP_WHEN_FULL => true ) port map ( clk => clk, rst => rst, input_axis_tdata => udp_rx_tdata, input_axis_tvalid => packet_in_tvalid, input_axis_tready => open, input_axis_tlast => udp_rx_tlast, input_axis_tuser => packet_in_error, output_axis_tdata => packet_out_tdata, output_axis_tvalid => packet_out_tvalid, output_axis_tready => packet_out_tready, output_axis_tlast => packet_out_tlast, overflow => overflow, bad_frame => bad_frame, good_frame => good_frame ); udp_src_port_check : process(clk) variable udp_src_port_l : std_logic_vector(15 downto 0); begin if rising_edge(clk) then if rst = '1' then udp_src_port_l := (others => '0'); is_bbn_packet <= false; else is_bbn_packet <= (udp_src_port_l = x"bb4f"); if udp_rx_tlast = '1' then udp_src_port_l := udp_src_port; end if; end if; end if; end process; --Process packet and register command packet_processing : process(clk) begin if rising_edge(clk) then if rst = '1' then packet_processing_state <= IDLE; cur_cmd_vld <= false; cur_cmd <= (others => '0'); else cur_cmd_vld <= false; case( packet_processing_state ) is when IDLE => --Wait for a valid packet if packet_out_tvalid = '1' then if is_bbn_packet then packet_processing_state <= LATCH_COMMAND; else packet_processing_state <= DRAIN_PACKET; end if; end if; when LATCH_COMMAND => cur_cmd <= packet_out_tdata; dest_ip_addr <= src_ip_addr; packet_processing_state <= DRAIN_PACKET; cur_cmd_vld <= true; when DRAIN_PACKET => if packet_out_tlast = '1' then packet_processing_state <= IDLE; end if; end case; end if; end if; end process; packet_out_tready <= '1' when packet_processing_state = DRAIN_PACKET else '0'; --Process commands command_processing : process(clk) type cmd_state_t is (IDLE, DECODE_CMD); variable cmd_state : cmd_state_t; begin if rising_edge(clk) then if rst = '1' then cmd_state := IDLE; start_enumerate_resp <= '0'; start_tcp_rst <= '0'; else case( cmd_state ) is when IDLE => start_enumerate_resp <= '0'; start_tcp_rst <= '0'; if cur_cmd_vld then cmd_state := DECODE_CMD; end if; when DECODE_CMD => cmd_state := IDLE; case( cur_cmd ) is when x"01" => start_enumerate_resp <= '1'; when x"02" => start_tcp_rst <= '1'; when others => null; end case; end case; end if; end if; end process; --Send enumerate response when start flag goes high --If we get back a nack presumably the destination is not in the ARP table --so wait 10ms from the ARP query-response and try again enumerate_resp_pro : process(clk) constant NUM_TRIES : natural := 3; variable try_ct : natural range 0 to NUM_TRIES-1; constant ARP_DELAY_CLOCKS : natural := 1_250_000 --pragma synthesis_off /1000 --pragma synthesis_on ; --10ms at 125 MHz - shorten to 10 us for simulation variable arp_delay_ct : unsigned(integer(ceil(log2(real(ARP_DELAY_CLOCKS)))) downto 0); begin if rising_edge(clk) then if rst = '1' then enumerate_response_ct <= 0; try_ct := 0; arp_delay_ct := to_unsigned(ARP_DELAY_CLOCKS, arp_delay_ct'length); else enumerate_response_ct <= 0; case( enumerate_response_state ) is when IDLE => try_ct := 0; if start_enumerate_resp = '1' then enumerate_response_state <= SEND_RESPONSE; end if; when SEND_RESPONSE => if udp_tx_tready = '1' then if enumerate_response_ct = ENUMERATE_RESPONSE'high then enumerate_response_state <= WAIT_FOR_ACK; else enumerate_response_ct <= enumerate_response_ct + 1; end if; end if; when WAIT_FOR_ACK => arp_delay_ct := to_unsigned(ARP_DELAY_CLOCKS, arp_delay_ct'length); if udp_tx_ack = '1' then enumerate_response_state <= IDLE; elsif udp_tx_nack = '1' then if try_ct = NUM_TRIES-1 then enumerate_response_state <= IDLE; else try_ct := try_ct + 1; enumerate_response_state <= ARP_DELAY; end if; end if; when ARP_DELAY => if arp_delay_ct(arp_delay_ct'high) = '1' then enumerate_response_state <= SEND_RESPONSE; else arp_delay_ct := arp_delay_ct - 1; end if; end case; end if; end if; end process; udp_tx_tdata <= ENUMERATE_RESPONSE(enumerate_response_ct); udp_tx_tvalid <= '1' when enumerate_response_state = SEND_RESPONSE else '0'; udp_tx_tlast <= '1' when (enumerate_response_state = SEND_RESPONSE) and (enumerate_response_ct = ENUMERATE_RESPONSE'high) else '0'; --Hold tcp reset high for a couple clocks when start flag goes high tcp_reset_pro : process(clk) variable reset_line : std_logic_vector(1 downto 0); begin if rising_edge(clk) then if rst = '1' then reset_line := (others => '1'); else if start_tcp_rst = '1' then reset_line := (others => '1'); else reset_line := reset_line(reset_line'high-1 downto 0) & '0'; end if; end if; rst_tcp <= reset_line(reset_line'high); end if; end process; end architecture;
mpl-2.0
11616f69df785f58f72cb062046a8171
0.65033
2.903721
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/asap-alap-random/mesahb_asap.vhd
1
1,933
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:14:48) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY mesahb_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2: OUT unsigned(0 TO 4)); END mesahb_asap_entity; ARCHITECTURE mesahb_asap_description OF mesahb_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 * 2; register3 := input3 * 3; register4 := input4 * 4; output1 <= input5 + 5; WHEN "00000010" => register2 := register2 + 7; register3 := register3 + 9; register4 := register4 + 11; WHEN "00000011" => register2 := register2 * 13; register3 := ((NOT register3) + 1) XOR register3; register4 := register4 * 17; WHEN "00000100" => register2 := register2 + 19; register1 := register1 * register3; register3 := register4 + 21; WHEN "00000101" => register2 := ((NOT register2) + 1) XOR register2; WHEN "00000110" => register2 := register2 * 25; WHEN "00000111" => register1 := register2 + register1; WHEN "00001000" => output2 <= register1(0 TO 1) & register3(0 TO 2); WHEN OTHERS => NULL; END CASE; END PROCESS operations; END mesahb_asap_description;
gpl-3.0
3bb28b98d6c5adabce1463d40f328df5
0.65701
3.029781
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/metaheurísticas/fir1_wsga.vhd
1
3,673
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:30:58) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir1_wsga_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir1_wsga_entity; ARCHITECTURE fir1_wsga_description OF fir1_wsga_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 and input1; register2 := input2 and input2; register3 := input3 and input3; register4 := input4 and input4; WHEN "00000010" => register5 := input5 and input5; register6 := input6 and input6; register7 := input7 and input7; register1 := register1 * register4; register4 := input8 and input8; register2 := register3 * register2; WHEN "00000011" => register3 := register6 * register4; register4 := input9 and input9; register6 := input10 and input10; register5 := register7 * register5; register7 := input11 and input11; WHEN "00000100" => register6 := register6 * register7; register7 := input12 and input12; WHEN "00000101" => register4 := register4 * register7; register3 := register6 + register3; WHEN "00000110" => register2 := register3 + register2; register3 := input13 and input13; register6 := input14 and input14; register7 := input15 and input15; register8 := input16 and input16; WHEN "00000111" => register3 := register8 * register3; register8 := input17 and input17; register9 := input18 and input18; register10 := input19 and input19; register11 := input20 and input20; register1 := register1 + register2; WHEN "00001000" => register2 := input21 and input21; register6 := register10 * register6; register9 := register11 * register9; register7 := register8 * register7; WHEN "00001001" => register5 := register5 + register9; register8 := input22 and input22; register6 := register7 + register6; WHEN "00001010" => register1 := register6 + register1; WHEN "00001011" => register1 := register1 + register4; register2 := register2 * register8; WHEN "00001100" => register1 := register5 + register1; WHEN "00001101" => register1 := register1 + register2; WHEN "00001110" => register1 := register3 + register1; WHEN "00001111" => output1 <= register1 and register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir1_wsga_description;
gpl-3.0
721dfe51d99459c6ecb1695ce9465960
0.679553
3.2447
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/asap-alap-random/ewf_asap.vhd
1
2,840
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:22) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 3); output1, output2, output3, output4, output5: OUT unsigned(0 TO 4)); END ewf_asap_entity; ARCHITECTURE ewf_asap_description OF ewf_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register1 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register2 + register4; WHEN "00000101" => register5 := register4 * 8; register6 := register4 * 10; WHEN "00000110" => register5 := register3 + register5; register6 := register2 + register6; WHEN "00000111" => register3 := register3 + register5; register4 := register4 + register5; register2 := register2 + register6; WHEN "00001000" => register3 := register3 * 12; output1 <= register6 + register4; register2 := register2 * 15; WHEN "00001001" => register3 := register1 + register3; register2 := register2 + 17; WHEN "00001010" => register1 := register1 + register3; register4 := register5 + register3; register5 := register6 + register2; register6 := register2 + 19; WHEN "00001011" => register1 := register1 * 21; register4 := register4 + 23; register5 := register5 + 25; register6 := register6 * 27; WHEN "00001100" => register1 := register1 + 29; register7 := register4 * 31; register8 := register5 * 33; output2 <= register2 + register6; WHEN "00001101" => output3 <= register3 + register1; register1 := register7 + 37; register2 := register8 + 39; WHEN "00001110" => output4 <= register4 + register1; output5 <= register5 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_asap_description;
gpl-3.0
5d5b441b27d2a85e9024c20e635aa58c
0.655986
3.166109
false
false
false
false
rhexsel/xinu-cMIPS
vhdl/exception.vhd
2
7,500
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- cMIPS, a VHDL model of the classical five stage MIPS pipeline. -- Copyright (C) 2013 Roberto Andre Hexsel -- -- This program is free software: you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation, version 3. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program. If not, see <http://www.gnu.org/licenses/>. -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ ------------------------------------------------------------------------- -- Interrupt/exception pipeline registers ------------------------------------------------------------------------- -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- exception IF-RF -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use work.p_WIRES.all; use work.p_EXCEPTION.all; entity reg_excp_IF_RF is port(clk, rst, ld: in std_logic; IF_excp_type: in exception_type; RF_excp_type: out exception_type; PC_abort: in boolean; RF_PC_abort: out boolean; IF_PC: in reg32; RF_PC: out reg32); end reg_excp_IF_RF; architecture funcional of reg_excp_IF_RF is begin process(clk, rst, ld) begin if rising_edge(clk) then if ld = '0' then RF_excp_type <= IF_excp_type ; RF_PC_abort <= PC_abort ; RF_PC <= IF_PC ; end if; end if; end process; end funcional; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- exception RF-EX -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use work.p_WIRES.all; use work.p_EXCEPTION.all; entity reg_excp_RF_EX is port(clk, rst, ld: in std_logic; RF_cop0_reg: in reg5; EX_cop0_reg: out reg5; RF_cop0_sel: in reg3; EX_cop0_sel: out reg3; RF_can_trap: in reg2; EX_can_trap: out reg2; RF_exception: in exception_type; EX_exception: out exception_type; RF_is_delayslot: in std_logic; EX_is_delayslot: out std_logic; RF_PC_abort: in boolean; EX_PC_abort: out boolean; RF_PC: in reg32; EX_PC: out reg32; RF_trap_taken: in boolean; EX_trapped: out boolean); end reg_excp_RF_EX; architecture funcional of reg_excp_RF_EX is begin process(clk, rst, ld) begin if rst = '0' then EX_can_trap <= b"00"; EX_is_delayslot <= '0'; EX_trapped <= FALSE; elsif rising_edge(clk) then if ld = '0' then EX_cop0_reg <= RF_cop0_reg ; EX_cop0_sel <= RF_cop0_sel ; EX_can_trap <= RF_can_trap ; EX_exception <= RF_exception ; EX_is_delayslot <= RF_is_delayslot ; EX_PC_abort <= RF_PC_abort ; EX_PC <= RF_PC ; EX_trapped <= RF_trap_taken ; end if; end if; end process; end funcional; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- exception EX-MEM -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use work.p_WIRES.all; use work.p_EXCEPTION.all; entity reg_excp_EX_MM is port(clk, rst, ld: in std_logic; EX_cop0_reg: in reg5; MM_cop0_reg: out reg5; EX_cop0_sel: in reg3; MM_cop0_sel: out reg3; EX_PC: in reg32; MM_PC: out reg32; EX_v_addr: in reg32; MM_v_addr: out reg32; EX_nullify: in boolean; MM_nullify: out boolean; EX_addrError: in boolean; MM_addrError: out boolean; EX_addrErr_stage_mm: in boolean; MM_addrErr_stage_mm: out boolean; EX_is_delayslot: in std_logic; MM_is_delayslot: out std_logic; EX_trapped: in boolean; MM_trapped: out boolean; EX_ll_sc_abort: in boolean; MM_ll_sc_abort: out boolean; EX_tlb_exception: in boolean; MM_tlb_exception: out boolean; EX_tlb_stage_mm: in boolean; MM_tlb_stage_mm: out boolean; EX_int_req: in reg6; MM_int_req: out reg6; EX_is_SC: in boolean; MM_is_SC: out boolean; EX_is_MFC0: in boolean; MM_is_MFC0: out boolean; EX_is_exception: in exception_type; MM_is_exception: out exception_type); end reg_excp_EX_MM; architecture funcional of reg_excp_EX_MM is begin process(clk, rst, ld) begin if rst = '0' then MM_trapped <= FALSE; MM_nullify <= FALSE; MM_addrError <= FALSE; MM_trapped <= FALSE; MM_tlb_exception <= FALSE; MM_is_exception <= exNOP; elsif rising_edge(clk) then if ld = '0' then MM_cop0_reg <= EX_cop0_reg ; MM_cop0_sel <= EX_cop0_sel ; MM_PC <= EX_PC ; MM_v_addr <= EX_v_addr ; MM_nullify <= EX_nullify ; MM_addrError <= EX_addrError ; MM_addrErr_stage_mm <= EX_addrErr_stage_mm; MM_is_delayslot <= EX_is_delayslot; MM_trapped <= EX_trapped ; MM_ll_sc_abort <= EX_ll_sc_abort ; MM_tlb_exception <= EX_tlb_exception; MM_tlb_stage_MM <= EX_tlb_stage_MM; MM_int_req <= EX_int_req ; MM_is_SC <= EX_is_SC ; MM_is_MFC0 <= EX_is_MFC0 ; MM_is_exception <= EX_is_exception; end if; end if; end process; end funcional; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ -- exception MEM-WB -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++ library IEEE; use IEEE.std_logic_1164.all; use work.p_WIRES.all; use work.p_EXCEPTION.all; entity reg_excp_MM_WB is port(clk, rst, ld: in std_logic; MM_PC: in reg32; WB_PC: out reg32; MM_cop0_LLbit: in std_logic; WB_cop0_LLbit: out std_logic; MM_is_delayslot: in std_logic; WB_is_delayslot: out std_logic; MM_cop0_val: in reg32; WB_cop0_val: out reg32); end reg_excp_MM_WB; architecture funcional of reg_excp_MM_WB is begin process(clk, rst, ld) begin if rst = '0' then WB_cop0_LLbit <= '0'; elsif rising_edge(clk) then if ld = '0' then WB_PC <= MM_PC ; WB_cop0_LLbit <= MM_cop0_LLbit ; WB_is_delayslot <= MM_is_delayslot; WB_cop0_val <= MM_cop0_val ; end if; end if; end process; end funcional; -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
gpl-3.0
3d88b0dc9127cca296188b4463eb2238
0.468933
3.595398
false
false
false
false
sils1297/HWPrak14
task_4/project_1.srcs/sources_1/new/InstructionMemory.vhd
1
807
library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity InstructionMemory is generic( WIDTH : integer := 16; ADDRESS_WIDTH : integer := 10 ); Port( instruction : out std_ulogic_vector(WIDTH-1 downto 0); pc : in std_ulogic_vector(ADDRESS_WIDTH-1 downto 0); clock : in std_ulogic ); end InstructionMemory; architecture Behavioral of InstructionMemory is begin BRAM_inst : entity work.BRAM(Behavioral) generic map(MEM_ADDR_WIDTH => 10, MEM_DATA_WIDTH => 16, EDGE_TYPE => false, MEM_NAME => "H:\hwprak\task_4\PWM1_short.hack") port map(Clock => clock, WriteEnable => '0', Address => pc, WriteData => "0000000000000000", ReadData => instruction); end Behavioral;
agpl-3.0
bdfaadfa29c5b5f8dde795388edf9619
0.61834
3.524017
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/b8comp2tohex_converter.vhd
1
1,008
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity b8comp2tohex_converter is port( in_string: IN std_logic_vector(7 downto 0); out1: OUT std_logic_vector(3 downto 0); out0: OUT std_logic_vector(3 downto 0)); end b8comp2tohex_converter; architecture behavior of b8comp2tohex_converter is signal module: std_logic_vector(7 downto 0); signal temp: unsigned (7 downto 0); begin temp<=unsigned(in_string); process (temp) begin if (temp(7) = '0') then module<= std_logic_vector(temp); else module<=std_logic_vector((not(temp) + 1)); end if; out1<= module(7 downto 4); out0<= module(3 downto 0); end process; end behavior;
lgpl-3.0
f0bf051262461cc740bd022463a62302
0.472222
3.98419
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/pc_bit.vhd
1
15,425
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block H78zw80sFdbj9LIgcQ2vyMKgskWaVV3Eh7n6v0AVkfQG3TpKBFXyBC019Bld9/o+VNCqK2AXLdBb Aw9vEZuptg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block KV/fwMBvclqhd+7dKrObq7lqXF4+3ZcMXl4C1WMBTIYiKLLk0YwIvNcI6trbzsw6MAvBzzK8Su9m VWBTERsCmOGbaTh0sLm1XrvV9E4bTj5eMQBj3MJdfozwzfCSsOa3fwR58B/fn5rHZnAeqhwmN1yx IHJv8HPw5MpfRaTpj+c= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block j8QCdS3rWOJeNd+GSJ1iaU2peY2c3s8oV815e3+FbszN6p7g1xKxericcaSgKlzOacrKZZVFNCEi 6RP00rROlbtjwzPRjt+kx+i58g/bnVpL36sedmrgCo/y/k43JsaZjb823JmtJNgwwI8fvuoV/BWF ieA9PbhyvdH/OIHXJATey84jUyXwAKHRPn17dwXlpM0mZvnHoqcpl/q+U2ESinbGImInKwEJslFo X3nHmDZ9FQvClNuw9g4QCl4npvvSUF3b5gMOM70ogbZrnX2VZVNpQ9sJ7IAPbDntpdGqNtkLumri 2Acqx6tvImqCAnozkum+/fklHRYJ265hEAFUZA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block iFPL9+m8Ho97YJXI33GeeGcr0scOEBrjgkQglGoABUTq890tapfAnfQMloZ2tyDWKnfyJyOm/FJv GDqP6ogGpIwkO1B39BFS8i/wgCpi13bc6LlEJ+sqLN8W+fHsQThBwbH4Y9A8toZhYby69dPGTm9/ DnGSr/h85MDTpHs18mI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block gXIh7RNG1FZg5gsMlaHUVGBKmMkljNJREsrkr5GMzLpP7dyjNVvr62up4akWRHUK9aozYvbHPuVt KYG4ol/EzkJMZwuNh1n1Im+SoC61Hqu6TwSiUvv3Bcv316GbV+B9VWWwEnVwp/gLJgHgDBNMDz89 FH/zoYdJnL3tkZ01TyYZXUcWJABRPZS/uNHxmymX7HIoH1yz+3QjAPWSnY8SDDNkzOH6A6QRD2LQ RzljYs9g/XJAUsztoqEWEwr8Idb0n8ewu42NjpGeajCo8+rERP+GJqevm4MK1q7gu/7RHNLYSggE CnUwjo/TMINmMSLIxFCEl4S+qIoxoOB/93FmTg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9680) `protect data_block s7DoeWRm6WygTC1AWONnDF8xQwUlm9Vwt1smjZzHDwuzYK77Bypb0tliTDNdGNQT/NBpIBXP8Cj5 2SnyxMsKiCJHUpqXFE7gCEnyZdhcQ3MraaxFtwFEp5ldhC6Ui8w/bvSCaaihWpk19ZinELdS+7zY 0nmxC2J2GUIMoCwF1s79sR4vGN9i8SaXMawP2HDjQtD6u8EKbiQ522MSI0A3SvS0eXaSwd3p0hpc f1t4gw1vCGdRGT6B/Wi9PVbKmCMp7CFIntqHZRzYLpVXyKzvrSUTLoLX+bHyfpEgwX1xitrjN9pq Zhps4qpzs/aZaNGgAvdmGjFRhAP0lfK3ipOTix7XSDjxGGP0zK5J2wYbl76oulYLwNYrFMP347xF pBR2aYq50u30ajS0qVewEjelE12FuqOlb8iUjm9SrnPwFaaPFikOUlqn3Dft9iZjeCTItOyPm+Ov I6Zx/qXiwsCAd+ruOJN2tatcc/vCvDVjzVvoJRLuKwya0Hmczyjg6giy4PNCp4eckr6otf+Y4sF+ uAmepcUUpAwMb3YkkOLd8Ut5SobGVkKGi9lnCR4kaeDVuNV1TLedK3C56mX1e2t33whSnrSqyneI 2SQ6oZlKtfqA09HAEJoNKRWTXIkhL7BpA+hOgSzUJHv5REO7OMjEMQExuDV+8aCFwsqIflHW9Aq7 a8mHtOprfv74dL/9YkkxRNjaryz31n4NHEaDnnVwOFCtpfWrXc0i8MbRHw7VtU3coaeJb+Cyk5h2 FsW8Ih7+aOFlwMMAw4g3gkoUY6WaDdtuQS6cQm8+Jcx8af+pgtznPMsEluuTPPUSpPX696Ca5sPH Ht/zTwQuub/x7jBKx4ejlaD17jGqQE64deTLnPoZRSTKl9aU2M4PaH6wQDjwpmXZPcoOGdQzP1rL pdXFl1MnLtvt8g/i07MJkIkvwdsnEYWzX7z9UfdEWEIKklyi2YXXimnsPz0MFvf5OBrmdsi5MPTQ TGPlWQ/nzg2U5WRt9qAR7LkUn8HGQk+0woVoCQ0bU2WxIvmmt2GggflIqz1wh4zuAz7HSSu7myIo dEzGyIlP7Tb942P+9xH03CW/2QHavphs9uAjB0iH3a4YrweujurenWq+4roL8n1h7RhdkBsYkDzp 0I1o24GvNVVQw4aLLMKAO9W9pz5rjh/zyC+DORYy/xOMDmP31U8WoP8bSAnK/xMJYONbO8VIIxit 03f8OLQTfLdQETjImgfFEG+eYLVxWRzkW0bV8Nb0iAdcwjL40lYySxyqPb67XyDnYMvLLvAuN7VU /BRx1WMeQUHGf3+yd488QwoRn+EZBLG4i/QEbbCJFZZlYZd6aZ8d6uGfzksK0x0AMfGcbO0gNcHh aE7+fWTgsgKRK/uCFr1ZqX+qILxcC/q+BMxcZ+tpouOGE0sII0gBJ/a/83uBsFtam4oIIf7lH6VA CNmso7ut4Rr60BT3HttEBf9kib4jRT69/zKyIMBbMNE7BzmFaZyXiXiluqaQmUh4+XLWnR3okBme /xiEcNi80XflkZBb+b+zS0mNxbpbrR/drZQUBj7Cz9zsx4KsPizwz5nLe1Pxyz/ZjqVHovo8JRLR vuLwOdWb2xDtBjnlxJ+JFyC/nqRH2C485EOSDQC9Rb4N+YLVPUP6eKRxDPBhd+uVi2imhKeWR0YQ 8oci1ni58t5WhR5PZfLYJT6UpM40yZkU9B0vkzJcJxrQ0PftRx6lfLNeySsh12Fh1KZu6Y9opGJ1 FWNcBCMnjt2xeYsNweGDZJXr+JAL2Cc9L8bMMbCQuSeb4SsJTUWW32Z33/Hb5PC4TTFGhQ+5B1xo bexM4vgrc/5ioRQrUIfgCyrOrb9UHouOl2BwlruXQlRqBdYBRZ4GgppfrLpH9WKcMa8s0ULQ6IoC un511qHxdaDnGajUZ1iifLu6iAQp58YcXb1J2qyBpg+d/EaEq0YIoMePGrq7TnUTDu/GYKprDG1t UADdLMj0pFGHHJQE+tZO8ubgNBPpCZuhlN1TyH+MiJ3UKt1c09ekedQb8Ow7feg1pwyK2UpCVErs xYkp0PHe2fhxdcHyAT6sUty7zCotwV6qyLQjgBimIKFh5KfWMoxffxTdU1g0bp+a1lmXLGhDNYb/ fHl0HnMWlBmeM7lcMMqqwBx9LvGDl757JQz2XATFGNoXAL2jLlKV7fqIlj9y7AvPZTMbvKQfG2kV wSYE1YPprDjLh0VR789Oe8n36GG5ZetMAsLjh4kWefuI5iLvOlgeePFLC+jdiD2qtjI9nB+mzU00 iHZgtW3nC0CpknGwNPu4F+qqw4ozw+uD+yf7bVrFo8Im6A64JnnKyQO2rfFzC2IKmO//t9T7CiWR gIobJ76nf/qWIIYB05ombpJc5mlRYiLJrxuquRNfgpUptLiuP94TXXPrN2OPsPPzMyxftPqhlo+N fUMDyacDt1xUSjI2H1s0RXMRnHDCl941hHfSaYhnqGKrxzkyZVVkogZYbRo7HvsVTjCeOAZGJhjr bLqFYrN49uY4JWwQdRZP0eShNoUx/A3gl1sroI2t8M77jAL0lSxyly5KGnbnga5BjTZazS7jOS84 pPnukem4DENjezUuVjI5wbzAossJ6cWVtNdkpecVcLDTeqQ8Iae6kgG6SaWi1w6pnYrtGcMLQbt2 FHHMj1nPr2XgndffU+y2gLwqZzG6CdwhMNxz70NBiXYt9yKCY4VV4gvPuz2PpTAw8k2f2ELfr17k LHz698+V9cxcjM58BFbgvImYZHDr2OsvYE+zErwAyThW965jPdU6m8XKXc9vjV4BsKcosNkTp/Ze 62IigxIUKhNLHv3mxm3E5h1FT/86oLMlIbBYtkLxEEy+e8EOQiTw4XAEuroE66w1iXmkdV3c5R9z OVvfTaOGOLm3RHZeCjgGVB0jJRPBLV3KM+6zNVM/lShvzhoMHrfK5rBjLwC/OrlGMYPeFsumcB33 YisI2+OQOfFjmcIdKUnT40WNoLteY5lN7pq6opblNk96UlDscS0x+p+XiLfHVYaIhJbE+/aBLFKs ksB5pWfTaiON7KLLTvXpqPfXvsWKjz/EycdU7Z9UstHLapQlGIrp83OpWG432anSjDlrU+YfOlUq XpiQwUGQSC/iDDKBNOXkbmMUZ0cY68GVGPrmvonJyS6HKv1co6AbChEPde+B/qgeVYD3HlJvuQNh 9V6wW3ODoJubbwmsoDHt7nfNewKLKxKoFSYqzBt9T69Ig7HHkYvkGOHd4cP4E7fy8TELKHjYl2Rk X9DWJTQgL9jiBjzG2oYzFkq4ATA/xn+ODlm/Mvi9qAMF5LJ56bV147Ak+Rw+T3eT9ixZ0CYcSvW5 C1dF5e0fuztYJoMoO2FpBM4P+hmAudBEyzQRYV0oDijjy5hXM+UMaKYKluLwYi+pTx9GjRdbdths rqnv+vnO613tlbwyWD+m9Gcd4k67ECFSpe0mzebBVZ+TIoS3PSxA/mRYfazjuScLfrVbnDybSfva 5Xi45vdyC/Ll3TIuJgrn6QM6w6PGgaCQ1/BrbKYkStlcxG102Pdhgl6Qi8cMhuvSOxj9yv+QKXte gzr5/LXAS9XgRwI3eB+11S4HiEO/TvUj55IqoI2SuU0Rp0HgCDG0dR0rmnWKeX5M8jm/ZZ+zVR5m J6P3lclhk/e3fmP1RPAFAV8Z4l2PSYL3WgJa2EpfszsTa4rS1E0MjwysmP/rOzV/fbhknPlMsRGT HOiO5AnX3UapVQqTgcb9bSDWpDpFOtatDWLdpQKZl4frDqoaFS6sHRSh2dvrbtO+oVPmG57uSAIk 1TbSdlXEqArP72vBmLC13+G1lSPYG0WYiC7wVmdn1Lxnu86pKYD/66i7MSbiwxwxNHGzCILfZZ6V zFsz/1gyr23eyb8OoZoFwBLwZceErh6KmHe9MVugPd5kXYx1Z1py9krncUSApsj2qJezY6rNg8FX xkDlXqPuoAsV7JEJ7Y6beCgCOpXHsOrB3QHPd2IVrJSY9RyYtHcvjuqJPAf96uTpDDkidHD2iWzB YfzoGUOg+7SQOU5GOjGnRD3GcO683eQ3AlMqGnBBgYs8vJVpa4F8heJuheOXJorGMwqZeYL6ggQQ nBaSa8S3hg/1qA4n4LBm/5OmtlNv5H41YEXAy+rjTZTTzzQUY1ZDWrRWRKIcDqmhfcTEIAvKh5S6 /+0uCE2TVqONAUnKbXzjU9JqTuPhSu3UorvnWn5Q6tNQkoQwlRfdvtASvzIi18nbxqKJVQHNL+rN BEoEOQemu12lsnyaXFei0Zt9q+H9L4c+h23D+Jq1rpH4zFFn/hLqNaEQXpxYKnVnDl5cogk802pT Q1yYMXuJ/32qW5BbB2WbPYWNRRDj5EIesnHu/SNhNp6HLW0yKMnaorkfqektPuZeKIZNGdwxyZLU OlUF62ILzfLLo5efZ9Trhv3tVo8K/bQHrKS7tXIJabbvfH90CC8ChiYEAcUUr2XEzXabczZIY6f9 Lq0gEIACE0BUA8AUmKjioObzft2Bvd6pd91MmLv0OoBXxE2hstC035tpefuvx64hi+JrT2wmY37m xMR3n0uqsDIFYWeJSvviyLoHp7RELrLfSAW3JFtgdKu2bgTgXxqdub6ytNA53GnZdILC4TB06nya HsoE0+jhJfBb3WvdO+MLxBt/WzhbFVF6v+L3K1ACMezI08+1ZVm6uZnIVSUCh2bGoICoSmjtsxc4 z5dWWqg3JUtNcbDySXEdHlciY8vxa115MZpPwqlkskSx/pTkT0FlGgnuxzEg4lwpV0T2pFEIZUM2 flZV1GcgVKCtzoGp9nU7n9Whr6sB27tj/KShx+SDRre+J6toNrPqsQ2qBJJgz154IyRR4tDcVman C9hQOb73F4ILIvwVhbRid001DIxUSiyPc5LM6jWkLL/zsx8aPMx0gbp3RxUofcXCHP3afRqrO+JJ 7mNcEEgZ1qXpu+bOXV7mZeRHuKCkGO+0NgwhXexa7prCgh1spqlZtmle1VhIPoqZwWZDVzD6v6ok 1jjxObrnFyaIdNC+QlBLYKEoA1dBcfDIWU7wUtBNIiGbh9kiuQfCIW9Ldwb2ur3YvKyNiBerjk58 d1s6TRBxb3wa5R8H9GLuWzC6vChQa6Ocu8g1mgEBYczjIjA/jTbUzC7ZEpMmC3Yh8i3Wz7dhOLdZ tW1cLz9fTWTW4fCPEo4J191TKOTQ/olFQPJ89BFZeqiHXJyxUZ+xfp9vqeJVzkrly8Tfe4oFAg5t ef4/yQwDY/wVC7+3hPXfYQtvnNgrunCo/Qw8y9G2CWqF4ubkk+fgthiF4LjCtiVh7HGf0xrg7aE7 0LfXbhRy6NuqlimTP6l6itJSn5JcDssVv9UQreiX+bkwBzyF7TuumRKMLcEVIt+Rxrbk86uT7rw8 u92X+kbLSwQwsMqjZ4M8DGkxRrUdSGY1WnCLRhLxWy+UDiyS+a+fLsCEb5QvOvn23J7knBKFIR7f 67jtYiIe10colDoiLixRmuYLFq/GhY5I+kWcH878w8R0fcoNmE3n3d8cw780fSS5oxYhcfn9cVWQ CHlSgevCEiMHofFRqGX18AR1Z0JGtlaZUJM5b0BQki6INrrhEl1Kb/FESkznSGV83xmylQDK7FEx 4QxrpkGloj+P6noVdaxjjzjAPFPaZib/rSZGX4GrtO0QAEC/pB1NiQvEnKKXckFQ92MR3dSDWJR5 CClC6T1gHbNav0oQ+Lv2CSj1xlZyX89FG9fNuF1QZFYyBovu7sSEpNqYzzHMJapL3OgDbNtDkb56 pnGgVOSz7NcFsjSO01YVpP6TqzEtoheKseMyY4LPFFd+GI9lfNDyW+FDGbjWayXWTvv66O7qox1Z 9BfZp4kPE/CBKhAKUADn/sGAOirJgxHA/hJZ7UDBoXm0zsEel773qN/IazWbJIO4y+WXi8Mf9fmz P1TiP2T4SXekQ731H47WHSM886Dmz5lp7NXVmxgxS94OI7InmxhggPVackYBJw541M7sX/ViES89 1J/U+BbzhBXVw6ozR5DPssjuGiv3WswlKTaaljJzC6PiQtrHY6nYmzLhqNUKZOcoBkjJDQnf1ElQ hJuMdHqDWrri7Wp9Do0XmChow7NRr9cRGvYlHI/FoHDS2H+RIjPgwwcdnDCnpPP5UJmGelJqA/nR P8relClX5zxRYp5eZQl+HCuWrWc0+btbhFRUSd+2EW31JFAYmDd0ud7/V2sC9cJzJF2e8hbKismm MPme5aE+3+9UlQGcYAAlYQgWUwz2M1qKeXgW2nSceUwI+XJOUkAw8jjzj88ISkBkhxnfNJWtp+LI YBVdWlLDZ+8AHF4qPb2OPhnVDkCZffrBJwikA6CCgdhCmgXw4k9GoqLhjQW2zwFrBQ/kCdlPEP9F CP6S4AnhOw0579AJOEWb6dBYMovQsha92nEmbLuQtCr4u1kthlZLgY4d1Z750LgH5k3Kerf1AwRr QliDnNJAcxEQQMWmbjYWtvfr24+MEatvhphoBAnKTw7rwDyWDC//NiIJ24+mytbQ8PLBRm12awYh l/hP/tIuvbklGJX+kmtoPL7a1FZ99gBR9SYYMx8QV3Eoimx0IuIncvZyHzj72C9HG0JGzDqacLnN QjOn+930ERxTWJWyAX8dq9uHZgMzgmGnqLEunuVvymKO/pLQqDcbKjztJv7h/Rafmu8uOdGDNypz Hmhg2dcM+1F/FVJlUyE8TAi6HVBKyXVmXwiZNV7y0iLXg0snSJe9Kjnn3/iz7JT+/jzeLPF73OVi jHHHp1K/H3CKprHSGYbPzIjtnqkdzQytUCRvYaaNVdsWm5W2Bn8VgP54myfzaWAv+VJlVcckT/Ai EQQEc34L5rPbrjQzRJD18wB9mWDDYgDjwtKZI4tUtx4WUagJQiv4lpS+/Rp0eLFRSTnx7lKO+QQf jes0U3I/zb+oFFMiKYr5dfvwwdCoXsA3RvvfdAXu1nQTICjZO3IyqZC55hbkxxiEwIaJo83AsOuB u1n8cmNdiVMuKhlv7fOKHPaEHfN524NTJ7PP867+e8QTm6zvHy+6mfK+2ZkyWXoPOaIl11sIVvda 7SY0x81PTmiFVmkao6rl4kh8NQZH2Inj8F+ckS0u7Q31MwNHkyzOH2X9cqNTF38vkRvSe8fPseLU 5XJlUJG9iOFZvocfl1gCO42TWHW/zXYsljd1I/3b696yfTVBhO6SBH1zFXXscMLAM4aEsSMl0e3I hjqmxeuNJMnnP1Shbgxchb+uYA7YGkvYEx42sPdBedrJXcwUB31cbFP1Pro+574C+UJ9AXwPvZkJ nN4emE/s5bqylJRHNMrdNqn7uH4sv+Nuo7TZM73WLn2TRHokKYFlnEriVEvxcqGozUmrB2ARsvVd 2IdTqABG0CR7sblr4xhf3NAZ3Gp+Xfli1C1RWcwCfSzz5tXcCC0H0mZg3WQw0ZBeGu0abiRriRqz XxXEwi6RDKtH78PK5X74a12+75vePpqWmISaHLTuYlrdJN5buHCXjY3MMbIvgBya4Y+EICrZe4Ac hWo7AcZM5H4we+tpa+1J4U0xVwA1imyQKXl2rwpPVggN3UuYoayD22tf7LjS+df0CDtCrRx3SV3p fXB/WMmY/OuVYpxCizX0oaIn7Mydr1VX6tCCgNnQncfxJaHwEzK6Ss2iWrc/CVGoUw9c6Nqpc+1J YhhKgqiPjvVS6/KgnrnDz2srvhnIcqUmxXIXB+DH3WmwqUFwNZDPQPMy02Fu9xLBihDwDWRCSLFO 07VuPXUSnlK280p/GJ/z1OR9W56MUNaaDdpDgxWTj0bFa2VZvpkDK4ddXFOXIwm+joVe3UVk36yE AO0+401eW/J+0Dh1thHPc9I+9FpeZVuft9qiBMrTcMRsI5Jliggoq/gkjybeaUEGwUOSX0E+0vqy kGPiEXVxhHy/fkMNhPfqzvio854wiq0qtGr0UhN8euJ9iHZJ9KhGV2bGCjRAymjRtB9pHtiKsU9t 6/kd4pwC3o2P6ogp3vQgsm8taZGf34GVVMxRqFutHQJa0O8D7ya5+LJYHOTioxLJbsrZsJhnArqO gUpwLF35GgYBHSrVkMbMTZL++j9fSEXvzBgvHxOi8FBQ8Ev4VfSGxTZDR6/ttVmtjQCJsXJ4cXFt xpj7X6NBtlTvX/s3GuGySYJG3s94zMo2CqY8yiwmylfyUs6YWXsMhR+EFke3UtNjdbL0KijDp7+a G9vdVKtRdrakUCwTwBNRId8Lxhpt40FBKwSUzLzzRhEYwUTxcIsmdkyhbMFEPIj+u2RYPxlgUv6e ljB9ILKR89n7vzTUWY7RNHYbgTkOTLromAgxkBDYXrk6sEbDox2jjz3cmMulHUsYgjpuMxRO1Xgs Qp2XhBMp+z3u+swxytK+7FaOfp9B4Dxpp/Abr0lJEf6R919wybqUCFVkNOAUxVZHH9W+uiPql0OS sDwqiSzY8QfXrSILnA+fqpwRMJdd3zm0J1CencHW74UWkF9KiM+KjrHaIH+gmyLc58iDUMesdkwn s/JDXxEL/8pYHdxVlNtJfxpX72vcXYG+5QHpcoD6jRoHMqGGNCyIqbSdkOg6q0MYxR+01vnBzVNW XvwQYJnmmzl7ZlU6lOPjX6vSx9lR15yzMPexOpSB3W2NcpM484jO+dgXicUstGOZBAZ7xgnFVveu h7X28h6/F1gV5geJp7HuvCLM31b3909dwHtzHEra1qeeTom0mBm8fsDk8FUHblpR/qYpYCVuPRB8 AdzwL2CIqOpyERzgifv6FOphpVfqg+kEUkatkX6VSIFPjoXbmISgQIsk80weg9ww6IWom2IGLpoP rz/3OkBxnoI8uIkluKZxBxhO1m/nDoxorFPjc952nO3G9Xw8+LHNaYZ1nvguVZbptq4+Lp45ppSk N3nf6IKDQhOkYtgxw/8eddwvvlIkYV+XRzGrZ6peqBY7JgI62Z4RxvvLLzw04cDgEGAhilXzjIB8 D1Bf3glL32Wvd2lJ1WMfAyAC+LPWfrmqsqR9MRP5BewhxW3BD9Ik1m1EEZHQmvGvBvDW9Uq+drQ4 WOmUeEd1PA7uJBba8c3v/QUHFNIyBpnaoA4bWshNBO5yJdUmEboi3MteKmJlaPKmSw7laP+DBV9a bTGcxg6R3su4mDO3pNLQt+Waqeyk+IltQCJirWMXtX0TNhzmnXVNSJhQYkDvEwk68jbYuzAr2QkN 8SpMGvVN73y92Kb27WHrZnW8brJF55GY0ioxy9Jemi2T/ETKPlCdf2IQRtDHYGC7eFjQB4a8eYmM FMcn7pBd0Zpkj0V66Spme6fxIzo+KaQQAFXaM6n/qiv0pGFTDB24rDYglq2uAbugtZiNLpOSVhUl /Ck2bCSGb0wuCyTAkn82Yry5kV3vssgUUstelIy9F2DADSfLQhZokBeiagPs7CTLGOrbAshq6rby L3mU3y9pEYKGH3m7BqmzgwePk95yAbdb/mpIqPg3UL9Sh+vaIm7G7A9VpUhpW9xP1eRSALy45PfS 3WvY61S/9LbrE6g7CA4QoElKsm7jrUzxx+7TPfv0U+KsDk+1QAjFuZQLycKs1goeg5I4sHoVLCP5 2dkYAgyJYHTgtsJxe3RoKWJnofIRsvnLeq3Rwyk4wQHiGIo+v50EF5vfaiB04NHVS3tO2VjUeveL X10jaIY/Mkak5E/f+TySGDarTaQHRzVuAyrrBkDKclp8ysBYl0rZvQJlWO28gLeX6j1FjAj4tHaf aWhar2ziD83CcTj1Bn0p1GSG6OCysKTrCBAuDGuFiUr1UHLoLMORRSRtyCB4J+Iase/hVKSisfVx 1eUCIikEzKNhNENWsl0eBTix5wbjwWYR9AoAD/4TgoqLZuvR6Aq25d5QyvekYACuIojx+ToawFnn KvDQe6NOfyHuS6NDDQ9Ea8wbjCQlggerFNrreweULxqnbytZBf9o5HJvWllOD59807ExRx1XYk5H T8SRdjfLVe5wi/CqY8KUDrl2mHtPeKovJREfOxlvVrl97PX2o5bnmud36Ri2NMXDBnds5b2MixnQ CDCUgwWKssQbRIcCtSky3gPAujGXJc5qD51h41W9+L2Qhfcn3eimf45PQrAqAf23wN3rfhaD70f/ MxZyxhghUGKZlgRDXWSlRFulNlrBubXRc54YBL2aIT2zwV2KD2RI7YKWZqMp5WRKIDX0+tNLjLvz GYvJ8ycO2YQsd5BudpVQ/ajFwzZjJp4V603tBP3cksro6ZgiNzQ5iusI/4cu9ucmYaHTJU5l65Qd rOnSXVd+GUXStdjs/71btg8cVjb1s9gvtlTlANXnq+8RaToewa+0CgYygImA7OmDgs7cMoHkSLL8 0xe/svUdfHxyXcXC0WKMBGNu75HN/Dk+egO6EIsQn2oVdK0q+kchS9vF1bQ7F5xBNHuSX0BJuIj1 4TmweHT7Y07oYTaa13xV2BRAP/1l3SeHrE7zwMXFcgHpkwNI5azMSmojZX/MEhR9m1Nzx1ed4KEt vEJjj7UAnghgJZoWswSeDum8MS2AE5Hw/3uGNWoqpN6x8F2C5uHrupIZr2s+UQjlsEyrey+3qWx2 bLrfwJ6drpKvAcRhh6l+dO1z/LCQvtXJ7mHAk1XCWE5smt9h/dSBSjOrNGSd0J+sQ9xOxyS5oQZq DRgfAYbs06JSmQsho419f+SuT3cZeLacGScoFKij4kucpPfOibJP+zEV1saKT58e9DJ/YJtahQWH HWdcJkpUfhtXaq3EZaI0rLjVhV1BjvNhxysM58E/+uZc12cuyK1zbMuxjZlpt61S1tDT92u9fpcS xOD5RtP+067y8hA3blbnZFvDJkJbGH+JfCxtw2b8ZnuSYw4ryFi8JqxKrXDyOEQS5AYVTKh1N3Pa w8PeweExfZt4DoU2h+f2NbqZtul6X6tG+NxoHseBhqUBKq+dImqqVeNC4e0LQl9YPBYMNt+gl+0t f8UzZCO6WomwjSb9B2CUjJi0okoTvQsLJ3tC6EXQ406KbwxbIRokl6G8j1W2wTcl0xvwS1Jrnr/V Jfcvvmf1Yd2WW/fT0r+reNjmuoyNPjM1xW4e98fMoyHUvsb4apm+CT5a4NovYEosijisCGdqWKl4 NFfMGDUTTrSHbXNybv+cz+avEqohnGmTmA20s8B6k+mY+nX6X70tHQnLZCggGtscfp1Aw+EXXaZr OHPVDYhvmELYDk1HgMFLBe3tN3rvNaxdC/TTUejKF7YDVl6Cv1xQENyw3IVglTxdzXqrDw4S4/Ej n7vR4VSipTOyYAqqKfvcUkA+Ey6RaArfEBPyTEKji7ORTnTc+4an70ehjktJGA2F3wHO5YwN8OaU 1DgMv2JKc2gkAMG17WqZv9k6D+zzksHSKcLq4voarVTvRaBoc/dIdZJP2m7q/aOHvDIanw6JdkcF Sgo5SR9ONF/zESqCCx48OHV3UZ5lZL1p+AXbvKEjVSecjJcWwe2EtCeFTu4aiGlK2kDfQaHIyNAS wo5gBvi7U03BFbcjmaD+9fFE5XqrnVLlXGVr4opb8JFzOwByeuXlRajJvimPoY9C2P65T7sMgMdO fjY0zbUAp79qF3LSxFKux50h/7ByW2CULf0qRrjnvvRx0C01NebzLtgj6YBS1K08LevE0v8+gvoD 7uo+kYs6pE1bQELiuDT0ftGDL3G80ZQ3LzCA/VBzH3/D5Ml1RxTmx5jvUcaQfO4RDzgDQpK3Fe1Z 4Cp6d4iLIPuzb3+b5TIAZCfTiRgN4deoUAplbA4vZXvYOPZnC2+hzTKax0w/2yFSigd3VhZ6Eppi F5OF6mXk92+VJY08XeTz8JB6lfsB++1zVbjKd1pa8Z+ZF+TXuHiExCWa8GoVTrju8sFVh0lthZQ9 cNTmH2oyFqDrztTOAL3rBfxzG126vj8cUMFOUdFKUKvHostHLhIwEZtjIP657cMVOOJMZN1FBLhN rm+i6XbysgjmguwQ6nYnwVZjr+Qz+sc59FM+MR5FnPBoLJLNJgXA9HFunvl6bDZSS+lngeGUjyTD GhczGkVYYow7H5BbT+eRRAvuU8j2dhB6JBc8axCQlCeQtgh+R+QET5t0pWW9F+L1jjCJYX3O9UTa uR8QF2lRdNJAKMh3t8hjqPdV9T3daMkErmIrZgTZRyW29KhBfmyVCK4wMlJykhyoSB/Qcy57/xjq CpFXDpaPrpOZ/Kn22CdiyaKU2GmvGaI7i2MWcsjUFtJJ4HPnVX43caiqdzjAQAfBq2ySaq9pbOWc eUpZ3onBAhwZIaZmETR+YSw/8yYjEyukUJD32vzFk+MFjTKH4Un1Ghl9Lv9f7Ptx44Pnw173zLQg r8dkrIE38wgmzN7C3P8woZntgfniwX7BCuK2MpQq7ROloQerrRm2YbREOd4HN6al/STTxU5N+Mt/ HC2Ix2kkgW6mwPV3UxHX4dNc4J71e4i1x1AKWCGTiEhARq+I/3AMLue9jTNj5oz6PURa8s8nFEKJ 5xWwsaCPnIiqWW/wkDgbcIjghz/j5Gqa0LK1OYWJA2BEqXC34Az93W2skZIgSXRc0jmMqjbDg0zG jUC4FuXOgRMW456Gri82VxZmj+NFHDtpXjNRWyXRp0cE3LPajSThPyVAbv9EhNXRf7zDOOjHbcQX S1ymGPEFNNXF6o/p0TD2PAXXwyyoOf6RDnExCMJr8LAS9qjPGaBSae1lbm1b4qinruaIsEyBeAjR kRoYpDNlK5hNaDoUs1HJtEzKigIbAW4t/HUvszdsNRb6HJRN0++bD25pti+4egL7gITJL+yKzwnp 1OZ+PH2knjlUFalvVYixxh/njHkE70cYL93bcnkiRMe7hRcirm8FPdncHsR25rgdefDSITui8XeC x4q3w62Uqusl2Q3EG8HWpWgETCzQZyrGN53jmoE73Rz0mCEhk87NOGRITb2JWHM= `protect end_protected
apache-2.0
e955d703f6b87f341c18724e269accf1
0.9353
1.881786
false
false
false
false
jdryg/tis100cpu
instruction_memory_tb.vhd
1
1,413
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY instruction_memory_tb IS END instruction_memory_tb; ARCHITECTURE behavior OF instruction_memory_tb IS constant PROGRAM_FILENAME : string := "F:\Projects\MyStuff\TIS100\Assembler\multiply.prg"; -- Component Declaration for the Unit Under Test (UUT) COMPONENT instruction_memory GENERIC(filename : string := PROGRAM_FILENAME); PORT( I_addr : IN std_logic_vector(5 downto 0); O_instr : OUT std_logic_vector(31 downto 0) ); END COMPONENT; --Inputs signal I_addr : std_logic_vector(5 downto 0) := (others => '0'); --Outputs signal O_instr : std_logic_vector(31 downto 0); BEGIN -- Instantiate the Unit Under Test (UUT) uut: instruction_memory GENERIC MAP (filename => PROGRAM_FILENAME) PORT MAP ( I_addr => I_addr, O_instr => O_instr ); -- Stimulus process stim_proc: process begin -- insert stimulus here I_addr <= "000000"; wait for 10 ns; assert O_instr = X"80800005" report "Invalid instruction @ addr 0" severity error; I_addr <= "000001"; wait for 10 ns; assert O_instr = X"01100000" report "Invalid instruction @ addr 1" severity error; I_addr <= "000100"; wait for 10ns; assert O_instr = X"10000000" report "Invalid instruction @ addr 4" severity error; wait; end process; END;
mit
6607eb975d25df88c29213644c016fb7
0.641897
3.748011
false
false
false
false
CyAScott/CIS4930.DatapathSynthesisTool
src/components/register.vhd
1
851
library IEEE; use ieee.std_logic_1164.all; entity c_register is generic ( width : integer := 4 ); port ( input : in std_logic_vector((width - 1) downto 0); WR : in std_logic; clear : in std_logic; clock : in std_logic; output : out std_logic_vector((width - 1) downto 0) ); end c_register; architecture behavior of c_register is begin P0 : process (clock, input, WR, clear) variable out_var : std_logic_vector((width - 1) downto 0); variable Interim_Val : std_logic_vector((width - 1) downto 0); begin Interim_Val := input; if (clear = '1') then for I in width - 1 downto 0 loop out_var(I) := '0'; end loop; output <= out_var; end if; if (clear = '0') then if ((clock = '1') and (clock'EVENT = TRUE) and (WR = '1')) then output <= Interim_Val; end if; end if; end process P0; end behavior;
mit
4fecb5e143f4a1a6cc47f65f7ebb1621
0.623972
2.736334
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst.vhd
1
43,553
------------------------------------------------------------------- -- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved. -- -- This file contains confidential and proprietary information -- of Xilinx, Inc. and is protected under U.S. and -- international copyright and other intellectual property -- laws. -- -- DISCLAIMER -- This disclaimer is not a license and does not grant any -- rights to the materials distributed herewith. Except as -- otherwise provided in a valid license issued to you by -- Xilinx, and to the maximum extent permitted by applicable -- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND -- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES -- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING -- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON- -- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and -- (2) Xilinx shall not be liable (whether in contract or tort, -- including negligence, or under any other theory of -- liability) for any loss or damage of any kind or nature -- related to, arising under or in connection with these -- materials, including for any direct, or any indirect, -- special, incidental, or consequential loss or damage -- (including loss of data, profits, goodwill, or any type of -- loss or damage suffered as a result of any action brought -- by a third party) even if such damage or loss was -- reasonably foreseeable or Xilinx had been advised of the -- possibility of the same. -- -- CRITICAL APPLICATIONS -- Xilinx products are not designed or intended to be fail- -- safe, or for use in any application requiring fail-safe -- performance, such as life-support or safety devices or -- systems, Class III medical devices, nuclear facilities, -- applications related to the deployment of airbags, or any -- other applications that could lead to death, personal -- injury, or severe property or environmental damage -- (individually and collectively, "Critical -- Applications"). Customer assumes the sole risk and -- liability of any use of Xilinx products in Critical -- Applications, subject only to applicable laws and -- regulations governing limitations on product liability. -- -- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS -- PART OF THIS FILE AT ALL TIMES. ------------------------------------------------------------------- -- Filename: axi_master_burst.vhd -- -- Description: -- -- AXI Master interface utilizing Xilinx LocalLink interface for User Logic -- Side (IPIC) data transfer interface -- -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- -- axi_master_burst.vhd -- | -- |-- proc_common_v4_0 (helper library) -- | -- |-- axi_master_burst_reset.vhd -- | -- |-- axi_master_rd_llink.vhd -- | -- |-- axi_master_wr_llink.vhd -- | -- | -- |-- axi_master_burst_cmd_status.vhd -- | |-- axi_master_burst_first_stb_offset.vhd -- | |-- axi_master_burst_stbs_set.vhd -- | -- |-- axi_master_burst_rd_wr_cntlr.vhd -- |-- axi_master_burst_pcc.vhd -- | |-- axi_master_burst_strb_gen.vhd -- |-- axi_master_burst_addr_cntl.vhd -- | |-- axi_master_burst_fifo.vhd -- | |-- proc_common_v4_0.srl_fifo_f -- |-- axi_master_burst_rddata_cntl.vhd -- | |-- axi_master_burst_rdmux.vhd -- | |-- axi_master_burst_fifo.vhd -- | |-- proc_common_v4_0.srl_fifo_f -- |-- axi_master_burst_wrdata_cntl.vhd -- | |-- axi_master_burst_strb_gen -- | |-- axi_master_burst_fifo.vhd -- | |-- proc_common_v4_0.srl_fifo_f -- |-- axi_master_burst_rd_status_cntl.vhd -- |-- axi_master_burst_wr_status_cntl.vhd -- | |-- axi_master_burst_fifo.vhd -- | |-- proc_common_v4_0.srl_fifo_f -- |-- axi_master_burst_skid_buf.vhd -- |-- axi_master_burst_skid2mm_buf.vhd -- -- ------------------------------------------------------------------------------- -- Author: DET -- Revision: $Revision: 1.0 $ -- Date: $$ -- -- History: -- DET 01/18/2011 Version 1_00_a -- -- DET 2/10/2011 Initial for EDK 13.1 -- ~~~~~~ -- -- Per CR593346 -- - Connected md_error output to the axi_master_burst_cmd_status rw_error -- output. -- ^^^^^^ -- -- DET 2/17/2011 Initial for 13.2 -- ~~~~~~ -- -- Per CR593967 -- - Added the port rdwr2llink_int_err to the Cmd/Status Module. -- This output is now used to initiate a Locallink discontinue -- when an internal error is detected. -- ^^^^^^ -- ~~~~~~ -- SK 12/16/12 -- v2.0 -- 1. up reved to major version for 2013.1 Vivado release. No logic updates. -- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format -- 3. updated the proc common version to proc_common_v4_0 -- 4. No Logic Updates -- ^^^^^^ ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library axi_master_burst_v2_0; Use axi_master_burst_v2_0.axi_master_burst_reset ; Use axi_master_burst_v2_0.axi_master_burst_cmd_status ; Use axi_master_burst_v2_0.axi_master_burst_rd_wr_cntlr ; Use axi_master_burst_v2_0.axi_master_burst_rd_llink ; Use axi_master_burst_v2_0.axi_master_burst_wr_llink ; ------------------------------------------------------------------------------- entity axi_master_burst is generic ( ---------------------------------------------------------------------------- -- AXI4 Related Parameters ---------------------------------------------------------------------------- C_M_AXI_ADDR_WIDTH : integer range 32 to 32 := 32; -- DataMover Master AXI Memory Map Address Width (bits) C_M_AXI_DATA_WIDTH : integer range 32 to 256 := 32; -- DataMover Master AXI Memory Map Data Width (bits) C_MAX_BURST_LEN : Integer range 16 to 256 := 16; -- Specifies the max number of databeats to use for each AXI MMap -- transfer by the AXI Master Burst C_ADDR_PIPE_DEPTH : Integer range 1 to 14 := 1; -- Specifies the address pipeline depth for the AXI Master Burst -- when submitting transfer requests to the AXI4 Read and Write -- Address Channels. ---------------------------------------------------------------------------- -- IPIC Related Parameters ---------------------------------------------------------------------------- C_NATIVE_DATA_WIDTH : INTEGER range 32 to 128 := 32; -- Set this equal to desired data bus width needed by IPIC -- LocalLink Data Channels. C_LENGTH_WIDTH : INTEGER range 12 to 20 := 12; -- Set this to the desired bit width for the ip2bus_mst_length -- input port required to specify the maximimum transfer byte -- count needed for any one command by the User logic. -- 12 bits = 4095 bytes max per command -- 13 bits = 8191 bytes max per command -- 14 bits = 16383 bytes max per command -- 15 bits = 32767 bytes max per command -- 16 bits = 65535 bytes max per command -- 17 bits = 131071 bytes max per command -- 18 bits = 262143 bytes max per command -- 19 bits = 524287 bytes max per command -- 20 bits = 1048575 bytes max per command ---------------------------------------------------------------------------- -- Target FPGA Family Parameter ---------------------------------------------------------------------------- C_FAMILY : string := "virtex7" -- Target FPGA Device Family ); port ( ---------------------------------------------------------------------------- -- Primary Clock ---------------------------------------------------------------------------- m_axi_aclk : in std_logic ;-- AXI4 ---------------------------------------------------------------------------- -- Primary Reset Input (active low) ---------------------------------------------------------------------------- m_axi_aresetn : in std_logic ;-- AXI4 ----------------------------------------------------------------------- -- Master Detected Error output ----------------------------------------------------------------------- md_error : out std_logic ;-- Error output discrete ---------------------------------------------------------------------------- -- AXI4 Master Read Channel ---------------------------------------------------------------------------- -- MMap Read Address Channel -- AXI4 m_axi_arready : in std_logic ;-- AXI4 m_axi_arvalid : out std_logic ;-- AXI4 m_axi_araddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- AXI4 m_axi_arlen : out std_logic_vector(7 downto 0) ;-- AXI4 m_axi_arsize : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arburst : out std_logic_vector(1 downto 0) ;-- AXI4 m_axi_arprot : out std_logic_vector(2 downto 0) ;-- AXI4 m_axi_arcache : out std_logic_vector(3 downto 0) ;-- AXI4 -- AXI4 -- MMap Read Data Channel -- AXI4 m_axi_rready : out std_logic ;-- AXI4 m_axi_rvalid : in std_logic ;-- AXI4 m_axi_rdata : in std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ;-- AXI4 m_axi_rresp : in std_logic_vector(1 downto 0) ;-- AXI4 m_axi_rlast : in std_logic ;-- AXI4 ----------------------------------------------------------------------------- -- AXI4 Master Write Channel ----------------------------------------------------------------------------- -- Write Address Channel -- AXI4 m_axi_awready : in std_logic ; -- AXI4 m_axi_awvalid : out std_logic ; -- AXI4 m_axi_awaddr : out std_logic_vector -- AXI4 (C_M_AXI_ADDR_WIDTH-1 downto 0) ; -- AXI4 m_axi_awlen : out std_logic_vector(7 downto 0) ; -- AXI4 m_axi_awsize : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awburst : out std_logic_vector(1 downto 0) ; -- AXI4 m_axi_awprot : out std_logic_vector(2 downto 0) ; -- AXI4 m_axi_awcache : out std_logic_vector(3 downto 0) ; -- AXI4 -- AXI4 -- Write Data Channel -- AXI4 m_axi_wready : in std_logic ; -- AXI4 m_axi_wvalid : out std_logic ; -- AXI4 m_axi_wdata : out std_logic_vector -- AXI4 (C_M_AXI_DATA_WIDTH-1 downto 0) ; -- AXI4 m_axi_wstrb : out std_logic_vector -- AXI4 ((C_M_AXI_DATA_WIDTH/8)-1 downto 0); -- AXI4 m_axi_wlast : out std_logic ; -- AXI4 -- AXI4 -- Write Response Channel -- AXI4 m_axi_bready : out std_logic ; -- AXI4 m_axi_bvalid : in std_logic ; -- AXI4 m_axi_bresp : in std_logic_vector(1 downto 0) ; -- AXI4 ----------------------------------------------------------------------------------------- -- IPIC Request/Qualifiers ----------------------------------------------------------------------------------------- ip2bus_mstrd_req : In std_logic ;-- IPIC CMD ip2bus_mstwr_req : In std_logic ;-- IPIC CMD ip2bus_mst_addr : in std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0) ;-- IPIC CMD ip2bus_mst_length : in std_logic_vector(C_LENGTH_WIDTH-1 downto 0) ;-- IPIC CMD ip2bus_mst_be : in std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0);-- IPIC CMD ip2bus_mst_type : in std_logic ;-- IPIC CMD ip2bus_mst_lock : In std_logic ;-- IPIC CMD ip2bus_mst_reset : In std_logic ;-- IPIC CMD ----------------------------------------------------------------------------------------- -- IPIC Request Status Reply ----------------------------------------------------------------------------------------- bus2ip_mst_cmdack : Out std_logic ;-- IPIC Stat bus2ip_mst_cmplt : Out std_logic ;-- IPIC Stat bus2ip_mst_error : Out std_logic ;-- IPIC Stat bus2ip_mst_rearbitrate : Out std_logic ;-- IPIC Stat bus2ip_mst_cmd_timeout : out std_logic ;-- IPIC Stat ----------------------------------------------------------------------------------------- -- IPIC Read LocalLink Channel ----------------------------------------------------------------------------------------- bus2ip_mstrd_d : out std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0 ) ;-- IPIC RD LLink bus2ip_mstrd_rem : out std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0);-- IPIC RD LLink bus2ip_mstrd_sof_n : Out std_logic ;-- IPIC RD LLink bus2ip_mstrd_eof_n : Out std_logic ;-- IPIC RD LLink bus2ip_mstrd_src_rdy_n : Out std_logic ;-- IPIC RD LLink bus2ip_mstrd_src_dsc_n : Out std_logic ;-- IPIC RD LLink ip2bus_mstrd_dst_rdy_n : In std_logic ;-- IPIC RD LLink ip2bus_mstrd_dst_dsc_n : In std_logic ;-- IPIC RD LLink ----------------------------------------------------------------------------------------- -- IPIC Write LocalLink Channel ----------------------------------------------------------------------------------------- ip2bus_mstwr_d : In std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0) ;-- IPIC WR LLink ip2bus_mstwr_rem : In std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0);-- IPIC WR LLink ip2bus_mstwr_sof_n : In std_logic ;-- IPIC WR LLink ip2bus_mstwr_eof_n : In std_logic ;-- IPIC WR LLink ip2bus_mstwr_src_rdy_n : In std_logic ;-- IPIC WR LLink ip2bus_mstwr_src_dsc_n : In std_logic ;-- IPIC WR LLink bus2ip_mstwr_dst_rdy_n : Out std_logic ;-- IPIC WR LLink bus2ip_mstwr_dst_dsc_n : Out std_logic -- IPIC WR LLink ); end entity axi_master_burst; architecture implementation of axi_master_burst is attribute DowngradeIPIdentifiedWarnings: string; attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes"; -- Constants Constant LOGIC_LOW : std_logic := '0'; Constant LOGIC_HIGH : std_logic := '1'; Constant LLINK_DWIDTH : integer := C_NATIVE_DATA_WIDTH; Constant LENGTH_WIDTH : integer := C_LENGTH_WIDTH; Constant PCC_CMD_WIDTH : integer := 68; -- in bits Constant STATUS_WIDTH : integer := 8; -- in bits Constant RDWR_ID_WIDTH : integer := 4; -- in bits Constant RDWR_ID : integer := 0; -- in bits Constant RDWR_MAX_BURST_LEN : integer := C_MAX_BURST_LEN; -- in data beats Constant RDWR_BTT_USED : integer := LENGTH_WIDTH; Constant RDWR_ADDR_PIPE_DEPTH : integer := C_ADDR_PIPE_DEPTH; -- Signal Declarations signal sig_ipic_reset : std_logic := '0'; signal sig_rst2cmd_stat_reset : std_logic := '0'; signal sig_rst2rdwr_cntlr_reset : std_logic := '0'; signal sig_rst2llink_reset : std_logic := '0'; signal sig_rw_error : std_logic := '0'; signal sig_rdwr2llink_int_err : std_logic := '0'; signal sig_ip2bus_mstrd_req : std_logic := '0'; signal sig_ip2bus_mstwr_req : std_logic := '0'; signal sig_ip2bus_mst_addr : std_logic_vector(0 to C_M_AXI_ADDR_WIDTH-1) := (others => '0'); signal sig_ip2bus_mst_length : std_logic_vector(0 to LENGTH_WIDTH-1) := (others => '0'); signal sig_ip2bus_mst_be : std_logic_vector(0 to (C_NATIVE_DATA_WIDTH/8)-1) := (others => '0'); signal sig_ip2bus_mst_type : std_logic := '0'; signal sig_ip2bus_mst_lock : std_logic := '0'; signal sig_bus2ip_mst_cmdack : std_logic := '0'; signal sig_bus2ip_mst_cmplt : std_logic := '0'; signal sig_bus2ip_mst_error : std_logic := '0'; signal sig_bus2ip_mst_rearbitrate : std_logic := '0'; signal sig_bus2ip_mst_cmd_timeout : std_logic := '0'; signal sig_llink2cmd_rd_busy : std_logic := '0'; signal sig_llink2cmd_wr_busy : std_logic := '0'; signal sig_pcc2cmd_cmd_ready : std_logic := '0'; signal sig_cmd2pcc_cmd_valid : std_logic := '0'; signal sig_cmd2pcc_command : std_logic_vector(PCC_CMD_WIDTH-1 downto 0) := (others => '0'); signal sig_cmd2all_doing_read : std_logic := '0'; signal sig_cmd2all_doing_write : std_logic := '0'; signal sig_stat2rsc_status_ready : std_logic := '0'; signal sig_rsc2stat_status_valid : std_logic := '0'; signal sig_rsc2stat_status : std_logic_vector(STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_stat2wsc_status_ready : std_logic := '0'; signal sig_wsc2stat_status_valid : std_logic := '0'; signal sig_wsc2stat_status : std_logic_vector(STATUS_WIDTH-1 downto 0) := (others => '0'); signal sig_llink2rd_allow_addr_req : std_logic := '0'; signal sig_rd2llink_addr_req_posted : std_logic := '0'; signal sig_rd2llink_xfer_cmplt : std_logic := '0'; signal sig_llink2wr_allow_addr_req : std_logic := '0'; signal sig_wr2llink_addr_req_posted : std_logic := '0'; signal sig_wr2llink_xfer_cmplt : std_logic := '0'; signal sig_rd2llink_strm_tdata : std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0); signal sig_rd2llink_strm_tstrb : std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0); signal sig_rd2llink_strm_tlast : std_logic := '0'; signal sig_rd2llink_strm_tvalid : std_logic := '0'; signal sig_llink2rd_strm_tready : std_logic := '0'; signal sig_llink2wr_strm_tdata : std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0); signal sig_llink2wr_strm_tstrb : std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0); signal sig_llink2wr_strm_tlast : std_logic := '0'; signal sig_llink2wr_strm_tvalid : std_logic := '0'; signal sig_llink2wr_strm_tready : std_logic := '0'; signal sig_rd_llink_enable : std_logic := '0'; signal sig_wr_llink_enable : std_logic := '0'; signal sig_md_error : std_logic := '0'; ----------------------------------------------------------------------------------------- -- IPIC Read LocalLink Channel (Little Endian bit ordering) ----------------------------------------------------------------------------------------- -- signal sig_bus2ip_mstrd_d : std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0 ) ;-- IPIC RD LLink -- signal sig_bus2ip_mstrd_rem : std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0);-- IPIC RD LLink -- signal sig_bus2ip_mstrd_sof_n : std_logic ;-- IPIC RD LLink -- signal sig_bus2ip_mstrd_eof_n : std_logic ;-- IPIC RD LLink -- signal sig_bus2ip_mstrd_src_rdy_n : std_logic ;-- IPIC RD LLink -- signal sig_bus2ip_mstrd_src_dsc_n : std_logic ;-- IPIC RD LLink -- -- signal sig_ip2bus_mstrd_dst_rdy_n : std_logic ;-- IPIC RD LLink -- signal sig_ip2bus_mstrd_dst_dsc_n : std_logic ;-- IPIC RD LLink ----------------------------------------------------------------------------------------- -- IPIC Read LocalLink Channel (Big Endian bit ordering) ----------------------------------------------------------------------------------------- signal sig_bus2ip_mstrd_d : std_logic_vector(0 to C_NATIVE_DATA_WIDTH-1) ;-- IPIC RD LLink signal sig_bus2ip_mstrd_rem : std_logic_vector(0 to (C_NATIVE_DATA_WIDTH/8)-1) ;-- IPIC RD LLink signal sig_bus2ip_mstrd_sof_n : std_logic ;-- IPIC RD LLink signal sig_bus2ip_mstrd_eof_n : std_logic ;-- IPIC RD LLink signal sig_bus2ip_mstrd_src_rdy_n : std_logic ;-- IPIC RD LLink signal sig_bus2ip_mstrd_src_dsc_n : std_logic ;-- IPIC RD LLink signal sig_ip2bus_mstrd_dst_rdy_n : std_logic ;-- IPIC RD LLink signal sig_ip2bus_mstrd_dst_dsc_n : std_logic ;-- IPIC RD LLink ----------------------------------------------------------------------------------------- -- IPIC Write LocalLink Channel (Little Endian bit ordering) ----------------------------------------------------------------------------------------- -- signal sig_ip2bus_mstwr_d : std_logic_vector(C_NATIVE_DATA_WIDTH-1 downto 0) ;-- IPIC WR LLink -- signal sig_ip2bus_mstwr_rem : std_logic_vector((C_NATIVE_DATA_WIDTH/8)-1 downto 0);-- IPIC WR LLink -- signal sig_ip2bus_mstwr_sof_n : std_logic ;-- IPIC WR LLink -- signal sig_ip2bus_mstwr_eof_n : std_logic ;-- IPIC WR LLink -- signal sig_ip2bus_mstwr_src_rdy_n : std_logic ;-- IPIC WR LLink -- signal sig_ip2bus_mstwr_src_dsc_n : std_logic ;-- IPIC WR LLink -- -- signal sig_bus2ip_mstwr_dst_rdy_n : std_logic ;-- IPIC WR LLink -- signal sig_bus2ip_mstwr_dst_dsc_n : std_logic ;-- IPIC WR LLink ----------------------------------------------------------------------------------------- -- IPIC Write LocalLink Channel (Big Endian bit ordering) ----------------------------------------------------------------------------------------- signal sig_ip2bus_mstwr_d : std_logic_vector(0 to C_NATIVE_DATA_WIDTH-1) ;-- IPIC WR LLink signal sig_ip2bus_mstwr_rem : std_logic_vector(0 to (C_NATIVE_DATA_WIDTH/8)-1) ;-- IPIC WR LLink signal sig_ip2bus_mstwr_sof_n : std_logic ;-- IPIC WR LLink signal sig_ip2bus_mstwr_eof_n : std_logic ;-- IPIC WR LLink signal sig_ip2bus_mstwr_src_rdy_n : std_logic ;-- IPIC WR LLink signal sig_ip2bus_mstwr_src_dsc_n : std_logic ;-- IPIC WR LLink signal sig_bus2ip_mstwr_dst_rdy_n : std_logic ;-- IPIC WR LLink signal sig_bus2ip_mstwr_dst_dsc_n : std_logic ;-- IPIC WR LLink begin --(architecture implementation) -- Master detected Error output discrete -- md_error <= sig_md_error ; md_error <= sig_rw_error ; -- Assign IPIC Command Inputs -- Note that this also changes the bit ordering -- from Little Endian to big endian for vectors. sig_ip2bus_mstrd_req <= ip2bus_mstrd_req ; sig_ip2bus_mstwr_req <= ip2bus_mstwr_req ; sig_ip2bus_mst_addr <= ip2bus_mst_addr ; sig_ip2bus_mst_length <= ip2bus_mst_length ; sig_ip2bus_mst_be <= ip2bus_mst_be ; sig_ip2bus_mst_type <= ip2bus_mst_type ; sig_ip2bus_mst_lock <= ip2bus_mst_lock ; sig_ipic_reset <= ip2bus_mst_reset ; -- Assign IPIC Status Outputs bus2ip_mst_cmdack <= sig_bus2ip_mst_cmdack ; bus2ip_mst_cmplt <= sig_bus2ip_mst_cmplt ; bus2ip_mst_error <= sig_bus2ip_mst_error ; bus2ip_mst_rearbitrate <= sig_bus2ip_mst_rearbitrate ; bus2ip_mst_cmd_timeout <= sig_bus2ip_mst_cmd_timeout ; -- Assign Read LocalLink Ports -- Note that this also changes the bit ordering -- from Little Endian to big endian for vectors. bus2ip_mstrd_d <= sig_bus2ip_mstrd_d ; bus2ip_mstrd_rem <= sig_bus2ip_mstrd_rem ; bus2ip_mstrd_sof_n <= sig_bus2ip_mstrd_sof_n ; bus2ip_mstrd_eof_n <= sig_bus2ip_mstrd_eof_n ; bus2ip_mstrd_src_rdy_n <= sig_bus2ip_mstrd_src_rdy_n ; bus2ip_mstrd_src_dsc_n <= sig_bus2ip_mstrd_src_dsc_n ; sig_ip2bus_mstrd_dst_rdy_n <= ip2bus_mstrd_dst_rdy_n ; sig_ip2bus_mstrd_dst_dsc_n <= ip2bus_mstrd_dst_dsc_n ; -- Assign Write LocalLink Ports -- Note that this also changes the bit ordering -- from Little Endian to big endian for vectors. sig_ip2bus_mstwr_d <= ip2bus_mstwr_d ; sig_ip2bus_mstwr_rem <= ip2bus_mstwr_rem ; sig_ip2bus_mstwr_sof_n <= ip2bus_mstwr_sof_n ; sig_ip2bus_mstwr_eof_n <= ip2bus_mstwr_eof_n ; sig_ip2bus_mstwr_src_rdy_n <= ip2bus_mstwr_src_rdy_n ; sig_ip2bus_mstwr_src_dsc_n <= ip2bus_mstwr_src_dsc_n ; bus2ip_mstwr_dst_rdy_n <= sig_bus2ip_mstwr_dst_rdy_n ; bus2ip_mstwr_dst_dsc_n <= sig_bus2ip_mstwr_dst_dsc_n ; ------------------------------------------------------------ -- Instance: I_RESET_MODULE -- -- Description: -- Reset Module instance. -- ------------------------------------------------------------ I_RESET_MODULE : entity axi_master_burst_v2_0.axi_master_burst_reset port map ( -- Clock Input axi_aclk => m_axi_aclk , -- Reset Input (active low) axi_aresetn => m_axi_aresetn , -- IPIC Reset Input ip2bus_mst_reset => sig_ipic_reset , -- HW Reset to internal reset groups -------------------------- rst2cmd_reset_out => sig_rst2cmd_stat_reset , rst2rdwr_reset_out => sig_rst2rdwr_cntlr_reset , rst2llink_reset_out => sig_rst2llink_reset ); ------------------------------------------------------------ -- Instance: I_CMD_STATUS_MODULE -- -- Description: -- Instance of the Command and Status Module -- ------------------------------------------------------------ I_CMD_STATUS_MODULE : entity axi_master_burst_v2_0.axi_master_burst_cmd_status generic map ( C_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_NATIVE_DWIDTH => C_NATIVE_DATA_WIDTH, C_CMD_WIDTH => PCC_CMD_WIDTH , C_CMD_BTT_USED_WIDTH => LENGTH_WIDTH , C_STS_WIDTH => STATUS_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- Clock inputs axi_aclk => m_axi_aclk , -- Reset inputs axi_reset => sig_rst2cmd_stat_reset , -- RW_ERROR Output Discrete rw_error => sig_rw_error , -- Internal error Output Discrete to LocalLink backends -- (Asserted until Pertinent LocalLink IF is not busy) rdwr2llink_int_err => sig_rdwr2llink_int_err , -- IPIC Request/Qualifiers ip2bus_mstrd_req => sig_ip2bus_mstrd_req , ip2bus_mstwr_req => sig_ip2bus_mstwr_req , ip2bus_mst_addr => sig_ip2bus_mst_addr , ip2bus_mst_length => sig_ip2bus_mst_length , ip2bus_mst_be => sig_ip2bus_mst_be , ip2bus_mst_type => sig_ip2bus_mst_type , ip2bus_mst_lock => sig_ip2bus_mst_lock , ip2bus_mst_reset => LOGIC_LOW , -- IPIC Request Status Reply bus2ip_mst_cmdack => sig_bus2ip_mst_cmdack , bus2ip_mst_cmplt => sig_bus2ip_mst_cmplt , bus2ip_mst_error => sig_bus2ip_mst_error , bus2ip_mst_rearbitrate => sig_bus2ip_mst_rearbitrate , bus2ip_mst_cmd_timeout => sig_bus2ip_mst_cmd_timeout , -- IPIC LocalLink Busy Flag mstrd_llink_busy => sig_llink2cmd_rd_busy , mstwr_llink_busy => sig_llink2cmd_wr_busy , -- PCC Command Interface pcc2cmd_cmd_ready => sig_pcc2cmd_cmd_ready , cmd2pcc_cmd_valid => sig_cmd2pcc_cmd_valid , cmd2pcc_command => sig_cmd2pcc_command , -- Read/Write Command Indicator Interface cmd2all_doing_read => sig_cmd2all_doing_read , cmd2all_doing_write => sig_cmd2all_doing_write , -- Read Status Controller Interface stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2stat_status => sig_rsc2stat_status , -- Write Status Controller Interface stat2wsc_status_ready => sig_stat2wsc_status_ready , wsc2stat_status_valid => sig_wsc2stat_status_valid , wsc2stat_status => sig_wsc2stat_status ); ------------------------------------------------------------ -- Instance: I_RD_WR_CNTRL_MODULE -- -- Description: -- Instance of the Read and Write Controller Module -- ------------------------------------------------------------ I_RD_WR_CNTRL_MODULE : entity axi_master_burst_v2_0.axi_master_burst_rd_wr_cntlr generic map ( C_RDWR_ID_WIDTH => RDWR_ID_WIDTH , C_RDWR_ARID => RDWR_ID , C_RDWR_ADDR_WIDTH => C_M_AXI_ADDR_WIDTH , C_RDWR_MDATA_WIDTH => C_M_AXI_DATA_WIDTH , C_RDWR_SDATA_WIDTH => C_NATIVE_DATA_WIDTH , C_RDWR_MAX_BURST_LEN => RDWR_MAX_BURST_LEN , C_RDWR_BTT_USED => RDWR_BTT_USED , C_RDWR_ADDR_PIPE_DEPTH => RDWR_ADDR_PIPE_DEPTH , C_RDWR_PCC_CMD_WIDTH => PCC_CMD_WIDTH , C_RDWR_STATUS_WIDTH => STATUS_WIDTH , C_FAMILY => C_FAMILY ) port map ( -- RDWR Primary Clock input rdwr_aclk => m_axi_aclk , -- RDWR Primary Reset input rdwr_areset => sig_rst2rdwr_cntlr_reset , -- RDWR Master detected Error Output Discrete rdwr_md_error => sig_md_error , -- Command/Status Module PCC Command Interface (AXI Stream Like) cmd2rdwr_cmd_valid => sig_cmd2pcc_cmd_valid , rdwr2cmd_cmd_ready => sig_pcc2cmd_cmd_ready , cmd2rdwr_cmd_data => sig_cmd2pcc_command , -- Command/Status Module Type Interface cmd2rdwr_doing_read => sig_cmd2all_doing_read , cmd2rdwr_doing_write => sig_cmd2all_doing_write , -- Command/Status Module Read Status Ports (AXI Stream Like) stat2rsc_status_ready => sig_stat2rsc_status_ready , rsc2stat_status_valid => sig_rsc2stat_status_valid , rsc2stat_status => sig_rsc2stat_status , -- Command/Status Module Write Status Ports (AXI Stream Like) stat2wsc_status_ready => sig_stat2wsc_status_ready , wsc2stat_status_valid => sig_wsc2stat_status_valid , wsc2stat_status => sig_wsc2stat_status , -- Read Address Posting Contols/Status rd_allow_addr_req => sig_llink2rd_allow_addr_req , rd_addr_req_posted => sig_rd2llink_addr_req_posted , rd_xfer_cmplt => sig_rd2llink_xfer_cmplt , -- Write Address Posting Contols/Status wr_allow_addr_req => sig_llink2wr_allow_addr_req , wr_addr_req_posted => sig_wr2llink_addr_req_posted , wr_xfer_cmplt => sig_wr2llink_xfer_cmplt , -- LocalLink Enable Outputs (1 clock pulse) rd_llink_enable => sig_rd_llink_enable , wr_llink_enable => sig_wr_llink_enable , -- AXI Read Address Channel I/O rd_arid => open , rd_araddr => m_axi_araddr , rd_arlen => m_axi_arlen , rd_arsize => m_axi_arsize , rd_arburst => m_axi_arburst , rd_arprot => m_axi_arprot , rd_arcache => m_axi_arcache , rd_arvalid => m_axi_arvalid , rd_arready => m_axi_arready , -- AXI Read Data Channel I/O rd_rdata => m_axi_rdata , rd_rresp => m_axi_rresp , rd_rlast => m_axi_rlast , rd_rvalid => m_axi_rvalid , rd_rready => m_axi_rready , -- AXI Read Master Stream Channel I/O rd_strm_tdata => sig_rd2llink_strm_tdata , rd_strm_tstrb => sig_rd2llink_strm_tstrb , rd_strm_tlast => sig_rd2llink_strm_tlast , rd_strm_tvalid => sig_rd2llink_strm_tvalid , rd_strm_tready => sig_llink2rd_strm_tready , -- AXI Write Address Channel I/O wr_awid => open , wr_awaddr => m_axi_awaddr , wr_awlen => m_axi_awlen , wr_awsize => m_axi_awsize , wr_awburst => m_axi_awburst , wr_awprot => m_axi_awprot , wr_awcache => m_axi_awcache , wr_awvalid => m_axi_awvalid , wr_awready => m_axi_awready , -- RDWR AXI Write Data Channel I/O wr_wdata => m_axi_wdata , wr_wstrb => m_axi_wstrb , wr_wlast => m_axi_wlast , wr_wvalid => m_axi_wvalid , wr_wready => m_axi_wready , -- RDWR AXI Write response Channel I/O wr_bresp => m_axi_bresp , wr_bvalid => m_axi_bvalid , wr_bready => m_axi_bready , -- RDWR AXI Slave Stream Channel I/O wr_strm_tdata => sig_llink2wr_strm_tdata , wr_strm_tstrb => sig_llink2wr_strm_tstrb , wr_strm_tlast => sig_llink2wr_strm_tlast , wr_strm_tvalid => sig_llink2wr_strm_tvalid , wr_strm_tready => sig_llink2wr_strm_tready ); ------------------------------------------------------------ -- Instance: I_RD_LLINK_ADAPTER -- -- Description: -- Instance for the Read AXI Stream to Read LocalLink Adapter -- ------------------------------------------------------------ I_RD_LLINK_ADAPTER : entity axi_master_burst_v2_0.axi_master_burst_rd_llink generic map ( C_NATIVE_DWIDTH => C_NATIVE_DATA_WIDTH ) port map ( -- Read LocalLink Clock input rdllink_aclk => m_axi_aclk , -- Read LocalLink Reset input rdllink_areset => sig_rst2llink_reset , -- Read Cntlr Internal Error Indication rdllink_rd_error => sig_rdwr2llink_int_err , -- LocalLink Enable Control (1 Clock wide pulse) rdllink_llink_enable => sig_rd_llink_enable , -- IPIC LocalLink Busy Flag rdllink_llink_busy => sig_llink2cmd_rd_busy , -- Read Address Posting Contols/Status rdllink_allow_addr_req => sig_llink2rd_allow_addr_req , rdllink_addr_req_posted => sig_rd2llink_addr_req_posted , rdllink_xfer_cmplt => sig_rd2llink_xfer_cmplt , -- Read AXI Slave Master Channel rdllink_strm_tdata => sig_rd2llink_strm_tdata , rdllink_strm_tstrb => sig_rd2llink_strm_tstrb , rdllink_strm_tlast => sig_rd2llink_strm_tlast , rdllink_strm_tvalid => sig_rd2llink_strm_tvalid , rdllink_strm_tready => sig_llink2rd_strm_tready , -- IPIC Read LocalLink Channel bus2ip_mstrd_d => sig_bus2ip_mstrd_d , bus2ip_mstrd_rem => sig_bus2ip_mstrd_rem , bus2ip_mstrd_sof_n => sig_bus2ip_mstrd_sof_n , bus2ip_mstrd_eof_n => sig_bus2ip_mstrd_eof_n , bus2ip_mstrd_src_rdy_n => sig_bus2ip_mstrd_src_rdy_n , bus2ip_mstrd_src_dsc_n => sig_bus2ip_mstrd_src_dsc_n , ip2bus_mstrd_dst_rdy_n => sig_ip2bus_mstrd_dst_rdy_n , ip2bus_mstrd_dst_dsc_n => sig_ip2bus_mstrd_dst_dsc_n ); ------------------------------------------------------------ -- Instance: I_WR_LLINK_ADAPTER -- -- Description: -- Instance for the Write LocalLink to AXI Stream Adapter -- ------------------------------------------------------------ I_WR_LLINK_ADAPTER : entity axi_master_burst_v2_0.axi_master_burst_wr_llink generic map ( C_NATIVE_DWIDTH => C_NATIVE_DATA_WIDTH ) port map ( -- Write LocalLink Clock input wrllink_aclk => m_axi_aclk , -- Write LocalLink Reset input wrllink_areset => sig_rst2llink_reset , -- RDWR Cntlr Internal Error Indication wrllink_wr_error => sig_rdwr2llink_int_err , -- LocalLink Enable Control (1 Clock wide pulse) wrllink_llink_enable => sig_wr_llink_enable , -- IPIC LocalLink Busy Flag wrllink_llink_busy => sig_llink2cmd_wr_busy , -- Write Address Posting Contols/Status wrllink_allow_addr_req => sig_llink2wr_allow_addr_req , wrllink_addr_req_posted => sig_wr2llink_addr_req_posted , wrllink_xfer_cmplt => sig_wr2llink_xfer_cmplt , -- Write AXI Slave Master Channel wrllink_strm_tdata => sig_llink2wr_strm_tdata , wrllink_strm_tstrb => sig_llink2wr_strm_tstrb , wrllink_strm_tlast => sig_llink2wr_strm_tlast , wrllink_strm_tvalid => sig_llink2wr_strm_tvalid , wrllink_strm_tready => sig_llink2wr_strm_tready , -- IPIC Write LocalLink Channel ip2bus_mstwr_d => sig_ip2bus_mstwr_d , ip2bus_mstwr_rem => sig_ip2bus_mstwr_rem , ip2bus_mstwr_sof_n => sig_ip2bus_mstwr_sof_n , ip2bus_mstwr_eof_n => sig_ip2bus_mstwr_eof_n , ip2bus_mstwr_src_rdy_n => sig_ip2bus_mstwr_src_rdy_n , ip2bus_mstwr_src_dsc_n => sig_ip2bus_mstwr_src_dsc_n , bus2ip_mstwr_dst_rdy_n => sig_bus2ip_mstwr_dst_rdy_n , bus2ip_mstwr_dst_dsc_n => sig_bus2ip_mstwr_dst_dsc_n ); end implementation;
apache-2.0
070c5a766145663368a846a47de418f5
0.458889
4.072658
false
false
false
false
jdryg/tis100cpu
instruction_decoder_tb.vhd
1
6,668
LIBRARY ieee; USE ieee.std_logic_1164.ALL; ENTITY instruction_decoder_tb IS END instruction_decoder_tb; ARCHITECTURE behavior OF instruction_decoder_tb IS -- Component Declaration for the Unit Under Test (UUT) COMPONENT instruction_decoder PORT( I_instr : IN std_logic_vector(31 downto 0); O_dst : OUT std_logic_vector(2 downto 0); O_srcA : OUT std_logic_vector(2 downto 0); O_srcB : OUT std_logic_vector(1 downto 0); O_imm : OUT std_logic_vector(15 downto 0); O_aluOp : OUT std_logic_vector(2 downto 0); O_srcA_isPort : OUT std_logic; O_dst_isPort : OUT std_logic; O_enableWrite : OUT std_logic; O_containsIMM : OUT std_logic; O_isJmp : OUT std_logic; O_jmpCondition : OUT std_logic_vector(2 downto 0); O_isSWP : OUT std_logic ); END COMPONENT; --Inputs signal I_instr : std_logic_vector(31 downto 0) := (others => '0'); --Outputs signal O_dst : std_logic_vector(2 downto 0); signal O_srcA : std_logic_vector(2 downto 0); signal O_srcB : std_logic_vector(1 downto 0); signal O_imm : std_logic_vector(15 downto 0); signal O_aluOp : std_logic_vector(2 downto 0); signal O_srcA_isPort : std_logic; signal O_dst_isPort : std_logic; signal O_enableWrite : std_logic; signal O_containsIMM : std_logic; signal O_isJmp : std_logic; signal O_jmpCondition : std_logic_vector(2 downto 0); signal O_isSWP : std_logic; BEGIN -- Instantiate the Unit Under Test (UUT) uut: instruction_decoder PORT MAP ( I_instr => I_instr, O_dst => O_dst, O_srcA => O_srcA, O_srcB => O_srcB, O_imm => O_imm, O_aluOp => O_aluOp, O_srcA_isPort => O_srcA_isPort, O_dst_isPort => O_dst_isPort, O_enableWrite => O_enableWrite, O_containsIMM => O_containsIMM, O_isJmp => O_isJmp, O_jmpCondition => O_jmpCondition, O_isSWP => O_isSWP ); -- Stimulus process stim_proc: process begin I_instr <= X"80800005"; wait for 10 ns; assert O_dst = "001" report "(1) Invalid dst value" severity error; assert O_srcA = "000" report "(1) Invalid srcA value" severity error; assert O_srcB = "00" report "(1) Invalid srcB value" severity error; assert O_imm = X"0005" report "(1) Invalid immediate operand value" severity error; assert O_aluOp = "000" report "(1) Invalid ALU operation" severity error; assert O_srcA_isPort = '0' report "(1) Invalid srcA_isPort flag" severity error; assert O_dst_isPort = '0' report "(1) Invalid dst_isPort flag" severity error; assert O_enableWrite = '1' report "(1) Invalid enableWrite flag" severity error; assert O_containsIMM = '1' report "(1) Invalid containsIMM flag" severity error; assert O_isJmp = '0' report "(1) Invalid isJmp flag" severity error; assert O_jmpCondition = "111" report "(1) Invalid jump condition" severity error; assert O_isSWP = '0' report "(1) Invalid SWP flag" severity error; report "Finished decoding 'ADD ACC, NIL, 5'"; I_instr <= X"84900001"; wait for 10 ns; assert O_dst = "001" report "(2) Invalid dst value" severity error; assert O_srcA = "001" report "(2) Invalid srcA value" severity error; assert O_srcB = "00" report "(2) Invalid srcB value" severity error; assert O_imm = X"0001" report "(2) Invalid immediate operand value" severity error; assert O_aluOp = "001" report "(2) Invalid ALU operation" severity error; assert O_srcA_isPort = '0' report "(2) Invalid srcA_isPort flag" severity error; assert O_dst_isPort = '0' report "(2) Invalid dst_isPort flag" severity error; assert O_enableWrite = '1' report "(2) Invalid enableWrite flag" severity error; assert O_containsIMM = '1' report "(2) Invalid containsIMM flag" severity error; assert O_isJmp = '0' report "(2) Invalid isJmp flag" severity error; assert O_jmpCondition = "111" report "(2) Invalid jump condition" severity error; assert O_isSWP = '0' report "(2) Invalid SWP flag" severity error; report "Finished decoding 'SUB ACC, ACC, 1'"; I_instr <= X"CC100005"; wait for 10 ns; assert O_dst = "000" report "(3) Invalid dst value" severity error; assert O_srcA = "001" report "(3) Invalid srcA value" severity error; assert O_srcB = "00" report "(3) Invalid srcB value" severity error; assert O_imm = X"0005" report "(3) Invalid immediate operand value" severity error; assert O_aluOp = "011" report "(3) Invalid ALU operation" severity error; assert O_srcA_isPort = '0' report "(3) Invalid srcA_isPort flag" severity error; assert O_dst_isPort = '0' report "(3) Invalid dst_isPort flag" severity error; assert O_enableWrite = '0' report "(3) Invalid enableWrite flag" severity error; assert O_containsIMM = '1' report "(3) Invalid containsIMM flag" severity error; assert O_isJmp = '1' report "(3) Invalid isJmp flag" severity error; assert O_jmpCondition = "011" report "(3) Invalid jump condition" severity error; assert O_isSWP = '0' report "(3) Invalid SWP flag" severity error; report "Finished decoding 'JMP EQUAL, 5'"; I_instr <= X"10000000"; wait for 10 ns; assert O_dst = "000" report "(3) Invalid dst value" severity error; assert O_srcA = "000" report "(3) Invalid srcA value" severity error; assert O_srcB = "00" report "(3) Invalid srcB value" severity error; assert O_imm = X"0000" report "(3) Invalid immediate operand value" severity error; assert O_aluOp = "000" report "(3) Invalid ALU operation" severity error; assert O_srcA_isPort = '0' report "(3) Invalid srcA_isPort flag" severity error; assert O_dst_isPort = '0' report "(3) Invalid dst_isPort flag" severity error; assert O_enableWrite = '1' report "(3) Invalid enableWrite flag" severity error; assert O_containsIMM = '0' report "(3) Invalid containsIMM flag" severity error; assert O_isJmp = '0' report "(3) Invalid isJmp flag" severity error; assert O_jmpCondition = "111" report "(3) Invalid jump condition" severity error; assert O_isSWP = '1' report "(3) Invalid SWP flag" severity error; report "Finished decoding 'SWP'"; wait; end process; END;
mit
a08fc79897974f144c8411f7a746f146
0.620276
3.494759
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/seven_segment_display_mux.vhd
1
1,839
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_arith.all; use ieee.numeric_std.all; entity SevenSegmentDisplayMux is Port (entrada: in STD_LOGIC_VECTOR (15 downto 0); clock: in STD_LOGIC; reset: in STD_LOGIC; output_h: out STD_LOGIC_VECTOR (7 downto 0); current_display: out STD_LOGIC_VECTOR (3 downto 0); clk_1k: out STD_LOGIC); end SevenSegmentDisplayMux; architecture Behavioral of SevenSegmentDisplayMux is type STD_LOGIC_ARRAY_4 is array (3 downto 0) of STD_LOGIC_VECTOR (7 downto 0); signal display : STD_LOGIC_ARRAY_4; signal cont : INTEGER range 0 to 3 := 0; signal disp_sel : STD_LOGIC_VECTOR (3 downto 0) := "1110"; signal clk_1k_sgn : STD_LOGIC := '0'; signal clock_1k : INTEGER range 0 to 26000 :=0; begin clock_div : process (reset, clock) begin if reset = '1' then clock_1k <= 0; elsif clock'event and clock ='1' then if(clock_1k > 25000) then clk_1k_sgn <= not clk_1k_sgn; clock_1k <= 0; else clock_1k <= clock_1k +1; end if; else clock_1k <= clock_1k; end if; end process; process(clk_1k_sgn, reset) begin if reset = '1' then disp_sel <= "1110"; cont <= 0; elsif clk_1k_sgn'event and clk_1k_sgn = '1' then disp_sel(3 downto 1) <= disp_sel(2 downto 0); disp_sel(0) <= disp_sel(3); cont <= cont +1; else disp_sel <= disp_sel; cont <= cont; end if; end process; current_display <= disp_sel; laco_for : for i in 0 to 3 generate display1 : entity work.SevenSegmentDisplayDriver port map (entrada((i+1)*4 -1 downto i*4), clock, reset, display(i)); output_h <= display(cont REM 4); end generate; clk_1k <= clk_1k_sgn; end Behavioral;
mit
cd10668dc9c2777ae10317056baab049
0.597607
3.116949
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/asap-alap-random/ewf_asap.vhd
1
3,066
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:22) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_asap_entity; ARCHITECTURE ewf_asap_description OF ewf_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register1 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register2 + register4; WHEN "00000101" => register5 := register4 * 8; register6 := register4 * 10; WHEN "00000110" => register5 := register3 + register5; register6 := register2 + register6; WHEN "00000111" => register3 := register3 + register5; register4 := register4 + register5; register2 := register2 + register6; WHEN "00001000" => register3 := register3 * 12; output1 <= register6 + register4; register2 := register2 * 15; WHEN "00001001" => register3 := register1 + register3; register2 := register2 + 17; WHEN "00001010" => register1 := register1 + register3; register4 := register5 + register3; register5 := register6 + register2; register6 := register2 + 19; WHEN "00001011" => register1 := register1 * 21; register4 := register4 + 23; register5 := register5 + 25; register6 := register6 * 27; WHEN "00001100" => register1 := register1 + 29; register7 := register4 * 31; register8 := register5 * 33; output2 <= register2 + register6; WHEN "00001101" => output3 <= register3 + register1; register1 := register7 + 37; register2 := register8 + 39; WHEN "00001110" => output4 <= register4 + register1; output5 <= register5 + register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_asap_description;
gpl-3.0
e646ce047171cda53c7107b073a91cf6
0.681344
3.41806
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_v12_0_top.vhd
5
607,545
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block gjxNsCDFkC9M22hEUCIn5A9J4SEpzi7/2EIXwqj7B+rMuUupqgwNCW2JZsw95TSkCmsvLqSSQqyC V87S77f4yQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block f58/zXzeGXQ9vWzEHQdRdZdgO2jFCxslr/TxtXLsWxLbFxaAPBn++8h9AyzMXT8Mu/6Df7oE/y5R fjgH1CxiWFJBRknc4BuDASF2DL1eVs0jO+2jqalmUUvVjErb/hTrrwz1jS0/xDWx67Sl+45QIkCE +WQ5BRjnn3TzJ2M+u4Y= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ONwt1LxmBGjLQoP7iZpm4qToBvuznMYjhxFhBPzDRIc5dljyvKcQW/FppXFNGTRWtxyygCmP7uFL gvL0H0wFgnek632oiArDuIRWY54vtDWURSqSEmHTs+iba7UWIL0/e20KP0NkFQHvXuwgujhJas4p PDvfsIuUnovWEBkq0Iogm6eijGLiefQi2sAuA3wydFUYFOcWVOf7Eq1Q++/xwsYKdBoIXg3j2KjQ CLJZftds5vS7unNvevXB5d+DQHah36zsY/Tex6DdAPGbuGs0fqz5O+GOjsfNWHqfJRp8kxeW2tN8 6GWgwb1Q6B0u5O9OI2EPG1g2uK/CbAT00PzLAA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block uihvvqZxSdyREC5QfeKbV0P/ZCuPIotzXGusCr7keBCpbki0WrNXA8dTkxjR8GXOg7P1l9mnd6qG w9uFqHdw2JEI2gWj8F0Pf1zBtemX+Fc6XhkGgw6o2PlXSvQPdAsTtGImc8KrYBWs82A9HCpPEqvO dPtgPtNn5LGcSwR3RF4= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block UfIJpkd5lyq0gGuDqfkQw4tLJn0aw0PuV2RpnTTZ2XKRQEmSmnQWrM2cynDysKoI6wwiYVceW3BW vrKbVYlzUF3fmGGVnRjxXRC7bpce5vTv0wvFNq7Xo+8i93Y5TJokZxoU9bQ/YT5dxRcGHfo59bDz U11uKxaH1ro8q6BPzXWZyrglFLqTbd0B8Bn2CysOhksoNhPQX6xTIq4PcFiOgIoDU+dQabA6D1Vd NfXQC5iRKfIewvxWxLJwhfCYTNyB5f37TKIrnKuKCYK/FZVkVbJ83hkPOiwk9GupjYbbe9uG3ooK 5LzlbVaoL8mqHc+viP9lxurOGK+B8fPwv1D/EQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 448000) `protect data_block e0PrdpaQjt+ktc9HCrOczRE38kqN2vQYeAzwlr8cWJZSNlAMmT4oUXnEO/F1leUdSCdsDBECTK0d kuKeRHCTWgXwi8f6xjwDXAEvsgPHTDYhQSZg1Pd4GKUMrfD8P/dbNUGFknMJ96z6QnLKG3MUxS3B Vl/3TK19OHmlcMhzlVAMlV/QAE8TU26gXfWLJVffIu0Ua9XDnQc444g1bS5EeXP9zeFgUrNtLSfk 25Em4bA5t/qP5Oql596W30DkrtLHVL6zB+QOplX/zrOPz4Z4x3OQ53LP9DredTf0Mf6Kh+lsPRNb POYsArI3Rf542zb5EsGQ1o/bHxvyE7D6fe3dtQqcn9DUeLjQlDnXNcPa01+VvoYPAVfp16dxIo8b BWsHV8ZI4Te1uF+VgvNWgc+5Lx7JLZAb3fXfF9HH8RB9M8DWKqPT2nNE2GsICLnuSaz8Ytxp3iN3 emqOIEaJtIF31au4UcsikH/nDsDJmT8Q7yqmpms0uAflhbuC8kM0Uv4/pxEEpQXmukcFLJboI+m8 dJv0vNaGOchU3RYFwqdsyeCo/ZbXos0lrEKXtU31x7uEt3Q8P5WAEDCmDLYXvETj8fDROq8uTRrU DFjODJ0uxzRbdSzCzxNG4ZRpckJ32VVRKqJyI6hZNwP/LG52lDHsl5Iwg1oXlVRBdmODCnt75G3n wPTAKXHQPTHTKd022Jl0+iAnHvweQmCguULvVMfrklGJ+CyJXNcK3JAHBt/2GJwQwTk2b/XWnOzw efCXuVMccyY7LIcxYjwuZCWBli9Er7UrFbZA/+DuLJ3j7b57xrtZKFgwqYngvaErbBxLM0Jv4kFR U6XE0jQOIWWeS8SD9vyx7c/RPT3n/st0N/zez4uHF7iTr5qs8uvlWtWb3BoAcplRQ/e1Leaeo/MT T9iwuEEo53GWKLoLmFwvS0+t00weyz7KxVia0H0CTjsBJYaqWV0KBE0y3Y2HXjVFSWdCw69TFs4q /34vLy6Pd92yWjSreP7vOGIYU02LmNujVYZgvxzdAUqTE3odrP6yDIVItA+56gKaXVyFctCo81GJ v+2dsjj2VnZNT3NXNvuUhYBbNl1gzk2WYwoKhnRl3Hf0KlZYTi4UiCklatpqUTmG3hyhBjL8EDW1 OujZQNt2hCMNwwLxKLmEVg5zl+FImcOB9f34gLXTD1maaepK6J8JmvaJwYWw+6eEscGHGDjV/yh/ chDJh7aR3cWSajHStlJ/VwaDEeVacp9HXD5I17wxP3m2/Rts2o3TgQDmssCt/WYElEbgOudrxiPv xvXYmNof1GJFD1zpxHwwNCbUBD1etsvR9z/RKw46W2pUh0Gw2GvmH34XY0Kh6ah4VY0Jpx2wcjIB DsJyFa2d+8fQ6SENY0AuJv40NltjXuAeSluLSlzt3luwnTBN47VV971+EywuvaJDAVHLQ5iAv0ZA gbhtXp1abQdNbc89W+vCdl0Gm7HETpWl8c/OL0BxnbPNI8N9VglX6ppF5Lnp1m15iPx8PR8UCBht VO7+B5UGI8XScL/AXncSjYNBSJQbnFiVfxL07l1mD9EOtSpFTmRyUC9Q/RFtjkU7ErG1shPgAX3B evEbNWjOkkvDDdbyRAqk60RraMnfG6UVPtxgl5/mfROHWAhl8l/3brl031TUF08A47Sv9WGlvMl7 K1mFyx9H3w7Ae4ksahksSK8dDj3U0sLJmpnmEP9dCQ7xS582xmwq3jCQGBBbWfF+4PpFO0Qv9idt APmobhDZxmQfKWeY9y+eSCnmjaHIrBY5vwmrjkz0QANLgVlBQaF/8c91tuv3HV6wJN1p+IidzqJV Amuj2OjcaGr/dMyqidM/duKwfqap9jHSJZqaIxL0esEEfI7ksLhokK1zgBcqHJl78KJxg5hHxRKH D4e0dFMYtPjunFOtlmbFEmjTgZdhC1z18zHYrM/FZjyM0+SLfoI8FCrp5rWFiI+qE+jxz5hWJCPH hiF6KMsdizAeUP2LS0qdQlQMMm8m5q8OOvm7MKjT4HXSJsXGTYY7Ve6yISHCllrELx4gSRp2fdmu MQv6yAlhliwqVb8Y0HRjqii12AnBCylxoVHLufvDuh/bkT4zeGei5NJb/oP11luPFkNoUWC1URLP 4WxQkvETpoBPbQumFm09bbf9EQiVDADeYwka34iumlXYePU4LjgeRLQXAPZ7EovwQnajVxHUPp8h v3O7Hsqd0msC1XABz86T+2B7OxAk2IpkZ/qkpYPq12R9kRXBANkV6UoOHLYIr/38jUzUjGLz32px /YikkF9c6I51CwTSBMmhYifon2Nw2/DMwFUeTWg6ABxcRcoUGXhdxVrhR4M+Ltck6J75vslnW3nG Rd+H+9Zaeh62fzzH5TxpmH8RmTGcgknV5GgcI1SqfThBbDAxS6xYCSEgaOjourFUTU2d1jBKqJPC oA5huqrQOeLIyDoUkFfJ2U2RiiP8Tq0ruCONJ9kgcsLWXhGyIx6OfGwBGSp2dSxkhgyWf+l7jyYn HxRPkNpSlAx8SJ8wVS1XW50DBa/p07Tw1jTV7blJU9aYsUsXHqPYJqCvx9w35EcSvJ+3klgZN4m5 0NLk1AGAzA9UxjOA0Ohpb5G4qVAvDxjenl+3M3pgAEzUhv5LvYEmOX89wjb7twCCul0R6O6WdnvO ufVFBou0NCUfA5CSrvU52uvKdyWclMOVRTrCecXUyXMsQtS84kcqNjeE9XVnGmfns2KMr+3knZ2T O4BNTAFehpgZYAmh6Xomt/SCAgGkDmAZA3dCXxU2gUfhSMXV1sFO8CKYDx+aeEEAXtjZsdBKpqps BSNB5QrSZrFlLuBa4vE66/sBr12PV6aHW3cyumVrXfTpfYyulLZPjNgWzlkjTCcN2utr9Yv3XcDP yy7JCvDGqEJk1bEOhY3/J8catYViLmkyGrB3C7Y3xDrF/w2jSAF/IuiLwOrkrlvgjcKDiE6GFbCw uIVFbqTU9w+TGMGX0cffn+G0TUO2ie1qrsAP6n58eKT2MONbs9keTHsdz12726GY/DRF4YO7ypGb UKPBhh80ap4eKPyCbYSMatZNUb3pXI3NGGy3QEV4+SFZxSUs5PA/o6RFWJNRWiF5qG4Vhy3G8zsh xjDHieQ7aV19k6c6rF2k67P6ME5CGVcghIDISzTh4JVtobDR/LoitNN7TW0zkAkmj1YjdmgFuc/e dykPH8BjXf+/XBSOI6zrKmLpoLLMV/4rirwPY2wmVkOe14BnqrO/8FQH4jsNXeI+bTVAqKKIaqDh Dd3T2gR0s2VVhO4gQkWlFYOQA7hVcJRtxASj6S5dl1S+pWoMfilzgQ6xBz8PWsgA+Tu1X3Cv6YQT MjB/pvTyYKBMXH1TJM9ZKFUreRPMv9DC97fRsV9jgXKudr6EqUhTh/vxoFwGOVS3o3q6B0GuT2zh Zu7WM8YdhEHYCoeT3PUh3ygGj2y2x5++9Hrtg/CElYUJ+ZA49DF+/+Irrlb5+5mOM7OouAsJZaeD h2GEDYXjKlvtM9NIm+lc2ClgfVk42FZJD4xPAapBSjdIMHPYN0iy1CBbmTPuYFIvYVTlOLrQnz9K FeOcGVo8QEURVJhjlj8qTaXfzwETLS1SGAFmr++gmhTWG5Z3kVJNgxIKy+nQT3Kayl8K2s8M+wMf vlZdFKYEAypbtUkn76dOo4we00B8AMCTvXCIr9DiBoWUv7312en22wbdp4oRjHx4i+RIchURqhRJ 7GfOaAa+tmvhd+b2ZxfjO9MCDHoY9xzq7B1JR+dOph4jP+H6Ly1gV0DN2eRDVHUG11xWfQw3Nzso SAfKJGopEqxvGZSYyoH0hZxJrBG7LxqiR5T+rHTJzivNxD2oIpIK5yZ4GIydl6x6kqXl90SOuyQ2 GThAXxD3pz/2b9/932RHnB/EO7IOg4HWCFmeUQ+ZH8xG6Qtx1izukqCDGk7emzJ44zuHz3MYYQnD R1HBZKfIeARAU5VdzShBqWfY+FiVZrysDG/F4iiJVt3RT4UY8f3RkyQewCahR5smqi8vXZit/BD7 17D2Jt0sWNz+/wqskLDcqbljfK5Fv/AHcD+BgBvNiNqK16+8vjhfsaQuKjCoQNqyeX9P+5OfFgwM XK50XnF/cRh+UjJq2QN6xztK8z4Yi7GRPB0bci/Ds5zBSJNWRwzXdqzY1BlCJdYkI8hIeIY1fB54 ZwTVfaCAk5n1fxL773+BB1lHsR0bZNldsNF6iM8jcrhtdn2fQgCfcegO6Ttk7Jqhj4LesO06rU9v f5KQkPIztGLFq8zsJDkC6ORSAfp1aKf3Al6ajUVOsDQzEH7PGUqk/oDXDVFZLGUpG0hEwrssXdr9 PH90ZcQOJfzdbI+RAZouoKBdtDQJGmt2sErZBaKYIGCpsiB0JGpiVm9A8VU67fukQESbDBhXRMn/ MqLS2TKkdCthnjoFToxn6C16X8bAiTR3ZBlvkdbGGS50WV/4BMaAgD99CcRhJrXCiNOMr9T+4Zcd BmwAQOa7HdQvmWkP8NSEmD4EDJalTqhVIOsvZLumTwuJ8/TFODfQ6CCphFQ6W7QoUrrUkrgGOzvL 3UXHpXdh1akJv76DOYC4i1SoA9xsvi6m9sDXzNoXClPZMgrkX4W1VID9XuHNCY28NnzOOYyVx0p7 n60blduD54OxicoPAgZodx+L24CZ0vlIlhNCEnAk4zCgeFCsqusrLBc6qI04mPhKIve4iN088qe+ MpQh/9mOoqN9qrP+m1pspO1IxMJLg+cMjQ/7xIYhJLZ1kW/SOAS7tL2nXiYFUvhIvInWye9++Tp2 GcyDmuN8epcBFgYULCIClGANVLMmxEYqr5VJxRcchsB5/ABGyMe6v+T+4YG/4pVm6+h9C6ttBVcp TxJa04c27Hq/xvMGp1tqLUaWD4qSoyaoMQ4bXNpBRZNUiWUUbq6PmMSJ1DudUOt899/asWCGr/4M 1aDeF69YJzaOLmyoTAOR8GFlN9xXR7aSS9py+Zi8HTWKuanJUDWAVRpXwYgXTxdH4Ub8DYNvKMCA yrf0oLqJk27Fce6AdoXJC+96+2mdX42NhQX3LLNZlpdX/EgU4Cd6vUPdL6fVDwq/Q32a4++5TlT+ UBpw0GsvqXJsU0+SPfpJLFMvr02lkRLfWGZvfQInaYs6PY4ltDW5Zjz+h1Rqvsifdu2OLL/8Gxal 5URak0G82/cmyxEEvmKQUobp72+Vu88Dj1qYSQpbxCOS63XfIkjuk/uJM+p/OKIM9EoxcWc6KnRG kGDFXI4fz5urpBMSEVtLSdLMCyXVaZ2+8N+VErc2F3jcdtrN9hxIN0sVh3amKGJWWDCIvW3he0lw zMdZFm7mRVgWqvCu99+z6ClMH4B0SjIDu4gPws6fkCy5ZNRagxXZn+ottM6eXQGWhfy1JaYyCOOQ YvZESsezZAF0pMts7LkAJVuXDkM6gdm4R2sjvBzTXOGv15uv29e08Si8+KwZDFFd/pakzKITZWHo 8uZeAFfVkt47OBKBbRFuQjAcBbGZiOspQid/4ZRaLSmZ7BVuT205DSSoZTV/ur0FXUsxOXGNosuf NXbfatk0c4nKp5WW3tVkTVjqnaqNRGGQzAdvVJKiKe+gpz0KUy7U6LcOoAv4aV7EO2hzF9wOpSo+ ZnwL6aAxthIr2YBLZTP/3ZOuecypVenXkceGnhLgqzfrM+E57lhqvZ/KCdoCaUwy0gqdVjinTUDW 2eA7fuRjph1s+oDz53PnGMai0c7QYff9feox1yERzRDSqc84b9NfKqFRKgZNHrbCOlNe72SMFgQ/ b0FD2Eem4Is5cgYe9kMchH52SCbVdb2RCeqEpGzFQVOjnrrrjhob1VxlHHXqMwUJ1q/3G+um+cBV h2GdRRjpw70H6vIoDDUJR+ndx80HBdrEnf5OJtI51bB+cZgt36gvcKxcwiojnrjX5uicTTYCVz8b UfYP3kDemeOywYnB3xxbGccU0PwXh4S/z3swVA6d7zFhQIkcs0ggv9oHs387pv4ckrrAjvXgfsJX nScrYlBKoXosjrUkEqImNeFa61DwzG8L0upnf4iq559nQvH947ZnfXbg3skZ6/Yj2gt/5CXOXCBY HY1QrN2yKizkuHPSEXFbYKBhQY/09J1rTdTFMDRMiaSRSjPcWlwVjIWizRe26K39yS/P7lv/OwTt MJl/VBRLnPfPsGUvV58fb1mZKgtpWzUIGTOhnqFHbhWSGJ7EWfBbrAfNZyC64tqgUrw5Wm3wPObH j9ZYti/7nQd9HXk0LJAX3B164PpBDQujWlGudEAfJlDn3RVA0vq3csRkK4fhRv3Ss+YC3GDg/Gno WwYNmTp64i5PoFZuPkNTIw7JtYVuzI7dC5A7ZnQdB5KquLRnRuDRlfKSBhPZJrwFMa1Js5oUM/9f m6Lj3RV68/Rj8QklwF9FxrQs4hL/zP2ktgyTNmzHV95sx3ZOeDjKwGeICBVsNbWP/DT5H7vfrFQW OoOxiB06MmujFE27t+wpaF1i6g9vHm2L614Ba1IUn9kZ4XW2gUChYltcLd6iYCw56LVPTvUnenBf fJkTO56CYA72G08WFt8uuyCxjsBxlIiKsQvHmEs32HsW8KCeRxk0XgcmeaxLZ/Mm3/F+qu2uwCv8 vlWbCHnxn8bBkbvolirxGBs083Uee3gfU0ZF5SI1KgiM2vytIIT+bsxfHSZunrqJiSZlz5o0kVEF 8QBkryfDQNCCbCNWWE/DkjvMrRfGnc9T4ca4AJ2rVIeqkjkObTN+NhhcVvNalKPkW7zy3GnA55Fy ZvRaLjTqXLks1+lYMyNuL0bvgF8u8mPRdUcP0vUrSehZOzgIXh01d9TXnXEaAmnaP0cFNE54n8rl 6wehmtvkoBKrSrN7imApVu5qwTSnuHwCH6xbN7S+ViuTRecuzfIUJfNM1QIXTYlF7s0l2A/oOJR4 EqUpLf3w6+awyPbgh6PQd/I1eIb/VvdABrpyWXoDmoz2Z8aU7EE0A5vw0BPlvjL0oQPo+uDvCetZ ByOOX2hxhMkr0+TjSNShIHbUCQ5dMDhxh8oXItKgc9qy0ZEPvuT3D7BT8ESs8/e419qHs6s5qM9A G1nuYV2sTQbFuCpnc1Xb8/DBua8vfkQkFvfv3sFJEGwk71doyaWCSlDxr1zz7q9/NFGiGrHiGoFi jrMleYQ/WzRnImjXUeL46lkl82Y/fm6TMFwuTmzT2ZQQ20JaxLUmU8eL+gEMjlhtZHZeHuOI7e8l 0qpKyGE9+Ml1ImrmKkBX7CDyGcBVfzU0HK1aW6RLuzw5CzoUrsL8pybx0w+Ipkb13zp+XvINGdNv lheuJxeUtmZfJQvPh6CSfh83HllGwdlh7im9U0TGiWavHk2F0KFOgAIhxqWT0M22YxnPFG2JIHyV ASviWRal6BKga76LanUYiAOnVcRzomGr3dT+y0ryf6+NxEsujSAELJL1tg1n6SfR7GI6ZuAPTZg9 57BOEdcLRqqPqoOdtMEpohMm+q0MbJ1gIM037VqyFvIf0CLKyNH1wD03xY3t41G7eyR4gHrf8bj6 +ZdAU0kM+eTPFTACHeHhNXjAEUzuSroZw6RqxwWL4SKl1z9UnlMOnMvYOMZKZCQ0ig1OM45JWFHt RhU14gfw8l0IVjmBLdFECwA3wwDVTGy1JWGYkZs0UPyQ9YynN/mldFA4XO1SSzW018xDNXbOG8vk DMy0U1mESlpEiU9qtK/M1N+Jvpm7+P3mG2n7o9HemJmCXzI9Q7Jq9bcEAIxMkmgjPGvF2BNodXXL LTFJcCaWbZ8sPsBN+sO3AVwulQHYErq7e3fdMyThaFJEG8BAhmzkLJHYqvlVhZUmvfKPllD7hcHm GAcQ8A8wpSuHBf6LAK15geNVIXSmZ3H7n+G5XU9CIWL7BO2F3L97iVo1a7AZOJTM+sa7tsmWcpoP mB9J+Axk+QANt/2kVkum4AIgnYdITdSOuwOMy+pG9IPwPb/yHfgZbuHbOplIbac2cOy1EUsjj7pm RsroFfBZUcg88cuHcn4oV+FmR3InXw1Z5sBMldBorFxdK8wrAgGHAiUlNJd8hP+S1G9rtdPM5E3/ rjWEW2fyOpu/UFoRqOJ9vTAuljXFRvbTEIBYNjoHgGXXO+9a+C/PktUI8ZeuOaf52aqCTq5rI1XC 3Q7gh90PpSALgm8SniJURxpabwkTbfF5Cd3VBhRlQzSi631QK4tac5ACleuqlmhwlDmr6kXrKD2T H0ilMLEiIkRrYjIiCY6fFTqVtpsx6JCm+NauH/biFE9+cLtIh8AmFHMVEeFsMmxSFrLSeVBGKBIM Jxm+FGEReJl7AsTwblY2D1niJ0KN9NkrXiWSmyPCYCHumxltqS5QOi9wJSc2pnc1aEpA3g2beMfG /lVSDcSiusH61tiO4gEZokaUNP8RgT5RzFTL6fUGVPaNTxvYf7Aq+lKQIeLgu8xv6Dr48gjpJwWo SwvlKNVUS8rX4CB7FbPzgLO3yAPjcJiPXw+TfoUqTyIh6TRs70ShooTwPhUjndRJbjFnVojnt2fE ERhgrdsxGeQXhZsJNl245R8375W4BPe7ihF89pTkgmXQdDgiSs7knkpRz3l4jdUxOh+yew0VZ5FY bpw8PfkJ/NlMLE6mWlU6GPkhH6rwQ0uZpeAIPWRMzrs7+pBTI3BN7ch76/b+SYMLoNEZOWk0f6Hy Qo62nRmwhdTlxwv0+mgtBQVnY4W72JoTTt75xKfuVnZo8V0pC/5Bg4MbA/fSorVt97Zsy5TFfZyK h1W0vwVwpimP622o2Z3/rjaRWvRj6sbiS3QBfalgK+ldxBIvn0vMgG+VUNDlRBHmC2ppknrM+qoA p3IkSzdGaO8FOnTnSDh6g9dPihRcUvm1ZugFJ2+GPWQt+7x2f1Qjc3jDi+y1if0Wg6GbP5PeXUDp jwzHqsU9z9POWhF/K9PxO7mB0iwLdtuStANN6NRLSTcLUgUcWvdtzXMSxLYs9cvv4kjTMa6BgzPV m647WmuTKTwjeEMsRHp6lDMAwgQexl7HAUT2CSBr9tNWMQfpuUx9HoxXVgTWMLNzfxa6ZIpEibKx qtmqpLP4LiyPXB/dqnHZ8yFCfrLiewBj7I/YC0KlebIWcyIJF3kJOCcM2zpytb4+4S5v2GrZHkZ9 3pWT9pTGUiEJUhdTj/h9VE07UBdHBoF6LHl4gqzs0nMFL4ukRKKruWyaT3+YyiEPqNwT0SfXuy5l iH7/W8tJjB74qlAImO7ZAYsPG7KyF1L2ba7R9WyJ8Vm2YKtJqOH+D3PwzPOrGP14DNcm5h8l7xNR bJVayLMOz7W0HY9S8wFP3JhHQLIu434tlb8kHNVWz4KPWkikOUNRQY7yOp/bm6YLJLAfjq60f5Jc o3pzzWvctr64mjST51J8B7rEwUnwPsn4mGB6HMghNL6/Jfaylg5j4zYhB912z36HP7giTvbXiGu7 jjYFSpI/4yJhz2CPRo9KHlFZ6GLockN8z5Udn7kk0pr7AadqkyebcAXmUSh8H/N3phG99o3qI7VM D+fT4NzUqpQ7yz/OIYTtMFNYUxyPIS1jYm+xV7hJis6C0CYWngq0dUhs4k7olOYXY3ywAuTPJvQ9 kCYEhGf6cmQCsp4INBJAbawLva8IMjSC9nSvKADyQarKhtcj4MOA2SUrSWFEbWYrhosj0RLUcpcw 8WikkXi25MgW5JDd4HPQ8lRG7P59ZwReb22J/3K2kKi1gn03n2ZsH37bRHIZS4EWwlFjqKlmunmz e1VPqSTAmOfl7jCV+H5RY7rkyv0nzAy8nK/al5xZfOmE/oXQGpMXYPRxD4QhEYizn3agM8ENlK08 FYe9rvB3PWdzrzIsZzj+W398cm3vzO5BOCqxe/xWS42WDvAKjaMSEb1huHncTH0qBuwgh0nDsqYH Uylvo/NqfLdbTxLhiQywcw/QOAW87s+eAROqKbdeR2x+D3IEUr+z85hCrKHme2iakeNGhYPvJ3lq FJvgmWVQiurPLOP9ScJ/2w5RHUKzHoExgaP8wWHgdSKgmk/as6utn3sEFZS8lhfqpg8u+oBWX7DC hdmoULJLI6fQx18cyg77cZS0xjnccJUkz/VL8PTCOk5GYjohmSs6i8yHt1MZ72cTHxtVW3zYaJ7Y 9le6VgAHPGLlQE8bsRZweo9gi/e4dBNmvsejznoKdu+L0hLtQYkLDQ05FXYxhc9bamJAtP+aQ1NN wDdZVnB2HMjrZeNUrPPYDsm7nbMmboyS8ZlBxGEBOySZHsBZM+fBfWM0JGbH1Qp/IGy9Q+UrOqLC stTMCSSEjebFCJ8BAeu62XYlllya9WB+cHje3JH35sZO6rkAaImEXf1SZacEX5ETAAivh1x/QfTS 9fAV6qjaBsxr4YjO5/+gzIhDlEf2WDOnJSsakDGDo5BJbx1Rc+JWPptMWqM7bQeqgdahkRC5ZU3i 2W7KODPysl6mWDrEKicsARw8nC02gqZAHxa4iLg0prST395H2U18Ym1I5RliTwyv6sUNHXnjfM4P aQfOtWbkfwco4ZShKDPMhv6IDWwJGn8AzURBxsrrT/5NG/8EYj5DOpjaCnETga8/g5fR6xzYdjgj YG2RRoxUGb5Y1qJWu+CqjbaMH6w/lYucdqn3EefLpv06kNJNl7KYXPU2r7t06ZQ8C9ZqMarqYAy3 +8Vx3B4m+Q3ITOvuOaYOjPZ1ohoozeQ6tVqhuQdwhapzASwDEHxKlTQXGijl58BF8xvtQEdXSk7f JjOYgtk/gdVGlxjK2uYbfBm1MN+GETiGSdxkMSxUnVPnVMo4EBnK6izjdNlFk+aWsN5RPZgFBYYH lXcM9Md3HNDik/5buJcLqsoa/vSob2+I35lDx/ogKkedQz8Md/od1Fqlv04/O1J6PHujE8sAyID1 9E3y+Xg/ubLWG8wOWXFhyLOlWJKmHSl8i6LOMWtjcxAv2omXr9kJHc/wleVpixtrS2roMhYdopVq eNvcZC/QqMScj0K3IXEgRb0tThKSbST7fJyyW5dVDsVOVumU8ApkrQxi3cMjxs5Ywih8oI7BlxnS 6QEYYUfQoxjVkGUehmgIvsYiLEKIeXLGuVbF+Vg0vsJZhHPpSbrtR3AbWM6Qkm9Ts0H1+IeuWjiO AJcu6sV89cLVhoGWZrRi+FTl6yOsz0qKlqYgVi93vf1472yM28WPqkiqjMqbgHGOOZBpCxfqcvf+ jhA1QiIqRXXoT8AaEKQeQXbBtTmRRnHfRF9M/xMkOLpgVR74AAKDVFOjy0U1J16dqSaoCGHSHQLQ +wj47WRAQHgSXEcmfNad8yu1YngJxXVKgxOx99ipVZEqETCsprTxnF3CRoqo9QQIK/zcAipUlu2f kQbXP1dqYgCsQz+CSnt7Buj0eew/tEDzO2xJBFsc24PiKTv19+w/mVUDPaNzDqFG98VteC0jNPkG oWXHVUPJVvOyFzsdpyrco2SLjR3jmnMpsXdaoPLBOyv1GY8mriUa2ZpQwl4L6KetyfbMLC9i6Gen Kzjy6NnPIHYuVsH7tiMf0TekPPxEdwXA5rYpnPy+CGfQbcc8ckjRo5SyqwogIT323uBSmOeGSxKt hqGzIyiN7yMlOEds1UU5Tttm7Hdtx+X/crHpPS9OCyd/0bbWBdkrt0SqpYkMvm938eEt2Vc6kXEr ceUPppjQexpyiRjHWVe+GOdvDEbp0syArbjHE733fWh/penwnNKQUB3y+enw4/NxvJQIrFC65D2g QUQGNizNdPJTmdflPwEbHu804DJ/3O6ayokCgioUySkySznObBJx9j+uk+ppgDZHcfFpHGV2AAb/ Or1XhzJ4b0lijOR2zMvksGcbVHAxHgMdKM5zKtk7Vnx68BUa14e6ztKuzZuzSH+ea2AYqxqpJrY0 tuD0xx3zUuswTvGL5zCI1Is3WTqkyM3PNolKTFH3T32K//xKFZ/R5ZMHOxqT7ZEM0nHHvzV81/iN xnQBZyBgXpu2CdNyvvKmFi6/lCA5giKmWQHB+S4nBlJWaqAcAvL1TMK5sG3gGZBv9qfeswqcaQVS F73FOzMLqV047HpexaqVFz902U0sMCTs6RFeMaJTqajAdX3Rvf+86gfMw5GOw3t+59K6+dd/GSNY nXX3HjE/EQZQ2LoZ3zz2wlWUN8ZsmuGuuV5W8XGzd1JyRjFMyEIKiM860RJ5TBdhshy3sMIxuPBM 375vy4CaKNxMmTajuCUrmyNV0jJ1fwdZYQe2FAEPMw4rvrIhvLRmIRVrlfsS1gyj0YO8Py/4qUDa MhrCP6a257QEly6Y0VXh/iXitLl7Fs6aVM6D32PpRkszgGRUoeAw7nhv+hKxEu5uRoQOH39nZbkk lEnAn33N+OrepYacqXLHma/qe1d5/PFuU8On0BXFicaZ5loPHXUD/J7SAs64Dh0OpmrB48iR15E1 o9JD3AQhLqeC+ns1pZMN7QWKXGD/ty26P74bn1D0R2bhOqEwrpmBUIut+MFdL1j+cfjElj/Cpj9H /MXsQbnDEbGr4ZG13C3ILKpPGEeZqHiktk8tfHeeNw+FALgj/PLrYXd/tYF85X/d5UhTsveI0oSA e8BdGpc8Xnc4y1fVgzI7c+y5RBUqLnUIGTmEVMZUsIQqf8KocpCVa9U+SsRkoX1cVkGUTUv3YF2g wEOn0oDiqw6qg0JISmstrAMtd2eV1UnlS4ADHJbM52JlUi1RhKeph4r9xJ+87FOrTIBMGceIhQ8M oag2LxOh8w5fV2d/iCxvaf3/BHZBWejyWj1CQX8pb0tpSTRFbUhm3vmBi8aLNTJvIzS23/Mzda5a AxvbND28WstEdlnQ5eLSTRMz0QzcqB+HYrp4TE7Tr38hT14JC/oyRg70Ngnw9IWbBs1X6r/jzsbf pQL+Yr8UuqaS8W5F8WKRAYq5KMvxgfFamgDLiTAlJ8izJdnsNwv9bqBlRPq3NR7Iatvtvwmedl6B SAzTr33XY2rp6bME8lPXzleavm7XIMIk0Mf2Cr0lF3ppaUjTzwLne9zKOAAK4NyzHLQf9xUy82xq b4/fRl1fZ0tx/Jh3PaoRTXg5RzIHMsFYny2xcYaSLZLkmiar9Ix8WhKC5Q1qcWscVDM1yE3ypXjH EygAxsVntAdvBBxMJ2fz8N3eolwpijovC0bTZsHxzTdcud2V/1iyWTFvO4CeZg07XVH1TqbbJ2LQ +tTpSK7UYiQaQu/cYK9SpixghfGBL069S0y47Uki2WJAN8Q4hcjbOO5zFsP4pEuorngKRDhdFW4k L8Z1nvGU4ZLxRp2/6fndjbB3LUvaDipmZym8U5V+M+JyjuXD9UWdiQmEnX4HS3u1d1SmEVtMGbOf UMvQstHA6md1r3pUWA6dR/j3f/+d/r+TOwMzfSocPaKEPLOpUeq1VaNgEwLLKfqcZPrBcJKpMLBq HEwUdmiMbXUHPZ9Q36R/s5xDbvFMX9FoDUMKKnkAgaAMgTq5bwLiY/dcq4whTFo4CgEOe6fq7EZr DTjEsyhnVWTx4HTCDM8Cp6jJjOFUm65n/aLbqmxaMVqWLOqV8jbQmXSKb32CnDVItdJnD+vBZ8gd svZVQX8Ss2C4ZDlh2qtoWD7Jq1201JSh2bZWwh4LFVa2Ax9tWA29nzKQzooW6xFT3TGM4WFnRJBX px3F7JNKDCSMo1y4+iJ75I8ThIWO/DTJW6GI2OXSa3Y5Zj2r88dXJssN3VV0uwgHtpxr2vch/2d3 gK9puLhclb+JcSBgYUix/uzzZoSz9tj1QQxnYtD3YJI+ju6r4wCT8jG/w7YOTxPr14KbN1Jl6Awb VRkwCUbBuHE6ey9ORyFoPbgSHhTGK0w2ekfSbp8PkBX+kRrQOuXp1IN24GPFsZDG/6olfqw1wGK+ cO8Z2bMVfaD6yekKav2rCh9MOFXJXCA/Vf8z5H3A5nrpGthqBZjnvt6/4lBktCt81ZHERQjGBbS9 g/ODv0dlSLyH01cXf4GYBii5XEwY0F5oDCc5OaQw6hyoc+ibRbojv8mjx7X8hO9erHmOWva661EF gqvWbDfao/b8cVuUUwtq6ySUevY4Cg6xpPuAJrZCrxSs1TUA5nrAL6wFKYuHBCShlwi9i4kRWTjq XxH8l+2pKwFjBgKa282W/HzJDgn9tWRyTwuCRWaM/9R1dHMM8S08L7zuZVlejkKk4mJbPtXxYOD/ +rQdI7YC5CQ7BG8h5kx7GPiXKj3SaY1dKHzjszCUAa6quOSg+Z8Q2naFJ/LHDj9+3WiA9Eo78hO1 DCmpskc1Bfobd6HCX/rdbJNYS+o3jRfeN4OU/n7uS6qrQhzR0yzXdqn+eUk5z+ysMOqbR5Ab4S5i c7kNOH/Wk/ybgQDbM4SPTNf2a9bs5NDsESnKZMc5VH8LcBuay2aLHvc6sbhFMYIqrtx1L7w7ixx3 t7/47iXC8OMYdFkYxlG8NhGGsU4KARQbzL3kdh4fBrJwDV/T1GNXfmekpgBLz2mPi1fbj70NO+U2 JfH1JWNwKbxAWyd+7jrRnDJhHAwknmAdzaXDN7BdaoaFdzDVqNdlKxIncUx9BLCdD9JUun7DWXY2 wgRCkfZ2P+vjMvoOZ+GmUt7IOmWcrrm8oHx7zEeGi/8GsBKmOhGJMqtXN8xYoOglfEXbDa4jww1j PmgJLYh5kXk47vzMVzuwyVu5/nKtlr/GqPWIXuzLB8PxImIG55UA7mxqY6Hbgb5YqiOIkkMx88pg 8+DN7OBWFxJqLyQZ1dI7IHrtqFRbuOD835inpdtwYMmkRCCzD8a55ZLxF62Wxx/I8T+c7yoKHWyf p/3fMN7VLAtGOYmyP9PKHS/xjPRH9nc84Dhe4zDHWLwHyICUL8Ep+Djr8cz9ube1n4evnNrpuA3P pqKbdn3A8/DOUAHs3XXFUyJAn103tVWEeqU9ZQjJWH9JgMvsmamJ4rUwa8MIRFSe930o282fMaGk SrUKsy2ZrEOFxu62/KMQwbtolwxrWkgvYlI0ZJfo8mTBHumB93L5tBH1ebaPI5Ue7TF+T8PdJ2TV +n8Psm/LqbNVL02HCMxi7cv6DCqU/PWUgUyLCgR/z+gfJrF2eti0zj4IKyDEirnP/oJMkRwLWI+8 +jWXjmnLNyl4QhGUaMbXWocdIq+RplAUUKtoSDSvMIru3rdQUR/64orY7K8BnY0diyTu3QM62MWk aSc6C6we2jT4qZ5zpYyJQNNRSwpJdpaS0qJ/lFhsw2s68Txtz/PQCRzuQO10l7vnoxv/qhoR051K f+llo9AAa0dBviK1xDnKiN8xO0F03+4hvfHSQtvLxjzW3FgW/XO99UfbeXcPo6KppHkiUy1IVMf6 MO1hRokcwnvIp8sl3Wk/RpSS9tDjJcLJdOo8d72woZlSK9Ol2AXQTnU4UtHk3I2VU32iIBWqOpm/ XCw0GzffBtmKXXGRmOi2x+JPXrHvj4NOVDue/Q944bXb7AEln7PyVqpA6zR7sn6vDz+KicSwrLZN kaUbvXmzlBefHKWlJqEFLWaNFSS9+fPhIjvqFXks3w2g1ydjzLCVi9tCQaoO6vgAnXvkSiOnCM4w 4ops8Rk+ybhvTwqv/YPbd/RMpbcm+5VHMsyO+t8PfAd0s1GvcKpX4graG0OuFvrzmkfwQnjbpQlc +8CfNlShyTHBjB3K8bX5xKnv6pg78lK7EbmOYwpjRFId5/FSVZoEGw0wvs0bJ7hkGMTSGvHuKehw iewoc44jPvX6HmOLFpwiuf5YoYG6l8ckm71nt+A6dKg+iI8Km8KsLDK0zMvsOoGAVJRakjVW6YW3 Whc+xeIGxPZwrkdvBDGNlfZsmrXfPIJIt1aB36eHDNWaI8GDNkNUl+DUaSG48Qjehe7rXCBi6LTT DTlRCtaH1CytB5g67WoqC8u3hDEGmd/ja3kUYE9OEE5ugbR626MhD4UQlysg6diOvIMmonmB6l3O ger/KoU4yz4J+UxoouYqq1rz0ymSIvmBJEPesCc8ZyIjfKEyruAmHUQlASFsRkWEptOo10qn4VM7 sAGNu/+7WhAcXhG43NRCvAHVBEiCJFrBPqCMTU7/b6fglTyPjOs3qfVdOCP3SGQNAuOf3Y2AyS/K e7DqwsaXifoeQHLwnTjasxrO4u/j48BkCzp2Vk2k2YGR6LFTqB1LwpWFKteLk4aq4w/6rRXjq4cG x/m8ATmElb6C0glUsw1akRU/o27DOjSzGEQRLMddW6HRmXXmoa6ha1iU5o6SiiQ1YGFZh91VUjET XosF0zaUz6O8qrTmkxehNnzNGdlazFRUSuQsCRMCbXDA+bQ8+a+1AS8zPbBCbZbe0AQTlwHFtO42 DRr0lmAlqRz9OjSAhrV+wlyLjLN3NvqGQIC6R4zQr225kL3/qmXwvuXMulj2RIPpRdwQJ2dQyMjM AfmOaxUuGl2ZIH0XPpGKUCh/O9paM0/pzTxYNfvkxPKKiqpAHqtTGjGgpqYEoCOktIccC9ZNYRQ2 d0VM69fE4ddpb+Hf3G893p4TkFiM1Ve8LIg81Y6XO7sglyaqpY5r4B7VC3V1tpRj41yIK9Vpnc91 u9QNE4TDJRs3rzh6kv8PeX+fciE/eY+c+KPcOx1noy/lf0WCoG6iFhQkJ8FfDIObBRJfIt9bau3R lTXDuDD67CTOIAKj3A4q+Z+DdEPDAFoC3KtD/Mo7jsP6kExkwwhPYyGOzOx7lFtv6WliHijH30CU HtWb9LuDuVtSPyzXpadbdWF096YdHcy7ekw9vq+ZtlB23vyyEzD+yJGNIZBa3myXWx4nBeOEaYOa BcTMTVNLTLok6fKDpXkSiWkh7Ga3Bzk5pJKX1oqyrcFLiUeuVvzwMUTfVgl8ZUCzmh9kW+BLTyRD HZDcIepEMZrWzO43IyI6ApnzcswomAn2aZZ+qen6JTcxHxc+sZNVxfT/b8t62wGsF+FlLSP7zQfP TbLRtazBA+7rOGfD3GPSPzFZwaJVXLEavFXhq3/acDhz5sVapt8noy6jmoYumtfoBSjCdJ82RpV+ w+62f3HJZOQQS85HuCM05XTI5Sj1K4dWCeS6+UUJ0as5Kcp8spm7POrInj6iLAJwCNge4ACrD4lK Ex2S9Yc2GZl5Q5j0SQYbefdU7zgXEjKr4udKFMvUaQAKJt+y9usIvVSLo4MCmZkO5t7Krn7RQMTC S6ivX8tkzeLloLTK6bk6kAADLIMOGiEhCuTj25lnPNLL11tWxgpiBNRAavHao/gGUIyI1X4QfbUp e8ZtddiiW++vbXJc6flwUWCvg794DDP6wMT6Kg+gDbjL4UDuDbEycPFkODPPxmh9eAdBIw76t84K 6xJzMSWDmNMRc5D6E6agnXJ2dRgsbWfrfMJrMlg46jthgw7nppwup+3hgjW6fNF0A7kwxwA4ItUh lHbi/3ZXwUyrEbdNFgZtZhE1julOL4BDb5EdI4ThfqW0YeyKHP6HjRX5bLKwbGDEV+L93RUW4oyk /TobSCznIyk4oPAOUGuoaZj88r7CoJO9DYdWyR2N+pmScIhrMlK6bkzZBBHbfzY3zK3kMo2VQMH6 zT7IbSh+EOvUAmL/pcW1tUQU3cG1bXR/gZ3y112ISeEDHyaYTAOuLnqFXcBlOY9JBVKcZiOWfqvI Fz3Mtq46YHqPuXAoKuvX2k9Sl5HBnYevzfTNd2wcFZ7F9zbvXxD5fpXPcedl2o8Me3bwTkA3q+SH Z1TgSGdc1sd+C4MGS5FGXiyzuQ5CBgkBY6XY7N9h/UM4U+K2EKiktDLgOrQsUM+mcDenWChDeNMF IJiqkDig8ZyFYRJqWIXPmm5wNEzBsyHaOB+3sSPeAjVY3vsqvd5YsuYJKfu1aWhIE+cCMwt2RZtQ n4ThaxltLRM/yYxLL7dUFUQh6DROvFhnhCOLvwBjlsCPNBwlBMEGfzI6q2f4HJ/bakA4rnajUt9s f1kf4cgvrHkoocl6wIx3ZX6BKMrmaFItrWle595pcF3sn/yxi2tNKcSxBmQGmQ0ojcu+A08Ocav3 HezhPGeD00s1XN6og59PNtybm3AtHovlaKpRrGuDG5I73c/P1MWRmy/LsS5fpQN/jdEUpUfvV8VY ZSkr2r79DAcRS0yr28kMlWJb5TMuobKA3hFtg4uBZChomXrZsIKTqyqk/s5dx3ZRmfffqJ+6b70K sy6lzlVC5Apdy0+6m/+VG7//GgqiAXhfeC20yKdEGPRoGXL/GZXtaa/BAfYdSdtPnxSzD045T2F4 CLbPfDypojA5EB/0HMz0mLfwbnlmPCiJQQznNpUnm7gnfQzf/vXeIkeIctS3Py9mDub73ptkEhf2 M6Qx1ZBVBlEkJXNQJIWT6SJuDObwuw00aBqBc6Zfzxw9D1tF0WPLd9ZviBXKuk2OCVKT8GhK7PVf PO1zkviSTo6bfZW/Nh/smijwg59IiNMSaRfgFRhREkdbM89wtF+mYWCn68YA241+TfdU9FAANap3 GeQCds8Sek5WZcW+pj0PsUNyW6jsUu1ID52z0Qi3VIpWtT3QfwHGYeq4WlEZ9BKT41aWX4d4tIcj U9nCaBktRUVvA2jOAwZAgt8lnQlwmO+NCdzpdbQpLXK4WZzsUo0mLW4uwmVXXbj1f7Q6viI8wWIp DlNLUwbBAl5AbA+R6kiwCcduppfTeL3pXAZimnEljMZ3naMQb21DkyYWXwhN8uan1OGjTdo96Wrd yHuDCVKmJ31Z62xnpN35Xvyuup7Mc9hKs1VSwt+9fvnu+Ios0McEwqfdO8ugVA/d7NjEuyF5TwIM F1vZOg7YMLvQPqz1FHVsrv8DFI06qQqCW8+US4nkYSehYvFukREK2C25it0TILGok0EvndZLFhFc 1oSoEmEedeRwBOqnpkcdlNW2SnXc/xwzyUhEJ+ZDUiUEt/Nn7n/k8CiolvKfy1iVyZW6hO78PhYD WJDNfFtrDHLz8aII+o8cHCifyBlR4GzlIKLDm7GkCASLB61qcFvjgqyyg5DXn3fqKtC3Wrr3KKtF i8arH79y5izt2QJu0rAg4CBvzi9/TNjN04elUheKFQGm64vH0BwIiNke/62hUFejYHMHKIxwjK44 zPW3Qq0XXFWySNY1Re8Px806Dhr+ZO8pPkDoxczIYVrInoUsVmEE7Rb4GCVcqvoKAoXV7KUDEZZ9 MJ426B49CYobMm4m/azYaj7XAfF7q5Od38olvZQL8KKr+04nxUy5gmEb+xJybtrKbceOctyylCrz 0CN8Rnl4NhE04ahVcaXCGZ9jiusWbtFWn5DGXQt0d7zHZrxbRMp+s6qpAhql9YFkw3sQSZUTw8v7 Wdi0z4nVWbqsBAEzV1yqNkBce4OEIhUivbSJG7DLJObDknE+B7wQn+0hpVId2kn8nUMzlfHqrlet /K48f8anekxbUmv0yxcynIbS53kQY7SKe6o82MyCvGjlXAo0qW20lN6iSLx46fDcEFwz1/2XPs3N bxw8bUwxlkzuJZYd0km2ZKbcGDXNhILj10CrM5P9WSF1Oa/fyFOE7+ICGhrkufkENPmJg1BBVQzU Dpxo92hR1HgZXHZ5kTZU1DKykyuZdaj25vBjb95n5ZXZ5cUIGM3rgUlOWgnzuyBJiIWglpQvSDJA BrHmnHO9pevMHUJxOSwkYXbobzFoOSrSvzW/colIkuINxe2gwYYNN3b4oQYG/SDyTSpErmMfdyNZ nz+cXiZXpuhGbwu8YQUVxv35lUc6QXAZbPz121VTxo9lfCiAZkpcCDdje51c1mNWE0Fs3a1XO5Hm aD0FAJjFHM5P764IyJv1WIAsNyU9ww4dJ9GKEcdiuEefUGODQQOLDaYYQWb3eIOYCOZVLQKjVC6+ o7pagDkJkqwjLYx0qPTc7eR8SHPi4eUi281JRa6scYN8tH5WU0yFPYdf2YC71gyheYHokfKj0EBi 9k7p4TSGCJc5tLpMex+s4yPOAeeldXvor2rOVRUm/dXySHUZK3fHvKd7p5rIjYMahxK38Npd0Hzd 0ot1qkpFbKBlufv6oMR/BTXSU1pEpR0ftnzwmYYYNBNbOF/LzzN1bNmRx/jVlwA9JQ1a5kVAcoEV Mvgzx0eULrrckXIa3LpCs5BeDNmyg5tAQwUUNN1Ngyhb+Q0qYLKyVKd5QjHbJ78jirGtP6edLGr9 9B3f4M58SGufEamLeg3/KSnlo0Gy74d/3fH98ETf9snJ9sGCC9jQdn5KMQ/38M1+3xwPCfjeGefg Z/Ybm/i0VS7deCXu1nOZWUUHEzo2l5w+tKiBjue3xCcSuVizntpgmOBbTT5HBaghqaTvioLOyZno dfJkEupIuYN8LsJqthNN0DiXf3XwtQ0mh5q8WVVA3LRNadWAUdVZfh6NraKBL3Mbv9Foz5KrYLxC /Cd1oRds8hxQb2AJPCbaEtbMtRtYa8dzZtOYK9OR4LyL7sKl9TYrhef4Qa5X0tzwLPjprc2mefWT iavByZHHIMan1EvCjS0b3vrQXRIn3wCx2P5HlrFdiyA8njYINXXybnUXi1rRguM0FgZ9+/EbqMlS ccA4dL0UToBF+yRdj2QhlnZwtFrHEdXCsVnMUxR0++GVaoSt/hO3SpFAsxXtBEvOVJ7raQqKTTnY Qiq2g95t3nsxZKJITyFi6gODPYZk5AhxNCnY+SkjcWKJ0XFxL2w/2ACsHPSp7NU3TFxhOe+Z2BZG ajgT95W4oAjW/MVZCqrWS00tinmS+yI3F8oIodDNkvX0LYr4jxqlMVPBUGMy2FY7ClHRxahPDqUx OKev/3qhBZDiPw/kc4YNEZoWsA7gz9q/6HwsPQmG5D/6bMvGXc0ZYJzx84QJ9FJDanr968zJI7fo 7fbPu0ZwbI7fJUpPAvXJEHuR1xJGnabl90lyMSHm4ZzIFJr4r3W3k+3qo9Kyvg0XQ0U+B2lj+f5H DaGnyvh7SLjTaQ6oWEEXxGvGDDhHHfRGxaCiJboSt7lX502YHkDbXq4bRaCJRgz35kg0g+KibjgI OhKsMfFxT3mVuWk8XzUTPZrRmAlycN+DV02lAU1M/tL5hJUAh8nSNlo2pZcnbFLIytzTuzrIORTS h5qZvb4CLA12JKH7LRC/V0wCnStpl4F4AYeEWaAri4ZQaPNJ7hTLo16jFT/6JZPHaU+JB5vKEi5X TdhQRkKC67h6Ijs0d/9RJV/VD7O+/616u6sHRpP5RPwcKKWDxotAYwmIWOp09Z8XwuK78LVyaydO Uwk2rz4cR1qVr53l4tkBo2gy9XUK2/7ohrhn8+4fELSrm4kbx3WWRqxFRM3NxMrj2cNYbky+gIdS pWk+FdIi9RqGGi/9D+t/zHv11o+/eq/HKZB+oEYTiE7vOsIERUmZQkFz3k2lVE5sEXiZIYB33F2f 9WTpBb8o2luatGgN8JRScymTWKSrtLCkcyWRiigF9I+7KPrJ+aCroNAMZ507qwaIGHK4NJnvTe7w 7PXxoDMgP6OYYWBwg5qBqgKKt6nY93ynQkRb/8qSAEVgo5SRA+yaOttNrQAle2m+n/Hl7SATFPEj l9fsPXM0fVej67UNHQIdwh2xBbGa6G4z+5J/g1QSdkwkDSchOXlI5q/kr/rPZSW5dNt+tqExJTUk 4t/Hx7p+uQAoZcr1XVH1NZcXLiItxsNNEdUo2JqlAcR6RxyGahFeUSAYPPFn18Crff/nqALl1uK2 IiAigRmEMR1z5+DXR8CzEja6vk3NYMTc6yG/UWj4VSwmTXe22Pd0Sj814kAJNTh1LR7SqaXHqVg3 ONN9zF87hZ5gJ+ckaHR0dfNDaknx+KFYws2OSFkschNm/5IB6EepvhB8bvSzufcP+zws7dDXlxSH iGqUgX/+2oQRLkE6w32FW454QOgEOBOKdvuCOk62Z0hLXesQHgAuJsq/3SOxWDYm15U8sPsNwcli V0Dma4cxSi5yO2kk6Y+DzJXhoQbZekkpcAz7L4JgTI++uCcEeoDfaFYXJFgVaced8Q4S3h8bn36Q IyQT7mFOH3NmudD23LJX2DricK140OPOhOy9JvwYfayGiFNNDhjtsTtGGWscgmyP1AR8iZ5aqEJg IeuoGUqlLtudI88gbhO3UHZNqd3IXbYQPFd4HZWvI8pQeU4eYM+rLXZ3hlWoI+P3lJDGKPB2BzlN BH9/m0cRiyCJ8ZH6nVJeBjZe5RH334ssd6u7yDKpi/M8n6a93W9/sz1fRhozOyLjbiZvGCX2z58A 1akI3Cvj/0ThBTJxXbeqwY/gI9l659FfCpgJGAxquHbN9pzYuQJIXNRHXSmqnli8g4alCJ2Zhnyc W8xdvZvCiJOqIEBbPvsKdGJo8Dym19CSufGDZW/EPOprDnghI1D6V1+DlWszWpjFNQvd81+hEGd7 Gz5s9TS80r0U9GLEHBMH33hVm42OvpYYZs/wZaDPzGOH7Z91s6juccxGPt0w0hgHYAjNHvtgjix+ 2Rtx3ql6z4tez5+OqcQ3ebUuTVLQT1ExSWfHnQhJVDlT6qlFrUoqCxg+veWMuLSYQIp/FCobfkcM eyWytAsYWzhFkXbR6+/UX8VRAru3IWjyXQppg5m7QbZWc6ndva9fPF4eJLgRObCAc5d1lX/hHGUy K/6HEyby+ypoaeQs4Esr+h6rM1SXNLYufFEA+yvRb4BVms6vNcRMudesmVj+ohhH7ZGnbtfdaQia LsuwClDKkDLIB+o2MgRZ/ZTtVTyiTdUfJuPMVVaUfWyh38jvFXciL6ICJTrZXAHyLbhIuzcvOqCc QXOQe3O6XKHTzqm4FFcbwVqsvpPHhN5XBu5cEaCGiDIKAGddMadmz15lW4rnQO5kv78rZXjixQut RfL/ed/aay6jumBwbsaPa+g3Qi4tldmxjYQxBD/x36ofB5Oo36fKA8xJHx+Nvj4Vx+BU1GMMFQDl 0WG/oVuhPApWCfxlqnm8mnhMxpY2D6470kRYWQNRx+Bg2lZqXsgvJLsTZYvvZtRgGBTla21gBMHn TzDTzNK6VDzb9bDEvLkUMGFEQ5Zk5dLhM+6chQppIOYvYofwlDw4zXdYZuNgsVl9I82RJ8CMqjUV MJ+48l2V+76Y7KNqqRnMEowE3BrqpPHNqAPROw6G5mLcW/nAPFnZ55XpXQ0T8Txs3uyLBB0in8xC yIvJP+MLTdyB+pVGvNI2Dj4v/8rCxRzNIpFNw29q2zqe/p2dUHAsuEmtNWlOWkIVE9OWdTArBG+g jtRpn0Tg22OU+omoh5iKgBueHfQX9Mvfu896qczNxpDoNbmLYwx63+hIkVv2uF2obe2sunKApI0D zhYjmmcevBnkEiyZ/h8rQirt9S8SWHxIZLirWxc2sSOq4qL5Cz/uSppTqCLIBRrkuH7d4+TWMBw6 /GgkOtbZmiN7kJqJqJHMZl8yyeVYqxCQuKFDs2B4j9rUy+bxNjh0bCepY4H8zD93MCxVNLZZdF64 M6KlQD9IMc11fpAQm6tQtxXxMZsp87/yfy9jKk52C6sSPK2mX+rfo1ykYy2Lfdu/BJbr0fQSnBdu +J1rG1+C6sXGfWVLMAtNBbvl2AwNCECcK+rTfFbGpqX/Plu8t8nFSE12zaBw1iYikd3JZyZrt8rA E+D3GMhh2ZhqJXCB7VwwulKQWOQg5cPlnwn4tj0CZv4HFJd+hEbNYpJyrecOqa1XxyeBIHLdpWBJ Z3pz7mR2t30wGVRoiIi7KDib1l+7s5HPW4Jwmoz6Ha6XZaktnNOelaMKeRbI0cu0CaIHvO4R7xx2 zQ9CAFTbGQCnTQBHpFxOVQpNRSJnmB8wyfG/uzwI6zoWTHdc/m/+WsghtOCsyYz+rVPBYx4Lf5RH Bf71FHkZPjhG43K25VPjtwYBGlAoYKzcT7a75NEi9yNrqU8u84LKhnW0y4Z941Sa/GpvlNE05MU8 958XbqQXFNs5WjLIO+IeUbTsutNTgQ6Myn0KCx3hi05yXqEjbqctd3QVhGY4Kc+iMwTmlLEcZKyQ S0KOe9d2oUaU6YYEtJqChjlLv425PrzR80B12Jg2sxDjgEKNW0QkwawQesSP8L3Vjiy6rk+d+4ZA +AGUVMYZ1Y8AnQUh8lebmk2CShpVI1H8nkeCFKbjTf8uivKrq0aTfQcjURzctw3BETGPYWBHm69P i0KuUaLJJn4VD2Du0NPGKyNtBILOj5zllydY1xsKPS3pM+ATQnOOKYFKxhQFPhSjg3UuCXI+rdtB K0PSNLokYgBUqIYhnHiJew3SLnQD6bisHemQIcwyN/IiUg0eaEd30Nc54QRtIQc2Nxid91+qGAkM EG4gZPZoPrhRRwZCZtwtSGikIV0rcjyrepD69I0XpirfiPnopcaRrMn0y0x1nYUoUp237/9inOtC rJFgVCTVHBypqnIQQ2IUwPGzwqi2RPe68fAxvKV0dV3fJO33NTn9Q5RCpNnZI+jruSSsr48C6nM5 91gx9YzJuFmr2uh16+aipqcMJVZS6gxJZwRtLs2SibWMXNdQhc+lGQaSwkshzP0POt99GcIUMiPF gTmstx+qzYeqkJuYItgHGHXKePQd9HfSPvps++WQXDUKrDrzabTKJRvJ5Mk9PSPph1KLtYEgG4xC ASJ6N+u6pIarAH4/l0odNKZUc6FfdUfyes5dZZlja1pTsQSIixAg221r5/ma2SHP97Wx84cfuEG0 zGK660/SI03/C2VGdGZfi7XBJWdKZ2CQ91VVkPzg5uQcaj0sixJxlxkwH4If5lZ6sHyOVRFD9fZ7 r+hFpCKNhWvaPLxgJVBtX0lra39nDcLQcQVZCM5yvTEXZDJWJOr59PFVumeiI8d6qHocUdkC9+GA WQfkdtusoeYrZ3RNF8bdx6hnw5PTKXkibvHPP3mVYB867LIOXso0OxfRajaDcDJn2hOqf6NKiFIm kx+elnMGjxgETGNtLKxmBEVohP7mx4KYPjIPAT2U4TNoOLNsYo6D2WxmRIuciTp6rkHR/nVk5zfP ZT4JRfwOyxa37zywRRgP6SUF8oeT9A6bAFwSDc+H4TXObXaRCtM4JcYsc9CxK7msurW0YFXjjEED urVP9odzvnn92FiIttKfOdhZYW7X1FqhSn9IYzmDl+aO9zZOyZwOH3mxfWnITlOJazE/qI+HSC2S JzJrdqbYThnbDLconY2mCNHbvGcM6DhKVeko5oZPa01QDIwUj0uYoXKrXdBv6dX6ovWRe5BcLrS/ itHORXv0GXmbMeoCB1jxq2xJIAqk4WPB7W/cMXWPxDLAw3TNV9aL+3rsO5pIJ4G+bNS8if0OMqXS DikzTPPaAr9jIL2LxFHyQd75UpKPzTfZZHN74DZ98wTD4Blg1AkY77losomr04CLoe/f3Tfe2eQ/ YA1EawfCAdMSVE4t6cmFQZrGkuTHhIrwyHB7LreRWN7r7ybDTvSpAKxkDbvSjPpXkmum2DMsTH9Y 0UIOnu/UHIdLB3xlgpQnkmVsHAoNCYxORLXCR5B8wcT/M2V5gLnxag8KSh59TzvCLuZneZWV7p4S YsmGHiUZskNF5xksAOiFooPKhVdpdKkC8IoNiFWe3DifTFqaj5x/F1+Ah1O3KDL3H8BuyqHgNnUz lO+fGOtQZLekExVPG14uvFmC07kDzWTZAv7rLN2SSPBwMBeJguhW7FeTGFEgL9a175zvKR8o0YGG Mshp9GKOf/xJuxkLzG8C5TCgor1Vvkz9RQbVtF/htT4a7sJ2Y9nYjwL5aRlnVxwoWkFIRCsZgLmE NH/KUMV+OHkvS5Gc4NuOg3d+V3onjylGW7E5/J72M6ck7Y1MoCFE/FBsk09uCDhYup2A1o8ez1bt Tx6dZpJ0tUxRODm7E7M5ECNO5SXfHHr5q++iQIEFs9lJRTrba1nb+Yejim2zCEbN7EDW8LYlfZm/ TRyDPXlyhj3NDFJWastk9WG2EkeK2TCFt5o+2SCzsNei+i2tfZJCv0+fwS9idTvOlxrLVEIIxSfD 1GhUNCvZZaK5Qrc/8BM1iJaU+1v9wCeshyWcpomTeLrvM5OjKGnGaAZ6uub/2BMHM5Ub6xA1hX9C 8WUkisMgAPEiw2dr3JMKmX1H4tLuNBCxdWYfAeEl4n4U/MqT7M7Zhogqii6AZ36CNGU7hOPAjzuy lZvvmrLrO0nuN7EKol8FuYF+zhm/J9CjfWY75F9gq/tyaySqLMHI1tN/0561LL6HFu6YSBo9C093 GhEn5BGu8Y8lFHpRmygF4eZHCIRjNqpmWgWvgvNPOBfwAPckynI12qLSohQdXMkQih2elmJIWooP XVd40CEut4jQdVgAVtigSOxad/jY1sc1T7sqIW6jlWAJkoda4azfRS1jZbVOt5wTjtQKv99n4WoU 05Rtqzi1FxJL4NOUkV3NHb4lRd+0jiie+Ve3gq5K9v5M6TGMc0lVXa6UA2qUQuDh6Z6jKtj4LWrq ZRIrLeFge8tQhVKVo9bWhbQmWOp81pE/0B9mwlU07HYw6Qyt/3Y3U20xNReKNdoOpqcIPtk2WM3J a0lx0Zw2OHk4xyPMGqZHkGvSlTCoctd7ouriW/DVOgKRmV/8wukrXAZYtBGvA/ZOXLyqwzntezk0 dtDqQlNeM0EKg72BII6rmq/D9F7UeW/X6JFx5/z9Rb4JkTCbp/ep8LHxH1/GM9yqvA/ARJT3e4wA U6uO9AFRI4UcDUWJqqWq7EKfNE/eE6lvar/SHJMfvtYgKgy8UWxtrAING9yMGnlvxctj/fBcOwmM fMpoIfwxft/hvMznj7P6acQQlYYBaNBpT4b8stB5IbKbGYeBsG3QAJZcYuR1e7u6e75DbjMWBBlS 8fw31yUEKD8VvOjefIX3n0XjGNZ3H+DpuJrNalzaVueeIpoAtG1N/EKsltfYlBjTg+3/A8j6sTjC 7C/ZP9k8OvzaDSw783UpKJJ5ZEOLMjTdeLC8sOZqW8jV6yFACsrrxN3QRYA6+lS4eoPcsY/VDYjg 2pxv56pVEgIRmFHfIO5pnVLL4G9yz9oAMq63WLr991ORPCCST22CUe/ooPRbY1FkMIt/WDLq3RSF ErgEhHALYhriy557ODPEOX8VvC5kyIZnwQHvj+jfuv/Fd5PYrAraNNBR1wZaTRgfvFa1K4QqwUCd Yn6J58DvDp+OeUuz7BOYRNa08KJlnJ77uS82TG5LWO8Ph6EBxLULOLNDRl1UH7fdwoyuJBwrWX4D 5dKaDAp9lfdJCXxniifY8qWMO0HVNy8PPxIjAgzVLKLDp1vgMh/YdDPVDl52vkxJQT78Jlt2Fet5 gGMEWqbvUB33A/w+QOKSZZRs1/6z8FaYwc3UFQXj09HDYWaXirYdChkdGtcVhHb7BHCwgAg48yQg iaz03DZtAhj5KaWE34P82uceU92oYA3ZQNPtIOkXaz2tXYTRsTEEnsrKGwtJAVzN4CrctlrS9zwT N/4MgsbqAkzXoEfP3lB0f9R4roYvxtXD8L2D8XKhuA9i+2LKM+kd4fbtAZgzlrN/tLF7QrD07IzV IcxThsNTm6LBoXJkTCPFAiG/PnbwLmS3xLSvBAntvBhyjJ2ToobYfWsvkfFzhffy0qIiiFPHF0/1 W8wNDBt7FKqMWmc9R8LMb4FzIDDYVLuA40+ixwlV47owGAQ4OWsjACTvyl5SzpPTvqKD9WyJRKba GpuK9IPjc44t6wh1bReFQypOyguqG8L7TehsvR6bF5CjEGFPMEecwzbbdKOnl0gDnQZ49Dtbcr8K 4V3LoHbCZCgMlgeXzrcCewWHxXMLv4qYSAdPdOrOZ/lv+uCsJXMXGIOvczA2hVpfgu2l70q6oNQc 6iEPiPGuojZ7xr324jUH7FF0kEFH8WZQLCOemfabU+xLZL5w1NXxZYUIPe5pP2oDwAxuGPK1VeMA TwcQv0u4bZfQhv/G6oxOwJflmntAU9cS7XHHz61tYgbVn7YK1v4ojikpdVToV+vLSMuKiEODD3XO w9fjo4T83b9uepOhm7pN9o9NroQDoJR8woXV4PJKTUsRXtCmHCKYrSzGZhSgvWoaUICzWBW3iwka bqRT32kZToqwH55IuRUI/zO+AW5aOwRtB84Y/qviUDUQdtdg+tj/xefAQpqyShrUOHUYxrHcOP6h vjTszorvYkWnrZiBRRQjXwJy1IrLWDh5fSSYLcGiM4jVNqL+VjAqHXLRk3nqHtD2kyg6RBCEmLxu 0ckkFhHX1Zo3ih3Tl8x5qdwvFQsd53YHweoRh4NmouxY25RWCs77IRgF/OSJZhGxfv6crOoLkAzo lwgkvTlmhOHsQb03VBPUwxtMQkL2Wi3mP2KGwWXvC8w1HV/kw2dL6hUFmfoAe4Lv8Yy3MraIQRAR mRIpN7RDb/QgvUxiygscVL019+cMQ2Kt0ZxTdcqKx7IaUKiQTFO4VD9mNbBq+lSNvZQ1huTthEb7 JZKvGo4op9utxKQ+s81mXArQwvJzLqPm3LqrJfDxGVjX+wRXoU2yudpns7+LbfqfsxufxjWsXb0x eaQUinP9V5cNLgByueY4HVkmKzq5fxnyWt5vJm6EIGuNw8X1F03oo5SzVymFHlziDqQh3iMt3EKZ kR5bSngcsAD60bOS/kM1R+lEkdeg1Kw4JwRtIpSBID2LdBJ2hHUTn9jyOgghDQe/j3UbdxEAAq++ O5SUDtRjI2SzyeS39jrth9JV8w9RZd4ROuSwptvWXyjT809KiimN+TD/llbrBtpNnR++zFyWkt5Q PgjblPr1DAhA0hEo0MXv14JUdKekPwTxX61SNk7zzt0fJmTJZTWtnZzMZERjhYiSPaIsiP8saNjS qb0eQWdQHxYoGQE2R5jhKybPFSr7cH+3tGeC+dEfWg+fCBUmU7ae/gAHx5TrVIR9/oZOQ2fIdksV lTBsi/yLu3V5fCgX3AJagm5VOyC2oscfT7QduXkivvXAWjZiXBKiIo4ZnbZSKsI7v9WIcfxFzFkr KhNvD28UY+EBUPcoLA/PU2soGAb4LfwuUj8G9uRZUtUdovIbJvoHtzxUiNV6dxMnuy2YpEhAXNwH tV236CRzhX096In8vGGrwweBblVJErS19Vux6am+uuA5JJBkODMIbHQOQR2HFXcclzauNUhhVvuy gcI+4MjruSOCZdiNfF0TuriL9Nr+h6Zgnz/G5PrC9HhEpdTIanZSaJX7zoYzzFC5IPHyl5hjfHGM VXrCJDnRzzK3U3Jt73wnHxVC3yk1eqjS/qG7yjVg4dNZXjmvb1RA0o4pMUNIC/ecE6EaS64D99BN VaVieCuJ3WbkLhhg8h5cy+9loYmtjq7vD3ctUopsvbyHGOuUqKQjs/+PuJYZtTMPdcABBB25wEak P+M270Eoq8NWTbtm/V3EzuLeElY0PZ6cr/B5EqNqfbJy0EahSbGN+RpLJdZv/eNH/22J2qZzoNgo gC2tfIGkJ7sF+e1rOrXQUqu82Fy9IF8HcwrI9Z+wrPYYM49cdUAW74LvGzWkcfhprtWuGX+Q1TJu PE55izWOwteVN4UbrRewts0EX81mEFR5xx+xH9+b8JZdn30Sbda+474j8BSei27t4eVUO+7JaFKx AtomgAlDTUOr/wsSfini1NS3ACC6uY93scguMwoF4x/HdkGmoIxnFlC6OrzSS+PkLBj6hxeQG7Fy vP+g6tmngoAJfdGW4KU8aFCITkq7kIjmb5lBVJyzZ/D+RRGle2wFm8n6Gw6CPz4XlsCOIBeF8fWj /i5IICV7rXLspePelj9M21ENiah7Vl/A9X4gRP6AyCVc78U8OjbWur0OEZrCTsqyIEBOkBy2ESvk wY6B+cDbgWpKwkTyvARfKXjHqpZ1/C5JlpKaO6+c0lDnUo+8rfKpaDQLQKLKfCvbQ+1XFwxFNBIW Jz+4Q9vFMSvwRJlxJk3GS2eV1AdxtyyPf3V5QkGV0XWZL8pWxyyQ6PTEMSEBg8aPAPSSIwSyh6nF ZY83iCpLXQqm5DEkVOBh5khZfWUMOjzOF+IoxZI/lDEYBark6aeeuMktPMDjB0D/2D6jkn4OKMx9 G0OSlMsc+EBwK9vpVjmtYozyZAJhf+zCjmXCC84QJALDWaFAa8mK+173E1M29ymg1QCW3R+iz6/J QA5P6SoEHJujcMqmutvP4l20cXbrU9mqZaY5pvW/hwqil9S36qQszyczCIhtwVRIgeJ5mt4qvF+g wt6fy0uLAgdFIUujgQsYdEVXDYYiHNVY6SVFFWGspeRlznNL+DzUrkXYkSxf3WuW3qBma+0ZdtwX aN8Kv8V7ddnilmSoXD3J4RwBjmcqPpGKFTKuhBnwe48GY8QuxcemnizDWMYwltocZErVeaHwepsX zVPlfF7DbQx+DJyElx6pa/0weXinZJTUJTTNe56Prjf1uZFnIyz/frfePkU4x6KbVpigZTYc336y k8VvoFbNQyYxL4c4cTP+jLh0LouiZvjD5FuyE7HI2ktvGKxdEaNG6uT/AWcQOIipKXQ1EyMhqtov /nwOlXpKG/02QSis0KQNatY+pUI3D7NFQ/DCrQ4wieZAqXrq873C68wEQhDMmt3RdDT8jgIQbKWw j2CjZvbwgl1rKNfhR6FmAM3jqayTfP6Zx51g/Zub8j12wgfW563CT4vGknxUYCzFT9SH4U7uFUIT ARCjk621C2ot7n3gxVoKFFEKj7jgaKiBgpLgSU9J0LBM14TpDa5I97tECp43V/tL6f8HgMLLGyxK ZxEupct98REz+iqb0yR1MCa5tnpUcLCdAicnIcEeZEnUgj/18DzoPQF5ZP0A1MLDFdb09tssDnm/ /oQ2QO8JxscM7aFofYEfiIbPdcnxZcg3GZ79CcglG3mF9C+4J/e4wPjrUBzHuist2JltMaWG6e9Z wJZi/Lf1P5CJSd8S1GxVl1o+aXDauwfSmzw8Nk+aIweRte1HW15cMMJY8jjirA7wDcMqOgEU+XaN tNOGCn7i3tLnthiPK+XDOTqcr4k2Yh/OQKbH4oTpVT0kzeE+BVdCi+ddb09GNPHYc0ImGegO+LU9 fdLOgAt+cBSTLs96znc0hs2fuXvugy4sy7bQG4M6HcLyGKW6J6X5hAIllYkouyWDOXJLiLBHwsi8 WZWqbL6/sbgFLqKm99AJg13mG/IVJTgLxRPxpSMRL4VuxB7bmPH2+LLf3YWVN0FNhRgj5pGQyU7j yxHGCVggVEupb8dEyBWJx1VeXehaJAeVv4IqTYZF9nSS0rSuQG0eVV3GhYrk8QAAKIl9kJuRacgW TD9akKTP5/rBQ53AdYX/MOOWB3KaA/7+p6+GXWiLssGpwpoRAYTuw3Gz1h48Y1xnW1V1bloc2SU7 sxVCVU3DMzQBCGlQViJ3xnbzjxNCDqDMTOtw3jY1fnH2o5oaBXrH5mylivgQfRmarg0n6gdaPNqw j0thEpIuuCnfM3sNQxXdQdEyYlr+kLdAApwBDr9qXDoSQXBSD4EJU1zYU3eGXLTX0MoioLOlScwb jnIfiMlrWBJuRaaPCzkhop7Gm6YOpbcd3BJLF9wmGPicFWpNYkqG7gnauF0iO2vy4mqABXCjubsd +EpEBiZ6OKwX3HDmHKhGwuItMqwoKKOyxI2RsbrkSAk7EDEfruigMsx/cjbxIxqVOEbO39WIOHPg umFi7w4UztYG+xLCKlqy9wdm3yaC8VXmaRQ3iTzYBHce9fjMFSTSJeKxuY9IpS7RnTXvDxCg8V03 HKAjhOvZDg9DtVggwcs819ANTQXsNwtEPhcXy+Ws3piIHrxxboKjBp6agUcZmzyhlSi71tSXQNhD E4iwbtRnmZ/pm7QRkqNZewHW12DcqpMzDptpFpXaKRIrcUNzfYI6gto5ujmNcLajhLie9poTS3Op WfvGUUD+rcf+sg9AHSLJGr9XuYuEoK5U5l69S1SZU4Cghw2mlYXRFOap3GUftUPCMHBVZrZK5hBe Rb1pZEXDXjwTmsinWdINaX/tuKIUV2V2ESE9Ra77Cu5XOeRYyVAes72ALTG3NiCEH5TdAJDzPrKT FcE4zGi7gSxjKtrw/U8txjYzQcNjKVYZ5bvd1U5KPkKts9X37Z/+yzlE6D8ZMnm385EqPc8Dv5Ie dTUyv1DrD/OKrYc+Ul9CkioTvA2x53tyQCy9CIO5K2ratGU2O6bmpnUL06hxZIo891zaScgZrmy7 3pQG+8HSzk8AEtI8fQoaD7Xlu2VB+oTXYnpIX3YPdfrYAUVFWS1nczNkulcTokDyjV1d15FZ5ejK 7cGu6FW3AAdBQwDHEWmjq+cESCv0GWsJ4pUl6AAYpHHKgHd8tCkX1xEUbb2FaAjeG0rYBXVz5vC7 pQZZ6lcduMX7jWnxJ5ZdAmHSqh/GxRE/13ZMqxgzRVNMfPvoc6bBr/BYClHGMUkJNwY4OgFLQ2DT 5Vmt5uenMyolCjXJhBMN+MBVzC2vPlTZ67yCD2Tws0RbzF1mkiqwSuQPg/ApAE2lu+rqIleZyHti sbFBDJPuCcIQgSs135kNKx0ZCFVmZk7zLM7IPUP30sq6r1AShnpnS7LavAkTxQBS8RHfFKe5eI8H xJCDShgCi2qOUZBPUbIS7xBJlA/vdKDyAPAAMT06vsI3lIXc5/KB934IbbNJixOmzGbvslB5rdEw AvPgsTjkko2ZAmwhoTuoylgg9cjpEuGwI3JwMZJgaiD3kiudJP+/kYa6c1s0iJ3yrzNTha/Wrs0P fyPxRoYvNkCXk38c6VF/EHwWMN9WxAM8GHstrSBKKae9auKoBtZG6qWaA3xcjdpxLyDLzVZ+srvv WQMp0b+MBgveX5hw3ariawM4/j3XHZjz6aCLUCZA2HglrFDlyRSAXmUtoIsGGEHNk6NppSlmWv6R y10tzrXz/Xvq6eYEIBM0WzcWjNit8xMdGxOKam5k6AeuopVsoSf7jWBbJYdNPBGLW9nf8iUnecSg m6mM9YJ7Qkum3JWryxBYEFhIvSi+yG4SOCmkuMQqyNWq80+RHJRNOPU2PzAubLqXhZyangL5Fjjb nWBggk+3dZAqndi8AgngRND1UCCMRFwa5YeEjD/vJlx8J8mTUIvLs7ta3PvqiiWcABoklpMWaWty WxTZn8tmVEWIsx36hZwRZwPpXgodL2qMKDeHD7cxEGGmKfyfhX+pWUmFebUG6sOHNklaXVKkj+zD G8g736oU7FTCKHyOOXQuiDwxxC2wF+6bbh4JaatU5sbNVjiVjbn5R5kQrxdd7m3ja1uR0ZKR72lx u70kEZIJ+ITvoP4JQFGQUHR2L1MH+xy84F9wj4OkAQAlJd13K5S+SwOkCjErHcmQ27KlDySpKBYT mhnMVR5BMQNE7nRlNIwJqIm8CRiDOXvzRBRH8UD4sp7NFOD5Ax0E97+JIfiDmU5yZZIzsOaWSA2U wQZWsl4yqPf3kWe0YoKNwuRXYyrX8yGeABC+22k/lG8ujvJ5IKKI4ErcEkdb7xwBEyer4Ai0osfI g3fNj6lUmaX07e1M7bwZpSDxKKxMd/CwJZAUd25tLanakCTzFJ9ipZC9e3s4Y9tYfmK857Uaizs5 bJHOwUyGETIIjXKh+PxoE7l/VCo9koPrrcyCB2dRgtEnHR03gwfH1H4D0x4PH6WiCT7eBnzgnbpn SFtCxAtd/8vxEx3neo9HBLfsIeGr3pYv8JVi8woEYwUGMzAsd2sAQzgRoxJU/WwdedFl42criTQA bSeeOd1VLDm3Hr6fCEA4zWQfsqk+GrOrKu5O2bYDFQUv71AMQ7ruAbDIZ65rob8acSe1sDuwHlZv 6sWWV35niw6UDCIxFEmCknxfhHsNYtRmsSiOG67iFrZnDLKgzOVdyURmvr2upij3nLJmmcgdYIGh hvM0Aupwtj6gv4dGBM5DcBXItfxSnb7pIipT8ZuG1q1bGdsPuUY7+DA9lMm81PyABIzdbCrCV2R5 cl7x2XLdw7IYwIgUfobfE1PMnyKOGBjkTJR6fRMFBie7P70fSZKnEINuOisYRq6SHl8IsZaMu6CX Ae1FLLVpZeoz03TNP0A73cdh6m/5rvuAtojIMeyZCRFjzI9QViv59dTFXPfRaHQ5EjD+UIX60jY5 1kybTqKD3fJqwlOSzTyPGDr4fqBhKeXmphB9affxh1tMoP34oeW805UZaPRQ4noNEa0CkQ3sCxK/ lnjCI7Hoj/zdb1DQmHuej8xA3ap3p1U6gBdn3jqBaGBTat/HyKBX4yXDY3m6Vzcpp0QYGgVgbvVW ixwGEnoi/GUWpNa1zvpHuHcIDiegSqEm1leMzeaqIU/QCG5T39mOPtJB9Z333+k2M0ZzYIVrGu+h IIE08+0G1W3jIA7+QgCtekTdho/By1dDcxhIFpqvhrtNn2Kg7Kjilr7m6QsronK8U9mcWaPzX7Fk JqMmEGCAMvFT+s5oLcbJOQWPj6qXKrPx2CCj6msSnSl2Sp1vNA/r0KpBLDPxBHQU9SpYusRy5fFu lCoIY+Ic+FPOfPAQb0y+bHj7J7M1woR20IgZi9BBPyYPLlTtdI4OZ8P/a0XqJphG3Cf8Ju/LyMM4 Vm6scX3aHasxPZrslBgqRXqJ0sfF//uptzqYdQ4jEBBPUmFYHQ0RMeQcYQM6aASxCyZVUzhnqdQO Mk175cw9biAdArwVyn6GRlG3buiQDU5Fqflu/g+SxlfLMQ9vYws1oq5iDM3CNKiqzUz9AEifUb/0 ncekTvbWnx0vw0m5Epzfk9mLXfCI/gNH5aOB4q6FC2m0YUMYOtFCnuW+xeZ1XFTH4ZElfNTwPGVS R0OZui4JAlzb5T7UpySUGYun4X+1X3Vggb81qH8LT6Kggd4amhhInEo8da1qgrg3bGlCfZW+NqMw xuEAqndQpic2RdfZh1bdym7hGzYNGpez9yxTaOBoj5u/v6Xf5qXZ/XxehdKWonRnz4XulCm/noEn rp5vTjT9tmZa+8mpCuAG3r4p71xEtPRMMiJg+hwXqkbNtwMoEgJ8W+QN9CzyI8QsmupZSv+t6RTP CyPmwqYV0oA9kJbKE+C22m6krmVrsSPLNJWXOafmW9/kb/hdccBaUnJ4fmdQLzQI5LdfZmvpL9B8 GdfCtIv1R678AOHPAo3owOwxYRWxRSkrUvMWsSGGLD0ZjHiJ7i128hHpjeeAYtMjQVqmsIS4suR1 Fv/hS0J+D2+sLTdh+glq/UOp7AigtwtByCC1DiTSsxtuWzH4BXUoGrfG4rOGVzyorF5LL0VhfZLb etmZfmAXobVLq9hND4bo2bDR7NsxQNGLpqV4Wz1cd0xfvSLFDgiCkNbPZ5UZx2HsORwf/Nt7XrIX Qsg7wjPm+gb2zb3v3yl/hLABoKCM06m9mKpgxQrqHtkbUoy+D449uyFLyYGaDaKe1y5Tn+MCJxH7 wGzZdI8zp1LKZHS6b2qw9Tllb8GvI8oOAYT7Q/CNADrL8OxwJn1Ax3ZEnK5gppQJ1R0YO3e2/5If J+Ntst38z9nTQbdGEJB/X2Lg48qKKRg52c/5yKdU/gq9pMqpLZnZN9y/wK5YGTx5lTSO8NfBE6xg fNgarfr37BVimrHoqXLB3zrFPyihq5G+cEnnJgNYvLbuqPr1uOKUjDO2UDuuym1EifdX/Accqm8B 3WuDqclL1gkc+X1lCZqmbT/ETTrcOpOaA2egoF2XLutb3z3G6AKWpXaghaBnLFq6lVRMfzj3JN7v xSaZJJiuijCoKNBPxRCxIvs9LkaWBRT9Z4SflZU2hgenioxZQwq6jwPd4YurWYPrq0Yo/iDNhTBi MxfFx2LIDLiLqf8hNUAh+66LYfR1ffwHJcREbmv/veZsOSJ37A24tdL5Vj0qdjvm4SGsK2JPmvzH L/w109Ef7An7DdKe8YD6PFsa2p08ULC+VDMAUsNbdiWrs9wgsxSb5PUSQZ75M6rd9bBSUP5wwszB Ph4PJDJlN7aoZCdZZwpBmM8Ski9hudIT0wR8uSeKedt6TPgnWwCBFrHxLX6dxI9npL7IkIdP1Srz J4jU3QWwJtbsCb/cIKCmOnzJvIV9MW333AzIcE72q1b6D6tJPmux5gnDuCs3rPiSpFFulTjgGA/h M9ef89OsUeXPflYmuR8K86Bz8vp2Ti1rKhthyBT8uEHezphVVKF62Rn+x13eC6p0nLtiS0hZsPAu rZlOGL2Qy+Q74rFfS+BAktriuVxjxivl520BRJJTb4bSbf49B7gwZ5dFTMUTERKwUvzJkNfedC5C pbT14o6IcMs/EOuGKZGnkG4sNfutsa18os8TgX/xSzqWsmfPjqOuLaRCAfoODSCiZckkJDf7Gs/h OfIUoq9kKvgewNjfJOA7uQIKir57tpD7s/3YCFYbqt/MYVRjB86ScmsRcCZi01FOBK5qVu7Iyjpl F5TBuaW2Hw5qIofDqyAv5qPVOd9QhoxLJK3MujZqxcJirrxUxsFc09sBtFzRt+IsbwRfJPnKoqDI xKMaN/n+gnKvqnU1u03y9EoqY8f6WLxU3SbxrFPIDiJURjlUKTGBkXx08nAiXd3oRwUC8fMbFIj9 hoY38YrkVtwgQICQC/zg5y3RbhxsjZM0bMrwsv2RCEIqixVYX/HxNUKt1/cOFsR9YWNNXngs4CwK MafqA6LOJxWwwL2IA1rnPKKHCNRRiw3dk9rMBRbaNdDBZdojSqQGwVc+fGCV5CUlOmRlUSTc7pwc vZPBzpXndVuCRHPtzkdkbMIf1haNfD6v+cE6tnNPNWDT3l6QJerOMCoaMCXroduWsZut2oYI0B13 C2yClXO9M2U2cPPIvdavE4dvR+WRqn6Eblx5vLahTItvcNaKBgFQ0AlZHuXY55EYAIT8Ld6Bgqoh FSC7fSBLEM9OOsK16A71hcmYP/5xMe9/39Y+Zovu+mMqFzFTebJox+5jXmLSvNh7kvIHpkPKZM5p lmOHC0JjSr/dtvv1e13YAiTJoc6cZt1IC8dtfwQILdN0QwGdFEFcCx2R868mNoiRf9eQewdwWzRG o3cfi4vD/dj2lmDFTuhvTmB/nCxKROn45Lmek3IWXdvGVo7lQDJtJpT1ayZoRYsIBDcKA+qD0wF5 gax4Or+d2xZqrk0ifML8o7xCJ/8KbPHN36UoBby9o6MFjusjRy2iZZxKTb4EJEnfEvN+Rqg7+NUW aY/oItqhKHaA6zqrMeb4gw6ZEFVVy9iHggNbgfILEZgutechFMfOYu9hEx1yB43lKY896OD6XFUn Qt7Jb99jfEyQz+pTUvbF/Knjef3Y29Nw+UiVUqEyjcEXWRAoiQQQ438E0AWuQq9iVPe/23Z0LY2L ygmL8P/8ycA+lPUrjtrT6kY0oq1/iex30+Sffq0nIAZKjD6Ta87VWlkB/ysj+JZ06ot9S4w9LgRn 3ijzdWABuGqsAFKR/BTWaBNtkm8uhYKtkukRp6ZisQg39EsXrramVZtHM216tz2d+EWZAGaTQBRW /TaIVawXcZAVLPr8W9plfh8EywJyaqKwuN7LmNbkJTwKqt0LbgzedWW5/2sGEHiyB5QD+aPHou67 PIBnXu2BC75MKU98c40qCHoI24wcQhht7nh0xHUwZT5UTRA7CBISIfW20exZz96ON8mhuTmSPU3b sBpVHhm5E7jHn5xZgxEHoPMYBam3x2aGD5luMnH4m77g+aGFPrMnU+UpZ30wFAqwkGaKWX+r79Or +1xf0mGDQ9T7Fy10q6jLLKrst1pmpxDHQxfMc1xcWS9MNSrvRkh7LM1vnYg+RXY4+9/LRTNueotf kdMzrIC76DqM1HPEBRebNh9pmH+hRpAhjOSfnd1foNrWtz7Oauq4IM1IYduuIFr61tf8cP+mRXB0 fKHNNHvofLZ7bEJoxAFK2H7bvl5/uR1a1PEpEMCqBd0Vl72iIeBAPixGjsIjRjzaBSfZEFY+atUL J0EBPI6AYfTdVijtIFNGrC6g7NvNR5QCqqskSCQmUsaSBhdjadEFCSo7aXvS4TwI3BFoNr9N6lf5 vpvb/dIrjj0FmQt7HJFC1wsK6ypb/HmIcI6NNLo1HulIQZe0FRNTUI0uxpO25zQP37rBCAtcSjnl dLhcT2xgSuXzUAKEdW6qCK69hTn4fD84gyYufov4KaAg2pz5yKd6ytJ+3RPA7iw4hb433QGugBXb AEBM54B6nOAtafLRpo6XCJAzmpIGttjnht/wxiEn96jA3GIkqYIZToqYAcbca5juzT9yCfh/l97h r+G6XvsKCI3FzfVdMgp4Q84aadEzo2dWj8NI2GEY+bnmLvscIpUGLYtBqQdC+jXiDnr37YwJgPdB vUrowgVJQT2U7tKXBQPHGSD7vpsmoBRwNETMYjyj/dD7M7fWY5tYgeUlMEfGLNT2qLg9kMbk/LT1 h9wgr5IWdp1S5SwC0CaR+E2i0o8ppyt18c9dDmjp5wD6YRSJW5/VxpCEfGByTFplWqpg/Y8QbgpT iS2Ym3LmS/JZZNDDju0yJxHHlidn+t99x+lrAdw24zQqZi8v/49rf18Sd9nF/dXSAQwUoGDSOHe6 CjFJthjtCx8uuk+L8mmrkn0JyK4bH4WM2kp3SwWrjTTybuT2D1GdXp3yG1w5n4/uBXjdVxkbygU+ dyoNEwxy8ogcRFXY+3nAlxWFu7YqGGFMd0xOmT1/ihcNArvSIu4dHj+OFVadHIpK+9RdrR0bv12E k6fq7roEJR5O1ksG83A4lih8uuBUcckuctXVy4dfPm5Ozit17sFocz8jMU0LXZne68Va3FAdXeMb iN4I8+ymPChPmfsHx9TW/3KU/ky2+fwfGJtOh9ByQJteFR2SSBx00nWE/Sd2Z0ZLJI0aiG2ZH3e3 YlkfC9Qoa/CIeSv9w+ROnbMUrRT4ndVUGfPHLX+WD3X8NcGnsKXKQhH5Gn4W0G4oxI2q4nf752hj hqkoh+g7jksFvUXM95DBoa9c9fCHHMfjxegV3t9vQjOZbuNcHchdQB+XfWbTerxmc5T5+EVJ8jYS CtRxPajk1ztv74METroKtdohO5dD96PVdo7scSB0DNYVTx81DJs5jJl5SrMoLv6F8OGrXtv4HGrv TURNPk3T4+nhEOXvGW61lmh0/yIZT2DdfoQ1FE4j3KsfRYqGyIx/nUqAIhdJhR7PQD4VK/JZdlNS JCkU9nE7kZMHVFEzarfr7a27jVXNODRxWTvyxaAdGVncySwegZuH7jKhsxvi8PUSLgmjr8gtWxSH hDudQueLO0sOhWvQb+4GaMblzayUpJfYS18deXcv268J28nK3nrLKe4fhD/i5xxeadS6Azgo4Rzc /RfZYoEciiAgLygicLTPX7dzFId4kqo5jZx9ZZO60kv1DtPn8Ayy7jW5upJW0kz6hVTv60li6c8S k7Yy+QefdsEKcZhfyskJGxOqUvZnbPYvzpsHwrJZioaPCW0rEBUlfbOOBKGaemePDkEq7bLzlCmL LB1JNDW7RizmcmyTi0z7qgAej+NwUaGDO0OIzyYtLg7OkO09xzzo+EIefN/BdBFuuEa3ZPMjL8x9 X/32KrB6SaR7xnfBqq8SRuk12z9H2jZhj54c6x/iW63jFz7+/rDh6ePta89ujc6REPiWoHJDPWI4 0A9j5DMDU1Bks5RIC6KihzlKu9RNdaNf24Ra1Tb9O2/iFzcemYEFg4r1m8FKgCgIdReVvgd521s9 dCFye43mW16sFCxDkZjXIpE77eaFBTZXLx2fV7nCqEzKv2D8STbU/tZnXMt9dJf2lnGogYqG0DdC kuyv9a2F3iurE2USJEL4IlU3OKrRXUlxDe8KBDmaZrpx+8H7c4Ks1FhwEf38AoPYPWY0W2sgl9nN ggKHG7H8CqcvZZpfDHdpBs/w3RRqe9di3Xw8/K+oduBpCY+cL2sp0Sbj+ojqSnBX75QYodMJOVHk 7TpDhkw/rf0NZpmTkDk8eiw0mWdhl47fBncH4wVxbOCAC/pIl2i5aDS+xT0kd/w2QblE72DPS3jM S8nqACDE4VJBOlbF+Fk320bAJsy2zyxVHmnXjpW8iK1D9Urpk4S6jB8c66/0DpYHvawnrQpXxl0K ZxqiXtMDhd7O6l0ucQVwi+D5IWuVeAtFcjettIgsWQ9OhozqXPO7v3NjGTO+RAJy3F/S8VWS7zU6 0BDjQlSQ+BZrMldVjETI7WCAzLUJ/2NMPiODqPnZIDpVwLzztt11n9mdaxGcGRSl55s1zK2I9Bmw IFJxZJQ8tB/2T7a2BOkuMqPujohTYrIW9uHtqQiidWjjkkinm/msJwNSBHtfJ8T3dARKWW5xBELt BODlV9GVfeUmXeom35cUfR/e6Dz/tL2j6lkq7hMR1CfoywTY2XjSzklaSflAVViifSP9uAssqlP+ mmsd7GUQqJaiD0mvx4Uz1loTokV+G+7MiVsQtRMXgO0jMAULgUhZuAfy3W6ikCR9cwS89pOT2C84 iI2Jr72IYgA190Z+GRImzmmmDCT2wN5QVS6xGQTrCbDOgz/G/KfhS1eyYTlk5mkC/owYOplP+kqC RHpRaU7K9uFJEP+9RzAL4fuNMiNp0jRFgWY9dK4/JmuqwJkBPfCtZknmMHjQqHj2QDQNjPKwBQfh 0h34S3oOO8ug+Tn1mqsGAp7A20tKxRLgqF/Sl+1GZrIFkFkvZCEeD8cBxDhS0OFI98WctmFl+7U1 P3+RzcQACiQnnMnv3k28vI7xwX0Z1rFL/oX6MoViGmfFHGtCtL/Vx0juJOcGdz5gAUHn1hbb6/jY SwXKCrknWidI3WYheuf4gVgxmlf4YukFCI2DWccIYzEPujiLUMDchIF1fTQtJO9PGwSUQAl2ILMb iDCfifCsMLnocpT4Qh1CGkJOmBUxjTw4PCmhrqAuVpBqFcW0bVO9ga9/X7QaIkAO0lgfek21DTC6 iX0yCrp8cbmXANXZB1xb/Lz5jqtFvNRATN7JWjZWUxOh6MCBNHwn1zS2XI4hOhf4PQ++ygz9PI2H MGrrht/XFCRTJSS10I7rBphIYnsapBwgwy5j1js7AKXLMqjwMYaoKRlcpx4YphO7wr6pQ9xYaDUD L8SRdfdKzyLFWibC+u0qkVHbFZNn8Dg9EKqJdYy6lZJKFql4nDmUCqS+4Lj++DWyA8XOjMEVA5nG Tp/zD6hBabsb/dqZA0LV6LznEc4ysZZrLBmBnTEBlPlZgP3zS3P9u1qf9VnquSj10m7wXbpjjshy 7aBaOY6fC95UEMsydo2f5lK73BMF4j7ZiJpA4EGqQHJDCZMOUa6R3G99GjEnX3F9KVboAsgAMq2Z Y9e73aqeWCcYkqa7S1NYQQ1DQ4kWsBcvQ0IZ3ZMnMCgMSZ59yC9a5fV3r0yQsl/MJuwjbTeoSvrS VRsiV+jg3EwQ2p4nn06ZAyG2x3T7ctbNrUGsLPaENDPJ1lIn1ZRNnUoJgScr5GW0i7CwvanCEgk1 tA2LTwK+YOrbROetgsd+zXkzQ7vO58Yk4vTDvA+PCnx5RR/iMzOqOAqc5Md0rQ02UJn37hMNl85Q R2Ax6ZqNp9qTQWfnEjeXP4WpXYnqPJaSSdMdSr2gWuy+vTqeHjLJylacTSa6WAuR0Sx+EGkXS32V Q4Ck02TXkFUN9KRZCLM5BGKKzNc/fDeZ24I2CnvQ/ysf6/tSRzKPr4bmZwTciPSmdSTyFI7DnDDG 5/6v6cfgoIxCBpHHcaxyChEuhpj2403xyCOJ89tZeAjdymhCLPBboKFTNgLzpPqR9Kzor25rTW2K Pm9sZ8Gs8GltpWT5QVKujk/DPuN55zul0aUTOg9NhQna6qhwlg1oLqDC1vhDh9IWFHC33BMvQYD7 n3awjDtc6O6Rfr6r833WKZBAELhwRscsk1FJimFlw6POcBizKjMXx6aDLMer2S8NeP2ezHHbb0kB zQXBkgZqsE6bPKNHMgcDqjEmK//QLyJ3FdJHrnvw1+/d7D8FbIyK4vi/WixF9RyTa8fHGfII3Y5P oYin41oRYUytV4V2qdvKBiR3HYNsKppOE8qopZBHLtQJYuwCk4Gh7JS8UU4nNBRKORhaE1I3HNHG 22yu4Q8x4RIG3bjkRH8pq7IjKj182clGTH41Y6rjFKYdAOk0AnZbt6bIN5Z5POGlP+Nk54BOR+K+ xaxQ11leBsbRRiXlofuCIKvCbCUIHClrXe2raQwyv542eRe0prifvJG4tR7nZomCVaLSyF7Vq7T+ APeRuo3FjWa6U5Lh2WXP2Ta+4G8PgmHP9O208PNYd8t+ECq3ylYPz2anApfoWwvNP99xBqX3xFxw +aCT7JSKBJMup7Hoy8zue9uNc/n+g9sNGo8yL1hEovFqS44/35NgsJZebAdvw0c51uma7nJ7hlyW 1VJ0ehK7oi0u6MIIcFJfSklDZHwHcJlxC/hXKiQxgEZYfimU7e/1o1WsBUptkCuoDcSU35HZ5aDP 7AD7kM0mdW9rmIH03qsBHcLiBdbUBao2fC8QQ6eTzZ1DqHV3yLYmRouWIQYuZjB+ctDepGIrPzqk 16KoSfZAKDvH1jIUUT4GC1o9DNAHN8UU4J1YdSVcHuhJe8aHW7Jeq8QGue4KW+JFrgM35tAZs+24 DYLVhsoEGSdwR+bfsIZEP0jUbtAEkZwGqU9c8lT+vXCxli3sREFlsEczrv5NASaoYlShmRyRScpy k5khtkFQ+XG23H8+AImMHj9sp4c5vAUJVbKTYCkXQu2r/PH+MNI355rLVzNw1iMbqjHyLPmq+dTy hg8N51CSDQm5WBSZ7Fke9IpLTOVjSVf9sPuaPVgBeGwtwbLuVd9fHu0e1wLRGEPzk8sI30f5S0Y/ tX3Z4GHpvl85UU+tEDpUfpHm88QZ/QdOiKeg0vPDGiM6hmk7syTj0k9jl+JJzywo5uswIdLLwtwl 43vA1xymUT9v4tyspU7sMZb7zuv42EuMW6bCkgN/YrAN/XVniuddIrCwqGgJuLUeR0JLB7zgvGkK wfzLVrqO0hDS68s3QAuiZamcNVVjWc6MkveY+wwLkxqrKuSlkX3Po/4oSWTXTnW4XiRh+AcdCmIZ WkePanqYI/5WoE8Lv3gPOCKKDiAvlXrVILsOTYJzdOKvna9WjGAtVKvGekBbn3UThfd/OThRm2lW wu0f2rfFubUXOGQCeIUcpkOPJOEM1rtMetLP/FIh5kjljQhdVgcSgFRJNsaOhtx2P/S8/FVMTOSh o3vC1/LWxYEdqfkhr2kDzPvy6uKvKFtCD39bcm2ZquwPLHpVCZ6iivr370wgOFzXSHwAhpt97dyQ 8fHDF+CDbOc4GpTLvAG1Sw7psc6BYHSyPc+OlSTHkdsQQMwzYyEXwX2GNV6zLmOMgpCpHHAoLWpn W2cgYnPZhZXjll1hKc0zjNzyssVZty2i9GX7FFGPYNVO64tggJ1hErtXZQEycMiOmvOvlDqT5/eh xkIEAD9qADAIYJnPYoSYM9Qhp0TzbYwPGEEOEWbByyj3Sn8Qsirb3VPWSBXWnrnTa/bjDRRqNL8Q Z0qaKeRWCTFt3tFmJq32DZpJzQaGztF4RsYCaObNC8r64aF0kEQeqQDeaUxabUWF078CVv1aA3IH YlcHC0P0MkzHXy8vuow6oNrTWpeB2i3woZHNzt+VZjgEgFerB2XCSoGkTiD753856O6rTm75tPM8 RWFQL9z8WjH5Kj2hGdvVbbPCKUGl1lLyBpudkA9MZlzLXJmBGAzcqSXWfX/U6lESKJ7axBRIv8Q0 bonAQB2iu6f9Dyh1kl/XmlILs3P8DgT53qW5H3hvRJ5lagk9hBDKkM5VG5TFUGZNISX0/LN+6Ztd LhnbIz2S9MHdeJsngO/QkvpsaNvGbnPOVSaWMyFXx5ipGO2fCACEhrBBpH1pIttKpmoSnoqUBj59 JjMwWE5bg83mHyBd7VTpbEKIVc2PQ0yth/+K4bu6ou/qA1SdoaZmfR77Z/2W2dTtq/2N/krCZLuS KQwpeU5Z6pNKofb+oqhUxT+E5jQE+ifk427F54XTv+apHRngJClWO9TMU5VaZhG7x3zniyKrTYSu 4iis0mTma2CeWnGMFK+q8Fhlk6mAVoMUULEvfozdOeV6cgvgZKaQwqeNxKLWYYS/CBEiz3aJTyKe dFDtw2l5BFva5P46wKGiX8zz+33oSE4CHCo5y4IrhzsLr7zwrKCQtE1xzZaHovGWRnxKHMHPZowj 8OqvZ0i8uNut6VD0UWE/M5l3WN73L+nC/PUBH7kfbxIG0ckNFJ4OKYPko3wbkwBZvZE00/0rmAEr GoSjmHUkQHVg+AHMzamE1YS3lGcvVLVub/yYjCEidU07DKVCzHKtvFQCKx42ZPBZpsSkemnWcBYn vmcG4gnZqLfHsPp8r3RqjERrY4dKQQCTxnEJtaIYEO7ZDG7kOcmrAAtQWM+F17FLRK1TFvVnWAMt qBegIit8rV3RlmYJVEbDm4KmTxnkHzlCiQVsN4fKcRTuzXCJ73OyWSRgbXIwlz9rhWR9Wps2hRZW TCh+2ttGApQvEtjFM8aIzwb3zU0usn5HZmvNBwCZ2cEOqij270ge9hQg3x3Dj5Sgho1kUz0rhTTV VkcJTGb5aMAVdw6dFz1wFxV+iSoILH3O+xQjVxikcQsqfoUOEbgVGx2z7jPmEPJFlv9yBvjn0hTp D5Q4tFB5F2w4Rw6abCyIJokp2Isikz+0fE+jBAkOaXR1O/ODffbCmlqC4NOFV/6TwXPTDbJdHkY1 VHRPwLgT0I4GZWbQsDrStD/84P1gVbG/A1NQc8CTedGxELi6G+h1WRNNF8IQTJu58OVXNNbNgOlQ Xzsr5MqchYnAfVOyXDfRmTK0UvFabgVZXyntxz6BfxJJG0h2c41QafnhZYAXPV1ZJWm+ns6wfRlV yo0UhLLP9AMjiLwdfZ8RSYbY5nTfQ3xlu9gVPQ6cXScjBlkL5AxG+cIOOzZ2xsB8nmoHf8MrNCTK YBv6N6aOek40v1iNj9ZG6PoTB25ImbjPdVJE1zEwOBS0I2JI+FHxxlNLAseO9BOz7qUifpsR7wk6 zf94an9EUZfcOkNDRvxltDhHhMR+LkHcYGy5Um4bcXEYuto8JMtKeHpIdVZUtW5qEKkNTb4Ey4l8 Cu9Yz4s8fOQn8zuZ6Lmco2Qnsi9Z+0hWT7zlzy7u8C/B4jaRyDppcBfW0tvwaiCE1pjVD6mcPWcL RhYSpN3LqchEad3gYMcs0hrPT4DLsrb73mV9at5P2jO0qkcazncCbQynfOsHi/OaC9PGjHiMEdQh ixDNu9ieQMZv7fxX9dz7r8PfatT/kZ9oGXKD7ZEZD2UG3/XpSfa16hS3L7vfLoTm5qpdClK5canO +QbTwkN+1Nal2AWQwhIesdumNpEPsuKMcJE42NSLTiGs3rBPtfioL9c7f3n2ybNq2DgaoY8IhZaU 4WMA+5rbpJhOHP0KS9mj+ipnu66wa3oFTY0SG2EmQdc3sMKEKmcgOAGxCSCgV7p9bOp0NFDHDpSL 4Zdf+KJbgYTd8RlCUwbmhgkCx7LZwkelqYSt0BNVpnY4t1kyJk3X9Nhd3CiPQh1Ghrylg6bOyfIl im+4Ak8IiMqTCYuxIyXEZ66ZHhIVp69j9BtWgrzoIxr1SBZPrkUI/+Rg4p/IQew8hrhjk22+zcLZ /GH+K7T8KQfopSfCA1kqgnsm1ABkxVorIPQvDOzCWds9r7PWEVvJhi6qe0vz99K5N4IOZgBMrMw7 7/FMcb1RJSKcOxWWoYXJ+eRixSirZ5wlqLr2Z5iFXejNdCSeUWggMYjpoM/wdFEQAp+ylhKT/hvq /2oV2m6l2s9b+Xy5HEoP9PicYrdJAJClAlcFL9D4Gc8cpplyenBiqVzkb2nGorYKITQnt0nZjiOm ImdBMB57p2dXKYOHrny5Ge/e+si1hWR9cpqo9jEJ6HCGTmQiFbmbUQ4CQfzXv/ZLPE9f3r3W5G+n k7HgkfM9bvdsolccrX89/oprOA//pSl63dmswn8qEoaRzwMmQa71v3qzS9PNI7VGlWVxDo8Er6Ic IalGUhR8iDxQTf/y7exa0OlogFkIEpkEpItYEYShKHvwwcA1yIeKbbt+XNstcHuR4NpvzWwu2e15 fPcWPKjAo24Dvp7IpY9bAJ/mtdQsONyT2U40Rhk53MpLsZt8kGjgQ1XH4kp2jXbCnJgeOQOsGG7f PLwBoXsVH4dc4yUYoRKhq6iq9ZXot5cutXneqXzNlNNmOWUaaSgHQVIPX8wknAL6d57B9b5brHCg lfAqQZSP1asycSd9CRaEnaAo8r3FMiUjTthwi0Nyar/4ve9xGVJtSIgaYJY4+iOKAKOI6/iNc+HH cmk+AnzpDSth8punxLVTm+gChgx8JA8nSC3HvYBMMerL7TXdgI/sGzbCB52R06TYbeIrUlubpL4/ JyF93HGM+AOzSJzZahboD0Ts5yvXgmf7b60u9fSxL0fPYm5SVHtqOhrHoK+qs9fSeFECQWiVNnFJ wF3oH1feNJ5sZZxADPeLY1SeHj7/CCRVD5B1V3rlAZs6Fjn1JCm/cZBpl5vndUXQNiOGBSpOAYaI DGKq2lg3tL1rLeYbHV0WDqyJyaR1BnRdX4QQw1CkIzoFdCJqgTU8N8t4ZQhTsHgzmWldL3oqENlC Dl7Q0oUtsfwudQGTaCrfaxlNppMetA4tXxvuI44jZaeNBhr75Ql9MrJe2Yitv2cUP96YUjn5hBhX ACz/ecREejqHaWEbnwJMLW52/fsTa5xgckLK5PyQGUbuap36TyR2iMmD+i+Jo6H3XDeR8NsJbz34 KNjc8CqImgECIDWSeTd0KSDFysIpaBisK7Oa/8Nbz/AhccfPw+Msjh705XT4hGB5R9Es1BHX7mKd DKl2dcxqhUjdCoPgUj1m44JjAlvU9CQwFTns7Q25HB0u38iunTecb3D32MbeUikD14MjK6Wvc2RT bJrRAdKgGG2quJz1u44GMZAC2tUBKmLxhOZzSocBkB3XjBfFXUldR4HCjypMOrAoPMNgbTunXeEY adx+9BgtJGQ/iW46cIshDqCwlwvhtsCnSxxjci0KY+/n9DqViRB+Zl5McSxR8sYp79GevtmddWKF ixYFcidW0oUWgxLa73qi9LNcefZKqtlEWNKSpd2AT7qwjf6p9DstwH3C6CFImzr7CdF05L4Y+fl/ Azm9rdx4r0DKlQBTlWfxyrkkKxJKmEG4CpLhE4dlbJF9zgqtnTS9+AKC7L4pLr5L0pimmkd7VS2g S7wVpik9gfHQ8sMCXLHW8l3MwSFJr7vqDY2+xTSsz6FtfN8wXGe2mbiD3cZOAD5bPcyo9FKNyR2/ w8Mt8k2DUljEiGPTTHIU1kyKaZ8e8rdDPtKJD0qK27kSSVcABTThH3nhzQUyKO/sE0WTqyv1cGCI MhuA+tWoawb1oLR1F5R02upnzqewXxKSGswPYu+MAhVcXGcaLLEVjovUcFEjOb8gSan+0cbEgIM4 xp8QVKqBVQb2crB4+0LXNK1wbqn83h6AnmPil9qkL3PCdAa4pgzwQinV+Yf740sNes0hyuO/Ijbb hYsxCf62duZGJyKb2blLZoJmTkv/VJgWjIidh2n3/jYf4/rzkehjYDc2yezGtqQkB668o/z6x8VO Vp4w14GpOtjZMH5LQezXqCnZE1h5QWnpvKlpsFVvtmW7LDCDJppj8r1iaTdJE4/nqAMW2TZKsgKh H+X/EEoMwMzmsZkwK381T0KFLPyWZrtRdKPwGdKlwzJAbRTpY3jfSBzZpSaFE0Oa2gaZ4ZB0EVjf GuNmUiCmgdXjF49l6F/TqF9APZ9I8kMrpyH/OeJbKgIMDaq4Na42UAkXGzsKwAQqfAH044JL+KB8 L+HGc6eCv2TpDk2VT6w8Hh6O5QlxL5m/Y1Q8i5xAsRLcjuYOrTNxOglZfNqO6g4PdCBmE/1Z6jMe 9DSKe7aamnGVoLW7NsIDGY4JABJbIZe5NhjC/xOUnB1DVuw1FP1CrnjGiAiJOFo9uyHeRW6pzEJh G7dj0ZJVfwfRIoWW8cJizgIBLfeojeUUdd0OMGz3hQpAJ9OEF2nlFos5Z4SkP92S5y9OiiKUo9Sd KPFIUJ8y5JoiQOKtQLLo0U/4o/pMW2ue4RASoIbWD3D2wX/ER6/dBxnBju1BD2AQ1hxiGghTx8t5 3XnP1EUj7grewbKemlQ5/0NHlPpEOY9fq5ZJl0P7EQ6gb+huyd12igS15ET1IMplY52x/IbvmSud MfdgFqQB8LTd4j2XvclNT2fK75jY+1EZGKaS7+xfZ4Mi2tb7Gk4IHjHVwcv44p45RpKysp9vZpZm 5f0I658/bsmR8pa+Ti6JJnH/Tz1YxGc++FSGJUlp/zhE6kZ0iIv0xNXHeRu0m9QhG8U5yPeFFLQv cdDp0STYRZE9+OVOEtMUGhnOngvacxNP7z9k14frtl6S8xugX8Fyk6BWIAFsspVUi0KLwNXqER9v MvHCigrAlKI51rU1e4gRqZnVAeNWMDokwM0pvq0B7XA/2bsf05N7F540GzUqFPELmySHNXl2JRNJ kV/a+TEarZG1FJcs7d9rScHRI//tmipbtJf/P7eOBVf1QXwo+zJrgX1s+y/O94sPh+K7wTSQvsnZ yqbvDJCaHvT+im7uSJiJ16i+477EzC/6r9hLqOQva2TcO4H5tOyiPT88ulOlJw+GgSyS/Mil1C6G straFlEWTJ9reQoZLr3Ip8miC3gyJ0hSPIK7snqAdg/C+N2fv7SiNYKgdOaxodDSc4OTbJw9VpbH E7KfgAd9duw4Dg4RUllP4UvGzjs4QfOMPZrsEimrw7cXKeevlV2/e72lm/3/fZ2ZNBnK69hmCnef bRpb8/czqcpHrrhwfKLc7dGhd2BseiGk525jFUMn2Ef1jLdmbC6Q2YfSRQBDysjPhjdHtwbo2gFC ECnwoYBZ0WjjtJwi9VMq3bUTc6MGbO3UzzRGeN1CBOBjHSD9spzyp0Dzk4KqE/XdF0inmx1msNU+ 274+L2dGKIuFllVvLr5lwWzgTSrzVNN0Sn0yYwakJjbgPpTmQRp61viDgyWcnslNntaruGGZLKto L3g2tW25ocFxzecCDGXU8MLljk72gcEj3uVT+3sVMddzLg5Dmtc3kOEhHUTCYQ3Cb52M/Z58+WPK FIoh8404lYsI0gqyTFVCRNCsrfXl9JtJ2KatEilUvwHHQR1gD0qwLD8aNfHEe/kQHcZBwJE8mldP AdsGMOGvpgFBv0WNjv7HLYifTptuXEvGkYztxSAB48g1vHc+NqEAMzLtXCKLOSKszyRii0k5FzoE EAV0LW0VLUVzczOUOExm3kDf8O6ufv9toY6o+q24T9tSzHnYX4QSzpEwRjAhvCowPxgCgy6+pklI UiRZtsOBZEb0o4LZ00DexWm+6m56hUzcuNUzXASZ00MFaQ/Q+TQRmhKbGDIvUZQr7pT0JI7XNHNM gFyWOfhRFp+RlF7FtX9vDAV94mH4bCdMUVMw1IBRyCKE4beg9m68CX7MQ2TxCmscdlMFK5zPLLgu va2udxdHjN7BCIFljNFdc5UVoKOz0kITmg1b5Lc62yxjJawc9Mn4vnjS2zVhjZEMeVqEjFDgNNs3 cHUINFcPfvzm/+NpO7Zw+qCl0hz3eBd7KyCFT2CIalP6vwOh9/2uNjz3B97u8Fvqa9CabvzzOwxW pxIuVyyo/gRCzWzgDxA65ZewbWQceMdIYYe0pEP5N26rWFHW/mSli32oNwgSStjFJoeds/fBjiDW vTXvg0HRgV63d7wAl2PyEQRDxN0Z5iydSh2wMbqU/KYxAawzADocMtOaIdZL1Z/hi3p+S6GQeFYB pRdWmCYS1EQKo1AsC49ArP1JxEoBqN8KpTadLUosFxllhTtkfWrvd7RKZfIphHuGUZyTs1h8HQYF cWlfqHOo/dx9SC2qQGbTLsLleBNJdbzJ30Ickh9sCZ38VmsIRthMP7GesqZF9dhoT7BEImuc/PVy 8HvZCUHOndeheHmVnBKYCgDdQPyhhIkUytabA/v/YI9+GfRymk03O+gOKJOJhncerwKRdwdRTgSE XIldZalBdYrWuXPPRjsLqwC5eWS+VfsIVjR11jhYyFDh/1eavBMYIJkBfWstVM8d5wGrar3LG6bb HUyfzn9mc9kLv64WjnqLbCaeIulfCubMtfBE4PoFvpCzmQQADJXg9iEiyou4HgsfOTrWPrvxMU5t iWYeab2rQJ43K6S4N/lQoLwB24KYRpM5foiLsejAlzjseThWo2ueURDT7lJTakLyhxMIxyPtzy4B /tABT/oAlpWBGE7LhZGHhi8oDfsC/1PGkn3FisvnFF0/NamDsG4ZFxb0Ktph9nOrgLHYp2Zt1Bzu KG8XsvhOIwcJFLUDeXvKLIiyoAuhSMyMnkRHIdvRsDZAZYKMbFZ31XQ/Ps+mmTDFvO0pUFcxrx4p grM/2dbl0L8tT/VaIAdXC4/sVuXXT6cr0Nkdjrt2GFY9oWu6oP/GrLjj3uhDxcgNTa6AE7m7m+bl W38ELrV8bAeLCDTu+HGaO7SegK1Po8sO+7DM90x9r/UBomEGQUJgxeoqmii6vszKhfpPqjaCltsX l5Aq5PhrzezD38My6O+qhj95JxS6HDyBOWbJlvkSW2XXVW9cPlAqVESVbmGtxtc+HTMkXya7UwMW w0X4Kok76YhVo3Xi5c10DKnrrDAZhAmbAaaJH2y5t+e7nOVuhgfwi7YR4Be0GljaNe4zwiwZePAI Qx47mt4UfHH4U6xcAsEyEXxsYTMD8C+QXT+QJ5H8hmK6bYZO7ufv7DrvNT6oEh5rF54H1LxxJ3Qq TPwA8UjPvJKHhRFdtAOlQ2QXsivTn76dOGCsflusge99u0idvkkzhNlw133lGs/non8bYdZv+CX6 dxGcD16b/qL0JDQCAPDNHHa2DrJZXwit9EnZorEnU0IVtXCBHC17Z3F/Rgo6Gq/Ht1yFT8Sx3eLC hZMBVi41w5YM+mQW1StVEvbDUR/ytwe9DIuLl6aeE1ZEXx/7PILBmdk1KAw8asBVnXwCfKZEnnCG zTx/3e2GnqiWBPWBCjAQYrhYxk1dML+EXfN9HQOpxwtYhI9HIsyzjPr4CjYLNrCHmajA2TZhFZwN 5vhinwYINROyUHlz+TVOX/Pzd0o1hEDOoaTBO3ON+ua0q+K1SPm6UNRoFuYub5vVQYV/VxiMOPst VGmWuyOsR06MHwvSLzQmtlh34tk8nFCQ7Jz6/u9AY9BOZPq7ECcc8cd4vpSYSNLW9BOnTWxd7w5u TJNAL5s4SbDNsOvfBR8T0LNbMhYuw0YrxSh0oEBpkTmTxjk0dmDFJEkyCAO7lTOg0Fg3RH+ijIxw CXR9exLETVLlLuMcE/uVI4+wg2Z8s0tw0S09SHEoru/Xm0Is5WswkEWxD0U4tbovFE2A7c5K5dnC wmaDS2Fs3Ig0IgCwkO7slXuDRQ9v/ica4rbtpehnQ+sOb28pwZvK+Ue7fXwcoumfN8hqjqThXQ+1 2eEjq4LPSirKgcuphXZumfUa1z7sFO9q9NVMfyLOsE7HTf2YERgpa4Fl8zGvbzCHO/seq9QuZMtV LEofMYft6OhYR1OgUvIv4dRBytDKjfVnCC0OU8ngBgdwUGYiyeLwezsogtFmNZ9q3VEkETz1XUDb nNJe6MSOgN76b55H31gB8EvlZBxvcvmM0VNjvpwIj2OVzEmU4G/CHAhI8NTwxoeo710Xj1hA2vFA Lyrvr84b6+/pOV63sBgOsJrt4/6zU90iorQc+lO3j8MLvkpRtHXZtH+j7b8LizYSpt10autEVl5+ lFwuQnbhDexEDaWGw6YpMnvN7/FqznSd9blIdbVWrZKbRPo8XSHH+dKRmsY2vZixN4HhIYawGZzd kdGeBDCU9k3ux45D6vCoU+ZayzdBm6uKW1xzuzL+5YGS3YSeOt6OdriahzMJqJB7bjIWPNmXBVFl 4gCRTUMpQVs5hYBATpFef3orO5tYSjU6H113Vpc7lg5oKicjhK9NJGiJWdVzlyN1rngXuhHzrYe/ 7Opb2YxQdo/Tb/WVCmNVpWjh8h/QC4fQizIg7x4nxdzImZdx7iEjiPts2N2cB3zVaLYCxczYK6gJ IS+h22Ka7QgOsZONGLO+fJ1R+uM6am7MkrSHhOekWWs6EOI5gBy168LI+ATSZLHm4NdkIrXFKCjq lU7G9bqcRA8P8QllMh6MZxuX4PyBtX52NSJiPhK5SGeM4tK3iaxHr/9F5OLEkgT5PalGPIo3U0rb e1X8P4jDpFaZ6GbmQ9cDGwsiLc6sHDkdYOHt0vapXTjht2vwsMrMFEWnFPjxqZZA2rpdhcMLyyeq Ehm+ICc9+DKTuEwrGfJR4wX8BIduSXikgv9LgFfuDPaM3HmiiPJjgrllx/oMfzY0wIuJlk7YICBO cKDomQKH/XkdGudfss5DZObtvpjhUFiJj3kT0PzEPSAvgNjy7Axxfrz42uUFZCmR2SPDgItZoRSm eysWst2D/HdgXXp4fRsleJTs55M3vXyev++iwJahHFOpQ6cd0foesrcsi3iX57sx6eJLftazSWBU Ke++Eb7u5jdiA2/x75tS/JyOsrEK8C0ZMHasicR4mZYjR5yWEn2BO2ceznzq8HeK1mOrCjrSOIrS c9F52tIFVLUXZoSIG022ZmxLMsqut06Vml7s5hjLk7x9Ksfnlmh9qDtKeZU7DatcTevQxKsd7SPh 3gP1fQReksRYH54yeIRjxa6VThzl/tt89x0oE6x+fKC1+B4Zi/KdFtu6ApPHoUcifJt8n3tXxj+L r4EGCK5175BiLchZQP2za6Anit6kCBo6eKVRvUiLdg7plRO2WAEOkeMizcU7oRDQU6jQwSnkGbD+ +sC+3L1sW2+5TbJr2k5N3G5ncBCEBUlYK9qYt1M526nNOCOv1SSGIufkRhqiy68D9he0z/f9ryXj gK1kX1LshezbLAac+olYeFMbVkLWo2zmI/Swsk41+YKZRKNAOtWmbJCAXNYxH+odRPU/UtqAlbVb 4pcHn1aCwZYDlNeYW0hgEUtyIYr/R3rR/x+LVdWvcye+t79W5NI2TPqjFvnTGGV4wvvUCK3LWVDq 3+m/TweNTowTe3T720zfW81HK+RGFtW8BHXsro65lpp+mVGUjFKGrIjT1oFECXxn01mrFtsqByU/ 9/P5XxzSuUovnUNztZGzx36BfxOtssPavi57WvNiFS9wZ63teawC0gxsAyy2K/BTgd4ucDCZaQkM IQVoKCA0zcn4kzaoJ8xTO5kSizLkuJycPPSM/9zLzAH4W9Cbba8aYPTA2z+fABwAMdrEZOHMDqAH BNAGQ0DuCI5DaR803BJYrSPZuiNATsLHyOt/6ODExX3jM4HMZpQC1prxoxbbfbV7KCnh/Fe/KVyU v4hrxtBkxD4jDUsyHbULlwTM6EtLgHmML1hXuoMS9ZzNr0FAy/5gJmgoVTkjOBbxpG5Mwnm+CO30 XUzkipJInD7PWZ/j1wFvD6aV42rvjr8oLNIdi6ViblsbOZxNw2hGcDthfHypitFEt63/v6qKQv5Q hKENKAk4P9poAU5q8Gqu1SrsbUuK73+r9f5RA2UD6o8JN5FOKh1DLWOJ9U1xZz8SKsGjCIH3ox7i Q7WFQUJ5wYnvz3kHap+wLf7TYYI0XmTt4lDFcQjESI4Qee769S0QxMA+5GgxnffOvLLPTdWi81Pn 8YS6ii+rEGmog8gVPhROHkrpxQq6KP+w0yLjvNKavyFs+Yw7X2j/svqBKPrLJMaRj4v2VQ/Xg2Lq xkan0RDbQdjcf/9dfB0Rsf2fx2JfsAdaMT+fWxr85oNHKX0AuEcQCVMiq3Sz+O+uDtpxxoPy+zWm VYUMLBg3zaU4fckzSgkGQni0piLiZIWk8njVwZUtBwQkK9RgOxPvYCMfSrDOCvJ+84WjHVhJrRZp 3yE5h4MAontkU+FHQ2eb/O4yqtSbf1S42o9ORLPNXW2IiCWka6srVJfXQFXKDqJrq+NH2punJSUB AIO93wgUUMS6l4rj4OjKbEIFQcUlYFsjO6lbRX3UFlPIcL9cB5RaUUuwBmN4O7Cc28Ybx+evzj+W Rp9CdovBazso9EkB7N7yg4YlzQqVnElS7ZtY0njteGC3FOIdNLVsPMC/LfBsBybbAh/res1w9sYA l2Q1MqB7uHSkBB0VO6VvGrAHxWFviOasbTtl7rHjnNc+1LXw3iy/wG13iM2sxafgwTql0O8mM0BT h+dODBbhNcNjFPGdSMjQlOIRcSymJKjbReD91/8XnoizEKxPgh0DyuL//Hlwo4o3lI4nxH66WZZn 16qnJ9ssl/q6kugvb9aR5F4FLAj3vGfHK4IUsmAe3QAwmDl4pd4531TUBGf3tcjT5RQZ1aQHFJH3 vzuCQj2mfNBxJQ0hwkTg4LiVkvM2YnH1oiGdLFI7XEW2eK1WOqNYYUzLuFgEexgp2qr73ANkLvsN fi1BT59XhEMf1QCPKdvZh/NvOcp5vscf+CeCep6KebKa3HyfqXUPbum3HBWMJcJfgdXStatx/SRq bzRCKJXac3MUgbyT61vVvzvmq5acr7QJiShuD8H+8BsOCEvm850bEEyTyzdjbFR/uhCbCaCma3Ms 7gyKai8J6H3sap6z2Vjc+UblADXeRYBITNvLqfQ+Jpv6POXyHvwGkW6qVnNiueKwCUjkFMo63PoR mTcXtneUZQ0WpMyp9Cscudo51g6W4upi42MVEesI3a8+YAAPHtbnjoQopZyfcPqYbtK+hjVtKs9e X8YFJ/xVE9IhL9MyUXJTCUSqeViv3Sdrbrg5oFZh3tNNhPfn1IJf7SSMNL7KC99G3qe82xWpTh2m ngf1qDmqSUjNWf89a1JITevQk3r6/SElaHMrCWTSaYDcJyEdi3OC6BwOzlF/M4AdZo9VRVgqZ+1j 2ePt5fJ6p6+BSymBx1HzwdRDY41d0463kdIZ6GiVpz8nceP2qfMRrY7dAvEmJL2suXeMX2OkDceA JSffloefz7pHJy5LNWNj7o7i8dVyHu3PwURLu9LXGs17f1PHXIWbFqxmw+QHcwp1pzc7GFl2D0J5 79JRqAXBFzzYbOlMm+O16/tQDen1KpoB3vamMYI1s8F5sksjzPxR/obMB98oZdaxneh2m2dJsmG5 an5yKdEDV17jTj1EOD3fbxF2NkV7+SXkMHctFqaoZsMOU3FeuSlRUxXaYReUtcvjCYVW4LBWLYCP jmXmInuh+GvnojVoIE8SMsFzG91mocE8cbARxH5U1B59Qp8vPw9Lgscx4t8+2s4koI+jjtlRWB1m R97ia3e+ECzBG849nfk5E8Sg+sU2abPpaDDjDixt2pJ3FEPt9+RDIjskIsKOYykE0vJua6PrKTDR Aaxkrt8jFLvHkKWbu604+91LLzyA0kJIePlM/9tLGyvAOSPfnwTjqFb68MORCYuyN93AvI4KMrbV WQGFuFGuR7zhf+tAqhNidvvFlMxNxlghMFCS2ACbQqYE09id6sDD4jpgXPg+ORNjonOxxud4d3ro 2qq/UCOZlqYOb1G0Xqj3qFBrUGPCEZRTpWZHt6T8SB1zMKqHeXjXimG6MU6TNRestJAhsBkA9RDy Kg0DYBWmwuiLv0MLZU9XQl5Ig9nuYENDvKwFSTrftct6saoHs92tMMTdY9S003eJMmqxo4oMit9E +RrB9rnpG/V7/QN0d8av/4wtoYMZRlzt28ilI+vqU6I3Xxm58MlubSnAY/kmxcs0ysojKQmZjtK8 AQex2IK61gqotzhL4odRFwSDEJRZ2QRj2idIh7ZOOAfqqwChsBcABpjPRKsRVRDdmy/shz/yn7OB mAPEpEHsZvE/b6wD4cXKZeCmQ0LnSipAgSUAwBLFR+SgNCkRDB3XeiKN8eNJOWsy5zfatGpUJM2i /TdNY5HwaQNVzXJA5W3336Kbjy/T2vUP3z9A3lZ+Ixa0ZXm9vRk5E0pzFfUlKd83Pb4/6e46X1Pg irA21sokAsAcVcKPq6UaA56R3iv3QgXN1jSfAhO8I1Oc0vyiYHIWgDQNcAMnTgkqYCp30fgRaxC6 XgLKqq8v/8x9EkaOIvf/+A0xwZUI6UYDENuSvgbsBWLzZukb5lBA7xU7WVa9InoB0pO7Qgb2Va8a mBK8cCOZOZ775547zSIFx3Gb3oF9/Cgve0uFNtNCWuxj9LSHbE1Sgn697nPda1fk7I5mbUjWoMWy kYSstPf4c0iTgjzcH4q+mLIPLK1xlOR7I61i2i0o/RvHxkcXPx/HSrxu0MIZIHWbUr6+EhLAymkS 4wJ/GxroP82+RH6Jq62AStD5AUOdLcUpn9nz5t4AmVsL0rgdA82268+0OKW1iCPRerDvFEewfd3X MMFfKm31+ucAc/H4+6apwiXCtJkftEfrB8iowQ93SOX+1ByXtbe8ttHuVndGlx1XDNBlJ/PpabKL ePzbilQ3Aa9bkNPiyMAlbTxypKYrnvYNZvuYapec2xExYDP2Im3O1wyrhQDZ+7U7bNjfxkai0rUw /wi4RiRuE+21QcWSuCo2Kx6USQPT7j54Kg8nA9QMmBoBSBv0H8r04oYQSXYUN+3tITVeJcDEViLM 7KCdt4bQZFBYAe0tDsvGG1x/JgoEAI0yCBWl2jZXO0WSXI5YCC5eZ83YFKk+0m3waQ0YuwjIcMd2 W3a2Wad3HTxSqhBspRHSunXFo9bJF/iGghLysBTZyJTj3/BZib0T7UC3bCedqmKvZIeWoX2/n3bJ xCtg9cfmj7493PZVwzFN6rayoHIWYJhiVwGjKgCShrtb4HOOzRVcx0ZTjk6nPDwUCJuFevoYbogK bb414tVEEzH0Xylwqhp3xHULmY5OeY++pylv6VnVsNkdQMWBYGCyU8ZyO2mTzcPqN7btK5w5YE9X RBZmxsxiT0mGtqDWmdXXMC1kvFwGS7PUWvdzSpv+FhC1+gACw1TpimQZ1pKxBzQGbhTtH34GkOh1 xmxfA1npZPv9wgtRgvak8iYWQcWe0YoQqJPVqWmbXfcuztKvVL2hbfpF3w3qJvk18K8hfH7hzitX fIS8Z+L3jahYH7VDS5SspdRJHSmJ8OthQo84cPuyKHsjrPm/ZUiKxwNXQfm1dsokXt0X6rws4o5G rmn0mBWhsPi+SuMvsq0oyg9bQT0ZzPz2ipvfVi82etxGaM8B5h2HprjHRH9BbfUho8oqeddSiWK+ yM9J+S/lQ5cinG1k6p6RaphC5RU9TnmwBI6eu5ROKMxvSYPn8tbpCzuqxfZHkEgnki1z8rfWoIHb AxQ4AQdd7wp5dxsat+I23YZkdfeEW7hVwwbqtev9S7bHMq9yEjKHi6aBjgzWC8jPG04R0uACYt31 THXh2LjCftXHqat/LjXtoxOf/M6fwnE/63Zb7TKiY6OXqqT3UFFgu9upKHw2+H2IjZMqAj4wsOz3 Ugoa5hcAuO8g31Y2GvD5Wm5Vw/OnXc511FKvPu3mS/KbBlIWE8jYRkWSo8Ku9dZdjeuV5u7zxIKp vS5zZkFSY49XwtJDMs4MsVJLXf2+L9Gd7SP7JFDpc9enp5JKx6o00Hx5lxpqrWtEAu9fk/7OCCLa oKI6oVYfvRXWiNDhjyv24qvXbh7Gc34PnU4As02ovREHEU5sOwAMlrjXZYQj79uBuHCdfjSsGW3N X2h83blzGrTwfC4UR4dMeDRaxKg7KkznpfUAIt77/WuZnE1DXzjxoilAQ59X3G2TbubaG9hy94bs A661aQEPYjIGvKENoqZxOw4ojR8Nl6UrUrOlv+aPNdvghesJNzUjvrbpBs0XpD6QQ64aRZGq/O4R T0IvBK1/YK8OrLHJkAd+GDlOC3gTmZUCCLrOzT2U2wpQbNUhXVZAdRm/W+h3Ts73FmxUQ0cT7b8Y QdzkPxMYQ1SrRRjvnr/gOvL+GOfwVu603JK3O1zrNmeUAdYzoVXlePspDp0K7kse2r1wiB2mnCWV e83Idvhe99K8qILJFOUUtiChlUsZKaqUnR/Ogyh/o0YwEUfBK56fJf7uvp4yFxPSqotY72DtxIw7 axFKXI7iq1KZv1YS3AW65XJm1kmT22Y+y1ric6jcj1pK745CIhjJqEMbaZ9LCSTUOmeAed1FPTbf M3l1t2LsxP+xTmDZw0ZhfOh8lPuptaxYk7GjBsceRMRBR0GmUJe/TnMbsFWGqvhxU7iB3LruCWo6 grvzMN922AhqvnDlJKHxEuHoVcIGsiNXkrkYQeGreG3qSeTfI0cWfCJq0W8c8Wyand3N00b0qKqT sZYiSLaylOcxPTaEaJp3r/aPwBKKs/ILyHoVrwjCY/XAgTO0m2QDMEo/tQe48b8kYK4J77p1ZLqp jXUFGqKZvf05Suy+pJFpsXrpWiot+hqcV/vJxfo7QaRKawmGh+T7dge4nfFp+JsJxr5Em4PVT7Md 2W4Q2KT92kOp/xRllPokqWtL4T1u1ttswfLB/hTB8KHvzpPTwCbCFsVZR0iG2fxy9v51hj0PUj88 sksSwq+bYpPAEfn7wfeiqXwWkt0pbrlE33hzdnEfZxTDLxUj3902lTJKE+6Lf3OMi/9S0c4z7/7R WGHSLOBPsxRSbyiNCwEU7JV9k+4uk7xSjdJdmNZH/dUoq0SSzwUqnv5ZEP8EmyKF8S3RNYZPwDoz BaksnTxtHPq+jKLF4EC5vDceLMlkaQ5GldxOR6JK8xFyOnHodaZlqK/mIxcGidEL8dkJGv4/DUWG FTFCz1liWVqZvBOUCaNbfHw9BXJgmagMSDcWyS2p/f/jf7qVLFyLm9GBJ26E1jWZ4+py+ONG2sGO vaObVm9MuBPQJI/Qy4O+0IvOwcH3HTLu4nGUIkMCP4RgOUCZeEG+eElI9rJlYA6/MbM65pi52FLJ maIMnbcIslRNxC6GFe2KkTtx3dRcvjj+rmYeBvI7nUwUup0t5/+wgM3pMxulyofr6KCnwZppVcy+ DGsFimmZpd0ppXnwdEK8vaCNM1QtLsWg81sbnRPM4wk1h013u6nrQSX2i1+Hg27wvQ9MjMd598iy T1HxI+vG8mlgVACcurixKq1C2JhsWIbdDtXGMRXf90olcjpkj4R5MzLGvQGVJr8MQi6hoYn1iD9V kLxwqW5YHieyCB7wHDpzCeCubjhI5kbEAb/mktpUWPfGbLeLZm1/fhN/NKTQb0BMcf4JlY8phuCj FitjMjwWdm+/Ew7T/3LKNNgeN3Rzgpu63xPv+FPiivwkjFIUDEX6Oc7ip1k78nXmq4l2czOez/Va N3TXH+r2tBmAEy4c8UQDPumJwW7pZHkt1VxOSgi99SnqpPuAAYS8MXSKyb05xxFmy1E35xwGWvzi hSFr6JG07HDXTY8naQ2B9Z5724s56cKDCorY314xZ3iOxfzdf+1Xpp/SSD5q8diTQ6B+T1PWZUA5 lgL13bvrg8d01BPO+Yz/5rqmhrZhoAb0YssduNKNMhJ7DIrrdQkkigsJjVKLk0prl6D8ts7SGK/z 1HZpp7bggEvtxkOSAH0/fnjlEkIuvrwfezMkAyhbkZJ5kgZT09L1rnugkEZDuiBG0MDmhy6ygahD 4tY6dMyfgU4kp0gHf2oumulxq079Tvq5aEpJG6aqmKQr5mkMgN3VJ+nkp6uJ5FZN7PZzN/gxga2m VkVRCLZssUAj3A+LYtIIbilDiwP2jZyZ/dQOlb4Ig7voO5Wj3i5BdZ8uTXQJxKG3QqjAs8aqIZT8 EKm0lL3WZub5cjhmYJNJeZCuWQ9pZQ8OBU1uTeZcC0f39BIrNyPu40APHK0jgPp8+BoSSATZqKBz 3/8OeEIaR/mAl5arsua4N6VGq0ukrdl763GzVpbcgXSaGbXqAYCoLH66y9KOgTBdV4+zGugGsN+L S0lwBEDJe3QFF9fAXOluIxvFB9ZorV7bUU1HJoD1kBojciuDp/M9sdwry1rkLZZSd+q/AkTSJyVo 8K2v9tcv143LLlCi90VoaDq/sKVrLqsBJ/cyAMMjlprbINNteSzcXeVylJWIAOQZu66rxvjxfNb/ cQ9FOlGy1kV6BP3j06uoW86prX0sewrRr5YienF6fPdh6ypQTT3L3Xod8/FPBmeLhT+FRXleM44q n25oHN3ireoe898nq/IsMMOVags3qHd14Uf0JFv2UFyJMyksuwVYiRDfXuZEVIQC3T+wJKVGIFdk pxVglo3UfVVrWpvl9CwcA2K5HSPhcJju6RdCDlvyVY7gzVg9nIDMqvk9qMVVO19a3NYqZKSWVFRJ c17ocT5NWSmEIiQVPJnAO7fUJwpSDWYDE1PDRccCse1s+/qU3P3gAcEBGDl9qPedNg2kVvwycrsB t3kM1RpQzHrUeR3RnZQTdyoDWBQLi3j2onPMs439gKaWKl9PcfKJZfS/giMex1uKD/9FbaYQGp7m T0S6KroBQL5DQF/XOdWMJC9vX6yfGroUcKrTud+sYryXYOkrLcTC5rXxZSIjx/rsOdVMNxoaW4Dc 6MnnHOmtXzOphVnLQr51OsMsoQoZNoWlEcHELccAHy6xoMosmwHSCv1/j0sxY4+pkAvNlCKfu6KM rx24at24kup//ekrflBfwxL2hVQC6T0O3DUuVdvMHyGNqbIQjj74Ig2Bfhn0txv8U5LtdF+OVemR bYIxgXRRUXIIm+dJNVFsMRbWjG6qg+oYR4v52WyzwvW1ScgQd0FjnA+gB9jzVzNxU1y92gPkJJ/l hhboBGuUeBA4cUI+y0Nc2qO6tjNFAUFKxVyLjXjOPAb6ozclzwfpRxDZCGEGy2TnFSHIJu+bnPNe PGWgkjeL6SGl9IErjlW47tl/nVXfxmVzfryqWGIZ3b2yBqBgIIjn6zUIS1IPUaFtFPL/esL5mCz5 7BAmL+mbOqnNo/zg8MgejhaHTQxYS/UsXC0Sc+KegTXbZ/8ql8Uxl4Oe+PG/7y8p2LLNf9rjCF2O NiyspCwgQvWp2ScJsBl6rRVpXzXmjvNtAenRjFaB/4mk0AyA21v+ANvMH142FvzT5CnqtjAZg+ev I+rD/w75xA/iXUpOmG23G1/Oo7lbagoyhRLS0NrdbIo8kvMywlz/uzP6kvJZJAbxoD3bhameNM1c El2oiyQ+pPCt1Vjpj4RJEaBHXhnQ90IWPaFLGjgl7O1p2fGT5MoDvHM9zL9NG5XnBAOVlW/OF1xS LRSureRZZROPUm78VoaSvaZsbacU4i2ZN4gxQxWLycbpTIrQtelzmz9oaybF7IpQ8/W3hu3vgfSQ WZplRmLv4BrnEsjh3ftFDFXbYEdfKGpmvcnUZ2q9XAW2AK1PhaQlh3HodApSMMmkGRkcDj/9j0gJ D//++R55UXUxY4yuNwXcoLahMTIPWqcXsKOPlrg7w2dwUqmsRU0sHwdEJUYiHRetFrXaKRLh8Sm4 q/Xu6vDPOcbfB329+aUJw/2s9xmLenL3i41FmSYhPLgL0ildro0p9pQqFwG/SCakF9XRWJm0Klnq vC473e0Bs1PAbchOy7WXml5kQ/tfes4jc2t87z/yaIHDczFdC+r4tv48Ff8NN1Jfs+i1kBal9iwa zp+ngQVyP8OyJmstIltllIj8RvF5uPaYgmdKzlc/oUWrU82EQJuCucsGZAMDj1RxcXPk/n2F7U8r jvc6bf8w92VlsJKm8BbaK667m8Iim3FkMdatjXWDxDejE6pxFCa+cu+ZFjKaSx34X7A6RP9SIxx9 3AAJFopp5LH5HucrlUjxfgnmM7/r+mJy5NW76+1PV0Kg0apqLQ++sxmMV5HYoTku74QWIPSv7fhu RY6WbDYRXWKembO3ycp2tsTv0ZOeVWQxWZqH6e/PEh2YtacNRenktrW6wDkfwiJpr77/N2y59t75 q61fGjb+tVKAa4ezVgfARAlluaOS5UilW+B5j3KzuHXx7UK6nwKBZUazNZ1DSMs2buUn3ZZDcJ9O gnEDmhl75ljjt54nRIaxlxpAce/9h8KmLCM9WjnG8inNVWT8N9/zQEoxilfSPJL+2IWYDr97Pl35 2ZYBfRSlNlPGj2FMYEaMXW9f1ae6g7mez2ksLD6thl16/w+UtKxMgxlDYzSLV/lRFwro1kgmPrZO rj/y3fY7gBe6Jdt+rO+YcUv/iFLbI8/PRahqalDR+ZVV9dnqU1xQlb6iftdK/rZ8GLWSQ94ib76L sqmVpPdvOJ1D6l1bW71YksLOVXsKgJv1DnNAn5HhPzoLARVaeQrZ8k99EyfclzKx8WQyDyCt5Ple aeVigTRPMEEL6AsC4HwVaEV919dt7a5qjyPrnJ9fNoE7tEhNmPbD2/G8Ubu5Y6Fbfrbviu7TwSNF 5xFZSWaTUI/nKfh1c8Zvh/0ywAlxKA2wY9dHbJvrqzKqgNMwyVO20clCjcucNgwEyLOrgfHyWKa1 dGUV9/MSxKjMkjoNwEmZItAgcsOybU59tYIcp8b1ijG16soZ2IYbaFxtWWOx0vQsFjA9DDXU3ePJ hCP6dnT1HG8bL4mr5a0v46QlB+4Tp37nvIzlXXQb65YjI4WuI+J+3rdHSHiLJa/cyTt0Dti22Vch xE6HbYcsOhVV2PqU357YkMUzyBX3nvYOD1kJ1CyaOIJCG+E3Fr3eyGXq8mAg1qA5H3vD0P0NSYU8 pH+VKF/QuyqSSYQVVOUBGt34X4ARxjy2b3D4dF7i8CS7pD6MoN4SsHanHxJ0CwS3VWsP5xeU9uN/ kVojAYd5L4FP4N1uNSgNn35bfLy86M76df5aeS5Xfcu/rgQZFK6AmeBU0QTG8d8cxUxzSz7xefux qX8IieS9Nbq0kA01dTGFeDOKxJb2DwYIJhfauhLLP52dZP4MvhjQKQa4fDTR1vDGCReWBPQPYn/s BHsx+tCaICsgPfXhC3j494yygP7u0BReW0wbcjEjQqZX+/1kRN5QznMtdBhWZeAbb88Rg/L7+8Rj jqJAUEJdqq74imvFU7qViCvyrRouqv3j7frx8F6YYaGsxRTVIsfEyTW9WgruHFGlAEWtG3zk/hxb IsivpYAAnyxcVSUpNR7vdu3Gjy0bDc8J8VVldKPluNErbXBgwcchc/qe6fglujn7VVKEJgk55PtV yDFzB8ty8uoVe/xLexw2YYvilSSDAcGpyBWWaLxdit8WV4D7JNM4wPIAQ4JhuOzH7pg+MzxBv/TP bMTPYwVIggJYVqvi4uen6NrOAD+C5eAW/dqQWVlR241GmBt0CjPDG1PYMXu+OWoxcDquStScdSy1 J562HUFd//qEJb++q9kF+5dche5pqd3fUDdmscrxCgPfIKe07rkOijdBfTFrg7feeu61ofx3+3Qt 1xVa2IdsWMR9Y+q1Bk4+AHR6BXPh0aZiL1fSX4u9+gbNKjbAJ6yjN1CUdw2aoFEju+LPw5bFA+y+ 8YIv/mqSnvHekanFrZLazDtNbKnE/BCKMg616t23nhWVeqi4Sz3AQLfZ27HdFXka/WcWnXU08+kf pfLsk2kOZqo+VlVgWEgVytpaE73vFtyKlVbuLJmLFqj6wCHF84OPbWKPFrz8Dc93GxGmHQJnvlaM MXrv5KmXsCo/KvqPx6NzozHqdiZyBmliCvNYqHONLSJaMIt66yqKNAZzUcPervFUAA9P7/rc0Fxe AGT/U/+7y+wq0SzKd9P9k3doOErVbqekpehY08YRFwTdd9GjltDTCECZ03TvROIzJZM13nQ97VxG K+kmKRXsuJjuE7uQnRk6oBUCVmprbmykcJ7NY5jueh78uC86xjlT0sesduGiRjoA9+3RycM/v0MV Q+y6GlIMrLgZv9881ftEJl/qDNRUast8UR6F+564MO4V8ONrckKxC1YmicOwnKrapL7M/vXKSy6V S4nCjJdoYEMkC+EY4jTRPZQsoe6Z8j5V3/LolXRjjG9PlZcJf/T2hGUxgWNvCsAcfFptS1nbMh8t PGquJ5pkKMyZjFuel38VF/kM8cKV6CYiH56Ao2BNpKMMNUgFFhU6yRnLu8otmFgkyl2jSFveqEr7 9T+Xgtea9LYVjUWtCVK9XN9YU6WKOWmovg8VG+ZbSuCaFTeleBlh1yQJvceGY2Ik+saIpdtAVDMT ehZarUGkBFlpPIQjUOy+osRiHcycFLd5ArkCkge47xFYFevyNgzha95nEwQFr0vvHlr9J1a5r6QX taY8b9CoZSMGDyAynGQkVDrVKx5IUpqcSqaBmxJGEsKfHPJSFvr2disMqoL6uJKB4xkr0e1mone/ EFqUUc1M4pVFc1DcdDlPQ+0rBgLDpvuByBBhHsdjkmK4Z+JDdd9pz9UhtuO8ym3Uz5J0szrpS0uW ISWkV8OKkPGa3Wm9b3cmg+cS1FkcUL1I8sVdR9WoCzaYpmv//W5bWhGaCCKaOhaxBStcjBVLsphm bEqDHF/XjcNbxvgksnQmOgH1L6cq+WkV+svj8AnPyEaAouAwYk3RVVYikxelNLIyl9c0be7IILrz qZfuRjzCpbRWfPmcIzm5VMgrkh19vaNBGzKWWHAuB21Iz/JoUOrnEBjeqUu5fXxL/PRPhxU1T+0e Bm9LNxsQ7fmsp9Da3UDw9H2vk43HqDY2I0aNdQq3406v28MdpPrZ5zHr7xZEgU10BmDH+hBOwWty h0JyF3fn/FSo6qIhHHkiOnpZklKreNDkCR4A5Es0Vn/BSCNIYExPBHafEFiOb4nLy610J0a6rB1j zfeBI5XoRs8TveqYl/51z0ecpsHmGWveEOu2j01L+3ZYyOowwzsudsg1z1X3L9C/aLktOpK3o+39 jONwQzD4Z5/ryNPoUbOxUk+X7SOD0WI+QaaR0ltKIyEfvnz6vKp2AiQQi4E9z4Sx/Ogw8jm7hnyR 7Kq3Lh+bVDsgU4CZJPxQ5ylqLbHrUW0o5Wy3HMh/MwNU7PCMOgUt8FMg+N5qLjJTglCi2r54LlIY LfjT30+nV1h5lBZH0rS4bxG+ozUzLOgOhAumLdekMUmoBhKe0jGFjHlqDLgwdRdUdPQOwFZehtvD k8wz9IXwITUloJqh8CkTq3kOOSnvTIjsxXGNy0Dt18JdJqh48AvBLXnq7GzUwf+0cFeh/mT2H2MX t+00Hh4jTJvU2TRFwvkBMtNeqqExK0cTwilWjmnV41GCLD+WhRRrW+xafCa5il377UknvFnSC+6g rxEGU6ReM25O8EoojbnBvkdQJqCMxT5dFK1BjOlVBWfENz5rFeML/+Mczaizu4s3LPOAAMofaBm/ rUO3ggvEa3uH3pnTAwLtY/Qt79Ro++dMkz1uWWzD2N7JgsyOyz/Hg6q75Fi6VVVODjsbs70Zmmdm WlhQ8r066ZJKvnNhuUigS1lhdfsZ2Nt30yQahJzokZqr6+goBOVKPl/zXFBa5nBlG/h5oyvwittP TkoNKOnvKZ2P6DmQAL/B34B6kPZc2UaA0e/da6kUddxPqwUoMP1D33/vOygk/dZ60dVD3LRWWgT7 QHs+v185nZjGjpi1XZr4HJScsCk1F2Rizf1f+ADOsnbG30kOCbdGyi5DEo0Clpvnx2cE4nCe9gev 2b58Myt4357pWHRN2hJHpqVs8ulOutShsxeo472vwp5VSDMEad5MXpenE5SN0YJBssJSQHdw4T+/ jHl0HxOuAtrOE5Ny7dkDQ8lw0cBQFQJ2W0/rtYbHFHvi1+ho17BrKvqh0hH1bPk4XKKgFvt9qAMg nMEzzgaQST90j/lCBB2HSTG5/CGBAprITxKKfZB0qLXTV6AQb5NmNfZ1Z4FzVagWDjxg9UBJLodE AlDlQh+uyJOJcbbww9efZ9Vz1sqJlcFJSMTMgbOxcahn8YfFToGSiJOtxUA8maozT7G0kav/qgZ1 p7nC6VTygm93wCNd9ePd6hRSLSHsNBITX493AwrPytbyrY+2Hdukovm8GucaSVP+hLTGQ8H5wfCo ZgLzZtl/nAmvhddcXh5kaKqFkFqnSqvYqmWdjsjEvvWKwr+t0wupZV3UXmcyrTkF0dWRJCF+We8g 96XI27R1WPTX7BnTOeTzM7AtOlrFvZIxWdmZN953jcC1TcLhEgbLoTbF5jqeEanb7h1R2LKkxqfh tbKLbeqWwC2k5aDDfSy1I4AfqNli0A28TlJO5l/qtYdhxqwFsGkNVTvyVNdPEPCHmZwZZ2x9XKL8 c/1+bId3cRFFaq7aGJwc/h0MyxPbncg0yIxDxMs1oFt5XnOhMVtQcUKwP8dr1tYD2KXaoKZOPxN1 +5XkYtQGG0IwgKueMC4GnciE48hmvoAGtmOcTD9vG4zT8bUcs6vIuLoUdCqfeU/SDVHPc0GokoA0 h8AI6b95it4sFIEBTJKxkM2tyHAxcN4UHI+1sotqhXyEE4WG8+JUQ8vbUKUFJFjZLCOhkeUpqcs1 4WedMd7gdRml9n+894OrUt4/0RGvpd3gVd0g8m1Ny1lPAXE7HmCRUP1Il5drE4MueJzgNH9XCAVQ naqM6wMOhpcz+MwLQgt9peJRr+hcnD0eVDvuV45BfJ+O1/WeNgV5mijX5WCvHAvXCEbS1T0Mt4he Nzy3DshHyLJc/EHntSl0NEKKJdw1AgArfssNjr9vywJev7emkw7Yrh6wRO4Tn9uD/lVXhsU2rPYw celibpMXq+AHXtF/Zz3hk6O10slZar9V3qhz2+8CY8PrcPTq9hcDjlfgC2OMzacOIsx29cAZ+ZHi fj4ACaYZp3Z2T/1p7DGneZah5ObeUA+dnCcydh/UG2I+U7KzynnzkWp4i03KJHc9Xe/yMxaIX5H9 xni1J25FDBKvzp2P3la4c2RCN5LpIK2qW9fIFWgYM9gYK1GxgTnCMoBnLY+FTKPULjtiz467efas M1uLjJJcn1PudDDwopmWOnBMJaXHy3FQoT/zPsdkGQsP7efAPeEF/+6LxoW/m+HqBdUBehyTtBWy zu6R7YOA1PuPCO9fLaOr8YaEdNgL+sWQI4lZGi4ddH1wDqNuyNcJs54J/rhXqoLBkSNSzkSJlf3e ksjMb1IWAi6PohT5IiZHel8iECKF4GOp/7BU2ivgnwZiUR7giDsDjlUr8gOGgNC7jyfHYJAPjjn7 1dJtFvhi7Jh2UzzLQTbbISexXX+F2SJh0Yj4mnXlm69Fcn5Fj+mHqiZRFU7kGJ4Hd2I4ZM3rXOJ2 gNJ2bqkInamm4JfkUbrNoYiqIwYRKPS+dOqO4KqjuMucyLTeWsXG3C2YdtTUUQkRNHQdt+hPzgG8 qICU23oCKFdUvIewKY0EZxf1cxxgPiinWQcI0+JD86N1LnLsT6GSyBFKNIb0OriLJOLPtwYLwoZ0 v/fCUJZTXt+eqDa1sJBab2U3aPousM3dPtH2Zhpa6eobGXDwLpjfoKDtxNDf9SbtKdl9Sw0UMU2T wQPSLS9Bg5iPCwhkBTe2fADT5Huz7hVd/HjvCbUsmsL0I4eixG6yqgDNvbvmiyviGQLS8r+CKTpP a4KI6m+DVYRb3oGR7Eji7hO31AOQjiilcjOBTmNWlSpEeZtI6fCTqARtCIg1ViwGml1bfQysv5XM C9QFAxK7YSmidGXCi4CppcqCUGkpouSfOQNAde/7nR5ZGrADAoOrUbHEyljb7sA2QjsZ02Tlpscd yI/UDYeO8tC1FjxwFAnHWqo+zpy3b7MwqH0/N02UfN5LyxJNOW4HrlZrVRVh0FF1K8Y9uGEOdjM6 BKJAEoB10F8miSxW0D5hxy22aUX7Lb+NF+wXS5Tg7M5Ria9E/yDoU48iNd3VqKNbb+SyS00XFTmZ mOrIADMWLA4zZkFNhWLmFF2voivsrRm9Ibxd5EuBNtK7IWy6vuFEj+biJDMqKBiyxU2w4vDatLxR Av39i85oS14jGhO1uUbFRbPz2HDol8x+RrbzAuqcoKSHj2gtuKdqN3uS7dO+0BymeXYtBdoUzQ/Z 9rSGLDPfHQ+K+E2XlPIPSoiV2lTwAJPb12uE6+cRcTZJKO7wnDFOVFNuy/6We1GiCws8l3UK5Sgk Dkuz+gCMbahbV1/yiH45awc/CpIxNX3yQBPt2zjBAbnZvnCEzPGJuiOeE1W6Uf3cRgFZUR30Elb+ +0FCV5lE+PeXZuc4e9BXO+3gzqyi40WiVuoUWhXgJ85vuU5Illw3BcRr4Ne3iqowaHs1E3JGnRP2 ccJM1VjwW3NxQYdEGSHWnZKPzq78y6MBzslcb5tB0rgyAcVEaAEImOAXrMD2D4Zl24F/MQ+T0iS/ +CWIgnQetYHY1ZsCoyiFWlaJT/ocZH/Pz67O15fwBuvZsCwCht+ZBsIxbg6daGAdhgtGpA9Yp7vZ mt1SS3PfBTwu5obh0C5VRI199/AH/lOA1naj+La5xtvyq7GBZ1XYR1/GkJ6DDtJ2i3H0CRscSN+Z cUsSObz0N4wVvSa3nKMon7b6s/4fG9Q0WV30gnd+O6VGFEw8uI8M6tl/uIeC5Vz1mdtAdwT0nS5R d96dLcPu6Dc/aIHu/yVlEcPzn2Rjsph+fTPYK33iyhqX2xFBfCZLbRgHUD2fl/4YygUAfDxLU45M 6Kc9I+M+z1x8SNJFosXRsfytY29AIZu/310pvpC4wIo4/MrrAdU0Ott2nfKD0aIUnOTUxDX+6XlR eVnWtZKai3HODuCroDpj34aAn3uv4MHY3NmW/ERTYhyuoArYOUs3i1k1x3NCQ+Hywtgo/P/BKvvR uCBL1hbpz8sd3fVyBP5ak6kxVBOcqnDcFPvLfGsJfIbK7HoiP2SmTKCitcy8PAkL7HXXbUIl20ch O6cwdCjbR8iPrtvMBg8lSD3QtTY+oPx24jTGmnsKtdjkeH6zs3wt7cikvdvlnwOpAwUOnHWGdlM4 sJABNnemDj3EBMQHGc2N4nbHotR8peOYeViKgNIX+9TrdwnovqUFhADLU849OfoRKg5g9n2yuIUi PcxB+Y8ohyjGO5qYTQyP1tSzBo75nq916Dnzd0ZCNGUHErHdJWq82QTjxuLKut4x/HHBEk3A5jKX WsBnMAVfo1IHN9pLw1rd4UBrKc3NNpJA6vVq46w9jJBiVR/DiiQ81crJysvr8ApTE3/dKer8F034 /1lZh6J+legVeBcq9t/GxG5LgOfk0yiIe+CAtWXeIeNnd+CxXfib2+D/gd4JRZp2u9Cqqk58mx0D /yd6mi4OKAbgcjJbw8VYuqwav5h2+U+lPHSY/sAor2evy11gWj5rZuqpmKQUUk9pt1xsBA2o7SVS zpf+MehA6yuBOq1MvUFyfLvcVd/SMgQPDOqnE0TST/DMS/pxg031oD1ukhvIZVxCy1sFzwB/rWnu oabm7iPIkoMoJQ7vL87vh5zN6eOhy5A8AAYqLiKRW5OAnZkweZ32BPlbP8WNYm+KtIGGUpiO3xK3 anL3jarrFUlv55Fcsj3MNO42pJQE54eXiZ9KjxkshwYYmxjGt7sA1WNM2/dX7X6Mq7eLbICYJXcT aFhYmLnoIqRTh0sQfAjqjGJu7h3jsPb55aGNyjovqu41lXMpMEAIzNGBE6y4bT7FnihgiMyNKHVg XyGnPGciT7IeVUprn1U0ppDKWZjfBb3xOJX/hwuILpD8cQobiA72VbZjQrowD5BExIzpe9g6psGr wzLC3oSbzWM45+QCULhrh/gq8dCTPdwlKTQ/hBzveqgP1FisawTzuLwe7It5l/zzVDTVNb7YrNeA 5Xr9cyaJ6oh3oI1Ht9xeRzJ1k2gCUuM7gkOs2FFpZDPenU/Rhk/jQG5yhOV3gKElvGIZjeJZD+qD 2tXoEFfzQjjCV8cKQ7JxDUXNt+GNL93sSyv63q88JzFkS/wHgj+F1i/GiHl9ZgGoUcRH4fhTEjLP QdXg2n23MBWPcTCQERJ97A9fRZGY2fHXmD0hvjdrmw/dczzPYItB50R005Kt75nWReKEVgU8sYlK SfcEO+xX2BOenyvULwnuX3hUP7GChFz9/c6W7mW+JzDPeyzW52IvEIXrDkgZneNB95m9dMSPwk1o wvYVbOA6OcVBBGM8DDkINwi2LpNgjppxjHBScwynalgJHVzc0710fJvtb7bq2BO4UcMLMouvqd52 3TrINRS/yI5pXt1ry/ag7QB8sRP0iEtDSTRC2hSHR5ZrSSQ8n+oXNl5GM+4LuoL678qjmiamYYi5 AnP4fZAkDyo6VmYZdskZO8z8R1zjWFpSYvKbKplkrU7h6Khycg8/ZUddiyUtIhpGGUGwYvRI7gIv 9qZg/5/vEzrmI9kSQmjwGd/fh2pSpkG4AFNioToK+/LpmBjyrQPRT+zfsnCpgyj1m2a/fa7Kmyda /ht1rPEUCT5bsyI+IDn5XmVlPjUHiCmqZen3iMdQFeQNLWYJSU3yJntTEvmbmIFaPtoxboxee6gQ LA38o1H2swW2MHwrilGZz3NQBUmlzYG1Pw2XW/sNNABP0+K+ptDOcTlS3AIw/jdJihKh6jeJOims A/KXqqisw2vQ0cvQqAho28XDNveqWIxhAourkhAL2D2A5xAtNnuCmy15fXQEKY7WUw1xrX5Fz/19 DKxJCqooI1p+p+tbSO/97qtgGJCc1QuF0saCcI+x3Ug7WTm0j8SJrrAgLVxyQgzOMmN/bGxnXDHH yYmPT0daNSCrrWYYDL0z5NRMCUohbwOL5GWEkvwD9RcaL+r5oGSTvjd6CmUcPvQkpL7odZHbEMBv 8I5uWQDwlNMxg2w/mn6xDH1VT+s2PH094VkhembyNHpKjPSgAKJBKVWDwzS/3iLmOSI06DXq9Cly UIv07kJFj1RNmnV6YHWAHc+bYMZs4CuGqzGePfMuLse3pAiB0For6An7jNHvUeg/IX7Poy4cYzmk kax13DwYuk4q1BQC4ZEwyxHVZRce2SUCTno6Ph+bAQQwc5PHErqzt64AgM5TeALQ2DX3BYHArEKv qJpaIMRuNkGK21PyS17u9j7i+FNJmL/h3Y92fDuNE94N4sN5zAVYb1TNIAdDJrjBvLYq+mj/fUbp N4bh8GTNBGQ4fRfvdR+v6fgLJxfIPn2Pdzb/TXNAAOmKDLsThFpJyXcmbRuU7+5k9gROL7867bB2 5w08ieTvTNNZgIyQrhiZFqWx5TPhGbSLpj7HhsW6DXRdDLMn9D9M1GCraaV6bi+sJgf/t0o7VoaO VkUJmUy3q9cdxG+blJpPwlqxtSbMn/gV1opbb+JcIO68XXjvhV4IrRH4tjDsvhOe27mF+BquuAb0 ncNPxOALhpOsRPwfQqOoB+sZ7IthBwi0zt0o/DoJWRLiVwI/uCr/1q4OZbPTETIKCua+Hl5OZliV HAdXHU5PGO1dKeIecDatdRoQGJJ5WoVpOoGb5d0wP/T+3gbb+Bia6fKizH0ZhqshDiLP/GdQG4x3 gP8bwml8OU4eQ9IWBX/hxKu0WlyhO7MjcvRvdPlwyWJDNHRJszRK5zEZjMsh67lYqzmObc49k3Mw bCEMxb/U98XrVOKjj/t48GEK16bTis4ZtaK2vAaicmG3OEK7COd/u7g22rjGFaEC68oQ8WWLRkX9 LtAyrmhZfh0f+9ClZ/Dw2fo0iXypEhBIP24OlaJayd4jxvM0X3iQSLZi1UGNG05j0R1dfs85uiU4 Fv0O5PuUHGsN5yzqV3EF/5kSyuyDV1Z7CXCf4q9vsGyqh9CXlUK9RpEKTaId50fZToFhAeYXK0EZ DDOnMUlYvzywELi/cBLtmnYqp/x5qikyRjqhflWQZfoA8ODJOUVeNmE1BQEnoIo1U7l1D1Z1c3lH vqVy8BIqCFLrejDEB8uOVAgeLteJTIbd3eRvm4Xg47Z92UJCryulCFwhbJUij9qvpUNeAS+aZdWR JGFtxwjYMyNUPaquI67FqXZFZoUb4GkPM+DwhegYfA/+c2G4vus7qTySMjDgbLvRBWC+F1/MhDCI E+eEv0YqZSCpZOP12BMeeZmlg+3sefatHTwgYqJGWqvAgf62mBFreh0b7m7UA1msrqdePdIBxoD0 5gyx1O7wKUisieNEHOHzwBSXRbMs7F9FzXVb1Fi5xdGFjeuz+YkCWa5QAQSaGaVikgXPDOqWVQ6m kZTU3n+N0LGxYPdRfxeYInwomyQRK7EGHj62iRtcQjxl3nxB+x3zdiIDeXbaHxLSCtV30zV4YvSm 3AVHbCHo483cpwQx0QnHzISqWldZ179f89qtWG1avTVhO49ANQvTg13qFZAlollNwDktcXFtuYXp IhzKIblAMC4mihCxp2mxV3o8I9weRZMGj0zaReEnIJw50JxWysA2MN2SfOWnMgB4/cDLeZGuZN3q 8OhTrbKlE+FqYstD4PkX6U5WumVVu3oHy+1ikQilAYDWr3xaOwb9pZHYtg/tyVXd0veKqsS01qjS rY7OheHehE2VVm/ix1C1rd8mGl6MTj/WD4gY+PgH7qN7qgDXjQrP1noOPGTF35nhfFTAGgT9w6Qv Xx8hgC2suu++zsQmu+v68i9RrEVOa83DN9mRgcS4zsNTYgzg40W1Ya1PHQ7rrG7MBQAIAxL22eqj T/VR1ZQ6L/AyBuIJmnWE3yzXnppMSMURTecOZ7FidaiBHdHePcYlpoYVc1A4kn1bVitSrOOcW2Sx IVqPdXZYicuKlleSqVsQHEMaVf8S6PBThWHMdXHz5Cj1AGxYn1e/0/WMKo5jtnz86KirG7Sf8IgR 3yRnYep43kKsyFYp4MTud/gJPgte10vPBYcNRTW0mR0xg2+sjaOiNKtRHVIU95O5viTezfPtWMvc O0Q3Tmv6/zx3h5RTVaFfwvgagmnH75JSrvfEL/lzWwDzMp/SXPrSYPUNA8LqRenXAZlcMK85+JhV tPMTSlxE+Qo3vuq1M7v5aZQiDMB1TB8/DpIBXGPvNGeLziR2B7axyW2Jy06sU4wCCJDRey2FB9nF ZWOS71w8/YrVZYwgFv2RNawW3k7+W0HS/db+7SKE6RZHG152tHChpspjhoT9pZEdm9/CH8UBS6vT WvekrCRwDqWRllTyetToG8zqPTdiYxJMH17fi8HxNnN0nzUO3y9z9MpKMTJdEyF2kyxmbZANtWeH Ny74fDPZRlhKSva/DEOh+voXdQycKqPvnLSNmaXvF2QAucZrLN4x6TASoEnAp9mBNL57RUBh2r6m adWYjpzIp4DaCISz82p/LazINR7U2vgr5yrRJ31ZvRDBugjfcGnQVuwVyHqetXs12PXTm7ee3ovo AbjF+Zbznt0nGDVaMJuXat/ovjmY37ZO4TIFXC7/q0S6ANT6ySRkowz7y2tpcFxl7tBI2I9EUK60 bGbL3f8pcbelwQcUmx6lVN3CXTkYoWRT+oaNhpfqF8zPFNHgOB/fhHYCNPYU1DNmhbxRiUireQaY fl3VJ6jkvvP7WV60RMiXKOfCH+OhF3u33qXNR5ZieXstauvtrmNrL8zZQ8H97/saTO33Cuher3T4 RXceCTpdMZ60x5sPXHFLWfjRSbcPgT8wH+j6aIXqZLO6blkSRmn2aPWBccvkBHcPxfhI5nu+jPvQ 1LDx4y7PRMx88E4Sa2ysfKO91SZ7/tghPI3RwgRTAL+m72xyzpmnvPIGX6oSIBaSTY82C0rJ3Wbe Wd9GGsGROHXB167FghRCPBsWQOupcilo3zvP5oxDV1Gaa2/itiPy8Bhq0WqwBX4smYbgrB5Vhwxz FppidcCCt/jtzw1QLvCvYzZRGglGKc0QpWlyBJJKGHMhNqnyBw+kShOM33kkSLk5Oipvl5j8c52i gT7RSiptL1DytrxlwyBNLyVeU1aZOTD3QWXCJj/Ws0H1BLfDOio8eA46deBnHhkm6ew2XLcb4iTa 2/+JD7CAgNGBDiH4KlbiCQ6RryVd0Mkk44K8mRo38eQI8qeOMm7jpOT+xuTyP2Hwhot8NuyNY0ST CftqvepkiOaj/y863VDdcaX6wjwAlpJEwi8HETkrbKixIhyVFYSLI4sw+FqZvAWXGfax7NeGNrIo NaOn/rJ4U0bXGl3cZvyLUuadi/DmaLPaRYGL82PGDNM3Ggsfnd6yt6QLmPotNju+uIJsgvoVJeu3 psDl+XyyRgzAMAWHyRL97dseu+atOV6MFG70du+bPwVmMrStyOgXsgTKYukmfDBpdjVp4HfdIXRo r7PicT4V3gCA19Q3tc6cfcJN7u03jgFMZPY6zWN56Wt8Dig87N0XrR7N7I2GYrTeD5FasALF4K+i A74cnHJC/Gcjb5wcGz+0X1YmBnMTotUxlvoq1s2PL1bmRyvV3qNDOSK0TznnDE+SdfsPRjqi1LzM jbOZe5o7VMFAFtDM8DilhQ2lFBM5Pl8c5+tge+lBkJv6Ya0i50k1WGUU+S+on5OMz2W7YRbHbMl6 j4Y49/+OprE6iwo+2efAeM3qFgSONIaP+4QOS5nCukdpnTFggi0m7P18pfcgiDf05nefQK79OmIu bYhCzJxB9jJZNau/gUYSQLHmyybyP6P4U0c7NQTT1wu49P8zflty1fDikVw5+Cnu9M3whaL0eJvi zujPkr3vdfYpqpGCfUmIPsOsBdbeDSJDdcC3KxcZs4FleW2Q/joW72sd+wVAgGOiUeyW/uRDYxZY GcsfcxxlVs94tv49krW6w5Hv175N/eUwlpjy2fYn9yVC1gDcH4MUSt/ZML7djqAB/Xj0aH5OPLsy 0/1/uiYKjZA4CPDl/O0hJs0sTUq8rOghp+95l2koaquUh60v4bIxBUREJ/4ciOScWosPdqdvPJCh 4enzm8l3zp+8UTWXW7YPEsYCCuRQcOKMvdknUbbTZt7cjHWUMcgyGp6LnNgG6ZxmO4tgtMeOHi3i o59YMWlQVX6vrypuSxe/L26wEyM6PkBlM29qzfC29jC6CumTBEgWaxGslMOdej3Iz8JYMDVSPCFl /Bs3dCn+zTUEsPGYI1qh2NNqtjnY0in9TWKXPtc8u1B8dPNa/zkLqhFcwlCj1yca9hXDZcQxaMaO o79Q4n/Dk8cb44r2BB9AJW5y/RwQ+vrx3MpnKWXAwvepB33z5RBS2LJ/U1XQgcjtbKJ2CS8dvUO/ mt4yQ9D1wfwtJ5WUPj7YF9QaxjUA3HgY+UmWRYChxQDQVLJDxbgENmRTD+4VFaw6EgGX7PNpA5hX pUL9lt+ITy2mxvK0TGX3Wr+jiUS40tVa7KjofhlLEgdkrTWoE3P8U/NM+dpVAduOX98DcpGykpd8 8UEu/8X9EHd9cLRaN1jGZpfRxTINiuvcL0plhOxg420XG2rHli7Z+x+MPpJLmulv2sY14PkNs8G0 1DsDYcH8Tp0QazqFebgKmDk4/ZJ8Aok6BJzNqei0840S2b86PGQvkknBIMtVwqnQ8dVjE1TlqqaF 3p7TkYqSZNMwECedALBS1sZ0//8Qz107sYvWSZZ/PYOFEDNMPipWdrpEiyqeMe/6dz7M1Wms6hQ1 IRaB9t3XNkuv84WHi1BpYJzySUntP4shahJ3Yd0nV1YnqimcL+YINpBol0UIF5rBeAC9+zziJD4Q Fy/fosDtOBOjQvu1enry7VqEnGcqypXXxBBcCPYpp36LdIjzm5xPSdRDCzRI1xnpaftxbI5UbmcX VyyPJ1lFzjCDZQYRqPcVH9ZtwTHdxQ1PXt5t4WDQm1lcWT/OhEiQm53hQ1HlUf4ESmm5eQirVwvZ fMe/disTA9L7m0yOQpFUcgHXZVpVjpTEuO7hOnNSsiqAfVa7Kt4oURx3cUx6E0nkIdbhL94UBG0N YKgbjn4YBJxIoR5Hw+0PdULXBLIPJd6j7BcJ1p2WpNuyBqefBFkdQ7+FNHF33dMyV61RA3ndTFgb 0uvISAGtW5stXmEOUi+WYdlvMWDrZOAk+8mmFutHe3U8/XpmQgFj85GgF3BqJcy+/RWKug3Besmw k0TZ+bPdh3nWpCxZ3WvNvxRf0yKuSTQS4MfRE0LA7SVOoOkctODMyMnlr9rm6HqLhl6eUI1JhOCk +YXOI4Fzcpzxn6Y2qCjH6JRGhX/bziNiKYe6NPtzqX4bQL3ZJm7cPmefACVC6qedcIQZiXVBQ5ix a+me4zDLhurAEZz2L2WbgKb4B9LdogcUj0SUBBj1+rd2Hrm0Dt/8huNXDgWR1QKr3Jj0Dvwuxw/k pUaJGEPIqeHt1bQXBCRQbIY39/84ESBm/y7zz4ytADoxxbNJoC7M+C6lVJEIQCgwUNbztu+LY/VJ EbolBz4Z9RtoZ6Ylje0pYlDiYessae5oEg3ocT1Rjzkp2jsgEJ27X9dSIDamSPEmtji45WqMGDPj EB7jk8NgWhCPjZCqwjK3kkKNKEMKbtJ1sRhQWUIZGdeBFWy0tXpfu9ZOZmPSouKY0f6gCjvT8C+E q6nPnvOjQMLl4TMLXOenblXfhywrkqr3PRcJOAeWGbqtN40j4+XAveTkPclMPAldpIy4QrV0oA04 ArwNGFTBw+TcDUm1iM/YwqPaDAl8PzU7EcA3M4E3U9FGF+ZRqVULnabtf+5D3rdkkpflS6pndjQL kPcf7Y2F1ssn2ZHqUYa34x1haN1X4/XvTDrQvakGYysG3mNxYil0JnrmFGb9V/hvr0ddY3CWKL4z a1rXb7J+X8IDCB3OB0v6VHxwkz/Sn/0uFDS7aWHzaubuBQH5x0IGva+p0ahZmWzyeLgG0ZoT0zH3 dJ6A7Hgx50+g/zEXGv2PhBcs46vAT9fxRjTOyQrd/WjuCiBmhYgN9JGylkqOdpmVLIQVEIrCS5Yz 9AI1InnIluspgQ/TFV/T1KjJzWHqHF/rvmibKzrq0wGhxlgokJPQYwiKo63QBWLTMUWDWKLgkIf9 KIG6UmGQfzx9BsC9EbTPwsrLWECKZfcD0tZMutHtcBFPHSW5NwbEHGH8iJPfdhnA5hlAoCEObSj5 yaQGvgWuof6aXn2vkBIywhHIX8pe9kK4pHxo/UPO6xptwPBlBr0Prfm34EjNF7iY6gfGMC/Wa0Ip BjmJbq8fIk4hW76F29uAk8066A7eAa7IEBNFcMIL/zZUQzBwvyS0HMrVWE2k0zS0ZwzikK8oX1r0 mzP6+5/lExQDQqUPqO3C9VdtGi5BPM8/Q5e0iGw7uCjXJ5aRP3z85P/rb3sQu9gcpS+a0FkJR4I0 2Z7ohEMZkUlr2i2ekdaT4bdhz1Snc3UkiI9d8AB/ItooYhGgVUny71JCj0MiATIf1VTKYpS6zbNN kCrgfix1VYh9VHrJBPQxeBxbivtwkwUnaZia8yE9lWM+nUvscyDbhhT/FeGdYI+/0z1KabmWA6C6 qh8otXM/y8pNm1q74tZ2wMVJEEIJ+mSAiXrn0JJ3D+JIjUow1xu4JqgSrDNJ21TbKcpkITDwo00/ +6o+C35JyvSNkVvziLuB21H+J2y9/SnCJ5tF9iYWCBjoCFAbDb+6tn4LCi+seSf+a6/ZFE4HjMcG KjsPVSw0qLSGZiHchfbxtzoxt0RalWReIXc5mPWtn7wPBEwx8FumR106WCGjWO2PyQdFUPi7uJic VeKv1cbvZMY8tUhnoZZD8teS1NhqdDElPVkzVG+n3jX4X33etZFSTz6Fl1tgmpicxpUvqegKi+Ww /qNl7qYcKKPvlnm1tA8byZYWh3f2tvGRQBXgzEs14DQ+zweofo1rdKmiXPlS3a9cZZQCaYP4oGIk w4ZUVBZzXvGfZRC1a2elCknjvRPVdaVouhWmhvnSkNF18tsJLUNVIR5OaoUaEBDBehJrsmZfF9gF ikDhKkqpWnqPkRlUyK3x3Y0ZX5PPG/Jv48FA3OmFkBx+AtLWynl6cCK7oprnxDy6yY4glgx5H0Px BbXj+fpVmru0Du/3UNkaFQPRp70jWt6dufWtfQkyIslzWlnQKv3gyg44fkx08V6kTH0vjOu46AjV Gy3QUXbDXtjUrpUt2IU30pBSnHouwCgWTDT6hbOfQxwvlk850MUz/WeVCm6gwVpFvTk2gMMQSIAl Z928Q158hbrLFsc3EwsYybOxGl21qbF+JOp8Bs9cmpmVJ4rpbaIHOkbe+lNNBbSlHLaDaCOBnbuQ cYZnf9CeelE4gUmbmjp/jci2kisPuE0GyrcSANm3QXy8iPNXN6IwUg3zs8GlTJuT63YEuTsfwnHG iL1dqdO+gzWebObGxA27YoUKKVEyyBOwXFgKmpwP1sGiVOjAAlwboi6IxTlCgWFC7UHt9QebKFw4 KiOLjOGpP2EeFNikWRm60bOjRX/9NgjmikCb0Zq6+HFu/hX3Cz6fWvKHbpyyMeKBrIrk5Ldarpgl WZAeEgZQxs/dwCiPlisHzjZpgAOPASIOYNLSn9545OYy9hoVx2ZSX4DkovE4ylQlKruWQlYz0ooO KmGXMRI9x1SeMl+QQQFXnYLrLIvc4ys6NMuFimZcD8jqJ3z8/gj4jFeeCQHTTNzAj+zb1Q+hFxCE uv1jGw2QEqg9ogEWiBOgjjUwqioJF/VVR7qILGUNS7YK2GGkeVD1ktT3LNP1Zy1xzT+SGfHmms78 xaogYXxAUV1QV+CrFGlT2hekl6ZYWvF+FZNf9WQF1wSqLtElFFJN1P4z6gv2XTYNXgEQCAoh7NgN LvYTNPywlwnmp1TkodsfE+T/SgbxSqMyJRiym9t+cZYqbDfBpRpuK4tR38EM7HiWZ4kWfBK2EtUm dhRiWjXvg2mRBEJD65w1hQapicZ4w2fwci6+e2ZagY5yVcz/d6XguY6fRMCHzNq5bXy7Imb8uuuO twjoTzO8z4B5+1n6SqY2E+NpXjQTJyyeMpo8WyD44W7ICKnjkOPDbu+UsQyVLwXKIRnKIdAtiDI4 tb1xM9df7QKQAxjWg2jqz/trUquMwjAKUX0uRk3peFoOqv9s9B516kWCIY4a3bpkh3y+mgi7ocpl syi5qXGMb37xrDQX/L6LecCsISgjCUTaj98oJX42r5DYFKQ+Ni0x39JgNp7YyzJsbkaIvA7n23cK uiI0o7+zwxk4WanKHTMqHKbCVrvpPVOGEREyWpcHFY5bpIHU2aBySgaaLMbIu6U9r8zCJYnot9f4 TxeiiJYiw7mPT2gMeVDnS3Q6rPE6JXvhYV2383bsGeUChJH/gliuMCQAA3TJXlZC5NO9XCmRUEFz IGfYErFUd2rPxzu/jTjZ1oh02K7BbDeTmfNH+twAmzBE+6RGXD4pNlNE36W81+GceD8RgWNiDj7S uz3UpX4Z+HYQI6k6yvb+LSB0MUELeaFMu3/RrX26ZlYVGnK3jPFY3EEDI8OnEWtG4bFGST6d7hDR 8Do5flX3m5XTLYYRST3emCczfKMIkuopzTINm5J9vEqZkvCdfH6VPdDbZQsfRaFVz+quOdCIMsM2 gZfT8lVIOW3wTfQ3rbRlCizqdKuAFlmC5d/utM9NPdR6LlzwTKhA2r3+L9J6e5tvxuKTMW0w/AWo W5KbaOP/UNkkSiRD1ZkoUKJJp36b+4WpmCKqXTpp24yBD0WvKqtfLikTx5nYCW0YJPcAbbj6FwpU bJpX6p0/6xKpTNfUnZkazD2ptOioSIFD2XP2OP/hoKZdMNx+HUI2lcVG7M6lVWuvWbwl1RWZ1k/l Zyg0rG84mOZncISvkI2gmg1/jTy9XP/HKJielzgxo2Ar3n2lnkmSYgnsbMD3VLOrtWTaqoyyatkt 8vroEJ3PzLKH2C+VriLte2rIeIqLPFwuu5scyata8eUFktpQizEXuBVOasIpofG4kepkC63JU2jU X4MwymRpGBh1Mvi61gpRS5vZo5tzqArD5pooCusCioifVdHYi2vyj+RB1r6BlF32htZh1RmR9dKu UODUFfY1quP+8EkmPb3BAmU7hxOlnt96WQuzCiKH6nIGwmrg35Y/A1CMbug16MfuzLXLZ2o75vVR gKOk8TvDMF5XvDX8iuFOfXY7AJSDsuWofNyf8JZE2tSqwI4Ba44o1kkij3S/XP9PELo929OQY2e5 uzE2zipwQQp80GCS26YT8CX2dEQ0jaKoKG8ojEqxoLH4POeNhPqbm6oX9x3W63dbWC1KnfRJPy+q lrtbamlK6p+98InVsAjOwWYzarnZChjbP+n40oM2Z5xUkbgrOMLmPwA6kjjq/o0Ma2tcF0T4itv+ TovKwrKqhtnBONLz7hoOwSKYYU+rx+G8P1W67fbfg/Pv4XesDr8Sh3hBSpfHCcSexP3LHkpuHzF2 wfeYQLWARRErcU4+pHxPP8VesbQl2WXNToLswsqtyDC3tuuPxg2FdNd24Bx8QC7fUmXlIV2WNeu8 cIdIKu5m/0fNyQyNvz8XNVjrkGhUTrSJ6mqDG0KlqzmeJEO4MgV+m/kAIFlHCUD3vEPnmaIVzUvb w9CefHCzpKej43f/Oo4lWoYfTfHImxGwRfyL5oXEKXo0DFZSCnJy2i/6/tdVLDE0B4FTa10umrfY 7Aut6XxHmiPytWKuxoLBFQgJOOzGkA9uEpkBoKLIfhIZegKLpBfwKp7dHYdZzs+/3wiVS5RfCdgy Sc8h0Tl6T13Hrys4jN4hqSxomfZRU2xgJKH+nGOB1N5xKDMdAMBrgTteAEYrKclbex4JjVYC571g 0hMvrqMxVKYIzdXMHt8fMSXRA+vFgnsFoWMinZRQGC08EdpAGPFYgu/15HS5KsXR/tQys0aTnP6f nnVPK1JcWms4Tm0KS7I/eL5L0eF99ZGebze9kLAxYleGOkA7NM4MgXUaIYOSKYjm7VcN0qE81Z8B Mknu0jLD+ZCJYj7IitnyVP9E222qOQW00DGnLyxQ26cyPVaglwPo9Wh0gnldTBuRlC28G05Rrxdz 4GV10YCdCaHXTqZhg9xluOWv3lOazthkwkDtnERFho/TqlDTkFLWnAlMZDlfQp5BP7rCUBM9Uhsl piEzkBt12OcuyeEcOITE5JPaixh3NrhZrIxy/V0XNTRM/ZMR5hlioEf13Ocvy3y5StNEaTP8ux6b pEM1Viyc02BlwKJ1KYdmxoBSWgUDRRFcEY7a3sypQ6cnnfYNKnXpNZroO6OOcC+9nCq26Y9UOJZ3 79JIPly0YUoDC/AgNYQcpuYmGYEPuoxqtKQD1FOo2u4C7zpUUISNnwC9MKJ75iBwxaJ2WqiD3WAk 78WT1o+nHpDOVYnjioZfQF4fSw2/PgbI9XvQU8y11VCQ6ih7UBpzm43A4h5HOCq2eUWGEvzUDyx+ ZALmVlGD4J5sMv363uFED+8OtkDWwvMNkpwYRyNeHYEu0CvN6uRYfWs6oBuQ06Ugz3Pft2nDth2x TffhAsGuXi1z4JfPz0w+vlefh0WXC56G1UGEP2krjRDl5PghvjaWovJoGZPe7rfrHzg8X3AezilQ WNM6ZcO58zP77pg1+D8krv1B71uxbOsh/xjYICxojz8qRXOQAFK5iJx3v97wfezpR8l5cG4h+Dew ckR9EMvbceNlYdlN2r6+ZhjEh6kRh3MP+V8HYOyt4EL5HQ2ZxJIEm9VxzW5EPCOX6EllWpvfZtOM 4ZWPK82qqDWzA+NU2TLW1uMQ5dxHo/u16TRfhHi9nholyMe50KuM98SDFIH0OC9pwRmIOy9BwGBg Rk12j/rUTtmiL2KhXzp/567zMEbrMXeVrW5admFKnA1/GJisYC5A83sY4a+D+oVkj118YPWw5arJ +2omlxQPLawpzVYzqLa7RVp+8F4P8TUG3oYipr970aqu2cEXU7B4QecPmcaBHSm3k5qWWjMinTnk lkJzKfy0tAsrTw7b+e7ILaDGxStiMWSZ6YmRdpdBWSvmqy3f1WdtNHWrSNemTaCVDsH1geQ5lyk6 dG7pwt5PCTtvAcFOZPmnZDd1LllsOLU6Xn6teL2a/e3L85EK5oql+ic+D/Mr531ZsOExHbSxFubZ hwTt0JRVXIddmzn4ypZ10rV6I0NlEfzChGMykvqh1JCIyoc2ywcwJKtCmB4fjYmiUt+KFxrOhA5R P4DJun0FpJfwr32fUdixyELK7cNCgKSwuS7dKvHkru2F6QQKjq5/JZrb6Kttm4+LaxwFvqVr15Sb R1POw07dYq7dyOm6bIFyYe/aRWg3vxJXEfmGMvM2Jgr0Go68TKnPuOXcePJ45YNgUaqnnilCzVju 0IPAw/HQpL7rskmIIOUEKou/VUjC+s3BOwECSDF0i2a5wv/P+btkeaxhKXASGmN6TVYHernqBzUB 2TCkqqiASUs0zaRe844sZ4K6eCyGfKgy2ACGmUoHKYS3E9+s3tiWeGU0c967a5hPdGaoc64VcPdr 2WSho86kEXui2762eCtW1+nBYolSIyDuB5qbouFOyA5ejueD4UW0JBkOJKlQ8cmGHXrM4dIbcNC6 IvFxkepJD5A0c2dLLAx9ttGk462YihWCllLO5HMBRqh85U3QnfmGm9NEnKLxwcouh/VGemkELkMw HJZu/tnxnfE8OJBSpoM3O5sAiK/yMov87EVRixFoYTQWL6c1Uhehc1Y49SfMonG6/v49h9PiBEYi T2SRhVOW3zzmRYNNNo8S4b8n0MlB6mHRJegEHTxHKFjB0Cpcmg/rFF+QS234vXKemGVSmIvZPnK/ L5f47TK0omb356FZXZ8baRf1tskDfBS/o412s8rjTZ9TVAQy+3XE753a98/aK/qrAVx0d0qKV085 lC99in9AFWyjWfFG1acIzPaRrubxslBWy1ZpotQh9dhKmjY8MnGSgQm1r0yp6naUy6+OOz6Y2yg/ 62bzr3f0IOjHSSn07RhCfWl+Kr0R7dXU06d31ChDStDagmw7CZwIRr9mODeXOa1+qw0bVVVqrih0 C2Ma1a6GHrKeB7rNq7pJZ0Tce6krBz+v0CfzwIqw6spJySQHBUoXWUVRHzibYXMMjsVFD8l1ab50 m5SrhG+TYefKrF6dzUWZAISqOZGD17wAvGIt07qj0Mvh5yN2JB4Me4PP7leG3CF+cTLNdDbChpP4 qM0SGDRsunjEMP4P9TxXf4+pNiC1twH06BHgz44KnnK6GtYKUFOP1WcTTJLLo8YFNBExyVh8YFFQ n42MwXTk/SI+jrGhCXzAtC4xRCDfXM6Txl987DnDrlDLtn23DqVsAL7se13nA0D1PQUC8PJ4Hi82 TBdba0yRfvLcc5mAHGZRbpmHccxkpigTdZnI1IiDdSWNkmu1zoAPEWBxjIlSyhD+2Bjl0Rbm6ykT bApxKcEW1+tfD9+nnM9JM/0KGyxIPo2eux9BUl9OjvwYoXTjURHS2GBi89H95iAEOS5LJIKyKVCc LYG3KwwdA2xaEv4V+bCCu7JEL3LQMg7ydfoSphsEzJ9TfrDvmi9mdVp4Tg0arVcse9L/W7/Pwpav csDL28PKaFmseCKQhfdhTjSAgrDVRY30uLR9C3KaVU8C5/Gx80XLwTNxpjg4FFRMuG89PV9zoMv6 i68YYY6bHODsaHZ3mih+cSx1AK7suj7Q5Wu9i/28mbjJ+fmkOsc4hGW1sCr4OfL6H4OXrpoSlKTX yG8Nbqn17rkeS3HbIl3HJUAo0y+oCnLwmrjX5Kb9UwrvsTOVn6j9Oe7ss1x1YlXmG/sVn/vHKyOJ NpKg2nUA2O+bOFM4/HOIKCZp6WKJvBSNfxDjNW1atXzBTjhdxkql/bu4QGxkZZy8S/b2mIyGwXpV 4zC6k9hG5zPo2MLmUOcEP5hFMMnZzRH+Gp7nhOgiWfs+tETBc3UsxBBj8gaK5laMAc5DXG5wyzIb GVxHkDbLCtOmbkodhtmHJuPBWqmHtXWZLAgiPeesjnPOZzVdd4t8BzndctJC6DiOIppfWKdKDn69 UlWVR5/3N9ZtMcLbKj2NjirAvPMVu32mjM0jj77xiGlZtst0ATEz+tg+EW7a0xUpjjJIyomM73RW vcCfNrYnPgYOttxUhVT2u3Thsvlm4VNiMogmbo7QiEW76qLz/qiDGAUMnbXsmSw8hQ40dsVBzKDX Gwb4QqU2mvW4h/iRhLdEGPr70KDi5LWYJwCYjK3rRnlAOUqOjjlbd8PVhmxn/TIJe2sUJ43Irq8C YyCAFEocps8KvWyughtfTSqQaX7W2SbGHu6u59DlG9YcNnmveBQTGSeed5no5gCWxNxLElA48GHA 85+gmg01m7dBpLK4EmnfaL56SAKTpgBL3dntA2xtV5+WaGukfaimoLC1VnMmdKo3WjipO5KTW/yz sglaoOBQ4kfktMqOnaqg8G0fp7hMxOzVP/Gf8nnUR/gAgLnjNJ90MYWdUiGf8Z8mXLBborsEjrCN cmNpTeoxraPZBvFLmwSAMCe9nZEgKq2Kn0gJ/oYVia1i9OQAclWFvD4CsRP1crH6ZYKBEzazihSA uua16Jm8JDzl2rKqTaruXDBUooOrsA7sAnDiRZeG0gV0A00M2CSEbLKPPMTLlAS3W7DVlyYoyDt+ H4vw3liMgIjRjrc8BWp5pyLFjH0FvWLGldM/cWChFSY+2mmdZdbk9H0bpl34MtokS33ultAWJPAv n99OEd+mbWkbQbs/XHp3jWtqZFyfvVpIyIUUtsvMTyrOi40Uew7myA4/SmQ2DOKehDk29bcz4DFH 0wF3Y2KZAiu7URQsewUOzis3xf/6TPP+fcoII/iognUb50WGmEDCK5OtzXywB30VUh5BUx1b8Hwm W/VpXN/cx7PIZod7f7KlV6L80urS4YcyAdwZgofgBzYubfjuNPvfg5GPikzOTKT3YVBAMqKBYULc TDItUsASZ7ZkZwLSJHmn63ssNGiDA5rHin+09MTBDauDN2KYiIJLIWpS6nWXj0iaTkn1PTkNaLGk I3HiMsAv2wyIyI1IcmUvbnWd/v4mRK6rQ5sKC3gOr0rgXgUt6ePhODIoXyBy14HXgfMwRTSUbpN+ 3sAugkAWFJ+qCE5jyxc24dys3WtCAEBBlcK/2LknAuWk9XYbqcdQq0odUhRU5K8imDPCsTJ0toxO ufq6J6y7Dcdy5thnW/rGxkvjTU6jx1W90nHCMFulgABNA90M8ln+nud+GV+NdGCTHKEyxtWKbzrJ iLYXcOpvlqbC15SsBIZc+ajOA3AzIPk0Eb2HHY+CWHOmoYgZqnvB/DAUfJY5/A8zvuHYi5wK99pN +vwabDYuS2dfDtAl/XO/SCO+hfdeOjfxFZEM5NVlGfqYwng5SHasSVeO347XQc6/ux81Nw5fWmog 4PA09ilF2ZNMn3y0vO2bZn0igxZrhFJczUeHKrLk9im507wFV09+62ub8xrb4P6o+js5gKNfIMyX 6ZwAboytD0llCo/I4DEaK41NPBvxJSDKUc+Vtn0bqZf/2d5bxNREZ00LTdDhjtFtLATcHFY97ZvT 546WYvj6HZeFM7AytLIcvuPsKpQkGMaqoqRL/BXNQ8Mig1pvLa0658ur7hKzcfGWpTuFFD9p7zjw qg33A+vtvvQ3Z3DvdX/qarth+YazjlGTRwcntMVt/hYV44HoEU8EAy87Z5sjAMpbyslFnv7R+cDR WDmD2/DGKL+ilhn7pMRq4zPi0PhSdfVbH1B306PoJ2bEi1/H/ggGCDstHJS9DwkDDFmJZLQ4S72q j4Ku4UtjToH0+sIE6BSgqJO55T05rsLqju8j32VpfVohUoMwdToJajbU66rlFfljOfG8Ma+tBRtL Lg9qRsANZWWmzQYnfrm0C36PESsPsYR55x6tK92eZ/3Ywo2WKXzjmB3EwT3vhfaroKL72JUOhrMK 65pU94273Fb36S28Zcgb6PyYWcgGA/BMXktHTGfUyZanvI9cfjx2K7N8WyfZ+UQ10IozvDaR0FEI UmKSSN4ZAtXIrYiMxML/FY/Auwmvt7bTZ20iwn02Y0rGWriF4K7l22uVw9zSRnq+HRb+6sRsejzo gP+PZBj9JV6yofvE8sm6e0TzAmQe6H0nY0H29TJKZg8kNA7ww4/0j0yEwqpReW6ekh3NoxVUx92M QER0gOAb1kCZhlrP3SkgqvJlxoyD0TNTdci43BFha4VsKtahtYmATU693IUFbEDU0TFY5sScfvXo AslagJiMHJ21PXtyUTqJNk9xT74wsAVItXVufhYkGbLSNZ/f2pOySsMT6czPzD3i7Y52lztEJ8b4 99RPVbHMAikCeaGeWCcN/EI+W+lGNhuLgWN0g9kCrLITM8mCZR4ImJNcZIL2Pf6yXwjXp7Im3qqn 28piPJ1sGnniO4Wank+peNn6LVkJgzVTSGqSsGO6WPWUqHuHYUNZWggvMZ9F/vhGWeSnZ2qXOdXz tWZGauf/EwbOaulh1A7JNNUz35AyJ+RQyuhNnr1EjhF0uKgPU+nOcugjNVN4IEhCzvEajLvoMIbn IsM1pYz4aCXhGuQ/IqwF/mGEaia6BE/oJ2QuZHe6Gnsn2haeoijSS9om4oV+xA4AbqWHQV7iNiTH puax+j5NoafOYMD6yjWR+PDMxT6vohevTWrgVa7lM1l1nS4gMWAarMXpto2zX07OLKTNWptdegSu RvPH6RryhEZpjUJ8YyEcmXIPHr/575176/cZng8VWiKO2PP1C70Nka5kmE1fjxBUvopxC3AsSChl KvCYPHqMc2nK/z8Ycbk1YD2p3keF9kGAoVyQtt6KYEZVHZcxfr1s6ScS4na73SAom8XU0nWh0LyP aPGP3gO0T7v2y38cg4odWJTVQtkwkO5E7kZLlHi6mUSzCYElEbtTiM8SscSw1nLlVUPQ1n0Tp33/ euKhd0RYGqhiYKnlpWo0BMWiRepw1r+AKEqPLOaqaK+tulhROH2cjY70RDMv4oF9FT0bJoBEJBiu usMlfiTKVt/948T+qC4DoFf3B7I+P8suHfUElZY1EOTy3Wa3bEtOMKWSW8J8rc9H0msakWeYtKph RGG794igtH/kmSF8wF8iPLK1cGdskMzhfs5FvvAq3F4iKqh4nZyIIe2Vm08UQhRJxoX9L7Lo1ANg T3Ij86eHpp0fvfFWaD1J1RXYgubOIXckBfdwESPdIUD8WsDiG5Ii82aD4XQDF44UzQUAkHFFjgJT Oxu91rmtPiZx5iEyJsbQuFWDrKv99W3nyq+/S4aHkCsbX/XH7YGUhq7VhBDnhP4DvSWt9eSHVsJr 6GYDYrOCDFshG8pGWvtU271FbOQ+yEMLv4jBO6EY3DANVEWnX+pfrR+jbgul59WJCAAG28+hbg+E Cy9UlFAN3Zo9AoyWAHvqqlNdXPaUm9hlvFm0JmUTNcWANAo4HHB69qyD74jXhp9DWqanA4wbyCNh zoMyOhtIbkO1ZG6p2MRWaa98z3cS1iSjnOUtUq7aJ53b9P8kvdMOE+fUeSOdRFQLqErH1xluUrLV DllDNlU5qrBUsfTPV+t7XLbtdBmW+gQEtD2zD2jnm2mA/OsvCUELvVULxtHsMGPPbKAkW3OWPcMo 3UiotjoWYB4roMbFTq0IPThIOIBAIHQ5StaL6tK0ijSrIFhx8IP1Gl4OcS/oQZ+QrqScOo3qP3SO MCg3O0jNH4wpMYpDmB/nkLaWKvIVMr8g77j6KFHg4N22STUBeon5CUjX5dMbnAb8r2fF7CS4iECj 9CU7HIh1udW/bYoybQBo0Szoz05w6tAwHzgvgRYylsjKbVZcKY+3kyJG+bJXo3CdRTknRngDhvkM eMetcdIWqp8UFTrvSkYtWXd1LBSP8g1W2Dd+aSmVXFDYP4IqWpp+6ugHJFczcIDBhVAd4KeoCx21 sIHP6XtUcde4b4VNAhrAVUR0Kf+TqCj+jkUUsPjYGtSro/8YVywxgTziDNOltNHk619FtiXWwynB tGfbFVfWhnPDUVLcAjv9fmWPJ1JW4gUcOA2Xo2lkycRBYpbMCFFL3tXX7Dk2GLi5+MteQSu1lk3Y uNnutAewfOQWKyfE3VO9ZrF013rswnSmoKvb+dR0JSuafrqmJJHC9a8fJSBEwklQbo7FAa/LX2Wx fy0Fnn6His7FBRskq+vmIdvdcd94dGCPyRWOfX3g5nC6z0cZYfLty6AMBrfPJJA+wbJWDWhaX5Fo 5ICmec/Xn0cpoaEC6+Ue9MIm8mqY+W+pHD60culuz77OXk3mE5Q90/mFbX2DH6F/AYQeirGS8jmF AL/wsYIX68DkrWQRaO2EYtEj0bdaAavxJC2hfPPDvtlQJJwMNiT/7CweOSZenMsiAsNCSoRjR0vy JbCos2e2MdGtrlc21lf+l8joe7kDuAdS9znoco9MFqqCI/2YeQSDj9fHTVGWHANecK1HhioZeG7n anQqKUTpZ633sQ8Jfigb+Bp9z1rwQBj6AgxIwgIUiROdRPRTBmsmN/9+oTG1AEq+tRI8IJQ+Axxl pua86HUGlAnFXL3Ep3Ew1yQalZ11ObdqvjMy0dK/NdH8PW+BLAo0sz4zKif8JzPxHAb/PlMFoMPG 2R+I+Xy1SAa8m8+EWDqu8/R4+B6Q5LGxVb2lBe0wa/Hi0ss4P1tsCXZ/wxvOBD2W+LWi8sR5lOQH vB44bEcXybSJ3+4AOoQJIFQ+UfJcCsOwtxBus1u8FhJ/2NLS/AJu3R7ZGSTxiymzcHan89rgSaAj TfbzolpYVXImOFkkia/D12EAeCSVX+3U412OHuvSphXhwGrwirFG9AvZFVILgI/eBYMAkrRYMRL0 EMMfV7s62rbsnGzOEZPel2fsqXmcWRBMfqqEHAYCWSyFlLz3hYkclGX/pvAzHxqj+5/I1+160O8y cdv2hpvTg3vrmJ41J1AxigdN22GCiyMkye0GNo8o3WIhXBXLl7LdMmhs28kkBK8MVHp7h95CidO8 deGcrN8UiK6G1pB/+NumdHa0O1X5ylCmnQUN6dkZxx433t/QStAHKBfIzcMIIglkqU3AGgQZV5oL B3jRp1IT13y6qRBOfdeTMf+LV7+9gLkPZ98Pu0nQSpGIQMAjDfnFqUa3IwfJTnvOLYnLnANfQWE5 feRsgd2piLeUWc7x3DT9I6xIxvJSdFTf/k18BCCzbA3kglxJeTo539ld7jdN5EsFFsXGpKci9clE AJn5WEHEqI68xQaWXnWuz/bTv/H356/zz746XgbV7QkDYz4uH5gJo6TwgAnruqqJxvCFVQSQvrH3 M1Vs0xVe6IySOasiIJ4jvhLTSRpQji5MJ5cshWiU1coEyHArujy83XDZJHs9K63kyqQmSwaTHJzL Hs0L5TmqKH5iwsEfi+F8mMXpNE7gagM7VcCEqPFRqD7f3AXoF0isslOBTvZouzvDA68TdPf/78we InYDmLDLjK6GbpgOLJ34wQZ3PFrJEP4vGhGXioPoeFEdJBYuU3iYWeLDCnJCQXQIfOXqA4sanvO4 Q3T+ISRrZBRaA8VtMD2Q3caCpgHIsWb3OI1j1WMeLj8Usn0kKRgqYAKX+dW5lj4bwbjv9txGi8xR sV+m1iqUyb9WDD4TbCSIJXFPJtNfbHn/23fFYOl/FoIBDdpmRZzHodje3UH2gV39Tt3xWM9/U1t4 q0xEiwq20CkEN/TLhJGqxyeeu4qZmtggX/XvVAM1gJvJulvnzFGU+D9udljA3iS8qnKpLq60ST1i WENVHmrFGRUAiIKiVqdcttaFosBAxWWlbCWVFhTYqnj2DFDbWifqEdeIGWgAyNItCuRxQ0Zccssd Bqg1+Sdu1AN2xtSwTkqaSaF1WiWlva6FhK2vKLOgEo9A/9DDP0cKNXWhWaAzSxj/opFNN/N+Pd8Z 2uHnez5pksYwAc4uzthL7HuDojNMxIW2qRpENivC27oOhqJnE65AKoUwFK1R/i7Xt2wp6NsMGbR7 EDC/lvGk1YMhlOQptF+zKvaecnAvImun+l1RraEwDZCQbHVgZNXfdP5pZdqkL94j7B9tdoXsHNa7 7UFiX5hsooOYbJfcSbnKFCA6cjLm0IRCoBtqFBv/92i9zqu+KNURY1x4iWyBuvpTDBhXxI2HnOxw I/4vXGFf7qC8oYA9Zr1ZDqcJWsXo87nCCWZZcQkp6MePLBflucweV7apssbH6vMg0MuuzdEO6FiB 7kFTsUOT8efYOR9vV8PQLd4LI7EQSNM5vNAnn+F+WH2RftNpKsuR+DjxZtFAuQzvyLfYk52owq0r BBsSh0h9PdJm2S9VV5EVx5QQ+34fcwT+Y5ihE+1JWKqeQ4MMNy7wruQ9DMxlavYkll8YN2fRyoUG 3zAeVi0S3X3umC3SgHqcyQXWpIKtv0RhOsuya9yFk4aAiPOMFmTe1FVj9lP+6dpk/vmF+6OlSSb2 BjUSdt/eVTykTPhs8j29llvc1wmpfXE3bJLPXM1sCN+DvK0Z749stD8/RjBiwPPWbAgmaNE0ZxIN cc3PtUFSWfXGNkV3M0VTK+TWkPrIikKlsYK42QVKbPGlFWkLPH/w5rYyBifMZYPJ+M7B6Tt/wjCn +ZU9c8luhOraNh1gUOJ3EiipYYWnc5AR+TifbJAeDZStQn4MxJFEcse22iXgPVT1EGbZLTRlDCwK kswSYfVyb78o9bBrhlR7yNJBUY/TFuXkr0h3IigxhVd2ZWN3NK13/njZ6AtydZ88jGJEsRUfCcWy oyJN+VP1UvUTT45ODIbzvTvRZPmnFXK90KaBzViJLzFK+5W1vt91M47tQI1dLMcx8J9X2eFogW0m lZb8so2uDGiX9SMff/jOKu8ti4IlnYC2WAQ1Dx7UqXfFs89L/FWvZScNuD4hRspXAs+F+ZsNdp87 0GLEfOCloanK/TeR8/4T7yMrpuEMvOs6zcFOZgcug5sgNRISqWEIJvho0pLVNbQVtC/PFleFGz1U bDNejMFOUFmLj/jPTuVxeIfZs0XbMaVOWOOGm1GMNs2ckoK6gNli9TL46/AlsTMpoEVT2K5L1f3r qyRrqYHAvSVhjfSPpIJ1u5F/bdSM67DMeb+NvSJKi+UwVrNkhnliTufo83L8BzKWlt77g+tVrK7c 8haHIVYjOxhiJdgxngrWE9o/z7XjhhbZDNnguakiBimOfrQd1454G7bdQf0SJLr20UabcihJ3Q+F 4ZgtYH/uyg240jMDbOOMYYfdnZk3kPNPDWBHKfkwwJTxmJoByg7EXsX4ERRqnmZfcbhatETn36yO FXKf3VvcQHDatgwCYHR3n1QcV5mD05q4SNfpu8tNBajYkCxQ5SBf27qMIa+pBFeogGWXUcvsIE4k rhcfJGAAVjbthDRCZgwNvRpZ7aVsDWVeQQM3EjuplX8MrECPJcm2UaTYfjhBU1/27K3VOmKksswP rXFpY2q/DE6wt+1KRajwrHjdVTyOFWUWWEN2//jR7JixPw/wlW6UVAqc30OwJDPAKUqSFTDR1IRK 4u8fP9G5tpkY99YgqBBb65Ri7v7a+HAOlrP181p4EuFouJGSVdSJj9ofe5V7P/brBElVJFdOjkkw XZPsXWwwPUHa18rndch0mF01ZJllFmaJW3ygq8iTIdqjMuH8yepMwPxQrLr9fvDTRCQLuXbXzAg4 GHjnLl4DTysFqS2Ktqfgykm7r0HU14FHkkieLvMULdP/BTJ1vJGYyk4ibtdPFS8QtsmNXY6Xld0v aOFZ3uaF2Uth9DkyLjO70c3xQwufZ0vZIVGULGuGxB0sQzF038RhphRDW/+myWij+cs95KxHsSIR AARW4JCExBoVbPSa+QDdXqx47u0XzQkCCQTd0L2YTAedxrMZJCOhWyf9HBsIEiUF383sOywsI8hr 8/cPi6PlpoD8t2ZtVM3y2zLdvacNtC73uRguEl3/T9IiFLtIH0r1mxVpGA5ZkHYuHj1qnBiFHQ9x I3Hnae3H2LExFVIpHGkNjWGld7Y+wmBJBcxVhbzHBK36n6n/7buYPhC1iDt89DJ5A2NUCRTZ8ElK U2+bJos+t0G4jKXsofkN2jaLWL60dMGea/gE6rlGds43hhriPMnrrT/fp1guTzW1v6UNOL+Mu7lR wL1cvOvYyc04tt1spBmJKP9u3mLkLgYTKOKUBS7Ngh7X5OW+mcMKAC8L+mlAwITbzXKwjV2jPJGx pViCRarz/VjTuQ30i8m1GcZfvV28rwZl/x/qJvmAzIvwJsT0jP4jLn0gRiLUxSvmsZU/9OXF0Vtj QlEZQW9dTr92Kj8vfNXyFSQEIQA0oEttNuiQnIYqNrStWn6sR2IV69G0xPoKCDDPXK5At/viyW7B 4klV2UYel7ImAOChCZKOq8ZZBtfXoxOa3JGw3Ecbcx1a4dN/RPdzu3763o2QZzrUHuibPfylaCT6 pkL2VGhEPr/f9CAbOvV2bg/LrXt6cLBRbHu9Y6w2X/z012/K2r2OL43RyKCjbesNtOCGCMIRzLhz Uurav6rpliQub4SoZdX/kl2q+NhtnyVkxLUaPkCJ+0UavzMSF6UA2hAlAV6vdLmb5Bl+L4tgvAJX xHSizQ0O83jdisxVa8ut6E/fCyeuSD7oHo87pUMLNmyC53dI8P4w5EsgpvBN/7M7XG5q8bRnNimb gSvYBfs+z2S2uCG82KgV/knoyoNVWn2QwJo/zBwp9p1e/V2gdtNZlJA1nwP9aT/Ty3o6U/XMxEL/ otV9fKph4Cvh5MUMAjADy4VUsPAcw9RivOW2ROgs71ygeV/ttCYumP2gDy1vRxPI96oy1bf0SLUv 2sIqJroEOpmJw4q5CplU1xyZA+pF5+/bzy+QvyVs7/Uiil9RTO8Pcf/QVPxe4hD+K1N7yRc2EfXg NItbJRwJqUUjdzb2Eha08S2eD046wsJMuDm/bjCUKJH6A79scUb/fUXDyu/PFCtMcakweKAE+p1s Vq4K4eFrAM9FEaxOWvRT1MaGXpq5CdFL14YaFSblj4rKx0V6JsHW3xji2VUl4Dyk53EQMrgwE2RS K4GN8nbJG3nfgqZxM/LMRYuzsOtlMegA/FiLOAoVPHAetu24m3ZIIy5x8OjRKgm+96tP96ziQY7v WTXKmNy9Ug0zvbna5uPP6cHUxRugShykN2LweWIpXbzXJReA8kwP1uaUaiZ62W9yOeIxvTBirkTQ Ce7fCqUdYOiuJK2IFVYwuMRbRxOLAzw83BNeQzHIId3LrdXPvhO9R9aYTQhJphpKQn/ZKu91NaqQ Vw1UmWkFXq/WcelOotTFVf1kyeYOUkXO8PAJVlQ+2V/Jm7xEsTBGjZGonjjo0HJwipu4cnYAiybT Cw86Hk4deO4BMbhKU6NweTkJOh13404ZfRF3OUVv8Iu6017JAMW74nhzTn3L45/N3VzqYFdmMQoq h/DFjBnGuqzesRVY6nnK3/b0B9cbbo00BOPRv4ubPak62zOtBfpHBzJFkUX+t79L9/Ti1OwHFCWb c4u5flGm6E01q4CqNunlf5XxN7Pgrl8grjL5Rt3YSIe5SAfk4/qj+n0LF/MPwPARd4Mma2ygSXP3 NIP+9vpMZWsYaE8D1gSyBXA/ZkCRu+MZUecVzRgRuAz2MkXID1bH1iRUpSd8vjWk+VqpviB+nIuL yA+SK5EluDl94pKuLHeuj3tjY3TZH9W8jdszIjcGSY729TpIXkwrzdrcYx/94TOotJrelYiLQuGx sLzuc10VgdQ1QQ1+duJJ6WD5INd9irJNcqUS1PX3bwgJLEmkov1GEQ3/QaWP1ehuvry9SrnYg6UQ 6FrU65CCi3+QgQiV3pnfbs1mgYYxAh5zCnbLKbyfn1VbYtUfYynqTykmbqHzDjJnJkTO3eNDqwPb 8bCyrt2rOVmUHBRWAmqwsyWJ87dpHhCX3ALbJ9lP1Dz+9PUHZatLxG2CTED8ua8MLjZmFJM87epv T9+uDfWrE++NoHeHNzFWYM0Nrrt/+DXceKgTSs8gCGT25OfhSROtPDvEEr8UUAr45QxWixRmYNZN AFG1eyTusvJhchbwy0KNJvYGixKbQlSnQ5nbidY1WYBaTLf6YjODZj2YLJEgLFzFh/dtGAa65I2V KNoqZ9Di7kftfnHSGh1svmC004IEiNDAYhKu4qdyK4Ad7SvV6GMZlQ6UflMetXJWqNShT2ZQkeuk 3o0/QTx+Un4vzlgz57Kya1ADVN+QF8XEdWl9tmG02uSiwSR0gd+5z12ugkLQZUthVngT8kuMDiVB CggmBkqjmfFV1I7goGZwsbng7yySXMOsMlL4AYg2chF+Bq+iNlJoylzGqbZ+EvZZRM45TuyvIvrI 4iPsrmL8wGCuqSyxi4e0cWAL87lWAkBxjFc125q1SFJMFzK3q/kUdiKkzWwMHw2+LdwpwN+uMw10 E7xeDpvZjVADayIO5pz28xZZXfjhIElbs12jhUyZHHgF5yqpZK0n4Iw7efr7uZit8rBwVegtjHam lxdDop0qa4bGPdVtQIELXhcYSyUzEuT/ZrMWOAB7cu4BFCrcmPxmmpVWIWH6oaus+ZeHZUckdIpp UX+VoL3Ov8E8TnS7EmBSzMW+8qEGaNuD0zp6E4FM8NYuHWo2Q/+D7YmMqG94nP8o50M2RphvwFna MN4NoVQwAzIJu8HePChIkkw0A1G1i15DxywSbws8vqdETscZEnSW+Ns6nJENVrBhPJaXs+N5jrxm kxu+j9mdEL6ddJqSZiRVV5LB24jO8ZfXE4Zh4F21tGFN9D0y/7gLid/+hl5Q+Ew2RZzYY2zUW+7d t8rhx91MCBJjWybhRuN71fZYNE2pBh/GAJ6ooi+9tSjS0GyORuipo4ulXiRRLOBjOFdZripOW68o E9Q6baGG6gKtNnvhpKoecZh89LJVeYvwrg13euPpcHsCm+ZggBGNqp7OYpQlnULUSM0KBT5xNW2o OUGK0QPMUGbQDDunWKvMVyi2wv7yycAgjq/E0el7fnMk9QJ2TK0c5unTTPw6c/mCxQXKNR+sFJ/N AtCcyxNFFvlnw15D9a4zurqQJxf98Dt4OysKPFNj5tLw97yDtCswSM3d4YpxGpb7NAzCkkMXOrpf FAALp/exRs0L7ttN8PPFc3jqnIVd/2+yx0qnMfuWIcvTd9NyyTKuWIH15Wywn0Z8FTndID1KNqPi Mu43sXB1iayXZk9U8Ne97VBIMVHUkZr+PW8qTA/vVXe1NWelzruC1SCEIo1mXy/99wuDeQ7XcVzC gL++Lly14PWNu3Uv/Shj1VAWBAANFWUpGrnNaQmFiID1LBKDFyOMh6iDviCnndMsLiVqAhs1ynDN hXmmUNbbu6NHcZddf+3c4YOF6ANLOPdUArZCxUerRHd/hvlm5VmZJ10ZujfbBQ9VPaOfOLJ4i45H nJlEIfjgtYDSblRCeoL/jtannyMw7SunghEkUNUkAE4TgiD0lSpIcXre0xX6oaJZVQP/crCD22RA uBMrz5vpCK9W5krRJIAEw6KeqpibiK4MOKPiLKwjyYRSHZxcBU5ftHbVoAPYICFEU4RC8MeMDrjL deYwZTFUuivNaN1SDt9T6Rxqdkq68LBYeMTWNFMOkxfpDlzhIf3ptR4ohrRkODyO7T12NPnr/LRe 7Hq/frTPbbk8KDlMwebZrLosw8koIWW/jbN+Uw43vAS9k6ffRVNgD1TFYZZTgqGnhKXmzwJUEUXM t6UmtewH2kBnkQk7BQwmZuBEJ2Jp5F5U13BHwdT5+K4wGNNRSjITWNL2zO5Kmr90yic+LNEs/MFF ac/nxhdTfGpKYaEjnI/EUNKlupV0WKKrxxvArqbfJgt6CuFg/wtfAx6Cknr+2moTDX73lMZrb6Q/ UAwg0SZ7eHulZGhNojHfIhr2PsoPg+lbOSxnsGwGDlPgUCUtdgewSI1amqYM7oi84yX/AeVfSd/m zLAswQObl0XWTtPJ4ilNsYFiXAD26WNL3UeBQknryWhIblv9zQYKWSt6L7Xe4aWRphGNTpNSzc60 PHghdwpfd5lxK7qCMVuGp/v16mgxVq6L9KNHWJaYp9EIGdK2daLaaw6PUUBPZnSDXguY4xWmGRFe MK7UhOAcnF5N9RVx376F4IpIOoim4pq8KUSLBeH7NaoqhlY5U7WCyIKOWSTcPxV8W5B5/ig8KPpN 9gwrEsqAyAtNOGMvYKrd2fedZmpN6QuEWCHFng1Ci0r4Phmc0POPUwG3qyK5wikiiaVK+EO2B63d R70LJp8Ek0nqKImw5kkKBDq0HEvANHjR6YFhiSAnN3mxpX3HXfvGKNF/jNd6Aw+yJdrd+lYAMCyb jSTSchOCaEwjyP1pB+4IN+c2lUxdYh3l1A7xLPyTSeLRK0EIAaPMO1VwUFd05BxDpQS/N4uRrILz gsyEzNbef5MAPD1RiNMpk+FZ1ljyBEWqhzf8GOWBUxKvRqyI2/d27Wx6gnEJVl0oxYcsbFnwt6XR 5nm7qPgjM6z5CxoxhfdBW0zND5nSGGI+WH+4u1rnBPoHgR5Pa5eBCb4S6dcuvisSUbHq/+UkEeLb 6iBca3gvIiiIPnw27X7lqQc+A1ujOs5VnFZrZS977Zs1smoOid17fSPy+rs3kQduyvX4vmMSeBoz rxCxpzWZCnPaekK1DrTaWboZzCK5MqgRNx0NismeP45sW3paewbeiA7ZBfKSRcR0lPE/B/mv/gIo f1GfBd7ZzqOU1cooZ4JSGcJpToPRrO3soz4EnurG01ySGw05nRwYt4j4p/dKz1HV4/wxcfB2eO1Q U1mylwEQvDEgsQhCEZFIStBKlPRtwZddw37mGz3MPTcIbFJtmNrCXI95hVR2cekjEnrAFiDO98ZP lFCK0BV04b8UWH5C2x0cXS0r60Oiu9MUjC70IDU6Em72ljOmNhZY1pF9okjWod5o4YwXuf41s1pL a13f0gX+H/+G1jdcUF5t+RYrUvp8UN8LigUOea66fOmm5dpPlYYvO/sGJ6hIvAlwBv2S6sMxGyp1 6c7c3nqiGx179naWKsQbxeNuS07GZpnTFv+goV0Hv3CRl2MVpy4Oso7Q3lu8X+6u/rOP/TN6dUHA oDY84sA489m48Ui/vPb/CEcMsHfFOgARQdrrBulEJJjEBy1pF+S5UNzdG2Isd19MXXV8nhz69IoG N05uLHmxQsKhQT5jr8pdr2nCaTeYZn2ejrD+0xWkhoJuk2VmYQBGDDQxjNAyj81CZuWPxBrVEnSR Cf5wZeybDJw0HmUvYC8K3Rb5NcPmXcY8rZExGlQNX1ARJ417HBjbEkDixKJCrMM6hNrCzQkPCBpU 2OZpARwM3qJJCG/lOGWLxQVWQQ+ZCjC278jjmih79UzHO5aokD91H1x4jSXuC69RXL2vwTn06GtU yfidvpqm57hKb3xRJWHueJNpb0BQDnE0zg7FfoZy6Ds6KFTYS3uBdGc0sacv+Qc7E3Zng3+UH6+q e/w5d30KyxkBzpI0j+pGpfKRxC95j62QnAi5v9xsf3sz1mckyE2M3wAik8Qxm/NVD3zdfCktSZsP EDXsRDtSP6lJghLksdJEa+eqtm73P9Ith6NxI1Ds9+gG26HZsOZc42lZUfcHeQYLohvwKvurYehl zKU8uNPsXvxy91QNOldJdfvDJ4s8cHigOZjQm3Ef0NkzcrccJolh+fo1m1HR/54m7JnmgdrOFV42 KWqcyhgTHnoEgkuFbVS3LGUmWMpUoUrvAunQZ+p1eevynj43mWws613NISJ+TyevuGnwtN0DKe+7 j59Mo6K5LcgdfyNKWxz29U3/Cgvwt5MA8XedWffgyFZfwVSzZART4xfDBnVTLQMX0gagWBiT2rD1 S726sNzntRcM+KKUoj29lIMUi6K7ihaaqarrGrl9BsOFTkoqEIbaFs5AAlcoH0mzd6t/u7rAlcho D76f1h479HBxLsrPhZUntnHfVNBmA44OJdlvib5nTTh9zOzrTpRUQSr9zl0CUttqFEp/k2uG9/Jp 6AxBi5F/JxvlFD5gWS23yJ9yyE+vmrp71z25JFz0x139uYKBEw+RJ+gn4jlsm4GYJ0k9oNJEPpCl CiagrOPCCUDAXzM2zfDAxwYWxPbzYZbcDiD2FMrueUQ1ZzTwuJ7AuPiQWJutDx2HgQ02w8SRX33k qYMTIQLp5AVj8j92VO7CqETks7iWKcwJfSXzKrOHlUJxthGPm+p1Hd/jQ0tIHmiaU8bRMf5utgzU wwxJq9e1Ec8xol/j6RgiuvCTnHW8kFgwMp2DwdtA5otxoiKD67RwwxnpN7bRnxbN9VxCHy1aD51c DNKNQqRD9d/Le30ad1BMb0afjr3ffXgwuJCo/4MvR5B69odjPJ5MUDQtElwoMDGKsMaKTRL9q7Ev 4rdiMR2RVe+J9XWsNXUtw7tKpK8CrUYNHE1OPx1Mr4u2HnNcJ21kivPVvyZJMyYY2DrSEbYTGGsu XO/2xa66m0KqvWfpK4s67Cu4FppB2BY1lkW9VwaN5CyH2IT6VIooiDRT+KWqvgBWVS28ACdnRuGm /+oveAHJdrm2Daytae0f33h8AcWh89OrAtNWLjI0/+KGFel0ylzyCGfV1bxdmxXys6TxTVvW1bKL +IQktxsqhxMUu2Gd2hx6CGyUZgF/cSKPWog9FZcryC6HcXi5S+9SFwuj3Y02j+gOgbToeYGQXHNO N+LPrPQsvi13nsnHgP26EDo2SloWSvO80yp/fR9wFk7e+vbYjp/u2R2BhZYNPQlIFk5jwgN426Ep zvgvjjarzmjI17xzFNGkWUlQVbzGPoMv1rwy8FwVMKWhoE/mDzhZBeXUjFU3lRr6JhPeL1bIwWbh xtKUyKl90Y4d2hRIk9xjo4HY+h4yohwQpqXNCaYKtq9JKEgM7HAe+QoaqFpIOlPcF110NfJgeJs+ qudXGUkbSAcQK3ry4GdETS+FeeDVBYaWoLpfd+3rXIh/sA/O2JU//TEgkLbKKwWAVGXWzAUh3giH /vdHHlyijw/8A9BQf6A0smO+q+SbYSd/cfhLKWsjh8a0EUVZHwuwmgyhfEnNltmE9Wn3H1SBsSU4 lXooXF6TYc3qbFIU6lXI3RgY274OsiE9QNDo/r5kiDQBsgX8vl+Te42hiqJPmvP6N/S68S3r4RTO tHWo7UpFkpEUDOi/Dz9R6UMdtHbp9T9MVreaza3nERcWCanMfoirdCYbFrS92v8a3zAM4qa61Cuf LkFo6nypHQWFiVkBSzsX/VahK+UMwRQpuPnO73SR/nC/kXwNYfI7/JgXLkc4xnNNUEgTbt3cZDFo tblCFgeaE0h6AftEgAfvdK+ediXGluY1LdcybJ7U9BmoJBjIkPBNgpmG7otbW9ZeO9IO7xBdJ9dG B02Fjur7GiDwInmatsXwbrEMBCF7aasEQ7e4/rIXIMPFzWDR21Vg8IFETjjHOGiNj5ofUqh75OEa KG0v4Kg36aSlEmEOQfxureAm7d/xPJeHoVOnZRh8ombV30jxyHEL+WLjkClV8enm1r6/gvSl0T6o Ym244oYJvW4NeXo7VbMa8iEggZAOLwChG9tGS5wDtUa+cQRRLXKk3c1+3br1p+IjW5o6AXU6uEbD /rToExWsQbC1aBRzjs2Zsb9k0YbeTc9qTiWKgmnvzJ96BcvIAHl9Tjt3/zQLxjiD0Zychvnv4EB3 ZOAqkFKL/F3oUyLGT8xkOfLaImM7K6ud4Gs6UOqgo5EPylQS6fmzotmT0GyrvC/IS9mhvYQDEcg5 LZNs73jzFCMjGN5FpBL9kaP/0Q5f3IqCWsakAwV5zaqv+ZYeht1dJK+Wi2q1OJ01wa9Oad25NRzT UF61aMSOIcoYE05xJa5M6vnFY/7fEOmVDo+A25xyqESV6DegnCsyQv+yKC6qHlG1Q+GcCQE0OqQm hOvfHQP27BKMCu7CM8oyG2VAqdce6/l4GeUnXIs+6ukWa5p3EMvVcHIYRvEGNuiPfU9o0FMDSdIF tOlM8GPJR5qxaBhT0FxsE/aDSpLKsy6TcNNHROx5wRHZg3AtMGCne2dvJDYH7cktdbdEkxzsCqdm 49CWq1t5jdULelQQzY02Fi2Lv6hAQUjf0RKzRaZ2BtXTX0j46budHma+BiLl7Fb+YYd9lhezXvk7 JhX/ZZYqhFh95LCy6eNU0VGhCkVanZ+xTmXi+xBGbR+CaKw1EMQl5rCGHPlCWMpfUt+eDYhgGHu3 dD7qmar32rM5+yv4+L+RPzd/K+sLzr9acXOPqzzLstvO679fzWp61n348pVWa4JQDUf0jSyyBagT A9yENygeo/JwJpee0RiiBAZ6C3lo/IWTTqNZDrE9oh3NeySrN1/GGvEsS++J+vacdw1Cq1HQoSCc 2EgY/hVWc8uMzcLRofzpld/VLZJXZwTIxfoXlRHp0CdhHhfJuSQd4UaMX2TuX2q+VL5FGYMvZ8ni jNqzsaAHRTwUsMeW3zJOvSqRT45TzpwED4bYkbXNcy5KcLNxv0ipsQHXdnLZReqRUWpmUgvWeI3W h6kJYnMFHKsmdsCz7EZ7Kuz3Rl+I2/x+4Mck4P9ayqma2qJ2iE+hiKasQ2630VoiI3ifofQYjAeD Id+sJQKbbLq5B8OrYSv8wNnweli1FJ3rhy3E1Fx4VkIx23YlHjHfh+lDGNKcGPEcpRGvIRGEreUa WL3XOecqBxvwPMBFL/wetJybOXXNR6Jhb48ovbMtUuRZqWoXbQLUXjWGK1FUF3jaWLLSdBWHcEYi ybPIvU0kZ/pbIuYz6VFh+FIxdXr+uJ6A7FxUZorKSGtccCz0aajA//oyop2zaQdvBTTNH5MXaybL tqoYAabC2pJEvhkBRX8I0b7rxeOCg7bXAbOPkkntZiF6OVzyiWbEH6KoG1rQymRkN/bAYRmyXayi 9u8e68bAiCqdtkg3j89KjU4jdtgibzIqlyQ0ILF25do1zwnGtXZcKeQ8/V4Aitxt2tSlqFJDEjoF FhGlXWtfUyH7mYRdxwIiHDoVF4nlft3JlRAL86R7nOemMYr6fQ6XqTCUDcBAYtdTKD39pQMd9r/U a+0xkpNE//fOyaWfIACxGgrpCBwAZEL2OY1Dqu2N10N6su6H5JCS3j99X5SYGSNRQDBfZBayigv/ gGSmLwVHMKqw4NVI4YFiaTK1c8j07uJ0lolLW+l3tz6OVifNBOC6LS+DdpPtoiaAWQjkNehJe5De VjNAVjgrOHIYHkRKvgVfDYMpPiU34Yi2/mD7lvXdZEk/hYpkhpb4joNra3WqpHslbjYY/ivXv9nI F5u7hp48qZqOsO/Z30b7UlvPgMGkG1vNwqQf6FFD2/X9FLpJnom+FL3pkG36SCM/UKWV/d63Txci Rwi2ZhboIy8ieraF+EdCZ5ShxelpS0Rc9dlUhIYtWZ0Xrnc2GhJwCBhdnwxOX6mYkMJZlZ+6OZIe g5G5nQYww25ni5CK92T5fMIArQYhgA/Vr8UQb6Jbv8J7UYglQfmkkzXmLDRZ4o6G+gLoYGF+I9AK J5XUToGoxeSyszT0B9GNLBmtGq+L5bkLSbvQy7hc2qICPw5yrJ6VhF1iEmFLo2nZu9l+fvg3JcaN lxr9TRIvRR9bsglCXiiEyzuOsZQ/XH65Uk76xJZAMB8bo1+dUnrA2PjcrN9FeGKEg3J1a+SLiOCk b/Yf2QicP5Af0We6aSi1Uhb4bQnaytaRD8fAtnRiv8Lots5O8J5iy7V2sarQV0wRZDpKXPov3dpJ Aew9ccq91ryUud3uO1EVgSCle2WkBjbHORcn65Xp4wjNdKGw+fOpITZ2knIfbnBd1O6JWXAb7s3r O8p1GWvMRmDsBiZ4F8xjD8cjb4qaGf50h3D/rFKkh8Eif0IJos4+3ihoerm5b8pyaIDFq60n6mP7 Edx27xFM6jGf4gk8B31OI3Un37ErplKZwOMFhFnK4ostlZXEr1y2PqxeDHcIASiTs6RacBclD+OS 41Eooqt2gshOdFgdHAd18wQ8w5J5tnFkZta1VqCoBEPVxZbTRlGTJW1LrF52+wlcSza4ZcngIiZZ dggQj+az7wdi3W55RgTLxS4ha4fopq3YfVxNvmCVADvmtJOA+/y9MAmAziVeKe25OFxpyr0ZeWhC QEUOmWjUr1Ick+m20tJ/DXuCX6iKFgrzubTXmgZwcEQShA/f6jVFKxz8dy6K/hmeTfr4hptnKGqo 9w6PdMNGjSY3Xb04Ur+wIlFC2drrzJRYroansfP8hO8tkhAbQ4nd0nPvGl1VbDpSzDn40cBMsQ+7 2TD2hpjttK1yKgv4JoHhA2kAP4I/99gyF/Of0OrmgcKsXtcgRAIVZm3LM6b8A9RW3LJDUb24Xlyl fSDMg9O9r+UKuu8uaLKLWpacrvwoNso4HDM1Fl1bfPI21gjz0Wfi3LTMT1F+5d9a51row8BcIZI6 ZoIhaFxrnIeCOUMRCOUK95DqYZyTla2UFqCx1BmqxMUQY8tFW0rp+CDxI4e5wQ08hQwvlMeXXmBy rUiT4Vc0mYxsc01dbUTS62DsdS/IE6s26OqMYmdYHDrRmKlRiE+2EsjFkNoMrFXXxMrTBHmKsf3t Eh/0DZ4G3C8IKNrOMakW06snAH1Li3FvU/zLWRz+Ec9dd5DEztMZxy89yYmeLy/Jisswzc1qiKFy ePlALZGUSUnqUQIS6vnYq6e5DX4PP/E2WQAwTd1g59GAhKvAuRtK0613+l6cnJkZoVRb6rm0QDv1 OsmWhpVmtqHtdRKrrbAIt5jBKxLYoOWekaN5Q8n8mDxSwgSvugGSsHpkyLg3l5PHN+7phw2HCqZs aEg8/46XRzV3bQgzw8C32Uu6sx0V9jCIkDKSLWzbKh4/uUUAdYCujUQf1d1LhQkDFLqKgQ5UtZjG wYB5DNAYVWgjPZdwMeg9khVM8VFGQ6N7exsqzJOhpMexoLsTPP5ZSKAp643+IKWYZIVPhStLqjfR BtdNrctm9mjzOoKjv7dKetggkMvCHISi6Mn4ZvVj6ycyvlUnqDz/lEZiz3Lo7ZRrem55ZyX4y4jp KrLZDgViDq0F3I044NuUOOvHs+VgyDgAb6kViSFeBfY2HteE0QjZb2ujXWTmZtFEKVoIZsPNwJla JSYVXKP8rTdU9YOo6HAb7UYGaw1iJ9P/8OLtcV0gOR8NWRrXvJiILTHmQA81oEBoKcmmgh2gZh0P XrC33t442PQbPGBJYVDqpL0V5qWhRSbcVvAaaoPqdKw884giKFkVWz/mF2NZ3+j1LyVUnQQhTXuy drr4LxvJ3f8ad0/XVWZ35ScKCTNsVeZb5J4pZNnbKgeE0/mKFdVBbtnK1HP5imDgIsVbcOc+GvVE GIGpXMmoCzrcEB4iLltWvBX0+MvVINqTRDcDrR0/6vxWgjmaAVrjST+/Vxk6Ct+v5Fh7zCIatCYU DQExd/nLqDyIDIp7TmMDtkxm4qmUzQCB2aUNfRT/Fg3gIQTW9fguUFRFDce556CWG8osN00RBBzn oHZ8rnyQP1ObUmPPUYa3M85ZGuO67RmIBW4vV5OI/+p/nCeOw1BPKlw+uhxpa96wiX8BXglihuy1 lVpGItsrl3STfrgBf/fyyu8CAqSCBzUknVVzcAIouTDN/odbHbNpCNxcxt74BtI4uQG2NuwMnKuK Wl7xV++UE2JpIQCiiAsUvYXkiPmMTYzLm6um+O8Y24jwiHqmf7Q015T+7wcvzaLV2gZCzjETb/8A X63Ab4IAEcbGeiAa6Mef1WH9BK2JwDZuGdM/l3Nk538Knysr+LMFGrtVQNPWbtMlnjC7YeYX2+w1 R9nsTBL/skIJaFPpl3cXfE1w9FnIWSAuLlCbvyJjI5ikQ9c4nfCpoUXeFzcicTKKh6JA2OQqHatP bAtNy6Kqr7uAkYvaCZ2mzf05NrwTd+pVkDTBlMKaayCh++27jfmUE2pScDdxXae9wiQfD/IxSNWJ 1esasxb8HGFp0aQzBScPnxHjl1N99N8qgT3ok7i4eOnzHYbetlIToyRBdrdiXV8o0xM3FOETr38i u93UZtG0rvQhYQOspxo8wmUd4cNmUWyga7Q7qul/I1TU3K6P6gz2w5bmCYg5VU6p8KEo0CvS/XPi G4/gOttcAiaiuhq1M1pCJgG8v4ljTpIVDQX5tQPe/2oAK1ho5uxGXLZbN1J4vdH9QFoQ0vWxOdKL JqZabWL456zKBmQ9+wsadjrgwj2m3yrKCGN+KjCtdLysFShlb345YLfFTVMDxYGlOw8LldcbtARa lriANR1sVBQo+Vi2te/yNCNSvEyMC8ieCdwGAkLyneDhQ6KuTVz5nyoCHW7VMRbkG3jcG00HsAvE 4UTK3l5xSvoyqAjyiQB9taBwmHldFshBx9Rs+EFthLp76Y2wDUMx3lBJcmmvw8sMJ0jxhDBBOoEP t/bTN537QUJ9BgbnjCPTusPBQuT0Gl62O9PZ88rKVlO1dn5nsHRozxrap0aPn+8MvUsCVxGZOobR xGdslqvflRELoQGIBlubyxSBMyNO84Uw8d7J/ZvtuZ3Jt59j/4lNZWHj2CWSzPPNKVtvHeaH9WaJ QtWoWKk+tY+87AVAQQ4/Cy9EkExfpQDKaVKxugk44tQ2TJH4LyqpIRDxUSITWoWcTh+BWWrzRVdv hsbM60tcEsT+IHdD3lFbvNCqwUqpSlAgm9TaGqhViXDM1JSctvyCOBHDlLCFjvq0YhVKrhbPaiR4 OQLcb9SvwAtqvA4eyhEqsK5NgwxSXeYcGu95v7fYk/Ugi8XvDtMgdy672yUpezRR3bQ4LzDqUG34 uAqW6GgTdJ5wtZ7ZJ2HGqmbZxHTmQEV9CGVy/KaPkdMYbPeulL6c+SX+zOExcOf6TmLe5P9dNb2A hv66k5xgWp+6Z464GxzfmXNgle7asS8IRvR3dpV9nH4kDffP9Kyq1fSQgj0Jougtrpxi6Q2vlqQs rj+umkA5WN2tG2vgW69OCVgsJRtV5BsgA6CxzrDC9IFBcpqQROSn94IP0hnd3fAVSM/WrUF7IhkD LNJOOvntHuWNAao8DjnR5UgJ+482f/3LRVy/YlWvMjYLa/xOsIrloxKevv5CfGg/iGgNCwN2vwz3 9otN+Re6SIiMCmne0e0/D3ftRqPWF1Zft6O1I5pJLdyu0SuDBb48LK4z7cLEirN6kKsTKOtCzPwA yw/KvpCBZsM5huCZYXw7s/kXmU+H03rs5leiyx6FUBdB1VTkdJT/5prMPzXydhXyIcaFjn/HSW/Z O8dhQiqWHyx855QIfies/Uc6kvarTRZbTg6MFIICo4VYNIBAsRf/fFfTgDnruvcRsd7YNyG/UI7l v5h0ycNP9w8i3IGz5HAmpR/gKhk3nAuHNnrxj4QkZI57licNW0LkF3MFAW1biAZ7Scyyf2c3/jFh y6x2Td4h7N3bAqrK2OkrbXDDkCdMZgfrV/QjpowHvpORXnIdH0ss+T2Mm+E/QW0AjUt9EyCLDHjB ddZb1p+ZNxHumlWCReVl7CMjPg+fhxrkEpvCvac4Tr1qlzY0J4WKFUepL9ouGth0Ex2q+XJLExI8 6lrayWvHTnyWYA8aRHf333ZJiGPsH+U7vAarOWqQ6Q25i/MuNYfBOm4bBNp7Czj5pyc5t3hNX+8d k+17iKZpSHd92DkRRro/3gayveyFBXOKX9j9kKIh4d6M49W+9Tm3EZHR/Gncf0AMv1l1SHYVgWPB Gp1x4NB5//88IZxmIHyW/LKseQbf5lvFYQ9+CIjIWM+PFO03lyg2Gpxw86F7uzt9pMEBqTeaWhW7 WdYTfiuA5zXzSPTeM0K0lKnZqKFoPgWVDQRAJqQ4DSK7hgH2bowa3Dyw2oY1tZypbq3jhwvttX5u U95Ad6UPzxjJlbuX+7VdAAOKT15hTlSIX4lOJZFc1LWkogLmjhfqJUDMm0QaFcNyj0wN0zs6z9nx ohjljnr0YziEhzcuFdNmnH67zCsUhxpm2LW4k9ZB+FZw6k9YzlxO7B7sdm/IGpvcddBW5eoe3Hr2 pm3CwUBaSq3oB2IskoH8HSu0Uo9+7vKg9bCFzzmSQV+dOpSsK+PG0/RWsFUQfX6gMZm4GbjfyO8c HOOALDM94uvSK0NE7bcGQy6J77/AjXFzOCdmsfnw9qooetrIOIH2OiLK0zcDt6KPh7gMzEkngb+B 74dBMbWmKSmLTPzHxuxQh5VjxkQz9kf+JNz+4WS4bF8yqxqQZRHQuFDEuxypkjcKY/XH6W+CK/+6 tk25IRx0XkbnJFfM+hrJZLeWw9WziZa4PCgJ8elGN0I7zf533jjNL/DNq3jT1YjeINjSVPUlw26q gQMgA9TJI+c9wXPbAOdbsFoP2COFKDQCDDEQDvMTP+9E19T87T5XIYrQQZphhQmzU6ew5LR4M4Qn 4OdJ1kRsg+jDFJFolP0rRvC1q8/DuF8WeeFt0ifrJdKxMa39i7g8p+9rcW0hW+Fxve1yFZrFKTiQ F49PYc1uWZtEzSjGfAFSayCfRJfX5OIAFX6PZf4XFaS+XyPflzFcW1DbcHW89TPiHSq0r4eHOOZe l9TcNhca/ItS5M/W+AijdpQnPRgfpapmBU+5oXLY108OEJjVM02JCZr3g1dVf57mp8gTZBxdjrlG HcLDMNDuusowfd3RkdcDz0ORgb8s+HEo772fuMDd19qBYOXymJhMx+vNUi0/N4vJcxlnscxk5HQR 62Cci+oEOw1xiQekYbXOc4GRq95nBn/Nh25kMci/bFbSlRQuF1muOz9iZhV0xFba0PQfkSA7Rcc8 tGkON5xKD4xTkj208CHQ1ZYbd+DdlkyyvkLjr3fvYx+64+taCTqacWO2slhXQDv6tRmNqWNILif+ Kz6d+duTcIS+HtTVpcn47+uXZsgP/IvBnh+9ILSGhryfBiFRmpzPkfzbxBfPzxcfElGA2Xp+v1lQ Bhibtjs628OCL7IDjXwhFkeXajZqFFLcdcnzxPhu3TGnnnO8gQiiPNyl0Hsu2TN2ho9duHKsxwV8 vIFL60IxzbUb/jfKgdOlJ/yqAciiVIqUBY9SvjAubDBVRcjpLralCm2m1H9dteeQxLG4CnyX5uPO TOzq1IUY2GGWngNJEnMHkAjoMth9r8jblIkFZZPHrypgFIQsV0fvVQTiR/5nEQgRQCYE9jKYS08z MZ82Hahs/Tynwi64aTfkeMd2I/HrNqyYUdSZvJt61upCwZEw258UFFo3JI9MuDLMCB2Wy/JafRWg sh0za4o0qzqTVhc3ah4eFb0/xbdN/bZjXqGT8di+n79UQ21T9RJHCJm7chHq4cxU5+xxm7tKuFJb HBu/ZIzk2iAEfhV/sbPBvT8Z48Q1w2kuJQI4hxpPc5lFaYxRk2Q8nS0YWMKSAyzmyH8dRLv9UjEo VSHDpv7DuGSWNQE1yndg+dJ8Tr5QxGYuZlB/G3Nx0vLGgj6ooxzohInV31JMUdAHqyuT8mmG2GiD VNQ4FJOF4tPdj1vwox90nQnMe110mHeAMhMAcCg0X+v/EnyhR6M5beOWEejjkc6Bj0MTPZtm3yqT pnD+Qq3DM5dqNOl/dj2LCLQXQ+H2+z/8/AJQ6ggm6MGla3dEtztDyjI6uJL3tbY1abM0pBEqynPN bjsiBia5/A3Bqx2YS4mofrItqp27j7TKfcfgDsEWhsN1GDgOJSIkOGc5xi/iF3cxuWWpzHXzhiFZ ic7MGic/W/1wlBGystrAA1uEPkgjlSXpWIhw9X76bnPYqj3oG+qu5MEYop+z28LIOY7XcFTZIhHx 9/bZh65MgqqOVUHGiWv+vnfmpStoFHI0nun5R9Oed85+GMVXSaZ37bb7HmmTZLvj8pxKwocNQuNa uis685Av+saBDr00Nu65nNq7gVhfH/hd1LFX+Tu7GngCVov5ZgkPCPom9CDJFgz2USeFQEJdepdE VAdhcHyYCI0AQnd3FC3ytFkXU7Hng6y+ogIGN1Jj8AchthanQ3Tpzjug2eWBX2EqMs5POsBNuk7T b80bYRwnlfF66OEQASzN3QWkZEh+qCbl4m5g7139DbzC+17VEKr5gIiDw1BcIFnTu91yi6ggIa+5 kPNNrLcKNYDqOnYXCJBJsrozhpMn6AKP39IqWUfEhjrFmMdB3bh7dSO2OcQUxqOwR1x6YP5OoAkM 3putEQsDM24dlGA0Fb9lUFtn6N/rHAMWbWam2oGMSoqSrwiQdWkvYDyuLIS0tA23iNoTGAXMstK8 tJByfjabOGEi8CTua8q9hyMgemVLQlQa+wVv3yeDawayBpIhRV3KmT0JmB1EMTDQjyQrugOdokbb 9ve1w10jYgEEwU7sbbym8/kuNXszggb4eIebRaOkCXaz2TXZDHvEx9PXG3ZPEDToBT+WwhQus9a6 LIlTbjQ2nCn57IKmPsuR40yhVxyTEf7n4UYld038l7NQVoHE7UhYK8fpdkbhHYZ2PFqM18IIcBwt qUS2sygJSTQ08pxD/sIBZjHkGKs8FdmRCp2AguJGSkicIsuhs3WJ6JCBw7X8uCmX6xIOcjOFUC5z N5ZYEQ+on81s1oJiCD577v/0bNyvfC8nV5aJTdHltaCmaY7ke/RQnZXQgZyoIEcPw+VsRipizQZr H6Rnq3B2FATxa7S4RXnXiAwsZqpHzUiclmVJ33xtzzyDdPBgRPSkv7aGo97j2s01hMzC9olegvW2 mupLYPYtoqb/45HqQMoE1k0T/viS3u5oIXTqtg85c5moMH6Iyem9bqnfqwcQTJlGH/lM+ZmkCb5A Z4J0P4opgQTs4w57XXe3iCJYiHVdb1lwHBp2DD0xcK8uCHtPMjfjwR0JCb6KDpC4P3XBbY84MwgP m+mDSrmYtDR8JbmSWdLeW9BXyDtocsqPtA7SN5EXT4dxUXuEbbPjz3FtsgwGXb8yV1+9GDi9j5in lXiUYvy2j49xx4RFQs31zoauIdtdCJpAr03Qzc9JNf7tJja3G18EdatWwMkmvB12+K8KKH61bFQQ xY7bNbbZcdw5GAVMG5oyhGMj9+LW/9j5qIBAl8LVohk4jml8o5ah+XHtJkkNhe5XfU+4UHVkABjE Bv/1JS8GfP/MAaF+9ewqGG7kw86dSlYbgg/qqS6r0MnkypuqDmdYnhAFDOWDWBDKvvV3X+TkpFzx 9CYU5ss3qxVKpqNuzx5ZrnreEs6Tzwh5JQqVtmTXQOsxCT9jwwCD6+fCl87WXrt0mV6v4rK5eF3f tY6/vjB5oe58uIfVh2DEIGVsDzgodkdMZyZ0HFRNFEuJh+LuzqYLCDl8uKh2fVJzXozZRly8jHXt tKNNvKDCiFHPF/oZL+Tuuk4+OjHXp7ks01h+c6VLIqXpjAbqgHdLlNu3ww73sinYSLUfClFs1LNI sDuJdInVZT6ysLnd1ul8M4XSiC9VSSMAjBa7thk85kbgzbqxbw3mL+B+BJxcUIQkBidk7FmF6vOD mC+Nx6qGk9+50Td6jUF6qCjsgNa7XuBjCsPZ6YGbwS38Fng+8nh4s5pvQ06keDQIhem4o89H5621 JhPbgXTFWzUsj5O2w0Y4K6Im0F10AshZ0+RDa6SJVlK6JR7nX6xp4cTTo9m6OZwzL9CFI1xLVSiK nPx1P21+LelxTKb7gmV2yqeOFtDXpcx16uokLHVbW7bvMLce5MSD0fEen5NmzsfhqgaKXCDFTATm X4k+zqxbDxao2Q2wu1tqMRinSoJJ/01upWK47kspLc5mBhl5uo2jBpaB7nk4ywAfNGW/cuYAzRt1 m0cQ1qE70zNWu4ii+xPKxszslSRxbdJ2qT4ME0tJYTc908B5rjGfYvgnjBbQh6FqDnMW2MMvjsVD KYCx0GRIK9AV8mV992edegIfV3vVOLaGfYJ4VAyhg9V73vm/N4gnYDJuwBtM1ya8C7Nijvi7jbQj yERUQRr4hEZtfQ8r6gWZd4wHwyBu1+IXBRtOhaGWQ1kQRiUhNbUZ7BlhbnQOr+e3NKZFgHnTtAj5 U6S8LUYCYvguwKHTwVCaccq1lbSmM/WBCFWrqzphIG8c7HmEaU3vde+6HnYhwdOoHjzMEz28HG4P DP0dLGyJ9TePwoixy2fWK2Oy6iE8TMM4dM283u2zwP3YCh0BtB6HaQkA6EyhwTQWPT7q7rttN1QS CdWXOt9XPOetCdfjljEtYmDhz6pnW/EDPJilCe7/2xXaWXoF9kOVRbipM43UdGpAYFUvAB+KEGnn TtmBn/FNZFB61ddCg9xamDUyqkXajDShweI6cPvy/v9Wg8cXrm6JoksusxjhSrU15FKVI7pBn8pv eynalj5/jUwE/fxQnIVWt70VnmyVKwq5rrdhntL/46gbzalcini0ylPYhIFj3FQjxQuiVzlCvUBR /qXcbzbxqk7lxWRB+VehsDcHsspn2DtWsRSB6L/Hc/F7xTPVtq430gYUc1+qg431sPXjrcWu/7Es P9+aw4Ji7LXWgKl3QBgtsTEYH3SkgXfOlV8ZVdb3QqIvAgpjddY0gjIvgiwWjDkXSaq0Dslync5m Ir7d4i0h00b5g6QOeFi+SPFm912AVn/TXpq3u7DPi485iDFzRHwLp+LXe48mIYl9SawbGjOZV8NO LOhfOhjAk2q6Laq8LoDmDIQwe1bumQa2svyMAtS7ElVe8ApqLWelElET86uzGA140WZwwXAPjanQ fn0R6dg/0cb5oD92Retv+hoggPEtWJNqfOeXxy69vDFByKv4eTE8WJ4nFun5kwC9PybPxu6n3eHQ pD6MkZjFW/c30o3U7lsxwNm90b4QDgHWXqYhb/0ir7qkiPY3Xz8MvQ5O2OisuKFvk5KIeTsaXH2T oOmEpgg2aHg17Hs46DjeaaqVoipv/0nFV0nxZ2VCRaUnLaHTFVSy8Eli+0gydTXGG2D6bVce6B1b CiUfZcjtwSqOgO6xAxBWgSS+5a2SLVz+A/rEFVEWiRhXyLdFz6xDbnrYEWJnKT9NPoPcMWr2j9Ng 9UEjCSngSyfDsIKIAR/fLZqQ5GlvwT8bvL8MBVSTA3qG4SElof9xvUmTfuutDkTDultLSU7QzsNY FCi23KK7eCKUolvV/ON8uoXlG3bOcC1rEURB1X6/cUAvDhc5BWoYuY6Hdpy2Kt9z/GAHMtVj7gMU Qcuw4ARtewgp7EdN5t884VDgftLfbticfJ1m9hlIMGW9itnhIk8BnQSv6ez3oQH67sEcGmsq//Ue f8cgMwwwhSW2EfPWqtcoCvxfsYjIO0bUfcWpVRTkLyZ2a5stfgS5dnF1GlketBiG1+pthxHhZrc+ oA3F4los6xwfRuMdDBqTxpfB23iZXIabrzdyDMbTLiOku7VDcuFB5NVJXBEYBGrNbDcyig7GTHcM gjxlN/TMtswHtLrH5wW1Wjn9zOGifWPsXw/cgL9qqPWfXiqi5DAutk9majiFXt7Dvhqsj89zYNYg 5QSgU/imJ/M1/CLiQkI1BJYySmOuUkxWNdyCaUNJmH9yoTvGG/4QTa8Cv/pghDGC84Y5U7njxAOU V7DPtdVu0xB4atDo+LAEJj55alZQIYsL59PXY3rxQE8v+ZTP+l6kI1KNTjopo1hKraFbi6xlakwD JGVAIg26oogLvpOfx7oBvPkN/18krh9A5V3GrL+jbNkDL1hmmNjsCXOM7nA3kTr0YeEaWJawemzA 0RyhGtlRbI+lrnD+xNuWVV11Ye953vILFe8xZ8N4sAR3XSuQP4BO4EtwO6bm/9uzw0fEhECC40PQ tKLhPBWN6uRWCWeGpeAz4RVO9bVvvPnnX53qQ+jHON7dwXlGjkR/INJk2HDVZJ3VCJNXxkorACSn usNmQcjvY3voMWAlFFNxVmvoAxQyfrihfcTHf15CY2ScTfIvsMDdSq2JJ2eh6hJC4b5objTgiLxX Gy736g54A4nkuCmj5VgpWF7XqkHoSLtNmv7O+uZd2PYV0T9iuTUjqNjoEWxxFKOF9nDyj5oA1Q/R uPhRm81NU5wgQYF5Mk3qQLbgyv28mnWk/VYA/nowcco0/t06dzHgp1prjsDzicOnfr6cNgyIqPby NavzD0jHIJ9a6y5QPoBtelZGv6ULp+7Xqun603snIkRdHJWussgpTZrp7+AMyvrzVI1laCkcP+Sa /K7G5TvdGaZ+hlYTJQpjY6SL1ZqpIYA8gD7HJRtG4LikrF30wRou6zWB3orQZIvl2jpKtJxfnNKz SQvUPTn0g5WS2a3C6RdIKDyVHgVfSnM7hYP7YCR5W6JV6hn36dYOFjrwmt0SmpLEfjIouSVUbJyD FDW5uZymaRa6aofOWGZ7I0WgzXgs/8mfYBirqO1kC1zRKH9uQYZV6TApAQNYLi8B0SydrIAQFFir 4nFxTtwLFkSfk302tjhiKaOuW5G9xim3Rjl/GJLH+AkloIpa52ZhZVMuxPmJ4HeTbVpEPjgd30OQ w16BW8h2ZZ+rciv1EPO7xNWfi3JmyEkeYFkJ5DSeu5q2xYPJXO+cc+ANoBNW8Uvy7VovL/gSTjmE G3VwNOrGYFC89ki8HaKqt8s0Lj1i4MQmivFmqJd2TGz8INN6nJ616NE9otnt+AZFgxcMIpAerE5X TeXQu8VM9fVu32UgKoLYnYrmqTCkE9/ON9a3/ZKuwMpDD+cdBXQ3DRRsQlBGpJZ1GC8Hsv65G3A4 QVDQhTi+PJ+J0yz4sLWVsR/1Kbp//Q9N62/5TUB9Do/Hn6yYqmIXiMHsTvIBxfCsgypuWp/iYs2r 0sQ3MFoYwYGCFMrpESKc55SUIPq2K7Mt0I80yM54Z1ffFHPXo2aEWpTRK6QdJ/0fS5cbHsuqSa5R PIzHX78xxGWT+3hEjVqfrgBj0HTA1LvpFFfzKKnBkxp7S7D2NfhIVJ03RDCLlfF7Q9saPZxl5EjX FdkxBx65jW7yxrDA+GkbECUqDAxt7Iz+l6miNkIpEeWUbJr45M6IW4LTCiKJBWiRPu7IaAW5sQ6m RLOI4EWQ0u25YIu6P7k/Zwh2+R+yA59C8+a5brrT0SrUwPnag0EQZkXn0ZTfT8U4y9moAvsU0gKb zi598lhqINtu8CqtYpShUD2aCK49qC62q51hUTsbj94dTM4Ld1P5kjUiSk08Cbae7VgwOKn3eXBG XOvnEWZ+EbULj3tfFTHnlX3c8JOCKrjqz7Ptti7HlxIuijFW5j9DvpClv/j3RukwY6ycXTn5MWKH 8mG5WVfkuf0Gpu+ajksgh4qLxCvrdlD7OVxTnKcas3SHXeXqRC99STYsNJQDosbKVxHTrgkdvySD eNsxEUkFKjMQBRDSIYa+uBubHeBPhswiDZaLqRBREFBS5Fqy+sndMpyVDU0MwKQOot3EA+ViriZe go9z4KewUT75s9S+CPV5eXeBqO3D8hAYCD0VLDpq5tWzPfSWb9bc09BKwtVN/YL1JOsrmaSYsLF+ l3xHj+aFhfyXeTP7XKaqALRs95rIegYs+yLhiVSnGeq5S8uO61bo4vpRpkUcWLdS2iE+Hre4OdSA EtVU5iPzOrfOuRtuYIfz3ZmW7vkAnpwUS7wxV7IFvMIEa5Hod5N92kg/EnjIIorhJUqRPqb4TDB8 5DaZMEpH1g1yqVuOW+RXxylG6Z9bOLfgNCDg1isiHmiFT7OnuVCCIfv+QJ2FahsT7mA144Kz5hNJ 7T0KPQTL6+2Z02aNuxoMODc7V/ZfR4r2RXUsuGk+EkuiRQFto8MHHUURTqhqRYc+DYk2ZGhvPjth J7+S8CSFBZbeEXT4A3u8N0FoHu57478Sa4YosSX3DdHl+UiET1Ut8dmOd4HmQiNRfzJOw+iKQmRu o6OHglF49WfxQ1cUmVoABX0vFKPnyCT2HblFurVApIqnvvyX4x2TcHCZ/CsDT3r/rbMwy9wcDHYL NRDSilQ22LCMIoaVbXW9ESub/Id+0zN0+9lnlGa7S0nl6bKHKxqsaWX+HW/gqot1qgKic2YMXF7I GNjucympvlESU/JxT2u4iXSMkhnwHY+2bjqhezOu49JHAuaudlysxDTTyWo3ZGznSfRIvob2D0Nd W6SnfOqlF5QIvK3ylPMF90QghROBrqrgpSi9th1N8o6qb05NG3/eGX2rcZiDL4NqTIthKM7ISUVE l9GG7q55vOlVnlP9uv824a6oxGKEXVeuFxnJn08MalfMvrBYHPBsAczVQa94BZKZWry3KjZAuK5E IKC8uCxaPDi/63Auzp2MJS9i3Il/8uHPZIGMHviFrSxPqZWbJxX4Bo389ncHV4TW2dMImxJSda02 LICFXI3FT1DSHH7bxmk8UUTqld5Z93PrQawpL+xFJuZMo9s3anE8vHcAbCbe3kOVIFskNEX4G7Ci nIz3WiPhc+sXgC3ThbP1ujfnYu5UQjVOLwR0CH+z74/QE3Hov1TqG4Ngo49VnTzmOQozIa2UjFCM Z60mZzxZDnRfPDsIJkjMowVW0N8gLStXZmwwqgWslZSnXTCkx3u3euM0re1VFaYkT8pq4p7HO3+6 s5UXfmxz21oT2DOMNvs2/Ad2K4deSKpvoCuUD3ehg61qHMV1UZrsT0OexrPkji/wXoFIWvdzC1uJ I3mSIiolynbTXhX6V15yVLGaF4U+Mm3xWsCeCyvLwrwrQDoH2GuxfQrUcWmpGK9QTsCCikoidpst cvM7rG8wyAfDtQUR9j4CYsAW2gQblUlXbiyVDiHSaLcMwO/+jzfjVWopPq2JAtWR8/W5y5n/Ftx4 yJ2CxR5aypXkD8bVhedXBlg2u+mki94yvVjk5eXP2fC0/AjBGuFMMPcW4R34C3ggOQgESr524CpY kkeDO1EpRfNJDhBaKK8gTJlYmScAncauYdOxDkypg40gAXVzPPBS1TyTD6xbzZcPv5KPQlpQdsgl WKh+U3NrQl6oYArLCCrBITksshBtWN8sKIytg+lbSSRSEVPfZ6PEVaqB6e9RpM2kOwytkpHx/Rnn Np8waZ4fUA6j9wpV9QrLBxG7lAziXEh1sh3Gsa7e5oL5Uf7tarNGIif3gK0EchQ2wZJGWfyNJusX HPbq6D5r3KwjsKxr9P4bkG+OBTZWU4JxIgdu+kEclFKgWJUG2CKgHx6dfUz1MT6r0gx09sS0/uSK Ikj3nnuGOdMneMVrU3U/4eQJ/8x6Pg4lmPdV3+e/KEl+8VPzcRv+oiqiUZhVIzBgtxhnI3zLxpCk cv2OtZfvFQ/vdcv7A6kxu1CYMLhYWgeOpAM/DOYC0BJMkzkr6Iw3LOtdpVk6xUucIc2Qi5sIhHQO xx9PqdOzDVrG0qI0YJ7quvhRw3vw3i/CusZ7JdW9AaCopgJoq+ahBE/3YTqeVVUJNh8hEsPZES5n CE/V351W4iks+mfZb+y6+7WuMJciXIbv3YjPNeaSzsrZBXe/nvPFpQQuJfEXUywCKlmkZ88A3Kus Na1GMoIZtZHPERD7PpUrBgbRzSwOdFghSCF/9FhBmtYQmN1rzjVLfpv1b8hXC/l8C38wIvMcerL9 w2JoztYgy/OnmvG3FjP2HHwc0V5VVj06f4j0aBji6ieUM3yB0kEmn1F9P1nWOChW9YWbODjckkni 2m6Z6baDn0wxghsUnVeiHT1VAcYGG8m3569KoImfIPUVAsFha3W7V4Wcq1JEny0+qzO+gtksY7MX wQwBDudjCk2Ou9v9rv4aK74HZSLsXn4G4nkR5Rfey1dNsAX+HObAafApxc+2MvyuQo4LkQIkJwPA Jdm1NarT6c9ZK61qu7nYJvCxnSE9ovJ5i73fcXgTSuuy4fz1YeHfHQBCnb7IzQET5HlwaI9v8dYp V4pUPP8BnPYGs0oKZx+xAAHIFtgYsHj6kGLyM1yiev5SnoK+9NENIDS1/y/cc+gl2Q1fgbGBFrkG pp1aznUJiJSu1syMqjcObrIT7FoTA27zf7ZtLKMWJDJZD1sXjgZSN8xKaDJzIV+8QO3W5b/VBRwz V+XJ8HMmpv+jySKu2tZ4+cn95btrffnciLnvHT2YrNeONEJABRvMCIAuKjC1Nc1NYDlxi4HVeruZ crgPwtjwXwvsVqIRTnoBf8sJdz2uyh92/xwD3fldG5Y3DKgcx9iqq/cjVixUYrgh+cG/wMtu5z00 6DdxxA6WNtzfZC1ndjJDOmotR4cQmQoLV9saY4Uf4U42O6BBcASfr+21Mow/ykD5B8BpBT8vB2Sd csXQHMZqHKrrgeqfeOu6NPNkw5XoPkmSHrj+CddXvZa0ZoQis1LfkPmAyDtfCsHHiQUAOTTJeUjK fZf/stkv/cCpva9r0HkwhMneZgxcwh4+/hLICRQtbj1k05Zm941Ogo0ZEOXjwYPV0giZC8tuMp+C iSM/W42C9dh6Ep0A+JmRKggg1/UeKivHppmEL2ky7HwowiN0PMzWNdzGQnUX3uiwwaBbR2oOSak5 H9hSFRRoEvAhKIeeIXUsjz88f7dcIo9hmSfbdDuvZyBP0v5mRVcb11jn5fprjkNSBy4XVO/NUnfQ IJniaypvVChCGZ330V/SQKga73BYyf378GKZ8Hse663VeZtOEtub/PxKOKO95JE+eE0azictbDsG IftM5gz8vr61/+oloVgxvntPx6NP+NIoIiVzWfxM+E0DEZP60WyIxrh8vsG3ggl1nhLyLPAT94Z5 Pd+iKov8+3TlQEVDZpGcz0dJ6bTd4Bki+Kj+TkChjxCXjFuOnuOQNQcFiE9uZuybE+H0/8nPfr7T 6dmANlG3WuhPZLtymwCsgP209XoU2Tw1xjdXnmx0H7kgNBkYlX8VLJkwX+wMleMXpWeQKDEChnwo 4OF2w8WQJv34NYms/9szGKV5bLrt/0Hj8KmGXGMOHxNsmqB0eEEgqmzhDW4bTeaq+jc+Bv0ERQym aawaHkyaU7oQ3jAoLB4oltc9CRagzJi/dJRQWXwtbCbzZt94A3n/9rk/z2RvJeJW0qvuEVlOK2MX U3hXngvNhIatdAjOmqoT2OYln89WD+5lIINQLEhMo8NPSXGh7ZCBZpxSVbK2oan5WRT2wq4VD7r8 hUwELsUX+GBc55dns9eMHuGiyjAXzyEnAopx6UvF1m50yL2998nmmNBh735I97tSWvUkPEogO3pP O85DxiwquZdbcmGnba6xTjFLZQafVX72xnK5EJiVIrFUaSw1xMnFIElwd2X2ns2Dt2CF3RS7Y47k 8+HjA/WpioHeaM6ahKdGE+Xlwe0M7EIphah+LRqorEMPdNNdV59JZ/Bk8jlgjSL27Q5/PcnG8gAd D8TAPHgntT6mSuqOqt7rwxWPOaoG2eqxszdSsoqtwhOlJrlzmR5SwMaF+PSV+WUq0So5Dzv6YCsD FNMsRpABalvLWotlYaHj8ynW4gGeLB6Oo6Rw13vi5DHrEIeX3KdQFlHxwZERIspOcviRBebdVk7Q 18pr5hvF/4M5qlmS1/6eYtWtHF38vWz9DmnWu8SvIhg01IHk0pcWjNCHQebLYCzWN9L/LYP3B36w qLBg8PA7FWZ5XWd3XN6xi52GZefHF+Zo4FKXK3A0yLZyLzoV4B33oXrtRbOfy6sfR3KH56+zvdNU OLQc/q03gdKsOYV60WLqQTAKWGJh3gi8eQZn9WqsWmZ8GNMC3DzSXO5pbxJWjz0a71nofQrxpe+m WItk9z8SCrPSlgvitDR9HNuHiHMm/O/kI53Ou8OCsH1soLGhwKTvXGpLzDeOI3tOBHTwl0NUB++9 tCCj1fKGZew3QKltewHUtWklUwiesocZ7QGmox9mjv9zaZFL2DUXyl+BQtUcA3cBxWmgqqPqczpg TIRV2eCZknx0MgQHabfRSdL6dfDeE1snDZEuuIYZdu4uZhaK8fnsPjVe6UHvnT5Uk0rqW6pPgxo7 L47jjA2JZ7qShaHWuAPPtAuf/AhhG6dxsY0/SNl/sPOE9aQsSGdb6uTja4bsNdAn1mMuMkBQqIdQ /V6GVkumdYkktPywNNBRoMFDAdc8DZsLV8JODlzckl5MF3urjx2KMxKmknLIQP4b/zhUn3OLc6Pm oyZJDmW4ki2yyQAWiBVgJnXaGskkraj3BrWUPepencPzh8AjVUOAzNEVd67UFsFQ6pg5tPHDilR0 2BET3KcEJBohdI+8afTyUr8RbZuiZMXVWsxArPMbOeq+AzV83LaA07btxWkGXVuWtqANYwb5lvV8 43pXvYFLBl/DzVzRA8rOerWuvDy4dvkOTXDLmr1PPWArmyCnVhS521O5h7TeFn/TIdHRxbN8mUYt tS8mHgyJiCCnQnJuK3dic6wTbajyOup1oyPP/nKtNmcplukRsLJKaySyr4enxt4TUQZyJTHFqalO TGOi/FlHdhwpmmn2Mu1gc5USW/qJzI4LWAvlfgt3HlxO0F1cTAHc23qUzT78x0yT4x4a5dL4/0V/ 0yJs5z6zb+Zd+tfr2+AmLOJpV3PPiPCYJKocKhy7y4CMCzXZ3n5Ak+FpnsJq25s4KZnjk+b4iqUr R2R2XJWkSw9rexvswVwlUO5Wi8upWSHlUqgk0aleKKj5xMDaOZLWhFtEu1/UbSAxGQCm97SYPMCU NqbpmL/3kXPfi5q/yov17MFOzopKYOnlsaMUe3B/LPIfsuBicd3g6fcCH9j/a2Smr+5EuGqAEVBU 9J3BijlcWHjLsNiyEE2r0peQrElmu9ISimzxBsvDMSQZgND5IboN8VCVpJI5TJnwkS6km/OM0IQR CesIeUqu41nu5LBxFZkZobpktBPDmO7zf31jDEwrZwcxF6fIKTat7VpydNT6lYl9O4UnewxCiY2f ebhFazvhVmIZa2StwqX+1y43T2jVoV3CIXmlPdu6pkXAWWZvJI5Tl2cNSum/ZUkwCmjJ6O0u8PNE e3smevx0RV6oNitlg4PFuqgroxdGuBNiDaLp6rrHpCSuRxQjE8q14pLM+qeKOn4O86BpiKmF4GUP Wxm+gjMbN2YBPz8iY56VCiHSyevrJLBTLpk6gTWCKULdOb73rnGIUinPr6zya2YUxscGZVeKxkUu lxUwHBnD6c4m4axvsM+O+eQGOq/AnfcxjZ0100y449L6iAAJcPIcuJezOs/RRV0yDVXDtbYgszUx Mgx/KSWsAINqZOGzSUEYtgp7nQ1jx/40Zkj+Vy1KuWqmAcFIUwmJHbUjjB00DPndnd+4dRx3PCHH lbzpdbEMYep37spSdzGSzRdismZNN/pxG/H+B2CBdjMdQ7HxTdC9MO2qLQSX8/QZX6MIIl+HQT/3 lo3HlrTzQlvLlK9O4Da+WEDSU9NSoA+Bxw+uhOt/3flktPwIfUl+2UYdNCcvgIyueUwmHHp+mrWZ oMe/ZPq+aMPqjXgMXutP/nVD55NMD0stTWmP5voge3EkonlvxOKPb0nMpue7SxAcBTxXQN9Y6UUy PR3LLDYCQmYOmi9bAGlKN9f2fn2wpCd82ivcHUuYzdq2Vaw3YWvDYj21Ian6H0uZ0jKmRIv9D/OB j/yCu3+vgd5NJFucclzSxQyANUji5hGRDj4mCwVvrZR4reTm2bcA6s2KEw4ZsveOLAQejyl5xzt7 QD8DsRFq1AJDmQ03HPIwULf+fRTQ3uP0KbLAgHAUlxIXLxOoBEWyv2d2WUHefyzM8rZZFQev+2VD w42Emde/ZAklALCRU/2/p80n2RHyDspeiMqwB3Yt5lOMrANdvkwClsUg5BfVnvYcaILw9wV2Vhrz CQvkdTfamc21scvBTet51gBTKZMtgbY/31WjqyVqbZR4+9tdp3p+7jbwT5mWmwO1Yb0Pkw89vvgt MTraKQIZlbeY7bAAVFiizYmbMYaSuZD/IzpVKNiuuFnlhcmsoD8wc+I4YBhuFLsqKKD5Wnf1kecA JUhoRY03QIZVRh4PlTc8L3v9k0j9SMxZ3mxe5oVUDJPeNxoGx1oe7ym0DSQLStJnPffdOkFFwXEh mj70RVNfNB0Dr633zchRcMa5/24MQZz4rwB1/9yxf2lrHmGmAmKUg3mb6CCw45gxG41etb4XmKCg hoJ8j4zm57KGU8TonEWIvCAtOAT7J+YbJJsCVItmaqA3aR/+9qcOxgA+4VOlO0Ck/QY/jxaD87J9 xMRh7oE4l9FJq8q24RuCKcQRPJ+XL/YTjbMdlkqeY2qfEJ+Z2GcAlEQ65Y8DltxZYEIEvWbXZEZy +D0lVywCWLDZxr1+EaZATxDaKO7sBTyYmtiBKU1yz12Vq7a6tI+ad2vyRF0pd0rmg5UQjxVgyGV8 aJyI+y2MG74r1Ucwkb09xSkOUQ1Q8ypo7OOXdbtXDL5MFOr6X0c/HPBY4QwBZKXR1kaO7lUQeNXu XUIp9ew7d+FkT0t4a8ANPg3lJfOCBGpqNzp4AyKnYSm1wpIj3dpKuyW/6WF/pbDQ7FFSwIs7UU9t r41Ed4+KY5c1/SxoEXxEebAK+xv8+iWXlDoVj+oPu0PC51K7RxATYPw+IgC0Hzxb17otS/yDXZ5D pibh09gUN+FIj6B1VoGA4PLljAzide1TQGflv2bzu1zBg6YxSCd19ddPeoI1X6GQ4SdryIWpGIk5 hkOEfbp/rlxQVPuheJuSSUeFPVrcIwVURLhZRCDPY8d/pb+64N1oMjrCvHdefQLS9/kfWjbuBWtW a4o4FEa3x2Tyl7QnPY23YE1BMzdz4ubTC9gGFNKaJ3098fZULYJgGrlDTWC66Wr9m/UwpXlINEZc gb1+jDp8VDEUnpK6KwKAAF06HxXaGEca2HedMjmZKevCh/a4I19u88Bkbee/kuFQVKOGgDOAfUUM F3ZMyB4uVW9ja9HSQHM7MrSMoGJMfr5hlacEcxvuCwhvPA94coeJtomVn3fh9gQOjz6oX02uXb+O Vc4CKDXeKcCkwtTrqmzf0q91oDJ6iI4ozyHQDDTgGIckUKFsL00wXIpM6E0FYO35x9PBmPIJ6jag kxjaLt7GlU3wkLyO706abq/SRpo77v2DDEucTKpU2K0c5fIUNOwuCkT8lvMpvBjmTdGURX88np2j Jve54JsagjkhTQ6D+x4qxm4HXxEckDkGGlKRu/bSj0ud8HYkt+uzO7qaU4DFDceov5tATT38//mK zAIOxjjUZgSu+Bp5YEcRRJsthv+t68R9sqVGb57KPiCXCD7R0SChUVtWi7T+qaLS34s50t519xmX FnNlPOvfxN+p/wUlenbt8gYz1211Kw6YACEeHFlUoXy+D5ueDgVKfujiL00DF61Q3L9s/XnDqydm oFqw9b/A013+oBRa/spC6NacKQsEmRyDKFHRHesPAOptYG0vkpZE5W0pUi5F/8U88sel2gVk+Pds j5PL8cAB9g6vZCDxzg0UZyqRyLGinMP2ln+Rw6ipqWKN0YcDAPXtJf39Bj/igbbY5T58/8Qe/u9N zgazx/caI0FqYkwC2WNAx4/s4ARi6nVoSmcFK+p9TK/Dk9ryEpp1tnnM6y/3PBpjW4gKkw+3BvUx pgg7Zcke0scZ3ymyqnTuQRrXM05+srpNh4MLNY2uH0vqsBfTgDV7a2nmzSKyVCaT1eMcoyHzj6Uk 02VYFrtaj3xCNC+0mXQghfv1dpIKrZETFNuDT6y/p9zc54vweLo8dAteeLjHWVEryB8oJkuBeYLz OTaOP16yFM96rje+DMz2V+UceGn6u0u+mKLxfjRcrzChMoB+GRp9sQWazb5aKuzBbUgfzC1DEAFT X6nEUc/1qye3tBsJbj79U3QpOVzht8cA+VxepuBkPp3vvvkiQtufZvY31aioMNX9llXIkvmsyAJ8 Db5+xKUdaNN2ePJiZqPgiwjuuDxY3Acnjlm6aUm9A1jNKUBLz0H/qmJqpF9G3CbftXcvRmdt8fVq V7TmK8eteRiffigjpXrTA2KeJZniELDxxa+5Hnwk04yHr+0jKLO7//H1FhDEb6ySwv3THDUchSQ9 2Mek96jPWmVJhrys5RVq6sBinisOFuTGPAcZ6ZsKpEDPfOnNpzxult8/SarkEf5HPNy5M2aMEXw+ Pii6wWsmqtrwu2MTyFw5glCetrr8t+8zk2tat7OQ7Pj/fMVQCIJh0husmwkSh25c280ZDmqb4ZBT SsrcLjk7SwMk4yqYfmEBla8nuxVthlzfhA7bKHMZWNCV/j9k4cABdx0LBkzz47wWyrfhuGKJ0N1v E6UGykpHInd2NRtT879FaEhEWks62VlAV6hjSpvehQTAilUzLXbkCjWoifFxSUdKgTnQxdx453IN uFVL/9hqBPUq6uaIV0ByFAw9fHOA2FrsCcVdipUrkF5lCzQk0iF4i6I+BrVAnnORf2R7P1pYtfnF coORqfdt/K2hhtep+o+xq5xNeyLPKPGLWGTl3LN1nq8WQ005eNq/tSrZwHUm4q8BHEgyKWQKCdpG 9BnCrTgslt++W+/k4ywbFrdBs+WpuHEH2GxAnNBHzj9iY7nGn+NvYkrLCxrdAioWZzfLV6kj7IZn BQ8zUSZctaxA3LdEy4SNVl7eqxznZL/nIuwAozQX7WQXKtr1Z8NbPZpsIuFUEbAxeA+DfoyR0STo pJo9npsjimkfPWo2kHZzEyC52L8z69AjEuq/uqM2cS4bCrr2xf9ovtMEsoC/qb7cg7QaKsIGt4bD qBClx+PosbtzmGcBGwfbDw+iUHa0MRxnNoPg/KvLMj/aQQUiE/Mi6EWNBHyp+zigJwvxeFU9yZKg 9lPv2DCBbuabJe7SIuxO1k/dOCnBkR6NCBJBimOsf1ICagVZtsoyGgWkXDiwceiKZWu0BbUbKh1a lzswpAYmMM6hWJJ5nM0PuQJ4nvhgyFx5XwnKAk73779gNrAN+MGcdO97iEnc0c9CaU5oVIrPi8s6 Kg6nkGkh8oXYhbtE2q4DHelbUxXz2n1CBP76GyhBgIJNYaSbV7ien5nupQZGB4N7cW+obdjyzR+M 0S4qj/hXQU1bH8pJQJuRIJNo8RXLNKJCzxnH5zpfGzjjL+PBvClSxYk/M+S+CF6DWYfSPZBQLQe/ JOnk6rBB1G/mSGra5K+Dj/hJnWxKQl4lYgos7OZZTtszfaMbgaS/LGIym0IiKBpcIQNYUItIjZJF FKwdY4fvX33wDC7QTOY6StVbD8cEwno20CUeV4JWNFtyGX9+bOJDAyB9UwUzySu5lfeMfbGb+RHP Wcny7GBEqwiFrOZ2IovJdpxJnOci1OvdKtnR8XQj5x7rMJWzZmwePwNeAkqKNj46UYd+YtvIz6jG irgoObsyHFzt/WKdjbilSPadslEBJsPaZW9NVkTouaoimKJz8siIgkY0PJLOXVDA5QqzJHga8rJD qmHSpXbyU11H55BvUTkhQTjV/Vv7r41JhAEkAp5dUf37PaK+SEEi1OGl4XlHqytljsfEyTIV3NxP Qen0ww0E1vvFsrlZvUrKFsRuede7jA3qQz548JuOnZcZ27fomFjr53lxlEDFOEQ8nkWjFFkh8zV0 GfKxx6Hzf03PHxUdQanbD14K/Bhct5CLUS0Q0bLVhK9p1eWwjOQKHeZ//EImDuwOpSCcssqazKgX abMnXAiJVrzxEnYwB4P4Q8pBBWju9HlMi53sdgI+yxeKahW5xCT1ZCbpi3jcwcz9tBEeIUxnDam3 GsgBX8fJdLoQVfXxbHnJA1uiaMxidm3CB1RtWsXCcCxwLzRlFPABbBOIDFDhFpjgF2YLEJZVcMDF YXt+CfyqXw+YKBWjy3jhTgS5DBVBGo4ZRI+gm7jlh5f7UDLDwh00QW/FRYIAuYdj2jISnl/ltoqr VpZw0MHPlPjhZW8ZLiNleQ7mAchI/3B3p4ztZrndlTxPbKrE0TQJSRQaMGRW4EcXSKVzQyyMMubO Ey3lLBuNOfJ2gSjVdv+r+mxIHXWiECUdyFgbQK8SuMghZZ79HUz3ZLFequ+q9UO0kj8Bj8zDSZ8Y lQz5SZorZWzL6zzXMU+lquc+tWBPP0TjRAPbgn9bhpw4u90Y55BKwTbigLHhj8hvdcLCfGB/O1eh m/E4IO4a2c898tLaKw+KfpLUFyDaDcx3xpYKDPVg1si+QE7BiUki3p2quv3WE304Phmxq6iqqNSI 6l3mO62z0/DDJ2Yr/gtTzzcw8RQqwR1Jb57U2gsrHdvFDtCux8IG4dCmnLb4kCaXaHw0X43sVU8l lS1MKTGgRNDk/QjHr3D7rkHws1gXZiKz1NU2PmaXJwMqVYnMfJTLyeES9x9h8dv+3Pjhh/+3BQZI zdlKkvowf5gjYHCa7GFXFW6fXSkEky6Ift0l1Vr5gAChxh9eIRyRVJqJGIw8lKDOK3D6bgLEPauR d+sqH3+4SYDoW4+bPC5jzs9OY5lXNHPZxWA7BQg/cueddUszgnOrS40hvfayYYF2W0yYOYcWcTq/ oG990DFmKx2Z91PXEsKaG1cLMwQPyU6T39ufLGYlpNIyQWZT+PgSa1zbdsYR6f5D0ye1kjbWGB1i cJSCyujumba8HvhTPnQP+i5CpF6Ev71Y9PYvU3yO3YBVoVa4y2VrHqb1Hy+z4X9RfgKCDUman4S9 UjN5igTRqRuDYkBxiw5VJDkIZkUDaNaQothVuIpgmXr898974o+X1ZSsxgwrtB/pqblciKUGb1sC sIjF/XJdc/Yb0wod3D21XjkfwbRSn6tLcBsfEvKjh7TqL41uRr+oOIWFFghdtPWWEOe/57pxFogU vjran2mzYFUejL3eIRsbSQHXiT4+le9Z80gZ4cy9CN2KkyoO+g6C95TkEsfxTrUvI6PR52zfrIbc 6z0uBFNFjMIwxZAEEpxmJCRUfGnXtKErUX9oz1dkYE9v4MhSbPCYJ/20q/vr6XP0nkigsh6iGatD /1YrEA/ZeKyDJnr0ovGrrc1MlJLARqvtXHcL7aDJI5mC98Xoy9T+XPYoAWoFsBScIH3j9hgx7EPB OpiKqfac7mx+wajg+KssmF/2u4BX5XLSEFD6B5aAp0bJbdz9eH06uaxVvN0iBbU3VIC7DDlCsvm8 NvAe/2z31YJ1Cm0iozN6sFKVBWxd95LBNdae+FjIjxLfjmrLW3CWHDSxEnO8BQ8LUQ2WemhZniLu 2oW2/RgzQHbGDygliYQYrpkquo+SVMtuLEK4JZ4dhlryJYWvfOCl/w/WetLc5Tof6J9GtbCXThcD fjciUJ5zm45u9oieJMdHbr1ySyQITkEjKZ3ihz8UqgU4cwg4IbhwwJibZNh17kS86eTJq5naqHr0 aiFqdbJ1BYUP1hfOQvCuHS+pcDoAljXT+sXSTmZCk816ajzHECmNyQgMvZX5T5Rxj/Kr6B6IRi4D vyNq6BDdYcyEsbYGg52YY4JmpTAMoBgknz0ep5nSmOUqghWeFG09i1v2JVnEZdNyWGHH0gec8YK/ MKn4oXaAmphN9h0zFIsGAuSOBhE0KkpWztCIF/N3FkJgjbfjg1vlhDTnkA5V8Y4qvVs/x61q5Twe f4Ilcv5TeBlR4BuzrF0NiXsGh+nC8uLWhVPJ7imy1RZ2N43pmyo1eJG7Dm5tD68BbKn9fuh81dAD /vclLgHh9jVtQDG4tH0EP4S2Q+4JPrV0sJcQwOCP+XM68CyM66czUH2fUAoU6tmV8fBhy3vpjrs4 EmTOgzX9bv60+/dBNpyzMdsWtQ/oJvmZB0VDq0HppLTIDnzQXvpyqHm4h9/nVK7ziFCKV1YjwsCw XB3flUWjiTZEUAbLMk4KVVEaxVrMIf3Qi/o8K6+WrA46qQfnqwSohpRJ0pJODu8l/3zc21nTkcjq DjwlwywRMN0kUErPcYtYhqoA2a3a55UFPgU9eruLN+lJKdzKzbqY9AICKZrEN6QqkTBK7sdv3H93 d3t0FujD0zycDUEOkQvuym+rJeVsyCEGdXVVjnU5cHSqkXvHXiYDhnfmJ9oQPvzb58LCZb5WvbPi hsCo5i/uFDJubLPj+YMkiyDTlm4W0ax1su4tw0hN+KFPMk7pVhP2yJLjT4jIee13+cu3Sh7+2d7m ffnyvg4sejHL6XaK8IIm+K/8nuLKRvS1qI45pAqZnNLFKQhRaTjNZkZMATh49bki1NbVh3/E5kYh hS6r0cA30KcqWFE887y4qk2sSD1Vt6POTiczk0cfWWCl0KIYNj8sJBzSsLkiYNGiNXdaz+ppqgjU Z5qKDdusVK2inYpp6DOxcgOTJBovjSDr1NkAFZHdNa5mGoWtwGjuI64aWqGCo21UQSyPf4qPfpr0 Ueun9EqhppzMqww1RPwTWKAmI+iNFrUP1pBnbDxyuPtHFabAVq434u9h5ErVH/N7JhZ4NBG3XJ4R DaTS4O7TOmnOD2RONxFIMc0W6pBGDfstpjMxP7VG3nDx1CdbkdN4foEuTTXgsTRWY1YVFB4JB4e6 bSqcugO4JEfyGs0Nf7B2WZK7mJnwhwBLjcM0F8KA8zQteoyZtz2DH8qfP9Kb2xp8dU48gSfr/0FC grKIy3xHUNv4vtZzPXYzPUQ0kciq6l3p5+zLIaZH/HUzaddhYFvKVGyH1mu2uhPnctAiAVp30IAH 9D7Emh31EW5V7drTWe2hu98pt347/s30JRMmA9nVUtyqCYr2nYVEoLUDSIhlqot4Hu+z5Rh5EUII L6CQGYo5Hh3JTRGptJQUA+E7wVEouJMmTZz2G0LeDjM2ym0SiEAaiQqgBrkxgn57OJvBzsRtMsB1 6geun7PnLkS1g6ChgDh2L6HsGMacUaIYPPSthw3GpmEZfxhrLeYdFUq8g+oyo0FrjmV87BZLU8fO iPV303BW5CyVuL9R5G0qIyRYQ9PKHfhqnt+46WYFJJ+u4beGzMFG+AyJf1lYW+cDFgRLIhzfP/SB fNEFwpsQc4aDXZ6BT9EIbwySgluj6EHxWpmj0XLFM9x9d5C2hSgaL4g3gcUMZ0V+u13jQMQZ8+pD nfwPGLQhWnQfulVY2nqpdRtsDz/TaYBhC9rDBX6E0znTDx8lzadIwT1yxwc3B4oW65kMUgSttZny KF4ND2tqwJEkwTfNDmcHscf61Bc7NQ0ysm7zZ9peDm1lrHVtBP89K3P7i56HXkUduMaAqQ0q1LGL zXeqkl7dbBiGciEIm9yrU/2hk/2BQX0XO3lSkZ0R5fKB40yDLbzLxycXGDmg7lkGxmYDYrl+MOZT MGWPydSVHfctCU0JbCKbZ9ap/AHkUTJ+Co7W6X3uESZ3UNCxGK/vbirDscU3XNbW1UbZKDHX4sI9 CqugWa44UZtRwOSsJVqyw6t9LjFznZZoECLI5sXjtZXaws3naE/mvkrFCAqECRCGNlRTnsp4BIot mdHK61wPbvE0pJuiQ1M2+2fXixb18PV/YPrig7uzYu8o6dVWR1s38Mpu7xxw8cwfKAOfFk3dSwE0 O3C7ztlhTo5pF5AxfEWybFsA0lutQ+5K9CIONJneoT24AbohyDuGadY1pc192A5HzPC7ls8zIZ5G 5dJ3WuhkqnIOXBvQz9z/ur9cjyQnMnVHBJVjaYszGyBdRuOBPmRTg3ZNJNZ31dH9EDgZFgpglfY5 iWS5JFwOMNa8SAbKxrMWY7WH2hoESijIH9WXumYFnhSwrdBj6gGRcMJ93LWT1sm1lmwwuLu6fXTZ wJPW545aW+jDHlj0u/3lQa9hBFa8EbaTgqkz9yI3JrgZtE81wD0G016HccQLoYhfrxeDvKuHbPuZ vyJer+D93Uuu1h9SbQ2z2fqzYlqMxNo08BW4w9ffuydcg/f5l+q5JoeKPap2qzJQNnXE+v0IMq6r WuFFiCEQBsLbF3GFg47lFt/m4lcuBlFxyT8p3FPjl+8gY4kyfefvCbQDRnXzd3nI10BKrLypNoru 7TivQvMeD/OnYKQI1HbJfxfjuEGUQIIN41nhpluGL3VFSt6hsUz8Tb3+OKHlGbUjSpjxM7h3sVTY VuKmXu4kJ8a41XDJFf2ByIEAFMmxdkL+GgIQvrF70rA3cLMtWbnvMV4JybIZz6jfnL1GoXa91Jla yir01uTx8mepHsyQ/njoMfVw65f2X++fJjkDoEIK5pzreoyCGD060ufn3vfZXx1F801Zh7ujeXrI KnFcjn3E2JERuHBqK9QmLcdK7IoS3Fe6AoRQB76ZoogjtC/2EqckxmUPp3pCLO+lZtiqoP92kLdL UpaJBnOkxC4gxfnkrf582VZp2MUkbQ5LJM+nW9yhqBN0tONrirxSzMSroDbOEnrxlzqHGu/IRZpV Gc6L5AbTKX4bZszmlYv9kCINnH0ppdP9lzai8X8GkNnglruSrAxtGlH5Y0eHE8+0jEU+xO0zaNXH qQLRilqrDc6gGObl/7A2s6Py/cQDLiRIJTPyWeI3EpfNtlWtnflKmzHG57XZil/2oKnjKoSwTn8K dmvO7BX+jNYwStvGVyolhEQhTyqF2NxD0E0ou8X4xYednYJFp57XARxN3VW6n2xrw+Kfb6Q8bo+Y PQImdhzkwXoYHVyDyevG9OyFRYHEtxJyE6LoQKcSjAn59JUEXwps4kj9ougeeFWrXZJYtDy7KQ5y 2Sy5pi3/seeNibDz9OgdiRTVfHeOg3f6wk9UfnWkgkSmVF/EGdmdwyyDwoFABEfj+BRXXHbZ8kys QsDgs+TckcmrIL5BfjtPjXjA/Np4XiiXVqxJjO/OfYVhjV+eiEnC6QT39ElQbPJ352rgM10TMPME GHu7ZySFbEU0cyGPwAbTt/l0CMq4yadU+XoMyE/l/vTILHFG4Rg4g7nOtTnSpYIsb2CkOeeqJ+kz jN64d6FwnC6/A40uO9wpGcl9KbRULAIDBGFa2nUNY0zSlaPwiiVIx9wXPBk11eqcXOLbY/kv7T8/ qYlZjtpqPZzC54dbxTOXBsfu+E53Sw6JUPdd8VKeTakMfgR3RExCXPTN4Yhy9nFEcnaT2+y4b3jF Wa+ECwWNYux/djXCA+kkVv9DWZa/u4Q9lVqjR3a9uiRbGuFg9PWklXkYClo4RALruP/67EIyeb1z CSzdXwxO8Cv0MUUxLmfF0g2uI1Brp0/7ydMyy95GDAPpT4m//nzfXal9uRb84cMmfC/4jueSucev rb7mWg/PCY7+BnvwsY9JIp/qdw6wiGaYHGaSVqQf/nd6z5o4Uz9DNpl1g/5OYRttLfnOOWkOTZQQ s8govqv/83RgQ+pEWKm6PkV4NCeLKKvasStXZTUYJlx53OnQMiEZaIT8tg79KOLErCQ6PgFvmaYu If/rwYyMqmkQvnd8HZ6isGk366ZjnWHDrzEmyFbq7GTyoRt9y9seMbOnjV8cbLr9U7mUlNdEmmKb lkCiQ/IvexhmmKAaOrgYn+WBrWq35q0T4rLxP1Kl8hE1JBymuLDRJve+vNEWBHB+EEsmlcPgWaaZ k5nDYKwVDR4YVagiearEx2D23cCRSYBp+TtWYRE1TwQQuWZIYFyBDertSFU1BfVugas7RGhepU1V DSh5u0RIxyeT57Rg7OpLaTnI4WoujtYxVqOoBNC23nFn4xjHWxml2iLd+fm+UGCjHQxpDRFIj1TF mZshKqci8eiP/iPXB0xHs/GXQ0XVPqBc8QHKZ8eGzvu531Mffw6qRK5rzvDq7uEqDcvPlB0iffCK WenMr6btjpyk+sWLkya7tACh3PJrMGPdoajjrl7Nm+1ChY4rT0yLFbdux/eHnTjvQ5KBR25TbeS9 VPHbVyNjWiEsy3TtIdjJsMaTr+9L678i2S+CtjayWVxH0oxvpQHiaByZ+uhQlsiEJQddXHBuNmEv OXv180LlnT/jkwwNx2Bo5Sb4oVJzJKOytAQsNFrwVe0oWpVsRT+DdNqcpTF5TEpa3Go6WXX+L7IX wjrJvdOpIl2fCDiiWC/At+L549jOktkJw8eGD1g0EpFbEHifre/vvJz6ePoVJvt4kscEXKUHXEcs 5ZYyWJfQexdCNRoa7CK8R0COu7vpufeZbf1UOfNzsGhWK2f06lxhRWA5Z9hhXiQCqgdlqnuI+y9T DZTdgLriDpd4znfC7REOdf62prsaIc6ziDWwhU1KoIsupnGkdjYLFzNriSzw4psfjCqDtNGuIp4b mN8XSqxmgQDfR+Qf/Zqs2KNzvvP1QIrbeAej3P28gOUMg7PX09Ye9ns/BSopFzIjWtFPr0fOZwSI QZMpM33lt3myrkCneZz4fFcRPlVQnmfqjIOsiLUiRsuzyjVovqRA7rf5digSl0FiAm5VXb5wmaG9 J6DO2aYM9zSDkMJKGW3BTog2jzP03Wea2rx72zKB10flYl7zhCRHVv07BqiXsg8DDCzx7k/wwiql e07rzAq26pNG1OEoU3TEwcEF44NLE7e8NrCq1YTlNo0calAgNxTjgmyqKhfV/kvM3jV0lQEIJB6Y tc293ma9k++KR07c+4iXaOEpQQf/fbBrK/cmZo0wQXlgKr4XRoHkjSsPCUNGoPmAfBm8u49tTgaq sIrBORa72CpvnDjxxsg/9KpP9Hw1fvkmIBazOL4sRyvTDZFgCR7Z91llUD26wuFQOuG8exCvk3Zi YdaT6j4Hrijcv6E6HociJ1/zg3xNLJCP4HGSuVz8LLLoiVzaLogdhRtTUHnHwzKin6F0Dh9YJG7Y ld8r5lBsRT0zt71E+Dwwp8oqatYCa/5lln3vNs7gNZLEItmr0GAX45a3TdGWrGu3j2rwmkNEiRGw wrH6TiqkJ5Z1NuBVWjT2UWhBGS9a8mCgM3excYdIIItAXcG7PqgoCmtedAGI1Bkx8MPP4ITuWW/+ YhAo/entbODFxXUhg0N7imTf9OxnhnsSX+UM10+Q5WYJ01A/NG0UXSn1FRQujAshB5h398h/hvpY CTrZ34fPplXPASo0CCNv4pByC/WceOscD94jFOigbO5H32gmm0tX9KxOLs9TtUnNXnaBTYjFmUic q8zfL7XaJJlmxYbMcKr40atjZSpbKxevsgDY6BFEELq1tp2Hj26OkEESZA1dizJFfpgklgRU1lS5 qcQXtTUJIMrRA1DNVZNA/k1M/aTkKviRHsk1YOa9VKn/p6yWwmXGQM4fLjJuevL5wJTF3XilVvV2 XgvnSkwpNmmG2ZURlffk8HXiT3wLeMwIjDg0pnBVqGBAqYXZOPP0BoEnGMnUoWgop8gGsOAHavZS CAi+qdVe1N1Ob96qxAEuUmVv0emmQI06O4dNYkbVu8vyfzkeLGw9WGp+C/HmeFkp0Qkrcnq7N2tA 2dXbsPHGW/reJMhVQFLp3cegnTccQoXjxJWNR4rOf2cMuM96ZiruLPtoBV+rY0ZJMC6Cq+G9W+wG JlUqsPiysn+6Nx6UUKYsvcxjfIoGaPDFHUIuZ0aTirfUVxtrla2NjHZTMHdeR2eJ9zdJ6BH3A5QE k9lRUQTpgy73GApRGq3n3HwqrLmwuTAWYXJvq5d2pAlOt2Eg7PfwMyVXAEl7/n5zDfBVYYjvElmn js231vCs7aqOibrv3YFUk7bbiGD7MVlUoUrD3I+COItIVTnJlHuhOr++mQS91TiFhR54yiqlhmji ASRd+0wc5Gu4T6Gdh1A/k6eKbpQ1bhWPnk+y/71gKkbyTX8AEmOiXr36Xw5VtzVbzBGY2kP2Ex5C aPWk/dMqvguAacwHmg0Vn5nlvO/g5JU2UUMPg4kdmAP88q9yUsx0jBkkgRp04oJlotIjvUmQUiV0 0VJ/eVyjhCuZTXzaImFKPWIyfpbCc6G1y/71DmERIQhqcIPNuKeOmT35CL0zdQREhG68UDpTZQB/ PF0K9c/KEcUkiBh7fOy2wyB3HhWu5H67FifXfXj0dxEx5IQuHSBKakjayEik/CUR5CXvrRGXNks8 gowRUltzizLLV8pwcwRC1m6yHwWaCFirjBy4svsuCYgozldJjJLY0XmNILNXXzsfBz+8yP+qJdhH lvGjgEPj7RtTyHktz/ebPTsnR3TRV87i80tOaBrqY1fp9W6g3kS4ecUO6WjO1wQ4KZ83JFCcfo1a qylQtvvQhPi92UqVSJojAULd9ijK5uzjljLSo3YGBOYpjbLzLNliIA6gSS3pQs4gx5HlQ0JuBARk /AMaCBIyMLpchETFp4pAX9regzp/6oy8NA635C5v/z7dRUMBpRegwRj3ETmtS2jAwq1pp8NhWSVO fkvNupU7MdzHHrIuE0jn/hGMyBgpP6HMyzzrzAuVNDG6FIEIYBVaIzTHp6o7wV5BxoXVBwnNKlqL w/NZAOZIT1x0OOieY42m1RpAOYymaksOif4Iya5HjGIcbnmdPzw2W6U7QM5AagG/zfsdRrGqsmOe i7OsNJCd0jCCZm6FL5yaRDn+JR4+Q3cICZVpUZJy/bZeZkWpDYQBVF3eUWhhFzCbCKvSFmpNzakU 0vUL1TulRxopa6rfOY95v6M0KQo1aUmbWEYPOIqtWdyVXVSITbQTffOw6/3ix/6v2py4Qc42VFBJ Lrp/Df1tLiiHXYNV07GTPSnOsRaQQe5Cy+17zIVhAcMIe0YMmdXQLR71CvPoDQNPoD/Kk3bopMYV 2akbocFFLs8b/y0LBiRzKoT+MTWCv1tkJDBMIEFNbOgkfdyYl2FNyeLCanuBKtgOnZhL+T6XxACo ezmffAKzY1aOJ+A5cjfJ1ARjzvNO00AkTfOuFcXr03nR6AUk3iEjKZHkGRBrnEKhikYocrZXvDhk 2A29kyrBkAYwQ/SKDNf+FT3xEuRUM8GG49EroIcB864rJTO9nPLykcoas289iEmeW5xi4tRsnGTg HuNSuJPvSvARwbqoh9/g8IRPmSmLJoFQfyrOZptHS6MYnow9Bz2weWW+KjGVS4fZ17aoQvuWCyAa 7/S/P/z/f3k+sKq0+8/91AND2yPZW0o+gKa5q4AAkeqH2KoxLM2UZfIdy0Nl55JgQl/GXEwqYq++ B1LDmzuy8lVEESduuJNsPv4pD1Ri9uTcDsNu4CPBl6EWyQjT5NI67QrzzOZnr/IUth8qYg7zLRG2 pLUvzWsgv23AdXNOOleuuSVTukxqg40nLX/K9wZSH7zNU37gTF8MpVgUalRIb65fX6pXaa6DvcCy jdr0SAphcySnHcTRNMcpg1yI2XGM3Rv6YqpbAeeDfPtbniYW/qymXOz6Wi4suapHIAi+hS5p1kwd n3nke76oSDkWmYBUklTF8wuH3BCzJkR8ysJWmD0EHAIF/4o/o67itUnsITcm3a1iOBghr+DYTsFT 7VifD35sTC7ts1+HOnxOpxCgnekC7S1956LwTvrsXwFEkVbCagxVEtFq69L2AR9xWVkMDQY5Uu0c 4XuRRg3E4xPEHqyofHoPhfNECH+u4HECSn/eeyHV0dY0Iv9E8L5zwX2jLK7Tq6GC/L3iCNwDXGrr yF9j/c137isCLE5VMosc6nAh3bL7nljmB0JcAsUaQZ9h9MlWch45d3HegFgnvvfx+/ywhcBtfVSA O9fg+OIKbYM7HHlT6uq6APirWN4/lL+I61tZ53s0gq18zU0kmalf8rMUBSwl4SoKnCK+BfjHzUbg 1SA0GZ2jbNgawnfm8ayAjDlmgv5HTrKdYWEy4luBmtg4x+b9r1BQ18i6+MXkC5H7ABpM7MhR5XVO aQa5lY/n3Dc+JJb0PFHQsYOl2Hm3mmQnKt0dyO/zjwusPEJL70B5EXrgYi5K6QnwUDB3ZuePZDcz 9hroKhMifZ1Gz63ImTlRt/r6COAjQGhIMi+eWAIrSXpZssa+SHbCyww3CAx4bSVf+GHV1LrV2P1j Ja9eQO80JZklp8dHHLT1JL9Kmj7xRmpRMLkvzfpYSncp9j9LdhX6SCJzMsjGDU5/TGYmKSbgBs98 KWlw1AA5Vc+AhocNq4GrltyxcWA9fOIQbvcYKIjTOXgHH8y7uom1Pd9xTex1ugrOQPXf58gJO4X0 S7+xZAcTia0XTU5WH7UR06bA28zXl29UwDRvs6/QzIVZldcjFp2OO1sBeISdMISqfIABmUtlxgnz KXzahNjaTI9DEOw54s0IY4UqKqJxPJe8oIREAzd74Es8n+H/t9lfBV5Zmow1RUPF9P4BDyI8uGC7 3GI4fnv1rR16XGAdiT/7vDk5snstSUBdVUNf/ujWm0Axf109uBg/5F53A3aNbC9G4hV3OvNKdRTk aBToEyqlSnpw56aTSRDAhqmV1mUgDPX1cZugOIJ1uD+ufMqLamO7nda6sWIRMmqyQST6o4KbMKOb X3kSAIkZmiZe5IzqWCLwOMWoWXWHK++W8unOo35/r7EcSwSx2SiHSGEuwXKyT6/vWskX8S9Q5R5k hW9Jp7c1Lwv9QMumL9HYx3/G2zQkFdQzdFqvPGv32EyRs/TBNW7XHtA1UkgYHqnnrl/K9/q6wGFY aeANjX572i5mswz7L6ozpiZsfM6KXK04I3pxtQ9U6EJJbHI+rzTzPTBxa84ExoSpA8w2B8iYxp1D NxtxvMx+4NxGgCai00LPVpGL4qZ6s4JFQ6xe4dr2l6fYnQQJGsRL1Kx7symziYciwejBPhyxob17 b0X+OrHLd7Mm551dgxQomSko2BPcAppzh9psJkPRUdX9jUFodZuqruLs/I4bop4iK82XOcKJg6g9 yAv/eLFYL1K+imR0fd+bwopHYdT7JISz0ssBBT47fTX6O+xLpGyY+nF5aFYG/IaOIZL9slr5vlo+ tqKhqem69sTyAPKDUqx39+GlVxrJAN0PVR8nJYrJMs48bSLQ2xssQVVHN1qbwsb+aonNdLbYtNI5 8vnST1IoSFUUONyeVyXCN34TuYRvwEoloP7XBTumWYbzTsSfwdwh8p2KNC2QS3JrnliQbY8lM8w0 gWjToTfr+l23ZKvGn4kuu9WTF0wo9b08APkVM36oTMPyyNOn+0gg6KKTJtTxgcZ7CjAbYc0HfE2E ZbJ7RwO5Tbyg4kqeoWi5fN6nbxTjh/NzPFm+tY3Z40OOBHcd46D8WIVAKHFMDesoHmqWk7h0ilHO uXdhk4+fLCmNrnQt0x+4uA+AQXa7mRL78E0uuRzw6gqXbyzep6HFOIvERe4kgjcz50uPtEBVXE7H Vl5QBoO2K/iuRM06oIc7VvjmBr2vvECTxSot5qEmFKWnEnBRfD8rZEwZxAevAoG0iuG46KhhoQq+ zG4Jx7RJTpOea2Ef6nB3mTLVKPldQTo3l0xaXPWe0Pgk18v8BpM0i6SCwG1VnuYP3bizXpPIoh76 KKQKM5tAfwJcCS2DcO1Oj0mRe1fwMzvLpmzU+HjZOnuP64tQhUTM4Jl8kKAsTuEV7puh0vHvLfqI vMrg9D1KfIsVW6gsbqDqbtMqQZ0DHiVoipNinTpLwznQTxfNKvCECX8y3d68MVFE2o/VtFD4XoUW U6++J58x+9knlG4v6CSgGNUZaTcVRzMsQqiQzXG6MQa3iSiDAkdet/E7Yet9doZ/+7YIR65nAw9z AJV3L0tbHj2Yh3y9NqfndDvKpeZLWKfY0l82HiLpFXbxrLHoYAaf2LToUNfvWufK19RSdK4lPLIO uxCV3OyZxVf9c4/X7OJwkeWTnkfPKAazJmt75B8mZKiAkaF/eaJudtkUFx0woWgSQ2GUSP3hlv9j yL+d+olMPW/yj/xTyI6DjR2DoK37uCPUd44HJkwq16o2omJA1w43+WVRj5VOc1p9kGhOChqF0iA8 id4paIb/6wwvSqKjO+XASQBot1A+1/5QbS0VDP9PtCfE1JjKdDG8/bqQVglAYQ9vd4aMt/P3ASs0 03bIaPiMJ529LHlzUQAUApe8HEGU01LUj6Q0JkdywSzI7uw/Pa6bsiY/ELH9SRYztKqBtsGCD4+i m60s6n7bV/QaTNOt89uVjoDuiq4T4+9yXiJE44Q47tUAx5GcEb4U9gJrsCMymVXGL+GMtV12aS57 pJwHRtAMSWjZpoIrtF3P0EcujNtn/NSJy30jimapHmOcrqUev1jqngyHV7DJ0RYzenUY+kZiS5hw j2/MNBxCH43uyGSjhWwBz25lp+TCJeJxawoBY2JDmpxOQ6kvnMBPmKHMpC43lhIiwUgmeLyNH87H AYCSH7uXB5Iqk9LMV2Z9LqQ0vpBrNChWFLZ89cmcyYk0NtLEXQMaHqYGuu7muoccjfPjyfkBUIj3 Ew2CMP3xBBx7+jQWSGwTW+uEpnpuUW94vGwaOy4SCkKg5k0Ext795vMDCgxM6jp1hfsco/+iujlK Kz5ivRPN8oOA3Xe+o5IngkMkD+JYLpZ7Vl93SIkpK1od+bUzVSLKZc1Rh4JBG7EiPZKRCkcRgyyB gLXh3Y/VAWNySZPMNmOl4rg06LB/ZgwG27fVQeJtq3JGDN/9XTmybW5FvNr3ctnA2lcB0G+9oEaV VNiAaCcanZg9RY16jF23Gr5ovufXRbWN/C8aH/AzXK37OZulz1Gl33gFkmL0gpn8JfjEV23oV+EK Em+5A6yJdB9wNrgWRILDkB7cPyejaKdab0TZWKmG/VtYZsM6wijE1YpiR9KsFhhM9h0h3XfFcm2V hopkE9XwV/5kz/909cGNzXxX+fT/a44AOqM3T9jEulz4vO+HYF1P5Nw30dEZ31LdZIgfZWf6xrZE KR901g0wwElEMbpA2FBgEy2PFhF9QofLCUpSEqBBCQ9pCvqX7PI7S0v+TIrC4PUGWTObIR2/WdQ+ eoWz9shhkMyto8ksMYMkufszDmJSSe0eTKWt+6rAWnT9IhTcM6L1S++blx3Da7KZrSZoGncNxBBK HM7H+nu33Jd7eKTMn5sGbF/AIRFxej8J3DXXcD6huu62drf8Wu6F/sjMC58+/BLu9FGw4p6/W0sL SJnoknhPJ+nQtwWyYf88N9A6MlxKntj82xWmLZ4CcZNbBBvAzJxqu7JFFzQwlWL8STQcuMDJmKfT w680pqbEnS4X390v2s52ofcGLQjX+u3jBEKm0jk25mxXI1nnlbjkWRAUnWiOTOiu5rknMrGHr2/7 8ac++Z6AX92N45FnNqVHTUjNQqLXfmAvpssQ0vb6uqe8aZ0x9iVCq+8rR8ElZSJg2VKFCBsjvLBG L85dS8m4SQggOR0eYNGQFh9KNxNaHZ46tsxg0dZP3fhYzQWSmz63lns/ooQwO7PnCm/3/mRckP77 vrV+MGZNVbNESXfiGVKqlAMh33wHqdIQflpborzf/U7ct1wCp56Wy5QhnU60wkL2iEjvugxJZ65p lHgitN4F1vsggLqqs7Hx03RDYk+8ZKsD59V31ojIePpzv5GpJJ7Xk2vlI5c4rbRVKiZfuTFSh7uo HJZJ4p1oBPBuSmXeE6j3IBOxSbblglqjKRMAD0qi+EIqbiH4uwSCGIsZfgi4P5Pp/8OaLgL1n6s2 2K2bCHxg7RVQjSr9PA4bNcvXsTyaPA9rVed9iIKaQ+wBU6AarQWKZsQH7Y4LoOtq4uQIfp0wiSYB 9YteHxfET7fIS+AmdnxHSUbubJJAjxhKUIau9o9wP683j+bo0UsX3XP4BYF13h2d1KuacWKZ1Tp/ 6PVpwBcKJXXYr94ApiQJAlxhSL0umYX8llE7TiUKRjgI/G/nkoMmwL9Ss754PEKXSgBBWAqEPicC QrQms3arG2MR29sfAjDoxs+W/MglBJhnXMbK00ErAg7JHC1Raru3pfPWbT4cQ/wsGs6tTnz4U6Co etk49vGJ4n5cHubK0aT6RnHNefv2WmrmE1H7Uho9bsj4hMorns33PyiIG+sf6OUbRFh/r/rpBWNb SQO7VeobU9YnjQN/tTnbUBImUSwHqu2GR7T/0tY9XrxfwgZ8iPikQKtP4ieU7TDiRBLBZR0bcmQ0 qsY8D677/rSIldgC3oQacj5jGD0lqjK/OCUSqJcIiegGOAisrdA2rur8HN5ZjUdR580JyQBmzkZe s5QypjD99KO6eDJ/UAPksYMAau6mu6at5JtubmPtNYulVKYP3WSfmcMs1k1Z2+n84KoU7bhuFz37 byGj5199dG6IV0MkyGkn6vVFkdwp8lgAHEfaS1CfdQHLRDlQ48QpuglOvumnkWWQPEgD05hHqlfy taVpIHk/WLgLgogLW3d8eLzLOQcnZ7jtsueCaOvjAGaTo1BEvRz+JaH20ihtv4DV08bngcHaICFX uhKlIJtHMEqEqJRvjFdLjQqXmb+LT5o0xs2OpOwQNFaXxNUbT2FKNGTgZHKT45U2gC9CE4PB3w+7 GUxdFoKL9Yzxm1fp6TFJsppSDPAvccdbu8vULQnXFuSS6bb5WoWslmvnGPdwbhKpsjOSPtRO1UiD d0uCW6RpjDrW+RJRtd4MUDjkMG3tIb0F4X2/ZxQF1BfL/O1+ukBysgLe40NB3YM4Ul+3k/WX62gn qCNOQcW34Plk2AoudVQEZRHoe0NZLB9YJ75JGffVVuGDJhTnUl0pX96Xhjc94G7bnSmericc5xRj BJ3kMET4B8r9P/+gQGRqlEqFkJ9RR7MW0OtNMMprsGNqU5HQqOMBqGngc4ggAKuXPUv0Ejq6k5P0 EBInt02GyKRdBqJOzgwFqG4OpOI6y5qRMze7JRX4fCqqIfOSQ5oYvUvFDV8Txk3+IDWm1srSYT9x lnHuTqeKS7WowfdEK6GVq/JOFCPuRH5LWiVALlsYFeSS5NZ/uON3oSeqD5tnEUT0DeG995sHmcsY z7GTlIrckddCJrn+RHJelgtU1iQ8u82h3LdzJ9YHqEzMZ1AVAy+PTh6EZExDRG5qjbgkh1kqAWT4 sCEf9w6EL06w11vwGLZZiSTT3vpPgh9loCYOb7a2WbD+d33vk9M82llGw5LmskwuGbTTsF5oZIgF hvd/eeeHFRFUPJqHOIxSxzJCbYEpZWPqL1IvieAqWjK6NnpXwZ7Jm9NJyQmWtKUj7RNtTR2Nb2XX 3jFPJF0udeZDgCagE+f4GviymhpnzgD32oHD22c9PodJGR3b+Pn/dlP3EB+yOayagmR3m3l0DfMM 1EusBUp1yh/r4jhoa9F31uDq8Uta+jYoEIPYoN8g/Ea5fwiHxj1gmmDFGUJZW+v2+zUUDIwXR5Gr 66j0tA717kvYz8e6YNLWAZxfRXYGdn7k/eayoDwxcs/iy1rPxLSq0J4cAlsC34y1jALAI42CFXiz AOKEkD1CSGVzBj9XQ4PQM5IozZMqu1yiV9JFkNI5gOL81UB1pupNKeKqfu8baueq4vqI+K2Fvh5n yhlH05Cq9unMNqbnILc5CHl8kJPqK38LNSeaCwp8HntwnK5Fgd+JUzDqHRfdlI6bKARMmSkuZdIK 4Z8xf2oeAaaVFv/6ODhwsZML3A7G5i9CtvBz10BgL+E1rNKyru14KlEfSrOzSN6vx1Zem4LDsUPP CEiliBfkpgE5awOzmtI43GzDeeCHS3OkHGh35Ue1OnFsVZzVRtCzLrdDyW9m2pyb8PU9V8kehcTD DpK6ucUTs0Scb1RVpGJFoBX31r4MLTxJ8O1jpgwdnVDfUIVCP2pOjed3mdoWzYoMm+sSTxxqv2Qn V4PXFXxFoq2EXcWKYZA09dYhVnsVlS7J9FylFsgVxC64OFyp5pLIV+VAKFuAeaTsRvVTqKkedsVS 79btF2LuWaJTRnVpFBB/54KCE+SLArUWip9PTNm7H96u56aidopPbHobZk5svvYLnHEBNv9FQIG/ 2m5zgrxTCf4RPNOowl6ts5dBQKcCVmyi/8277wgKfwnjgVEJ3OQSGUW99k8e1hEj2pfCmf1HgNsT h25GtA7oSs6X/PA5c8Os54fWqHzqIo03wTqI1gREQWoBgIo/MQodLHvMNNfCcSRyODWqc4O424H6 d942yFnsjQE5QrDVKXb7TtaJdnnZo4O/nCmbqLHyXBEUvhByx3w9PjgzzR/goJYBSzcqcHyQ+sdg 5rBshIChJ2i1jG5Mz+gzCR692AwAkAdqzBInvT0ZSMWGnZVCA4idihklfxvxiP7cE7zLjx+rsRsb sQgWa7BNDk7OLIMRobrZ1kjJqCfXwmWfT6XQp5irIHFTwcFtXhs/yxz6LRB9M77zP2KR8RlfkfoI f6aRVKiOOYqJDtVY+hAlOIG2Tx+TjGAcjP8Qs+OmQUwzaH9OZuVYZ1a/MsrIK8owjHXVFLWGrcep fuwdiAIwg2c+GKkutEgnFrUoZ4/QBCOaIB4EFwa80KgRjtL7e727HHAYRXvhXHN1PpsEH7IFIEya 7qwGxUO99+2jnkw+4sfmRT2jitC71wph6GBJR/viYpS5sO1I4bMXMI5GGHbmD6xprA28KhMOLM8Z zZuyROEhLyPPOjULR3I1Pizi/zGdaZWXFv1KR+0pjSFwXPy05aiMiwJ+wDfIBFwuhOb8FVyK0Nix FS9B8tYfpqNe4jwFU47Pq8vQQ7vLCNKdyC2D6bDUYR1n5KRrUMAABJ8Jh19aXJMwhG/AinjPkEBp pFRINXDsRRlagl6mWx2zC9I90zBFACthzZxG1vlhQSSo1fWS//qX0LOX2nLYPOCebg5IYDzy4Dtk V8i/KlMjN6tT7gaCvaGlV/YtvBV7q9Xvg5tgdw6n/U8z56CJLON7YcWiNBRGRH9J0f/a2TYvdXV7 mWuKqQ9YPB8JXngRAV9ri9emIYlmx3ljwaPU6eNOnrh7M8fhCeC26aTZUaIts2Ei5Ry3FpYB/XwF /sHrC9rtGkpgdXqrhxNTJ7/rOmu4B6oNv4UpMSpOtgXE0rjGdGCRN3e/o6wQFj55MibNCMtr8R6O RaG7ntvVENtNsiYvyKL0q/9VAT2h21ObwRm3NLonbu13tDWzBkkqa4N8+9WUrzwlvA+tVAZWIrfN kj9PnZAg1xYtugQrUZkSxFpH+eJse7k20f2FYrbTyZUVKchf3BjmcwAxRGTXEHAfHOXhrRds/2Kz /965qmkaX5tuiJGbAeudbJQc1U/mTl0SAKrgsnE7E6DaOaMAInMNA4r7p7VZpFxmv8Ek+GjvBXzd fwsjvGnqGh7P7KWVKRBep5CKWxKLpqggqgp18LSwtVNR0Z56H6O9dewI3bNYpELJQwM9n4F/TNXu Won1ddOgGD8DAr3BXltWHsX3ZBAr9UA7gIKGcfZToP+xlL9ZHVZAEr6tur9N2T1sMt2iQm9vX0iW Kixs6Tv3V+FSg+I9plqP5xAGgOH3QQ7cLl6QE8Ynp8vS+OCTAS7HBxVko2IDuEVa3fhS65F9k243 +LyWr8/sf5UXLzkz6U0RxDzJcVNNcFMGiJ/p6TPgF38ku5S+3Ko8r/cZ7+pBZQeQ3WfbcsZmTrkr SoOIv6EzcmGDDlaV9ciumkRK98IClo+lS+ngTZvUks/XBlrNamfcriYpghLNuR1HIq1YQugQP0bg C5a70qamq5quCtXTilCDRPXyQcEsB2QKtevKShHS5Qksg4Zg6T5pkfnZfPEBxy5rdUH1RGOrgJ2L zDNil0YK2g15smuNgkuJWXAGoMl093HpCG0/9srlw5DGCYlM2I8D1qzew86nPmmJu2uYkOS9EEft rE5Xe1RIqZLhOnSfhMbZuPEyH7lMPCu1ZmHj5yiyecZNMqDV+oT2f7UabXAhJ3PdXAK0jOC3PbLh 2SBnHJnLBxibl1qxDhVfU9MolFIIW3rmuIhYpRLTc2se7RK5CZ0I2/E+RJiAT31HPJwtn4js++LK UJnkmtWmEu2WJ8SMaCvt+zok3MweR5p5XJYsX+U1qdFEA3i8s9jIMiyCzlquTBdNtUJFvSaiCPaE 9KaUnkYTaB0EKAxbJpbf02RxkROcI3zixgOI0292pksq3W61K/8Q7G0IXEF0J5NV7NB9uNoATlWg YAxrgHN5SOChFN9Z36MJtta6WsnlvUMqMSZdmrUzNbQdwF5UjNUaMEUdkG+ngMlN4J34gJe7xfuK I/mECNg57MBOiNV03sj1dZqfdMvSRDERmK4+NnDkjH5imCOw2e8sjBEK5HEjlTlHgAA13mz9EANS cE2z2yJGvRyPqkaaFRlr0z3s5ZImnDrM82iQwTXXT15BGmCtXkvB8T9ei4r2YQziNnOuTT8EVJ0t u82MCVjQnf7BguOgW38/kYFytnvanGcNHngvEPN239KGpggydD+sRT+nj5Wvto9cpfrP733Ga53Z 1o2ijZNPbrV0F3Oj4Jkq2UAMXmHBfL/JnvSiltJeJtsioZi0tko3Sq53CV1MqDgAq2uTZ0kUT8Hh PWyEdvJDGGD/OE4AKB1ivAy+7Off7VwJixPpqKtwp8EpOZKlejTeYklo9wot2AcEScoFAJPHjX8Z cAo5HSHW37X6M/T/bE/enJgDeMWc1LzRs2HzjIoGwc1yn4zfGvj1kiuTiUCrft34Ad83ThA597ii pqM5+Gw6va5po+hpOUxrHt1FNskb908jbRAb24MeS6pqlpVx8BCIL5zBoJp5vtaiCTtAcCTr+4sU kVVjaw+f9C5upAYvjnWCofd/DYvZh4CeqJrOU4hixLZN4ta+QRO92b1a/3fZqmJa3wRArHGDLZhC uJCp972yErsQ9+Ob+zhQdV7PKS6ZnpPRWmhgrAbj5ISd3eUrzBob7d/HpJ6OdgzZYeiSUqAp9GIe gokYoHc2IWC5swtSZ7b0Df/idp5CpTNjeVzP6HMEzvDoTV8UVpq5NIlZu2lCk0CSihEw/DvTaVtl BVdK/Jx/HKrn8iV9KHfCWimOl0rX3RQrolaSS7h1PZYHTMxKt1djDZdH9O89e66H5HG69aixfMFP j3PdEIm1BXdF3o1HRcdBIHmDelqamiqzJJwxBy8KKQmDfGr9xBE5l12glm7jibxjmW/3Sxa5tHPR iZhafV3F6S5GZNqZRXmLBvvJRpF7DjGViucuNh2ZCfNaUM2CHQf8aFDCjMxIGM85I7CmQtL9Jss8 iRSxYUjW7umHKxkH35X3i0aCtXksmWL/HjIe19Uv/Tk2HG40rLnReDnl0tKk96uJeJhf8to+ZVEe V2vfePUmHpcS0J6I1UjQqgfOpqg4RrYO8x+j96YWHDA2StOkLXLpcuAb6lvC8YvIZI/uAT/Bd+G5 xIEux1K3m4iTecPWFWMhEvDL9aiL2JMIa9NmP/XrNfBhGWRqlUuzmAlxuJZ+Gblhkfk3BDpvMQ9M k2A65Vx44rOVB9Y9hu67QkpyMbsEiLNNwt1sUufmOR7wEI5+joMjPfruhsTJOnUiO+aXktb9T5yI wuJONXiNoKnI3AXAda7qtsyIV6fqwa7N8JnUhBZGlmtQ/bc3nwJ63T0h/g5yGa42+3tL78UAQgpU aA+4Gm9azfDMqs4W8IqTn3p+whkmzYp94K4zBBU5I/4fsAbQL0yqih36WEIOA+JcUBR5g8ya4f21 1955R7v0FHBD+MTWNGxDfnR2ubJCS0uM9Jj98sWb8TzRgBZfmxX8YxRRbMkPmpqyl6+dnHVi/gZa 5dOhtavTsCFvvHXJw/b04Mjppsnkp8dnaFLd2y33e4J76u++xH++6WuEWKdQWVud/6LW3oVkExwo 8A7JbSGS1Ur3DfEnasP/X5Ku7kFeW5D77hAKGJB8HG8vBH5OKHU/Qqh8JRZXaW4uKj2XK6jCcPSE pOL7cqF9K42DyHSkIuGdG9BJPqzdGOB9hoBITk8OFQbi3Q7tOPHC0bsevXV/lNpZovu+kxEpKdT7 oxCvkfWuTF8oWpVWOQ+Jk/0zzhfYVOBjTMKCx9WBauXLOVTmrNGtaOcEl7AvjV5FKTmUPxMAVxTC vqfKyYJKxxYzUwKoTAXfWKxOtBPQK+nsuMmGZM1VOnP/HacuburflieakdrlGpG/49VMvPva7E1X KpUjFZyZlox0nQcnjbRH3dBSHekQYG45YUZOZhxNz7Q4AXFFGJ7MyeQNYhdMB+2EtE/EpqEAhGCn sQm5j+PBBj6YhDc59AUU/G+K80TYBY1UkbIBZht7pzzZu8OtqPnTf8X8rX8l98EzP4YZRC25fu8W 0BXouhS4RgLBLHWtOm1CESiofqUCBwnx2rn2+AaXpzkWYswgkVFZNjskCtmN1djnySdUBuMRMn0o NW643AV6BFKvf+RHUf/spzZe4YAHa/qI2/3xGt9WRcpglLKWjZAH5zbEM1MAUiaogUp9JJONEpiX A74BIaepXCYi5qV3jRAcZvaibj4+KiIQbWuedQcZZSDpu2zbsDwITr6z0ToAuZTzP0q3Bm6sBLWC 3qLTHGFN/P06yNpK+4mFQ568sDlgA7nolPQOoamBQJMYNi4FNHJN3eVE2IU0rzpGNo6+yyUQ2GTg OWpz4acLTOLhTiB5+3RgrXtjqOVHo29kMmRZltKN6wDSIp0nES0QuJH+N/+FI894W6kHWmgr9uqI k3eBeJA4Rz6OrhT+pQNocqhiTSrU518GJu1loOAkFshZDoXcNQQDQeC385Qk6E7T9ZNtwkwCJcPb k5p5JXNmC2omN37ayW2VoMX9kuMEy5OULQvLvPaU0L0Ykqi8rYOPUq0HEwt4eH0vuu7PLfipIdiA 33UQe5iHPBH3PVRpzbb4oBHf8VJGNOdA/+7rDg7MDz+ivMDMQGlI7oNqulxtvAROwXXnRTWeAOtK hdWiQNd0Ca0s7lf3KMxQ6W0ghBMBZd1gNK/mb9sNrtOC3CdjqxojzQoYEBl7Hy/I2QwP6aS95Lqj jexM0ahp7uxjs/IKJtk5Cm1lIjn+uXTwzfRJdmj8o4SMF3BbD0BkteacuXX2c7UouNOtLDF7ctoE QqH0LfnUlsaO+66zq0UTL0i1qaungJU7yhRhnf06+VTKoqweqHOhMRIx+3skChHFrLiLlaxGL1Yi mfJCGG+T/OoN6OZd7BgFlLuu4CZZEPXiN++9KHZNisl3ysdFkeW1tl9GPPrFoSdKfw0YIh9JfFdR Me7FJxLr/TJeBkThqRo0lkAee+sIqhZa1FkmF5+rTrvGNJn0KX0+Om5rc/9DGpyxDgjqlzG8EFEh MOMxHoqUyg/hAJcK30G0WFsALdjCxfUU1yWCXkeLe6Ijxw9ePYBZbQ1MqGhriqMRQ+C3QRR6wvbv dvc4Y1VhcnF7e5PrzlMTZ8HaV77hXzNr2tEXtbB0xUUPAbMMHXwjDoe4ibSZlOdLTp5stWRYPJm/ f3ovT7hAllFZoxpD02MG8xBUo5BXxQnLaWc8Dg1Qg4avAVMT1KO3rUE2/AzQwbvQ0wmzDsLroqPC 5kcp9qaV+Erddqwwey+P/xlX11WUJbpqgH+0WgAREgSUhet6D7IxMAvgSoZ3dP8gPQOycT6GgsZY K+midB9lufkoWJNlck+5I/tpXvUwEkazyERloi+Uo31n4xi2zQa900koPbsK91xEyub2Pu9mc+2v wmLCdgfuJ/RzdP+T1ntEVhNVlv6+NvIj6gnnxuCQ1On+jtQlKpJ4iIsxCoKZ+nSF+qt19FSHLVAB heliymMsyJhmiUy+8LVAvB/F4SxGmMWQWjGOiWfS9w7sGPU7b6IvfFk+nMJGJTPYoGaraGfIjLfr C4GUqIFAEHUkg7lO53ToW9iiNbp32kzYLOYMLXJhowJbycdizWz6ILlIzkv+1p7LglZTz2rzpDbc UnJxlTetSHnpURn4Bena2X6tSl5iZDcyXRxosqi7iFua2H3jXBQ/9SJbKwk9frRK4R9OsMBYO6bt GPPKNjSyoSwkr1WDJd0W8Bu2IoKVOADIHagAMZsZgCelV0Y8Jt/JorbQ8LM8lpKFs22n8J8dNA80 MmVRCqC3N1X7A6hKFusCZDc7odsieiy1zFZrfUPHbZNpxNhMdWDKb+QxqBq2PeIln41Tmxw7sdMy FqgtrNLgh2ttTMSUCrY4J5p2AQovLhlSCz/DZLj5JgXLGE7a6yHGx+ANuIypCFAdrsUZfnwi4fdF NOo0FruBTHkNa2vjnVv3NaWnWrBcSIXwCPYFLKwrxsBZLc1JD5JvrwiXcA8Jy5HqR3jIPhGTT5AF OWlLCOYUu7nLgOgkfpr5D2KvtOS1kawyY3pDPx3nJ5Xr9aEiJmKqNOzN7enzH0GpmXIDO/TTbCcH 6D5y6vBtix2fO7FBFrBQFL91DczQTD/DKvkS8rHFT62nG9PKWSfWdlwVjjPzgx9i9n/zKNzILuqp lOoGBuT4dRZfmOBxjzAJKgnQ2ilYye21lANXPxHSSIV4j0kXlfsSMSvD2yLVnIjuJA2EeX7av1Yf iliPaipONP5AicjIYNn5QsjH0hzkFKL5DsqOZbRQJXDmNJL79oO/3B3QYM4lb25yrLDuh1O2MksZ sOKjf3alxMbmwvEXt7e56jIGPVO5FQ4p0Q+5TRzofW0AAWIbpx4eJ0kasTZGTIEZ/XMD7sczmJOE un45ZihZ7yaw7IM5XEL2Pbmxbc3vq6EKE2Ee7ImTtQwQd0R8jCRIn/gZIbMJPqT1j9P9aIbwJYxq FOLLmoZuNp+Bcc1j48x7PoJyvVp5cjAUnGPz+eUdM5LuijmY2/Ok8Xr2EDetjvvdf/kE7DKQgNpQ 52ocY0f/rI+HVoNrUsDRva1/U693WLK5DxLu0+kvt6yMiXI9DYJYATjgmv1+SAaFG5XC9inGSRCj AMEA4vMWuL0rfpFoTS4H1NP2a24voQ/tw8HjtWZt/arPjt/GVe5xqZktrcFoiWcbdarHf/ZOLoWE 8p4JMVTL/mNyCXlkmmpTqeC34yF9OnQXpxLM/jnz3SXNtfoDiFl5fEZClyxc2wHZwvbRCs56yhKm 1UrgjDoBczJAPHgEqE0FPNTolukc/OwvuZ8Kd4iTsDlloSF8c/SENUbGc1Wtr84K+YfREO4YtVAW 15p3ih1kawIMdCDnCm9HnutfZDpbobx3O9HlU6DzdwNOSMqJv684eIU3+vs+EX7W+3l5+MG1bczp p6DZkuaPix82NPB0mDcB8ORhGhklXtcotb60njitFMI6fCHkMkm1KgKac9gwN1STR7jY8Bd6eLHi Rh5mMEC03GRk42bwMvshMi4pP2kOlgXSmX0fiJHsuimRdjD7BLKMB12W435lDouK4arXZ3ApJT4n vV4iLqgDS3JWa1QmRkoc4muMbN+skOGvfW9DXTvgr6fJstEjYSV76Lpsg4y3IAtcDqZmHCc/Dfa6 VJUhvRNoZbWYSvmSroThZg9bK8DBniLYZdZv2R6o9dTqOyQPM/3TD0XKP+OiFc2G190Y8sZ3erXT o4yJKyI3UXPprRmbD6ydcCdx8+DbRkk2w5tvXjfV+fsUsM2/wMjKuBFTMpKCI2aHw/b87CxqqmlP WzUUBmhHTy/YVnW45qRp2Ci7CcCx7UPcCVQrMd4/qKRHc4uMcaQ0ZKieSeMKIecxxIdwYO0Z7yzL FqwYOviQOocEqUQhcS5sI+KQW9pD2q8jg6d0ZJH6umWhDHhE3nY3NwZ9zDGLcWrbkGm4MW32AKnF LZy9opy+4rajj5fd7gSz2ZgBgLMQjATgeEKtUxdhzwmVC33gkZDfA+jDeaWclieOpnQqXD3y6Rqx LnD2XD9O1Begd1vHg2/nQq7EIg2eJvdnyyhvJdIbCoGAiG6D/AuVIZ/I1PWWm4Q0hQzviuRoBYhk DN/NKGVM24gRM9e4s6jprZt+7yf094Uy8lnCm+AdjrOppncrPGqXe6ofl2NjnafkWNudUZIyVjQM NuX9xtODENhEaD37G/0tiXU/r1YJqdMmdG3GlvDef83vnoQ4iFx5xhhuXKF22w83a5ZoNMh1sCd9 DLTtNdMGKgulHFGxmCwkTQktjzyV44oSqd4DEVps6Wr7FBTrGk/+ReyPLkkoWhpMc2I7FV/T41P8 Vjybg0u3BOSBufYBA7KIoS/W5OV1ky5qexV7bsaRTUncIrdGPdDqxc8fOMJ5A2JuXDIIWlFhnuXV pelkTX8dlMr9BITrv0VCInmLqzXk/xil0nWjyII+kE6ts07OepJ9GWbSs3ehgkYDkphEc0ww5KQC y8GX2mbPuT5HiDieyF1LHyGoy9nn5z3JbeQsEWF2h9nq2ZEkLXJRCdLgDPStrRk7Q0G8eiKsE/Nr lYUWDzLrXnfq4kzjWMzIDFWRmT3t+AaH1bIM3uwbPM7lS2jY8mo1jjHrYcOC2jaCG9I7yqs9YMLZ ohaoKH/12DUVdMQy6jvM0xBp+JtMByPHH1bjGeD7S9M+NbPfmTF+a+AMGbryuV4/JDkEJ4IY8fLm rGHAJv5l3Pp3c1DDkc3Zz/yytsgp1qAjLrn8V6JA8N/cjQQwK/laOoMmbQcPMPANSh3K7CCf7Xws 9PvGednFfSCnZ6a3KrvqK8OcpNmzJSuHRChNhowo53VApodlzC2/uxm33jrUp37ccGBNlssVHNip w5clVK08BnP2FLzRUuGrsASkUs6DgL4STa3+NwkvSpr2AmbyClw8xlM8IaCxjbimPXna+59JbzcS XF31I2MPKosQd/u3ioVycMxuM7PVzqxciW69FG0sV6jzP1LSSZBZgSuZ7TSrMhvHZ7OpXu/V9cNu bY75Tw78klCIWIMXmQAgb5uQr9Lb+HJc7pgrvIy0bORd4yijroJBooQrn1z6PUL3W3VYw8KzGIDV 5cs1H7VsXEcfOWOj2F/t6naH8RJ784v2etEH7FNBV2h1GjkSShKbIuCIWYTSZ9BVih7zlb337//i FnQQzMZzFfEgIXsIP0FoolEJojwtyFg6lcTDpgl71lSNoZH5YEA0cSdbTYKQSBexz/qOQC6HeCvz 88HQWOwYvJYLBMwMhx5OVtwua7/rsCYQd00Ce8VOcAfGC/0WIreFKyZ8NSgb6jU4JHrtnXbNnUJ+ 9Qa7UTpBvYWd44+muBgZBRrYOPP3KBNzIlPLZHHPhOENJk8Vw1nbwkA7WlN2zRNhwI+oi50sD5Gx tQeSN9/nMOI8UYi9/TQuYyfTFOQ/5y99WoIHZr254c1rVWCXR7E9nqgPUoVGaxkdFuhAUwdR9nRL 31r02DjS3W4vMY4ckLYh6TlI0pSD7eSIqJuKpsiwLYP+5oWuMY6hqT8KVYU0noMs/Zlo/oWdIHqQ W3Mo2bLCkrdFVi91EzfrgR9EGaelvZwA5TMSfAiQMV/W8w8oQ6DqUWHPlR0JpNy7E9OVF3qrLV1+ O1cWcz04hfkB0A89xxSJhK+VIt6gRtMHD7yOY1nY+1dJhvCae2ms9sHZaEs9WT0umD3rnC2dsJmF U5J9pgSbwUNNYoFUw6F5P4TpZuoX4cQPvDZWP7Y6N+x9GZ8GWWWsqseWZdO7sQtHzuz7lXROESzD cs9orXBkAVFCV1X0bxz/jkUNMLyIxFXG9FLyB4Kf+ivUQyGR1fr5dZXvRpR4hy+cBh8TKXJ83+xG JUlqC9/X4s/lIjTowVLe/74EUQrFGP23SVfNaopCMmBxMzRN7eY7uFIWM00qQtOxU0HojMIerhdZ +gicsLSVBqvBQvsHW7sLvWpaFP8HXCKyusl3hdbzF1rV13Yk8wcmhbHOZ4KdY2KZKCC8gSg7KhSt QLc8CbxE7ToHIyYHbGjVoyE7YARhvF92zYVqSA6uYbkvPQJKAHNFXqbzwyD752O8ZYnnbHaHY013 s5/XRapROsXWwHiqOUEdTbAEqck0koyzD8MHDuZtKXf0G4r98A3q5RtXokOW4JjEUlO+bAC1Ft0z ZGZ/2f+nLeK0pjhGN81eULSI+VezLcpsrUg9/wdmQoUMm/H81yhATXJiCi3qgazUy7Dtv8C7YWbh XamZ3lJs2y7vc3+6Fo4OwB2pLnAxhTH9akpiIE8HJmHESxMJEBd9gKghVP7Ydp1AAN8z6ZusKIPd MXANcW7uBSWziKvYnsI12A6B9Vam6jwU64vkhtuDXNnQMWZSeoif6NTh1E7j/p3Z/gqOFOgTYQLu 7ZT/73RaoVeQ2uTNW0SESyhhPM6sesRYxQHT18RnwmVrGsfIQycjE219Y8TUyGqn/Xo1Q64v52mz DWau+HBrPEa7Zxizl3XLO1m7snrtB/IGSr7mLY2qSBHQbtvfjlPelyfu3YCNiPOy0vg1Xz8FPuD0 OJJG/fa8UjqPcGm8dKR/Kp9+MmSLA8haUB0+cgfxnjpOHXlAVr4RYIAIZKGcAUElgqkZXiKkd3vQ HyiXgqkDFRivVl/83IVU5LKHNXtC94qq9ajmCpSAnoAiRomk+Vz2dnM8lfiOmYQa3Me+QzH3RkGy N663WhvOezMdgt9s11iuRhVyiDbLbuiHz8lD1MMQQTh9dt7ocPn6Udm9OboMxJiMIbZjvCzlxwF1 FzKq5S/rjxJt6MORaPCgPANoFenw97Pl85NJ8yR9l1+/HfY24bN/rwEIOA94vKqrk7VzbblZ9vK/ 9Vw3VMMiAd9xY4FuRYOgZ8YZ2l6lVs6HZEGMkl4ugvqz5hvy1VqhcnxTAf7jEG6pN6r2RbIJ5cbV FezZmkoQKY8LJdOnmwX4bjPJusN/5PaXdC710vzGTh0puUdZ+veVwQH9zrRJCFCs0iJKbV0HU3oC pcmfCOrDeTvmfSDH4UqAddETS9Ctwua9iOAnD4i/JD+XwEeWAyN0bCkpXdazZVEYHocFPqo2LhLu AoxXG/Ja0TUQud99U1fcA/ZKOK6g+h+KMgJAUCFbRMruvrmo0r/66Z+HupMdMibzw+i/+5x+GQun qKD03VPmZp//T4UaBTDOx7Df3+fMYMlUDXeQ5T9NT6fQmj21dEZD3lHO0muhiQA5M/Qzzhdp5OeC mKE7mpva0PpnaGHg1gcGsY+NqzG23AvNlh3CTQ89Hp1iQWOytUbja9w4QYprpdVEMbJ9pAysTKZN FId1idtSf5guEfNceTZxOQPsJEr/wj5uLjueeZqhqJo5Iqvbooxjw4BWe3/20+ly4JfIZ2h4TKDn rtGxrPHPsxJylYrw00AEiZ+c3GVKOSTCuxVpxiMg9KXsj614fVW4/hZtBI7SO3fApu7TBonFv3dp c+L6sHTRjhjfQd5Gf9gEoudbE5MU4rzjLQBcwJdrOu7R2Oi6QQWhlUm0Qwe2iwme/xP6MRTuF1HA jB7ujkU7qa9Tbs3/SpgPKk6xg8qh44r/7lhvX/k/eThjvR9C8Xj8A3x3LRTeyoB6nx+KmNjMNJAv uPuP8AbzkXTEdKOPbRoLA6Te4w3MX6lhNlpj202/FstpiMiauaEnfNr9nz3macDxht+0ffrZM9xh accoD7VRHTNt4OLdYHRUfHKQWFmT7wG61CsOjaE/llJqeUifuRSANw/qU7aTilQISyCNwgf+yeWR WxXrd9u0lK17aoYL/Z3fRF8wD3hhyGhICeGT77bVSpyxf4AS2FAa4NXoUtXzaX8fpvxQT8ISrOuz my9BCJaTlRoxzJfRUnckW8oxtxmSCMFnL2gvfA3irjSR1UbM8X93x9yMEDXg8HU1pb0Bu1P9ICrD l9lNFLgc86lk1pR3/VcFqqfiGL/oG997hiR5H1zso8Ca1uh7MGIurUf7JFcgBwD2L2BdKuJVvCT3 FTZyghziuE4J8/E/GPvCGe2aCsW3scXuBOXdbOA0ueKt3rhBKPOln1cbtH9hZBd+eZC36BitW41E Gznat6FQrPE62W0JCpWYl1M0Yvvhv43+1eELYEpGfo6OqPgcZBImEisaTGKW33IsU2uzKjzayN5z ytuiXNteSTENUr0wqW+oJqHjYaKYmecQ4dnfaeOSwPXBr8p0//DWJ6VOFyfotuiqV85DZUUjyi76 3yIcIJfV4SuUVHB39mMqfBmJQJ+a9B25KqHhO2DtCswvErBYUUy3BSYmM71W+tRCwv04n1vP0vTM ggpvKXo3onXjWUDDLn1P2vZnqihZweqNILsryQ9xFwDwJLmNhHRv+4vIttzRmPXdZ0J0Josdrwaf J+8qPcBqco6I7EZ45qLBxzc7vZDY2TDXgMTsjLnQReBoivu4PsMdjxofOpx3V0hUTy7CWchuYYyW HaZEGUdrhtqLb6uoIf0pbZeqqrq1Nu/Z1K2J+pAiEslj1+QvE0Gz2LSMwnJfoSYe+sWj64kkCWN4 9g6gw3Zg1/OxS19k22VdJITyy3gHsFgbSDOlEwtbWcxCCLcotzbL/C6d9+d2sb1DR+eyMHUpuIvH Fk25SxHvT5cO0q5jQWPAhqt5U1s5s9stG+1q7LSXANZmu4Ur6nPdi6sJ/unMADDulwhDh5Tmei1p j3mz81lH7AL5gaam5frHuYuTuk9bb49+v5c4Dpi71kRMZGsXwT36LxW4QaKTxYVaEr+Txwk4ZRTt Dojm+SAV8xAuSrZ4QZrd8vPYQg6N1UWy4laOdL9sH+06878g3ZLV0iUT8Myd8ieKAtjOqablLRpO u9Px0Wuk/lT1mMDL3BRAYm+3HDMA1gSIcOzx3/rW77hmoIy0JTy3Fj6Vo+iYHYWnaWMpuTx5+Aih 0FXodTa0q1qqM+DXD1vdteBSqNIUYXy66Xjk/OABA1SLp4/Zq9OliL1DVpb5MmQv1N9VuO7MYa+p ZOMWqud7111uSq7UTQCNesJbwgi/AU0lEl9p/9dXxgZMj1JPg/7sEpb6gswP2nRN9M0MBBnrRgCx 2Hh1lleTQSW8VY+9Bdr6yEJ6gWUOSS8ivC228g6WEYW2naofKYoFwzNz23t8Y0iZX8nn5zs7qedY JZAQMKAMfa/hZTaTP4Ueh/rostX+nLDLJpYgg5U87A2dPlbVBtnbXJPqseFRoseq0rMYfW6UVF9F j2QqMLZq6IQ/NfZ1iQVBMIXQeRGTfKdyuUC6os4H26e3uPuv42Hu+4Mp7wBnajKbNNZBlIHeevw3 id5Hh0Qi6jrmhNA1cym9piLjQ1FqBOVTlegWkMRH+/PpvBWty5Ouv3k7EyZ3H9niF0gbL/TwDOkX gXqunr+0s++A+aoRwVhl8X6Yu/RowkVnovCMNSRj9vPWMe7txU//rPcV4MwR6BBPxHeZBwhu6att mCJqY/CGc3+8ZmjDeoEvTa9RoHhj3xbvl5hz/gF430MS3PhFeH5IVQIyHxx2oOvbbsBS9+8EThQI eP6ft8aQk3xojSWt8n7uITOeQgdv6e4zNqnsQpKFjJheQ8Ie7IKAO123T3/EoEZesJ8WF1ezW/pQ 5QB/U2mvHXSVDf4Rmh3E8o6DBKNaMznagrqpjOe33yXXH8YpdlmZqvYStkQlYT1dYfMo8bnHW3MM Qmzbz93BfRWGgVp2YbzMjUarymaur1aspmxXdZFcBEpX8L3Z5ktuhcDLvwU2Fn8+it9GDgNplpbe xr1tC2xj4Ghaoi5jpJY9WZlLrg0ovIF2r+/qTYiN9CTCc3iPreSV+6RJrJ6KI0kStZWkUOsFHWub ALRicFTRm6nl0eLwdj/SudhEZg1RHUXdYE/MaTJhfuX6pzFilXZMgCNVqNK55p3wp5RDG/TViT/B HD+6qOXuzEgEqj4AbETkhijtqTCC+uNeN0jnma0cV8Fevv1oB4+7u0jbVns99IUGDLmcXMO6C174 iquTB73KisEfcYLJA52glLZhTyhVoaFScd60Gc4SK/wT4sAhjXVCZiuD81XiJgFAmJNv/vT413Co 726hZjZJFGAnfFy6y+UZ5tN6by1yD67aGwxtFXtTULuMlWckiy+mHEHbt2CLBPX5lBFd4bH2ac1l vcXQbD3xAcSb3V6hKP1ojheadW7cNiI2tuLbzdz6igmuQXrxpZ1wgvLmwTpkFVFSN+s74wf24L6i tN6lxUyTSOU6AdOBQC1XsGfSIpQt2SjonE9iF8ABtM4eZ7Gvox0GWP0k8GCsAqpeXsMvhqCqyK7H k8pJwgpNUp83ODUoxKA5vvG3S4qHdFqAFQtsOnmHKVp3jSA9P+U6Xa2WH2jcIb1pumKup3CaUUXo Qf/PepFQrIk1IXtk8BwB4osXZ296f9wB7sv13t9WkAokmTFSee9Q6Q2SEORobhQMgR/THZoDqOkp m5ogIpeCvwp+EGxzqtwbSkLbNHoQ2pzH3kX9I/kBq/ksRFQ+t0DVfEJ6wqpgiCM2m2gkR8SxXtya 60QT0e+mHXjs9AFAkw9epgGfUHPLC+yK7LjCMPbbVs+sivDfEqjFTR4FmRZ+Da4lwvCDmy/+fTt6 k00Z0LrkvKRNreSI4L85oNxAbsr7ZVSoQJ5l+4Pe+2KOI3Mcr/nl6E6Vbn1+XMfMaNIWSLT3Ta6H VhQS++FT+J1qcUh9u7xNukWITNKEoybf/1dEdV+Bzw+aaaXnYN7JBWEimrhGWcvUP40SEQxfGXeS AqThm2su/xq1TSWiZWlilPU2wr6PsMOwubvaFL+3x9hbHt/n3ZNgyPBGA6ukYRrheKG/3WiaaF26 WUX7Ojq/dvrbPcgCOzej1iCvfbAX3fqivUBPsbSgSEbTi3p5Pjov5WQADE2ce4ygePgVmprem7wX 3h9DgxGeDlh51oBRTw+H31HKIxWsQm/ru9LPLs5al66c1hDbLJgq2Pfz4ZkZi3aWMZ44IsMjzi/K nvUdeSRNvs/pQ6oe3miCObjCkYsYgEsgPy9lSOg/AvMn/pVWEr9/W7MOaHYZ+/b8QrjvN1wOmYa8 g1SqV6V4iAHWqggTLWMLPRU/zXApOfNAiZwI9zLsoYb66sTAVLIED8Wo86JSAKygbOSHZDlOxl6e TANGcylMjYyKiMsk0hdbtWop+aftUiUPfoxBs+O9i7whySXfxtG15ce7A/B/ZuSQTNxriIVt/AnD p6DqUl4SDf1j5laY/FJlUkfwZ77cGp3WVi1OZEtINTmjLvxJp09+skpQ8wDGVwCBYy0ZU3m8Yd3X 7/g/r53wzUA2poHkVFajEf6/S1hmzkFQkBoMbpz8qm7IumjrjT3VPDk7VkL6NtdqvyJxgYxGlbHP 4r/W7Ao9GHJm0x9/vbiWtP/Z+iGepZ9Ntz/3SltByRnY5pVnbSGLOyC9v9IJZyKVVNIZl2nbM1WZ IMcCijWo5h5Gds/g7LZPZUt2r2wPV2cPHP047aOK33NY44WHI+VKxVHu4cUYVl7ptK5ly5IBEa92 FNMGMmq35HPCctc+V0A3hltw2rJbruAtiThyY+zPFoCU90AiNFVYZEUuYHzohWC+IcGZSU1OD5SX CH2W3sGXPDdXAPhrEOklpJ4AlmEaDKdS7RtYXrU+0n9FT4h7L52gZnbVFvHaQpbrBrpDdxqBJ+5z wN1l53r6qRz2Metv2CdXV7YjHsNVGFdTvIlex54NneQjaGevFgVUId0UUt9IM6ZfIad5yjmI1JMO EPhfDE7ajqeCL/BU2ZMRqsl2RLYnUoWrqIrUnE09r8XvKQVAGs1464HXI8wOUbBMgKvyu0GRCfRE fNhxvobOShDkDsgZkw/YftFYpFaJWBKHti07WyBdq5x+FD9vomT8lhgEFQi8byv9ReMbURsAg3Bo I9VDggh1yzosY/Iql8Fnow2HtqosrjEbHlPU8JAg5sifqJP+ohjyxRaN1q//sfMtiGTg7LIK92fI PCocRXElexH56VY5dNGJwszUOWzfksrnjSnIGcVeW6+QeXKz78Dn9W2h2lDhdXniDb2+qqLf2ebD Adi9EKvQdkoRtXXPQiOZOXgUYvwoyDW8mQhoRqOard06/VOHo2kjeE+9AQ5KK1WMYs60cipmfKG8 EML870N00VRn44nmNHk30h5ya3rr9MrQTNO+YRqEULV3lDyKNg4U1/BW0xMo0YZZdGTcH97rP1aY F0HUKjzZL3w2WK0zcJOoQugF6RZt/uRMuLOitYreL3hd9WG7PU6Q7W8JdKdf5YyQUL6/TkY7MMHk ZZm+EhDJMr25pvrmsszg3HA3oiqgJOXdtM6JOG8g9eQD3V5H3cW6YQbeGmZaVyLf80REEm3wda5s ZuXUDV8KebYCo6/UbmPOQea8478ttgIT0mq0TBVbEGvryaJzn7SoNgTeFAvZ8f5qxVzjGYpb3Zli whMncmg9gUmi/zAqlfSktbkwviVeaC5nREIF03mwROjT1dwRV/yQZi4YRJaPRJBhfwSORI1EfMVX 7y7vzHkTE2Qh0NBxMByAlp5FYwu7UY6qs9XKuhmbRauCVV8PbGwZYoYbbNSpO0sGzo0WSmYw933A aHz03Q4aLg7ZAdMFnRXMnrO1sF31RHkKn0n8eu28GdUsr3tlGNhSPlMhnDHB6A9Ek5OGgRn0jPSd O3QzhZNvME5sC7Ccs8jyGTgLFtlyVmovOM7YapEn7kdUhrz3JeeS2M7vBKwRNU2c4mztUU0eBbmu 8J0EeXq3Euh4Cd+ev2NRfYw3dV0+S/aMVxTIyPNqxYiFfPTclHtdvWzgpySOHzSUBwjDM6a0sOLl L7hk0Awqf0N4r4UdqsZQKMcBFhnMAftiND+XATetMLofC2Yy+9M3SojtydFMBlgLWK8hV3rYRIvh +us3AODLumI31Pna/C9mdaoIUAJEzNF+f7IHX+r5mjpoE0dEpswyG/jYf7CLZHBBiWDSICfldkFJ vlK6blvw1HdmeFZPy50yecVzEh3bt2UOQ3ccXNpwLXgOxpVn9DDMXwFqcTe1VyVPRsoc29gEenBQ JWjF283hoKus7XcQ2hQB4cKThTvsOpoli3XauXTOsqymr1sQ+kZgONyb+mwLDJJ5FZv/3H0874mA bf/3aETs8dZeQGOGoCPpMg5JmCFmlvyJfDfHfVIzVlWDzWtUswGvzQx5nXF8JVTFoBo0TPgyjgkW qUboECVFCOwFlWH+Ry8KYmZX4FzSjdrSYM+OPF8SRD6hKgOOXZ9meU86WNdEcl4cR9jqiwJlc0/I EubRmfjiLTbWURMAEgdrtMaj0MsNlPzjdVhVVHpzTHD2/3D51YzmiOovjtk6HTSy2WgCxgz31xfV 9v4KkHgtMuPAEJpX6SUrrA9ORcPBA1KtdJHOZ/jm6s+UtQ8Cs6557J/tyuD7kFzgI7htF6Aht/2m GI5MjEe+Mu3ir7/qvDk0z+eCFZAxmqXjQ8EQHFw0cnERXkuS2o3DYxXkkna/OjjIIwvs0h4DWsxP MDol8OTxEBaqYefyUHtWlO62eyiVwhkcGWvfscmlFECqYGccsIAHLxvdCiragFF0gQ96h2zd7ZFp Dcn80tK4YMnBHPp/xLjvtZh6dA7NI1y2LhUu3oR0HJL6AvZXnaovr1herr/fZZVppME+IXlbot2X rm41epk5QWWORIt/JWD3DP/7AHb2h3zZlyb4yLlvASwPlQpPCJuvJcjp/lPtQWc04Yl1+XwGsV7+ CGZA0g/3kaWRbeUywtJcoFoz7W2qhjJBeb/DNHyxGcAB9RvzOKOxiZzKua/OMVwhqnvUJf08axAB vQb2PlVQSAs+Ekev3V0GevYbRUUlcQ9j65+U/Vip/zjP7ZCsfSpCWiOC6RJNO2KtMBzw1ehWXb8B YPJKP3njSWiqbEM0Leb3ghH/XgudYgNzDXWYAoTLN7vf9sp7rEstde9w2qn6KwlWJQDMswHn+lEt Vonn8kDXWfjdCRS17P36AteJqPpgAanFP+DP1++McvcRfUiro2xxFsNPypeGq5UGUcTvL81Unzrw W5pU+SSITcCtpkouLimAzyITEKKBZ/WPbJRvuvkSElSrOCJ4Ey9TsqAJ/TaAg6UFuieMYO/58zaM 6VbDnIa0P7qb3cSSyMD1zBbkZ5Q+F/kFxiMJcVaEU77OIE7gKtLdej1uKWU6XpD/Zo41ewAbUTdR vvPhILDILCysB//Bx7NZCW7NQkoYBXGW0uJOG/oB+RlakB0zg6gc33ZWw/ztejgD2v1aJI8Oosqw qnz7umWi27hcn+gKiMOs3SwLomt/AWO8knIkqQDroUVTjae+oLq3eiZNaMySt1L8LUh3ac3ithIR lv+qpNjjW6/fLumnDRbP+zvp0J/48eTQPJLa9U7ClbHYmULfgNHM73437s5e9ih+PBYn+o+IbONC j69haYr0uzIF3RiLcafiwPxeqzCCrdfVj1l7Hsx4RmOQLq+9aHDxSMjd9yryOKW0rrbW/V93ubyH +PCkmu+Kz2hYIuh1VmChuOJbOQ86/T8qHkQGQsB9vHrZPtgmvcLP+EydPGKZ/SXYZ+jXP0vJF4Ol Lr2iPnlN4IAZRcWNtUCUT9QQmuBeo8bzbofGx8rBUXtIQNJ7QaQLxm1H7+dVlM634vk/9uKeivQY Ep90JrU+2erRZVAQ+Rl/iFgxMGB6pFJcST24xeL9XosfaWjhn9LWaANaWjVlnrDJqBcQW7vjlhpz uXxHpB4WxJYEKYjBbLe8XoPe/wmAeQbbSP3jejhbc4uf4jHYQfj4KfI8kTSw/8lP464JMsKX4w0Z Gl8UNhDWnsHK3MycdDz0dKi+qKbhTpt+thXyogPUpo68MnOVAsqeDLHMpzc9d+o92XcETj20nRoX QAUGvfTkueFTp/MtZXVk8G4UTWTGmpaoCXIonI+6XL5ncnOKZV7RjWZapeVZkoBAesXR819COWGW Pc564nrmkPNA/h9rqh0g/a8DalNnNn0OecSZx+DEAMh26a5oMDHCJAlwGcvutcpykJUc3XeEoYPj AbbfHe9tVm+ive+UPqNAG4ZQxgb8uHYChABCZGTzJgQGmO6cdiqTp8pcJc7PryXWTrRMd9qtmHjf hVPZg2c/muZ9XI0Y8CkuAzVRLSciealWq77Q2TWCTceyoy19iqT7S3CifbuHsInPwL8pYU0XP6Ju TynOq/scgw/PuszIiFw3X2pBywBi8lL9BrQNe8WoLKwg5e9LQcOeobtbZyCmCcz4AL+ipsqbJoCZ hAWfyE0g+oy7sRSwN8Q4nwAI5LHmnYcLQRa6JzQjaDSpVZHOS10uslMwy63cgFVhADb/rKEH+yDm m0Mc2PUz5bHYoM/Kn+lmRATR4pkzEn+JR8rokjHmBKt/q9LT+Nx8gGYdgtaSCZACG8zFwTzNAKZj TWc3E9urHQ5odiN129lqJ3Y4RMArAKkQHJLdNL5/ctMrPEr/sZAfEFXnvS8BNdT0WnfGmS24Gko0 16DclIlwu+zxu8rcpIse9Ao667Knu7gYIbGS147AptKUjYqG+nhz4UB+Kv2Y3xcYLi1joAqCg+t+ BC1w11LCASq8WdFmVcd1WsNpLv21KjJV/EZcItIZVI5Z0XjeO0XMqpi6SiZdKIG/V86Cx/s8mguZ e8W6VCXliCp5p/eysj+8JCfd6SYmrrH5A/sEYurhBsvs//tMp2BxrgWCekkbxncJBqsqq0rEyvSf a/a4eJR+qggViFPJYJ3G1pkb6pl8CQDkhoDI7j84cgZvJEr00g2fhBY4ojlm+ubrGaRfM2to+JsU xjbEMIQczCQLtOQy3T6/RpW2vrcvL4qxi8zR3HdUOMHuHQrzS7QpDiMZfCvNfqkJo65Z7oGWnLKg 127XJpL1EzsH+ijxgihChO2nCwtFoDk/enahZVmoY0QorXXVxC/L4Ote8+inqGM8nfyiKHWurlfm ShvhSdUMhQ5sqUKJwl7ZfLzSKaC3P7x0OwOoxe3rJ+9HtKIuf48lGb0eel4miEdMO9mwtNjQB3iH 0XyomLjsv9rdptwWswOUvrbSsTmHJA25AlgS14g5afPXULLP32fNJWt1zvpOfWjkifzgIb08i1h6 KD8aRrwL7L1jBrqDwtmU9lrHmzED/WOUGQb4Rw1NNGb5QGvci6HDvaS1ueTmX1ouaZoo7zF4M2eo RxS79srt4VRmaRVVwiaBW64nt8gMlBCx2QNW7BqIbDSAqA4jHJWUNnqPHRgno+H5ZTDN2t08MSF4 gM+pJ/27koGdLTaVblCSU7rRaD+n2gPnkovwJP5OW2lH5+2bcYkL7x4HDj3gdGq3ilJqGTE7TfQZ 5tuOb8iyvfu4RcXRHf/hHXcCuGZz7lObeM2xWn6E82xYbwWOE98sJlRiPrb43ASG056yr/40jkB0 Qewh0A6ZgBh2iL2as/WRoUNUZIHfN53HkecK0xe/sKvl4S9j3j0JhDDLajPGKzoCgWEuVCD54SrU wqyWqIszZ0Qr4rC2xwYneoEsw8T5VIcenlhCOjF9d1//4+7mP8XXvhLETtDdamLt04EibtAnlJ8O kC3hr45wASfhjgbGDVokh1vOydlsAZLh4MfosZaqnn/Jso4fHwb0zKqMJadaLrjLAxXRERiYEICt pjM1srv9Pn9+4qUOIpnNlVKc6HSMPUqzkP4ElpSDahKGzioJ5hxlZ4kJ/LdhKmz53fvhn+ai1e88 4PBd9chMJc4JNCgCa1Nx6zCWu/SdSVxwYJi6VTImGrP3JdmijIsy0hpDkJ1okNp0zvLwxHcP3r8V rPBwnWnx4d7J86BA/KvFxNb8P1L6nvKE1wcDtOCYpmqls25+vvNXCz47SeVcLB+BTxmWrLitLb1E vlD47ud1MbpUByjMoY5MuCtbws5Drc7PwI6TJV4KxMzTwn8KbNu2zUmGrmvaduRzz0zx2UkdSoyZ edzlvJ/K3eQ6eqghBT5NhWgjCqfsDO2M5AH2XuXD/re02VfHc6Uqvslyo7VF32jPbIPTzgdd/Ncw zvtfQelO0Qmd5+Rwj4TzjpAcBLfbDI2J2SAywQlBGV5SqzjsgLHunVp2De0fKpumnAOlTZfjyplv BKH+W5/RlGlel5EeAHnk5Uz4K72+fVyDTm0F7ow7iSVwnfozILauThM+LEKKcpN0/Ctxr5jwrUs2 1JQZd2xtArSO72p81ix99JolcP8ICPkHtIa1YkYjL7cC11bcsFfU4vxTAc3f/gHqJyR83T2Id9SI /9Jo86iojdLwnnpYI60piRtYdX0UdOrKhNImfebLooqT/y8IGfZ1jbKrVbYsZcAlr7CZBUMnZbRj 6lRaHljW2ybqderJ/vSQwiATgpIpGDj7bcLoveUbzkgogiRg7OV+zABSllkQRoxLAI04+JJjEy6Z zzRgO+ltnVIzSpnE6YL8P2HogX+BaF15dyeQafEIN2TnaVljcBrGghpdUgDNWCbvV5COZCQxVKqE lKosPxkM1R2FY+xDeImhKaUFqYd34TSBUuNz4s0j/lmVVnAHlysjoXdfQWqWW2AoQE9G1Nh8+W8z +chIAiVTbFno/f5FwomWWDsC4ma4IXb+o39wr4LiPWWmUI0AttSUnKKV7tdLncHQnBDSYPJMvdCd dhiKiQjZqGQR6FiXgmLNSOKlOzGRhO2omUcC0TzFmUvmWsD5ZJi9NAhBqWKDntqifP9euaMr3g8F wsTwkO6Q3b+PHFg8BkgHcYfU2nPjcUTqBP5hH1pKdpfibXYn0plzpEBeAQehUiVqOXr114J6Zkl1 uMmSMNP8IrKbJpcnXsltVOUubmWqn3IYjcrYlYCCIu5E8Lk9yypIjASK8RDM9c5LgBWJ+eqKCrkG QfXkrkMIf3b8G6TESr2OFod0x+rPjffZt1hN5Pv5kw330Hyq5Oz7v6ZyqtwGJ7LG+/JMV7EJwr1y y2Zq1uecauQ4HkJS3xmKu+SJb6jhBB1aPfoWE0+pYLsRUuxPyk8EUzipMNP6PRoXUjndmpWcifni fCimvfLWZAPxoZLUU+l71brUVE3eBBHWlW3/hL4oDwJeuipORBejTuO+cbE+/TujqGdwgv28blTz 0SsEQHj2NhvCrLoijGBIauVZPrGvksafPEUaXoHoB4BUUY96KlZShDQaS+bgooJ3C9KwFuA+FO4r uMh8H093MEft+Cg/WNSJq5qs8EhumNSwFLvVNEtJAudwpgTHZtpSGu7ZQAXQW1jsShfBnX93kC3g 94JdqcKqg/Mj/CHVTtVGDtcWzouAUNlaeJNodjV2y4RHKf5840+x0Bl42q0fd9QHHN5vhBH+/cVK waCtci9tNH6ZLMCH8bn5VAUN+pn1r5vIQedcUzSPcQW5rwVHq+HrWKHssnwOqUj4m+65c4t5DxpA TTpzZ5/ceh/mkFbYFYue7uyBMtJhPikcSzmGGR43O3pO8CyU1+KVDtiXf4eB22whbDeI6HOyle4j cZg7uCPiYhvmXEQU21d39owIryEQiuD2VIuJmMRaGWaGQegbizDC1SeByfx35OvrrpGxyF9kQw/z FgDoZOyeXCt0FKphLie7ABU42wYyy4Z/7p5MY+WcsYNeNE4nj/lOqIM06FvDD1Br9FO3BOdoTYJs 9WSWhr17kczSOkxt89tTohdEHImsf7QJpTy1dyPVPBKZUYu5UyNqLmrllDuGclalTg8LuUOUMdKz EyeF6rOOcXvoG3Sn3eyWVJvSlQJ7i4pBlz575vqJVdXzTqKfdaX+sAsYejWT4xIk4QYKaJDN8EHx r5piI14G5gmyjsZotRStwYrbWbRoAhBZppUxPz0ttiwnOtBOyXADGcTDXeQgn8jwzGdCOXjtRZkD ABAAcPvoOtfmZLpox5CBTDeSYOl8LXdCxndCDKAGj1zrQIEKZe/Tby6aR+rnJyNzUa90tNzrCBWx xSMl2/oS2OXE+LH8IkwlhsmH5XYH4s9lOG+rC1JjNTOm+/5iQpaSSeh1mY65LZ+H6TvvLiYLBwaX G7dhi5KTDD0OyFc/PczLzqHLsRggK/L8KWOaYfa16nEhOznY2Z6sVboRszMlvwA8vjGBnMGnj9BB Kx7FIfpNoEuKhaKKHB/PSVUhxPcDtb7rrkgTzSXhjZrJFBRlZdUP6m2sCIvI57muo/mSYzdEbeWH Qu+u3ZNEJ+XE6G1JQkBg40AQa8KMQGb69kRcW/SyuqV9ocnDkY8qRWWJYtl+iP79yRusECKEtCdS pJH+eJJjAM5Gu0PlPKlYriysPy1/RKtlylq1ynC3sBbRbktXwYEVhnrk/WlN2DfuyN+IQcDK+lG0 1/QDWcuILU3V5B/sbYE4N2viNI9G9ORaY/Ac8rr7dq+QccKnRZAKSJYDC2osuPfb7qT7EmOPzH0f LwHIJOQyDv57al6JE0/0z7GHpEMO4TFyHa3IPLuVCGfXH5Q08GUAYTFYO77eLCzOhBpC0Y25wX+T 1qKTEn8vNd8D24pqQ6llWPRWsmRN+FXc1z/gx5gxSL4hSDbacd5HPnf9ygXXZMr2zlBuoKDSYIvW VpMPWNaONxrfx3Muv3wkLeDHTbheTz5u9EcH9qKDvlBBFUphibt62onl4KdidIGqXQQM19VUdatw SW3QS5fdvflKx6B+AvQRmqHvdkM//8MzFPq4DXS6nAhOAFEe8ERMbGEyUHS8faogxEATrQ1LRTnd uAzgciy2AaEn0xseEk5uQqjYGDLC75drQx1PSteexwBiWxtgVfQS3CWci42anw16RoQtvDkH7BRe O2/TKGa9KMrEHDpcBUYHvbQ1F3NaTkWv7gvk8K4A9SiM7grtmx9mKbfyc/deeKTCAB2Anb6AndFj kz3MuwVZftNDMjjemyC4fryQ8y71Do4f/sSeXKcyKLRL5ml0W6PWfctvJCMP2kjPuQZMHv1OZhNR 9R+u3TlfOtaki6EFGjQTjR3N/KtC4Oy8jAza/ZRHVjM2USgGjukF0qVE2bKicUdX7ej7S2TyARV4 obqErIglUpx0ZiYahYmtpOXboJYCQY4dFbsGzo0qmYVVYQyqGy64VRueT02gQ6CQik3EGfpxTfBl 6pRmdY369lvo2fVM6n7cJyR4cBRGwF73BGWDG5+EaiXeUeZQGrZlVQx5Q0nY2pafuU9TVw1fVO7E BnN30S7ADBjq29OWjp5ZFTbDoHLnD0U2e/QLKOcbELAiIHQnLqeGdRiJInh8voChG8MATtiDnWau yE4PnUD86OHtc5wGgWQVPJSIxkhB8mb513B1FDFFuP9GGeQHMkv9DyGZ2EiHRPKxU/P6RbsmG0K0 sCQbQbZtDmVM4hLaUqguU52Ezulobvf+gi5eygLwdQSRhRF60Qn0KhyPzXMzwQOqQCmaFA3X1/rA gC75IGM0WOR2j+gxaS1tko7Xj/bm9QHlXlqdOrcv8ZuRumaGHM8um4aAZqQV5oQBHnpTd0Bm+fk2 GJHp4Q96IPjAkQuMy2VYMzEbU37c/GU2W25kQAnzNcXdd9atYEQjBzpTBDdEaUApLKDHKjBrJ4jC VfA+MwjQejfV01u+XpmjmofV8CRSCKKQCHmw3bm38IzsZBZArw2ljqrS5heDlj+4DBnIM70200NQ jV/VJZsFLwpShmF6jxMDWN8JeqmNfVaAsTOf7H0WqXFTK7VTczvrDbXyRUWcj6mcpIUhbJpbjTZO cqZSY4BFdsKoqc6oTT7oziNsh9TLqCRADXUgZ7Ikk4D1lAjT/54kC+TxzoPzDYRWV2gmCAFSDCYm /Iv8RswqiVcV2C+RQ2RSn3kENe14EYcp8uRB4eGqkvHOY5Pbbt5n2FHZoEGmKqvBhlsSe/k0y8Is JU0EarOgT2ebaI0wEmoJP+8rG01LIg1sPxL3xJtG4w/n0YJ2gUMtYOAM9reEsJrHEoTiE2xtlD9f hls46mY+cMcvNRKE7uYUkSu5Y4t3iToXkAXqKX2U1HlJpgYr01VxalEZvY+8BwM5la7JQLfH/5Ma gMjmLc1IvA2msZCkBc8QuAFHQBKmpYvi5gyIV2r1OgEGb9SDgB0A0tjmVLN2LDGN/Uxp6qNPsD2U N5xc3dkqVCQYvDr02ztkQO0UQE45mNB3unWQWTwoZUtBa4LpUAupi9+qOl6xy9J6ff8nYJatSGAw 7jf5Sr8CxjY+2MQfDO6CdZXCjiV287Kys5s6VCjEsfgRZSwrpH2c3vCh5Pla3wvWV4MdIe1DeKYr UKg8MRQh3csdpB5MA+YwXMyJQlH8583tPhGvj+HOffgPOCtlt7Cv3kpGstLFh3HOyd+Nj/NdzNtl IbGt9ys+rU/9nqfJSFDvGFesD1pRLBXGgFyFxmn4FE7qwh+Q/imzyODM1n/I+WHGbOkRwi/TPE67 V2ofyeLXfSB0yAIU6T6nxlFxJ4yYNaENnUkYCgILcW5i4lGYUiogr1t8nOJ31LtLLJ5ZcxI/64Yf U4KtL/CiDqTEuG6gcly9+odNdLbOtM1BpBp8f3t19HmBD1S+gPs1g/OxYWtngkVJXIuiacfQzqSo iD4bQAaY+eWkZgTE+SMf6OPMoMzjWVwwEL6S6DXvWLthotuQYvH+CC24q+wk67z7UhPCJ5ygGoQ2 3IWTQfiZT47pc4rFzwEwXipxQpsNirqI4+VWVO2bxnNDLk2oBTz9pCnHCNiQwK6T8yk76SMHwYCX /HAHjaI4UNBtHrJ6ucZPKjbQ5WE26MwOGy50xxwhPWEabxQ4b+S2zvSmy/q7h7XG8iSbnWHAr1Bt qaXMv14QtxWo/FEHwXAjEgvu14KYWa5tarNPZ8LVvZCWeF2R0taEkaNyIXAhACloup2Pl3h3dLZU D+dv17U+gxoqK8pNibVy9xm/cwgr3cAYQo35fGXTTYbk8WFHcQPWXUDLN9XxCJYVB35knzCmeYKW pq87XomNW5g5o8L0CL369ZoqDVM4zoxHEcDKxiSd13xqR53moqEK84bMENutppOqaIbgGQiNkhwC ZXaS6eXOxZVr5CrY3ELyNRd077AGAKp3cLMn5+X3nWEgZSEqIvNUuP4IifscrinAcmtxTnd0SLWM tvHcQnJUO5SHrEmIhHCPKy9Wk4tajl7PBvj5/rE0Qh4fOr+YUoAu+cYRc7Xik401t7ldkWgozVNa JxE5E1f332Ai0uFODH7b6at9DZTAW6VhAFIYgS7A8Mi4JwGngIrDmgudIlmyJHMjP9I5As46oVF9 /fLazOlLb+AMEWeCOe3Rkjxf5A783Owttd86QYzJPAHks+d+c/MCqSitxGPcFSNtr1TjQbrsMOy/ Fn3t2Sa2VOswHhD2bhFPxrB04Mq/OAIFBKw+oV6kOmZ99hW6GPbO5atnvRm0hbSAbYC3BaTuJCBw BdbATSKp1xMxPWswweanpubusPCt/YjzDMQjZxGJUUWT1HKAwfD2/SAbTcQsfV6TeLS6yOc2eW8V OFXy0ufGppIRGmQaidN2Gn0OCoMiVLzpfdtsO8qUvnrHXTZY9SxtWA00PkfGZuuxis2IV9cfYg8w idQkOedHrGGPtoBexGUVCx+AC5tloj1usoaLoqL5yy6xRa8MZv+6Gsd7OP8Ta33dYWBxjqTntHZN UnswzNOV7fbeCD/YURshdLvfXJG0elVoYhFBTYG4IbL2PfuBZO9NL8Rz8QEkpvu8Se4aaHT+oCXI 9zaR6MDGXq1szAXZohVKoMD+lKB56MCTGCRW9zcEqntQu+8GqOEFO5HukwuRDUcxZ5jrU/K8PYW/ 3Hyvkq3Ek2IjwCHJnn0LIikY4OFbyzX1mn+8QD9d3DincXfKulDorkmJk++MkwOnPJbkmKsrjfnX svYDseMA2PGvmH2P2ie97mcLRDLKT7xqEQb6AILJP/FJEN84QUhq5OsWC0Kl2pBC4cxYMtMNlwz2 vVr+dsdiUtCdtw38ShpOePiQZSHF3NsYhHxXTCk7pnPQRXO/PRAWOx7AqFrPeLT8DZIENP1FW6Da 05TUcUq4PCp9j/wyI66jlbNflA7qEk2ZThPQsHEY0qjriYEMCDqFb7JSIgZEAQ1CElkqceQ56sd2 F0TrygwbQCM8u8eGIyqMApVde4RBo4McLMk9uk6dkBLoIVDL7PgoyJmQjLHgWE72e8m4TwPEIDMF QEP8yq5HT3Y1/kzpSyhwfxsU/A3CLwa7/FLgh2BMnI2wtiN1CbN0JRGgUCLSocvVG6SxMOe6U+c5 6GdEaXNezTvB07n+hy1VXsFL/hf1DzfG4RyHMw8eegX9umlg5meCJlHhmOfzy5CYOZBNDOjdXspD t1EMhL80AZ3vnTJDuYOWy3y5tLRF7nyRi0mXRSeAKvpNcBoMURxWZRmftw9QVGfFwoQKc9hbIb7j BIUw8Fp/YTFGa3bucNaqsK3ymDLvZiv/2kNmeh6yZf629DUKmTwIuSLPZCCwSv+JwC43WxEMrDgv 6Y9MVe4A0X6nLwuFM7qPKrdmrPepi2ovMIBXpq1DxPeneRJvXsIxn8D6YtQA8Fv3TT6e/Z9RBqDM AD7+jxT8cT3J5pZgwaPtPBDowu2ELEbbru5ZtLIqwxWUwHHCKJ7uU1Ms9FusxLKM48Y3bI++QQYN A9L2t1bvLB3zvSZDPdKCIlsftMPioaNzVy2roOWoAF0mECzlfQ4UN3yZnpN4l/OagqXxPLTqkPHg ddt0/l2dNluSTYnF4x8+nfSqQqQEyFIGC9wDWzXTYKXwTS8JUstofVfbvbEWFlEAA+1eHLQ/hnRR 2uJW7zx7MxbuzWF1PaOSSEAzFQNfclaUl1EhmdLRzPngHAWQwqSdojYMDpmazT1XOt6PLWZRnDEU eEJbtE8C+isJqYJw3GOvT0Qmk3gtwPcpF5YCHzoET54Tqr0A3aX1S1+Kkiw+CHUVlf80SpO2cgJZ 1GOTUYlt4h+lyrYoIucfcQEqqgJwiPZNEIAcBqc8D3yRdLfun1m+vh0du4qGu/ADfpQe+wYHfOgf Rw3x4Cg6cv++ow0jI3y4CBEZeC91d64R6slu+bieE6jCrTKlBgtqjU+lywFPGoVl/j4j+/pdDNnX DtU0WL/OrpAgIplIl7Yd8CYcKid83h2/scY+SIcUAb+2X+pogNJhTPFC0smCKFV71UGmFpl4wjGF KK9PkkJAmLDNdaL7O1BPj4Y76+SSM8BDUII/O2tIlUCqjA7LJwGlFWI2koZrZNnY00Pt1JbaJNLf wwtQ+yD9wtQgIHYrdhZODzO9BtAaVAPB3yR3/mUrob/O4lG16d0Az0gkNfgIo6tnHnHoQ542Eoh6 V0gGvpMDTfF79isf4attWHaMwZJJCndMiDyB7BXxmZSKsrrFUTWp3l0j3DvXHcQGHV+GJRTQFNbA m4/nvalT8wWt+GYd2+mHXJit1k/Wg3xr5fbv7P2ghh2FUJnaCncTG95YlipiMGuAXwbD8PneMejZ juXb/0tqA0KBuBIzZSTgr37dfmrCKqEw2c4KXcnc2C3XeT5Z4vTvlmCfvw1p38ex+8n8OOu9T0y3 /L3ytz4wXjfnIWpTDhhB4IW8qeXcrNOTjJIOIJIyXEsNn/KHpL3DfqCEZ03WJ+Tvu82mFmMsAuOm 4+NcT9To7ZUZb50EvHw0bOGa2hu0uGvR+ge/9GtUnEbpu1yQldYyVUBxlf9dP2Cy5/NJ61WQ/NXG 9JtL/HhQ3W6Fk1bUJufD7wBP107JfrCY9wN7xmNiEaOkcmVp3MzYrl8G1bRnmsRzt8abPPOWE+I9 vlnega0mmF+llZ09aRcbzeOYojcUo4VCL1UEzFxv7ImE6Bn4KVoCDkNBnw1FjjWy/6vodz76KOGi RiXVmF+N6FGjQY00COxALgPaV7GTiyX/bbZZdnhbHRyhRIL8o5oIX3V9Tdr8thrwR2yeYv5Otqlx AQS4s+eeoSMwD7HxthowXVuVuvH6dU+bOPk1O4wyrCUvOTmEi4/C67KcMRmdMjRphiVxzKqlrQS3 dV3V2MTSSpnI971uZJWBea4tPS7vBmWwNrv4w9NmdE9xLHzxN5f5jBdViYsXkb7/ebB/cFLaBF1P l+dQZDl00A15XCcemrb+pzC/5xx8PMOpgJXK9GH+z/ztHMqerfMF+vugsnIrRDeKNUrgRfak9KEo EGBs7s72RVegEKUVU74quTwRjRHxBtl3lGcsRmLXRzF0Z2RBwJnQSFkxUMneO+WUOVvq/PdsTO/C zZcevzUj0/VwQOZKSglVW2dDEAAhWqzBu8SO2I4/G//1NUR8AA7rq90/rjY9UFhjlYkof7fx4MTJ cimCi+S4WW4AWe7VyfaX6qrAppE13x37z61tUOruqcxNla8sNRZb9rVIPov7qd7c4mruwtjNKRGL OZwiKKFk34gTYZ6CsiwO+qMy+Ryy04a3SDHX6r4J2xS8YKY4/uCXRtcxWy7iQBz2//qn5fsidvsO BHdk0T5/LQhaZrY6GPbJjrxIu/TJmv3RS1QIo+gCETIY2SbpNJagi+JSB4gCOGbCBpDZ6b9uA2JR 3CEKWfIbcXkLgnP7KMExWAWqaIoVboOr/OWEp3RCuZHrup3usNqnRWAD6YbY6Ip25McYLA6nzs/s JexfRgICHapPhurYrDolqaRUR29jm6lPAk0gQNVZaVo2v3eWI4CONY1TsV0zMNUm5HTpGDmYOkK7 DENSsfQWW+agKNHzt9gjWCLmjOpfeez1P3D664OyLQYj+X8xqz2jGVOfrjm8tTmwq4FQWGvXtglk IdJ3+cOp6eVyxNMOKH+V4ufZZoclNQ0KkCcBahg1Os7Hi0XCT5bWTqW4V4S58XCLPTuTUpaGB8tw 4dgAasqjcsnniVgRQ9IkQcWuIaqo1x74dvT3nNno2csiE1+3MholW0zYldu/vrExJHnWnZtBsmCB 5CBIpJ7G9g5B37D8cScQFJT6XFcRN2Dfsgnl19bueBfefTwTy/RnXWManwQxpJ5ifNOurgEaIgOR 4cTtW9mptThSQEoYKdVWPzjFA2zBdPwe52EEBiRUlbfzc96M8aaYXYHt/vqbQQdK6JOgCGzjumvO 0Jnr++mmtuGLlbzugjCuFEd0qWOqeoODZpsR9+++CdW9IWsnhYDpUdDn3fqEEWbZO3B4Wfss8lsV gt4XwkcDTV6h37IdMPczHekHj5tJYuCX/h+jmzXnC1UutSoBm5Wvd2yTeR9gKl5NSTi4IkTlanXA J0iO4GF6tz435ag8iHDxe8Wh88WI70NliQqsX/iygQt/vf1CZyUae9EKZDxICNQKprG/8SpswoK8 8B3AmrsG2lVHOsxofyAb31VcA2s3EqXpsaF3sxmG549LTuu5KvT1Nes8A5LnWThMUgacj4oYMgdX 5dT6kfarzQt5giHb9QXeTTLTcaqY/uIflPD4+UasmTpLBt3PWO6iGgoxLPhlHqP8nBU4w7B+553H kYKgxVJ1l6xVm1JIYtqEvmOLDvn0sbtotwd1SHkMVKA2r1k2HmoBTnDAUjYoS4O9mQUnUAySApk6 YQSUrqc08cY3cbAOMYkaNMipGD24zgYiZlOPNZyUMiChqJxUwsmOU93ydKbfY2qNjXX9Q6gBVpPe MaTNsHytvcYrN4ESnUKySGYTL1Mw1Zp1ta9X+8s0t507weDO6ENiDn3BDM85jcEyDWu7FO1bVMyk 61EHCrWC/HyygOvnVQ3DgJVOHXVIgFsHRBWLMQ665Z3OA25pHlFKLwGTCDb+YMD+o9Y7twAMN7Lj qnX8LwiOwF9dJCnkXmedzlMM48gCEhCGPT82euMsbj4l90rrVDZali0T71K88/axwfM1p7/+Fktx ao6KsH1v4gIcaY6axFbPOlMJzNwfACkP4kQ9XjXxjTPCNpOMGp+0FYS7wtAOOc2CjeC1x63J4n3J 2bLS9Xq5RHXeEyhUm8J/QCCdJn/vmOHIbh1T1fpGxKQw0+IAdYdjJTo2EGUDsemRqkYhQAk24GKS pc1+HYHO1B+clf/eq0NwGQntT13n4t/3XT3Z4GxtIU7rSErNPQarNz20HdgIzii6Q9KM2XJhpTG+ FiWXNqAJc4k/oWWelsYv4c74cQukX5hkBIDlgbTgTSQ/u4BN2GHCbOETOlQCGdHClO+FES49nJ1k tE4hAHQNDoKhwewC5PZUuRG3Bn94lpAPRVWgCBO5Y3ufuXs4T/SWPXJj1Mjrg/uWWS8BsDoV7fYN kTK6MEOVAAiFXhBriFHGBy1U+jHEfj0eXx8gvBINdH7TvggZbHbT4HTRsusNneoN+D1ObQkHLRu/ gRic/PIac3JMGit4xlhpDP3FXQrkScPkhkBmQmftfArZsduFe9V/Zmnk/mGWGrdYL+LVwI/Rzupi q01JXhm4dAf2t5liGgekDEXHMLJQS3WH1kh/yfsPaDMIJbqf7Zj8Bvba0M2JlFXm6ZVyPYtGdkIz RLwmypPiq9Av2WZfwoYHDs/sGw4JShbwUA2J0X8rOnOLf4JMrWr6VGkt7X+vpe7/qcoFwuMBsKpz aCPO/7NDHW1DPsoe/Ytvmh1jWtiZAJxgh6x2rSCABsEAigiqbAvmVccElWjw045sKnFdB52OmY0f EPaQYpW8TwW+0ALKxor9FRjI6sdS/cPQnQAUSo4kSvkvaY7z4H9AzvceBZPwHZ7YNKcaL0Dv2aF4 7dEK007klMbOB/x68JYYMdkUhCaNXwpMaZZFJZLDSQwm6UuwzB99ISxRTTOFLYewxSnwXEi82r8s D4wHBkgOadHRV1YGAQ4VxqtVFjXesVsTuzLIcgS8rKY12RSexlIih05hAA9UzixQbhlj8kmM/BN5 d1ljHThmpWXjuMlkLdmvzKBFk07jCFA9eiWhfAh5TBGAhpGJC4Y61OfbuWZxVm17ZbNnhVnVDwIl RLaySzQ+xIUIHxwd2L6sB6SCHd7BXXuS4YptbVIwAHHe8w8JFTFzoPjR+Qv5KGNPmGqsyiGgAAvM qCprxgwpvFucqSXtM3UWpqYmVECquCaHSxdnEyOM6I4QkB9f+Z732TYdC9saQ20tiI2dUCGnELnq WJ8uApvHeSOCdK0p/Mr5/4Gx9Vk+uj5Mgm8WvHk6IEbC/TSQdG6TTlKtFUuoK7M7oXRwzrhrtyMT XRChVzfyb6Po/u98aRBbrX4myj03gdqwqehEMxhvDrt16J9DL75bWXeOL2NBuCM1uzPioH04ZcR1 mdbiPHAmS2MyoQmsEeOurntc5cWCB9PNediEMqBI/DmF3Qt8KlZIe77UCANPLYZOudjdMq27KRhq 6TOxh6jAFljqulK0BSPpySy6DniUqrB32KJp7RYvo6qBl4IhwidtIdMXu7FU6Ap77FORCGS/klxw hQ59HPwcl8Rg16dxkYEoKn3fTFV4/XKNWRyY7vByqNqtM+d+VFyvTRNfC/aFqQEM/Ks584gYReS1 nVfmc5Lf70SyLp+2LzezFw7Bfups5YVwq1uzl9L57Ojp01JFewAuhXZ3rmQv12vkMYrz5l5zMK1b LcdSyH1LuW0bsl2Egp2KYL3HCajMsRR23u2hEkkhvPmTIFBnLCSeS9s4+2v4OaZrlqnq1ddGcwCP wGtC0HxNNsGOEA1xhB1meuXpOeTP//F0hthXVBIwk6gy5aVz8lSsYtrtctBBnSd2BR693KsQ+zsZ JNVGTkxBSTSl1bVfxZC9nCnQnjBhfTZRIQV5a+Oohpj+qLgQQhUaCnVj830qEt5LXFjNvlm+OGVO wrVJRDBiJWr3zcgdfBCwkTUXgWso4l5A4B9Hk+1V2Haz7UjQ3gM3SWoNQYk7QqKyKn7bJKHUUNKY qvvv/rmGx9hkPCwbGQnoFUwaMypY6UcokVrww30sJy6zWyHsYFO2M7sze4EGUsXAmK+7rqZqcgxK dg5XkNloUwKqsTIRHAtSeSNchmxFjpIZeYjAP3lKnG4g30V3VDAfLUCyyDt58eYkGozrpgmbdx5z nivHTCnXgh31oJolzUNFBuCe945sdoKqwKpU1keQd1GmvO2IvpvSgMU27dWWRiqnxYa6o8b1vzcb HEWBsDhgVccPRL6yvWGZ977D7d6tfB6KJfsYr00+7s7mCkbyHpr++1k13CeiGKNdtdlhP2tybOVk oVfkKkfGkg37/YFVw+YoJZ5XFBUR1RF5XPkEjbp0qcm3vtjneTm/DYefZmzp2osDyZ1pcZ90DZja 0cdHbcRgg+0Yk/8mtCc5v8IEhJAc4HIev7nS9sdjZP+ITk3L2JyTXQ6NewOR0Ek7Xdt9QbQi84lW WV4tjjRRf3idXsT2vDUCbu9KyjK8/27rwIMCEVlTsqztsqwsjiIIAPNaYzhyIzcbnm1xUJmFKFOe 1vvCI8yXTHecQ8g02dmb8fxhAlrtDN6CVDnoEwbxVNM4mgtpvo9kHx8GNiZqQ/G7x4qLnRTHDYR1 vQIVDa79bVS7EYVpZbDuiMXRCOYiLvxdGrzozfGUR6BjwJ+/cEiwvPsp6EwjpJrFnt92Sqw0h16R o5LA8xYBemtYabXypFcYkggpQMS2JqQvLHf0BEZl7L9tKMI4H5XP+hbA7vXc6gjB+qm+wmnYUmV2 8sE0BDCo/YSZTYY+sa6NmUbc3sZH8BiePogNeI7elupvjc34/A46FJ2+WFITIv+NNmV4zTKkB10U yv2Jn2lhOtubzF4UP9BPyfJOMNfvy6hR7Eqc/y6Z/hU20maPZm04jtwYIh82aakSdeccDe9w6CmC 5qoFowgNOCIH72BNHezfUbGWmk5kcsVOwqcvW60y3kRhL3LCm9b156oMqvT+R/FM6iXfDOOfMniz BUblcFA6A8Yhtm75MscORMS5ofFBhKJ07U5uw98euCf8rP3GqzWo1qEsOgtoXzmt17MajgLiKqQ/ NUOTIA0eW4b+DXSapR88eHzWwbexBI1v+Act/65Jn5lqc/BYfIfpmsqDSKTyPpeMbu9bztvmEBMq ow3yeTbRGKUQZbfLn4MG2UeLynCKx7dwMxUxIBupTUASNpvzEQt0XQHFmch69xNkxtHcdKq2cXhY aLthmEiSxzBUZ+dw7hJV5Pd0PKLBlb6cqVwb+55C3Ud8e4ijxSUBs5aNsPW1c3rKXWdNu3EjO+1F UaeF5dv4qya0dOLP7FR3PM/81oTC/JAlElTF3m4/+ciIPpkzzY9ehOjK2Q9yUUXLdZDMAuGkq2Mb zhXYfQkalgjlYBKMx0lRSZcd/FmfB5iEmpuJ8RhmqFPoYddtpMO3M6ki9X7MyDLpxfJUnf4aOgRR HhmEaIWBcSJek8PRTnBVafWBJPMGRllq/szB9QAZabNObDsr03LfpSnREE3GdLh3OJ4Vr2TT9JgE J2bjZrTZV4SflyvzTZ9coNawNuOubYJ34XaoLB5T1vPJcXUYCya7o7PfmxY/QQE5YqAo8PvCOQ5y 9/wf5T9NpZtN0pt2GjkspJYRrVYuYhFU6DNsjNTNrF89dFKfNsHXR/7yv1GuQ5jgVTe7PmdklDmu ag3HoZHl/kFJZX4uUH8ABQ7OG9Kf4c3IkPZW9E/DU4RPd2nN6oyvaHX0JHv4AZxmspZ/vp0A0SYs 0EtvfAfyDw1IuSYxj0dfWX3jThdv5F30zaZUJ4Gpj+5FhMql/7X1wZffUbTiFQkg5wF65tbc1GSv hPqLbxs4U8n843OCNNskLzX2V4i6+iFvs+jOvNjcg0VhyoKJ0kPNtEuKXwo/7w8LdqqsUA8wfAwq Pk6t5Va6EYj5cyFZ6EsGPKFuS5Mxd/4in1lwwC6K7zJxRF0jxN8UpbvRDyhJQVSpYM5HvdzZF1H3 3qhf9jiYlxUxChmL9SAI7ntktcGean6Od9L0P84Wvk65qR9yy2yBosjapeGtZ1WKR73hOzB/2l7/ QyVXsJydl4OSPfYFpJ8mZnNUWdJCp4nLUgZ5E/aTQ5upXuBQBI7t5GGTThXxaCEqmIWYZBEr+SmQ rQkLWT0lDvZZHIoUY1z5SmEhN1oRL1XymQ/pNZkkIUr0hd44qegdn6er9/+BXTxr4uksycPwR7hW 6y5ZNp1+TiPe1Cf9kIjcCmt7z3chGGI7H5wcpd5l0Dkqx5Jmoh5TeNU+FqyIfexH8AgVGtTLI/Qs dTB01DuNQTe+zEJqfHLcybh74jo/eCoIwvnxXzv1CIWJfBeVb1RB+QB3KyQiq31v8JOCQsAT62XV v+7W2OnGT3OOOX4+h5dueHV2QAKk3PyoBXygs2SnjvQtWNOK+EDIsMtI63K2IQ340RVo0NJ5N6/D OfhYMRTFYt+yx1j3VwhC3Zbzeju4t/8Fg6qALH3qUEDHcn8P3NRCACWJpJPPpTO/bjPOYbuEGb1E gES3+i4JRjirwyVEa33hosjAtggqSoAAmg1LcdBBdxo3bjOQ6oXPVs1p4d+GWEqIJsgW6DEARN5b jiJgggraHXdD97YDFB8+wT4leZgxn1879E4xt2vWEfSBy+s+rlYFX5n/g1AYCI9NpIjl8v0j197+ nSAs73q0Y8JjR2Q/eg0/HYoLHbLdXf4mf90tynCgNCQszUJwYd8g/hx1VvhFidlNZ4I3R7G4bsWQ HuOgXGqXTpItJvrf2vvXdaDrbM/Q7CLdVNm+T/dIIotkeFrwUOZeJX0RWPaTwOTiyu51+4Rb9AnO JRYW4XUGV3Ul3/1o8hEH6anvNiCzxMi5QoN+QS8mLPbJrdHqUgSfAfoEPONPktxsIgjDXFn/Kh3o WFGWyPX5hkAV41kQEnHqWkjo0FjBwGH7Gw64jr9YdAMSHRv2leBuWZUJ1Jl6PFXWs2iH2+ejU/63 kg+lhwzOsCCC3YWNQQum7Snf2Xu96rQrbCWCpnYaaHYooiwHCTGk6d3aCtezErbMlJPV0zDV4eHP AOAC+Iny0y9/CmQY0fMaBdAUIDkvXGOQPevA8f6APAQElIemNtdqTWyVo8IpX6Xc3zLM4VI5ztWT JD8kgg/Sr8X2Dau1l8nu8izAi3oDrhoUSEdDx+OssfcXHCIi6BfG/ViBl6nvLqdbb4FJPgVRvBKu K4c75xh5e9im/eeeIwrxG2fjLRkNUfYvvDG8mixlr/2phL/xHhqBuKF03MIrs4L5Iqd7NjG9+D+L UkZYHv9pfp+OgSYwNmnLkpijFVsh1TH+LCUAXEOjK5zwzdSqwxIR6EiEgQLNaIY97jcq5SUYP7LO 38s/EOqUxgNyHXR7rupgs/v1U12XJyXJZ/d+O4kogS5Xn9O/q1cxqJF1B1XjYsQrB+MVjEH3BSWL nXmU8aRkjQb7aU21YrYFN9o4EgJDEVO65Lq5kjcBBDGIzL3sMXmw3udDSP9609x+l0vwHAzi8Lco 2jLlsYhboijMzlNhH5LMgsrNC5h8JFONhPsg/YgF8bIBziEDekPLG52pnqZiVZkMGtUVL3E5MAgs LbyRriYNw5PFFaV3bkZByB/768+uIB5Ca60xJ2+8ZPkDk63UOxqJKdZURpxESGYG8EayJ6lLnKyy m56jiJ8E7gnMAAl9h7cSJfQgP253CkEa3DYTcm0cVXmNsofTqymUqIUUk3vL0i5SpuX5Xd8dv8SA zxq4OmyzQfPcdyt8Wtv4osYyFW9ZeY186a6IY6mraJ2OJqoKYYyZnltebvsvadg4qH3L2cxYulhp SoEM9OxcMXIfZfsO4z2VLRCx6eABOTlNowPDbHdtyC8LFiovGc0ZFVHtH6sg3bbIZH6oesGXeYpK Ne0kPdOPTLJTlmneGT97oCnt4hnRjrpcmKltMTeb/BV4PJrrhUgYzK5mEK17X0sWhKM20+aaybvf Okk2u7Zb7NYUYEN02tD3Zi2ctVs9vYGVobUssQXeNHscRnBoyL9IxgUCfade0pUJ0WBzH6Tfp/uI LfGR8q5o6Vdbl584mqzUTWbIGnAiYcRNs2YsMP4IZ8g88zKMVGMmqmrvN4x6Bq170VLwGarLmOAg /HkWFKhpgh/6gtGugwydRC8Ql+6/uecA/IcCDhVybAzkrnF74J8RKExYUzPonRc59RMUgYSr+Ks/ Fup7ujfXMsQCGl0tZXJgvq4YOXdU/przxzhiz4OXX/VQypHNyuye3WARJoIcl4kZ25WF4ok+S3J0 rxdiVDrm9+7N+yBUVxaJOAXwuAg9kTbm/O7hqMaHrYdLtbxcHUHOrZck6kfK8EB6tjl6JkjjCbbE cM7W0YWgLBzVjoRDVpdhowz8nbdeTdMJWhqv2MqqekspQNhs5cxJBzU1psmCCA7WZHDno878EBOJ L6eQ+t2RpwUy+sQ4TWhQDEBr523IA6JCS7RR4aE8+/4N+ZX5JUzP6JZMyVF42X9IvXfoQNwUqHAd +6A+Khg5btp5Deyp3zB/wFmKh72xtAgfpKJsHjDXSodqa2yrkx3A8VPZsBVWOuUo24/0Y4hHQHqF vxm3Qw95POELWYNu2oOjmakPflPhD5F831cAAdPmybbFcnSppMd586V/k6FTivMYnSO4xytqiYEN 5Ev82qG531AJ1uObZk4B0MzAgu5CjVznbEpmOsSaCmhReF6MWtcop7bHlvGI4lcll5ruOoFsIdR+ GHfZYSlzl7zz38Re5X4Zi2omAxBGsEshSTPWA7Os8F/W9Ig7NRz29c/4EMt8xRN9fJCl7HNSOHvG NQpqRAdh0tLhV6eGTQMqGieplgRF23h5zBQ4ZNrosTEbPWniQOUR3mGL63wO71Fo7CUTlxvkr/XC zzwYuGaLVcNrG5iWzm60UpfGo5h4i06fhqTbl0HvvgKJ/sY/EkuTrn1/S6MWp1keF4blePZg2SfG TIzmkiur71/9tV3QAUbOCNop3PXYDagkK3tlxXP1LBtEMdZ9EPSQBMJ1YIE4JTXSbU0jzRIB74EK k/qiJJHXwEyGWtu+bDwCrMS3qVZSlf5GuLnXqgIWjG3ENbPcHrHMU7PRYXaJtPw46BOtU/R5LbBz mjdz0jAbVpZs3qH9onpt2YyKI4jFtDSQS8MDtSG9ju8uO75bepyvjzfF32AYlg2+w09VJUxbjzFh +AZIaNuNTYBuM+U67q1nMAEhllujYvofMIXssJrsyWvp5CTlUiJ6qQbyw/QkKV2gPQaQlcpUQrUG dqpUP6XX6xRgRfEIXOjO0NcfOT5ecgtPUk6g6/bXqGQI3ft93NHMkw9zI1Tdm/IcUF5yUJJ+zLEE azSHLVUpqloyqv8ot/CZTkcjAnL7k/Co9PoIn+CWnaBOLaoDNuWMoxJvoGURdN9kaPosrPYoWFuW HWzJDTEYiM4R6mpe6Knhk/U2fh+byel/hxbEo6+bx3trS/fcOkNZY1BBe7BKrw5s1aTAewP0DUWf xmRRgcx7Orsb38skU6ERVERj/pwIvvnnFNm29qWLP5c2fzdxEGhZ/BzXJpm/34iudPcWG3NmSvO1 64LRWBOFvorj0LARrkDjlQfJWHBuuMxFk8el3jNL8dZ1zSKSX9wEjtvmvOSoRpMbCUSDMpOlA7eu 8dLpmC2dm/lGHos/B8VxI3J/SKufTIAqyLJktL7jPnW+Za22cjmom0R+8ODs+ZnlJHpguxeMVmxb QKH33Wqz+cmgpF+RsilaYIcQumAdoiwSQzE1kK1Xw/KWFJkEHWOj51HbxqPE3EX85NSLKcDcrApG 3vvCuj/OKciIlKJoxhmDvLxuGOcK4K9jHFWXOTEpphCmTs5fPKyhJXHyIya/0/Nmeh44vPPYQXXg U812YODza4w7dizNajXcRLDzys0K7/uvxl6ysMEQi+yt3FW13wWvrpliamozzVxzgDNbxcObrPJA Dc8DHr5kTx2E3dFwT1Xtbwo/48OqWs965I7TwpbEHhKDkYv/Ts3koaY8bNRCSnNZSjSE+eSaaenq /TB2bzELdY8g27s10QX9aPaHd3KOZn3LGdjNYn4iLwmKhKJjYKuam4G2DFeCQf1qQ2qsnBUHV/OC ibAO+66mFPqSxiJ6iuVupK9vbtUsffY1oRykCFVmNeQ564iDHULzbVTVLCAFwHLZdj5wY12+UqRN IO6FvSHzYx9m/PExBarX8S//8irvPNoULAY8MtKHGMfqJq/pzKTKWXXhEtgrKqNjzmvXIcsI4iCM wqgPg/YGc+Bx0Ty1E2M7pZKge2uUzaQnXXIKo4T4irkPN3sWHeMfG5tTAeOQOp+IzfIYN2Gcwv8n DKQv2zCjweHPUnd4VBXaQRjOab6XZzaOmYGviXrNdTvbpGTI/YqTxmxJO1Qx852I13vqm0umFDhr pPYFAbpo56YClSZ6n1r18Q0A+NyA6imUm9KJRo8Sya3yinNHrokH+L/aEY7ug+ULtoDok5cGL+ib oeYLj1CbxJ3KeVUQYAbiEHGfg2Ut30dhZS5vJ7T3Rk77qV321ortmJMGk3/HcMKU/6Kk8t5NfxRl KsOY76otYsuPFQG86bebn5FUwrJHEdhUEeIRU7HEW/n/tSU1eJI/FFjKX3azykY+y2/CV6dWbZER XaHiQFEYvQ2IDDJgm7UMeQmUFjewdIKKdV4d3wiVLVSo3rF7z1SfTkxoegCC1ZaY/04emMFbQx6B 5Wazw73bhG+MHYhCDc15Qi4BqOA0OZ5tQO4HizkfNUGmSRbIS07E1opVdr1a0qkR3Vsw5R/HBw/s hPVOc0IrwW6ECONvMWfypAudao6u3tMlaBpWWhm6U2ji/eS9dsfC9esjIZMREvqGirdGS2fINpqk 9okCNqYMSgZNTyhswlq7WaxqK/QdIYE0SqDgHgFZDVaIFJji180+rw16+Zc4DwIszkkWYSsqhIyW d7cotIA0RPkC2Z7UDHA5/NMBjbh+aXwjCfCZJ53bPcMR5zUMbYyVBDZK5j2aFjHr2T5rLlwz+M5g rb/GQkr63snHhBnpHPQ85+AATVcXgaZyPlzWNjB9/yMIvl5XbEpYkGx9ovg1vcsez/qSWEkWr6H8 9HnmkFSPRILcSZFJgknK47hVf3q4R3IWuq+IRQUULEdkPiYNlLxaqoLwh4sxrbydMTVDFTjVudDY egpsApOSx4Kh2qRk7N8YeGhZorSAZUybFUcYdmee2iks97szWKm+S0GJgUb+on1rLyqKfQ1JlixC pn2ictLcLC7pjLDNAmp9+VMAsyxNnG0ha7q+2X1RAGavjsUzGWaZhb+mJnoYiL16aTM9D3XgiarP ZU/VPTrrm+p5q5VSifw2gE6sUc88xEfKC5mwy1+SFr1ygrqh5dbi1CaWsTnFXSRDAp137G+ovhew LzpGGP+LgUZ6aKC7SMxCV9euFrhdVip+F/ieBsoFpmkMYFJUkXJRgfj1q87MmS8HQsoFXN/G1Yxb 6T0tQXXFTLqQqe9GlXV1I4TMQ9pe1qA5h2Y1JQwLw9gDJVDzS4lrqp8XNvINn8I/F5y0dPyO1Jy/ tfCxMxKXtmTtYoodlwC+8A4RHywUTUmJ+iLCjtXS+XYvam3ORVBYKnKfNXx1WsigTY1QTr0JIuL1 dVW2/ETiT/JG/9HmRJ3BN0JesstoNkO+dGa58JoYWX7TUaEqzwEhgOFVxxRuWeXcfUZkk68fA869 6R28uaHqWj8SQa34yksHn6n4bbpBxGZptMDyawZmKIizYPyUdRs16bsccCU2vI3C1YLcChTNuMnC mnrCo+ChogLM3T1lpO24JPQyROH/VSzsJAKaqPlLpflrhX6iQ/gLmaRPr9ZyyoboFJ/skxY7Ackm t3FHxYXaf1M74iggx6JcaeyidayFHr2Rtb921bviT8J3jvodSp1xOZVOtOvsxu7DekBT07Wrp84l miavb1p8uJy+Sw4oatLwI4/Tsx9JQJeAhyCn66yQgJlSWQcfks9/WRvC8yYCXDm5j6wYK5yWqCtq EwzpolsZmlzXwqofYkq29+AOJ1xJbTx5LSZ/0T285RPBlCSJLDdC/f1ivmv3JJ5v8AgZhZVkcstM GdVp5WLUhYdeOmCEkIf5q5vWdDIFkthmJIyLt8i3sLAfgw0EfH1n8FVvOwrqYosazXyjpDd+fkrJ zNL8KVEDiEIqI7rZk+p60VykwWaHUR/smWI9r/AKxaH5WO61J29fhIHJ/5UKivL2x1XOz2lwh7dH 8YFxmzD7LZi0Fr1sisv3mtd/AK8B4lxQ+zN2QFtVs8Ybwu6MZXCBS/UVrfqAbW/CcCpa5mi2smyO uxc24TixRzg5T6mz0x2V6vuo20E1BgIFF6JrSQrUj6TJC0WRv2j7R8L8fL7xU5PRYU2DNTscjiju 6pbwSc8XO2Ge9T2VpKQKpDji4QA3wxJf2eSbDw/95M04Mdx9/bJLzrWkiuvwAyc+m+q9GKfXuixQ QUl5jrF4AOzD2/2nHrlgSj2bVcPGW10F0I5+cxiSYTLoPxzLBUFFSgn9Bny/aws8XpSfzIXJ+ZNb /TvEAp4ZeSkM6NWGzveKaYMhETL6RWdklU1+QbLBmhbiQWHmFOW5sgjQM2os1BE2LE7rH+mPxjxF J3hPs+pwD7SFEwF1Lm0eVgW5eCGjovfLK7fsSDAaImilhWz0u9yW2BiVjd0KksaMhuOHQ8O/Ikn0 qS5fhg5guLV9EFOn23Moc9EfV6W84xRBIsnotw/uKvBySjVF281djh1RTcsxopxntsheP+5qJgwp e8gn9q9RkjvN+jsPXM4lukpKJUxlnCCj8ne4SW2yXhXTK5y22YkfGFuKANKwWk3KcbuAfhkvfpbv s8ZrlvJ7UfgDgdxE+VI+0jRNiUbuPEZoxklpexG2IlLA4EOMwv/EF9q0f+6LBgtCpjRpr9JoBUYt WfD7/uLgLRHvJW9aYJ5yTThTmhiuBDytIMAg5r6UMquo3Bpr+u56gEnvYR0Ncf8zkUc9fJJIJ3xr c2HqVdhIelv5r8oOMb5U3vsY3H7saGvwSyFqtvDBpONUPRn4LMJFMhCz1qEvRHI/rbM4m2tvpRIn 1qIMBJ3hOLC920rnKOjdRd/egaXR9nG3TNgzEBcnOmDOcFcD//eS7fwlgwj7SW/Tqtm2qvuLNbPd Xjqv3VKjQOEEp7gdkV1ElmmGtMQL4o0NINqwCqbfLEpSuO7ToLd48q6YnjdMeh3bzicV4Gqx2JNz 8PDO1rjbu5s7ejd3cHwBS0WX4JvoROOKiesAN9aTF2SJnzgpwo+TIh1P7qLbIedRLxj/faLmXwKV SkCPI9B/MAssDtnY0qOpwpIYOGfGRRtKd2vtVewZqHU4Skjnn9UDarpISFqCFZG8zGubfDu/i6cX olADu3ShIfBI4MgsjBiryHAr4N+Q5sQduwSsOOVZ+1DdKxKkIpvHFLbW1E3BcGoCgksl23RfQK5P 5L0Ti+0lbdbgCa2UGHyKFqDAgzIADm76J45a5w8jzd/Bo56rU87e/a16F1OIiX/KN8UH/XE2uzk6 M7Y+CxqaFpd0kw1rxgcmtw5JzpFi8RM3/UodbsNSWedKCjCoI5Oy1A0NaoPSzcoswYjYJXkTp4Cx 6S2QPHU7ABAtPNLkLmdXv7fdF/xavLcxXQcGt5J6+YlA+lQac7QYS+zqm5oNfroPwcv/VThKDSnW 3YrLcjky/hNm4vvHEY9s/ig0yRZ4WLYUPTVxbi97BOt6mWNkZ6YeooSeIRoAeldI1s2AcKtFVgMa q34SV92fxlQiuRVN/djr/g04byzEhhojZo2bh++mjtVs8hrus6whaFge59O7wm5bivb93KqAYUqP +Af6zTAGmCfocEor5hmtLNq4lsmeZZX03jkqaNUlOoDMjw7oDnIrvVBG6FlstLmfyoDm+raD/TYj IoDYBY6rxMOF01tmGSuXg4UIO2bZFyrBUGizBSFUP/NaAE/Gz/681jUPqh32TZEegYmK5KeCW5ku I3v6uk65Vkw3B38h78X6y0v7ijvpgGgjXODGEnSzfha7z/Qsvu5Z7m+IexOlO/DdThIZxsrO+ZKe 6JEwacgbhpmCsrtoGxVVb4pX8yMinXn2HWzk6vXZzUIYLxVWWXb0vte8ozklJe/LdEY55gshTObT wXd89n55SRW2KFge6yV/6PBU348E4WT0lu97JA1ROWNQ0/hKuZzV+wB94EGvwyWISK/HeB+d54RK 7WRHztOabHq/7XlvyPjZRIUtgAvjq/YAhhiExdxf63zEJ+v+tMwf/0cQw94G3tIo2s0zmVGs2AcC di4LtJcZlVFTiDr+pCylBqaPcWl31Nf7E8ZsQT1Z4bGHnxs6Eg7NJ72GiR9JgrbcFvVIseHb58l9 g+gM1wigyep5cniC2Xg8+G9+xYT1wGaZUfFmHkm2ud8DSNtMdKJzyTNEFn0M9RgiysNPuugcZJKg IITR2QFfohx6A082zKOBFJlSO5doSIVk3wygCH5AB3VJnZZnc/dwoN5Kce47QtoqsY7InleOCp4y LwYaB7AIgEsg0pxuUIPGWZ3BF9t1/b0EupbF5b0WUD07qNVz3zDt3mEalz7QoBg8wkvAmtxX+rL+ xsrj5fC9pgvHHFLYmCBQB+xRuPK9+OUPag5a27N0J7P4PWoz+9JYiqLu5V1IvT32YhV9F5qunV7g BFOo6fITS3GigubC7MOfQRT4e2SUdwEDnXh+006PcFL8L9TDhqsoE1+AnEdfBTFD8vae2AUD1s75 HduCPLntct8mePlNcCnW9CSuYwESPy6mCHDuhgBUdTWuY1izxhRvvNItpYzz2liilAqksgB8fJLH hqpdZPIwtEfSzwhQ8XSODW2vl5LajPW0xfqoznfcOd2cH6x2AUmIJHn5WiSayD/c2qBoD/blvkrL 4D9abtATYcNuftbcWLj3jEstCp0phJIKbHTUB2baDosup0Z4U7wuQRmMug0ieSfjfdv4djt/YrqM LoLECEeJpmdHaSfQ6516f6o8LjAcAV4kkkBj6O7TDmYBud4ZC2p4eYIjSs1kStt3wCioR2koJg4U +ySdj2xOmRqKwGlfq1AJWrTq5O6EBMPHB/WYXyCMmW6eMyXI3ErqJCuPjj/JJltyhk2roqWX+WhJ fxPDl1J22IoVWyWu/kCHikx5JoKf+VMoigN7ABchSXMIxtcirw3gQ8VoMLUbveZYHuO45C0DLM+O iAG0SFWJZsZRKuuqE/cFRYgPjXm9nqoI0OY9ktcYImkGDuN+wD4j0VA7IJGAc5YuJ4M5zPzqvDod pwqD+wBQgiBZEQNIzO0kb5CAOKkG2or5p2kBMGDgXUIC1lTK9uzxASKviyK3zKZwHHRuLAtpqF/r 3Srahio703/DonmXi4gzEn/dt/jmdzH3BAyCsT6FvQAv4DzzX4pl4zqWt+J91mWiA8mROM7fOcAb FIP/5gvq30XzUDRzlIMFrxpzzy+22+sCwlo0BkMPA2fTkmDvM6n2nf9iZCpJVhzy/iB/ObFK04Tv N2gPB7kALjuLxnwJTgDrJ5sZ5EzZtUnf2ouCERdG8v+dsliRG4c8X1sJ1C165hY6tHM2xjKLDj7I TBoj6eZkXrkop8UUIVP8XEnwUOFiuVbp1aUweZaVNBPwFKti2kwnaUU3wTnzzue3k1NOJqrZb/No xRhuYkivMg1ibITyzSz4JN1ka5/IiFKSGLNWDEEY+84fqdrgs4MoFzlzB++jEZtrbfuh3i08tCgB V5f2DdDee+G0f/73M7tzkuwSEyQqc48/5fz1Llylic8jkvh8EpVPTqFAgIZMv4yvW847j4CxR5Il PoZGBgNwD/upG6yvyyvrmvNYZ27FjdRT7moliUia/Fs/nJifldSN19kFMHdkxz+dmjHaO2r3piwU YbZeOGEt7wLPTXJS3QIMcNgGR8691Y1v4K+4UExGXqW0taJyfwVyWfLaxn8bZ5I6kes9MnjryNlO ThcwqyDQdVo8rj9ctod3BGfTPBjTgOE2mhiS61vNW3Kfo2AyYVRNSFFeBGRae/jqWeRLfvHnTqcP RPRNkqUPhC+dil4y8pjQ9Nhh3lxN1vVB9rucedMcc2i+7OAgR2Zx3eQ7dwxSBrW3874SJ/62IE1j JzUhF1AL80TU0LFrl99rQBAkOmkhsS1dtKkr01/CrN4bFQPXQa9ZJs4sMln706+QaBm5L7mLDUU8 k/zNlktDrIWPskJWV7lZZgDKZ6QKAUN3hGVTTSlsfZ6FPOZJL6Y2auOgD9iLurPrYCq8qG3lBULz WOIn2u34Khcc8YNI9VX8j2OjPByvqXCuw/ufjq2ZEA6TZdn7PESuWXVNLVy+KKCEx5LZhTOhtF5N Nvo3S+tRO/jwl7Q4YM4Ux1jPvuXA6gTiywc1h7/06cZb4e2OSJu+VO8ZJL0d9DrLOQ3uB2PZfRPd FCw04i6MaG+N33n7NKMPSTJ8nWa/YOia+7Zsw72Z/JRZWohqVgzqbCsQ9MS/49WnJLdOQuvGdRES DXnUk9CKcmnKsmPjkXjVfUF5Si85u1KCeIrNmPDOsBZ8obqlPPJ1qD73Qtt/Ysp04daUSUiyP0tE 5m/XrnUhHO/b0ZQTB0Pd/ErWd/UIz/bPjVERtyYI5f80yPBEjJ2QoHUUcfZjKRqUc79keG1KfFSn dJkDy8ltPxqHZy+cd9SEN+IPoqlkQpaHwORJMr+DKfkhR93HyJ/dSc0sUyW4vOeQKRITMTnHaepF FAFeLJkwtAweCF2ybH8a8+eg259r6mLy/cvRfnDmZn0pi6hy1lzpvEel+ziU4rrZawmugt0LUx1f hSWDTrcyzyJqSYMx0xJot3urbswGeVNTM9ZpjkU9yExbULBQq/l95QlM7F4XZWgQhgAgC+BSOCvH yod8kgJBEAMUPHwNVZzmz27nGt+ZWpcsdtB9H3kCFYIeolHf/CBwk0LKS+1mryzZN5Br8t+HKjaX 8ztcR9vUpuMcIblOKKv1RLsExmPPhdQNYyciEIiz83htizV3VYb1wsKdeW35NU0L9c7JqDVDMuie FpjMrMLAJDUXOfbxWjIa/j67L86+hHImOD5cFb3jj/G0jp5nMLeRXtR9DjPhtj24NIInAkyUDUCo MAbFghQOiPfKL4Mi4Y+bI0OqzYj6zCL6JCod5EX2UV6MI+0BEMWOQWp486YzZs5lOiP88cRgShky 7SDxzS5tYM+69u7CrMpdobaTwcm+o/Wc5vTD6+Bp6Gi7VUZuBRv5W0U5NvnjmCrCirAkq6AdQn1i q8BCygeXLWiXMpwRWHLoFRcvffrK6pFmY+E82rF6GfYhTZr9qnUSIE4gxwjuWbHCzLGP49cLdKAD iZkkf6B/+Z6Hae48x+aoafhwupesRbvdgTdtnwkrAWlbQMUMB46Z7OpMnxe85VoAsICZgnRJS+3J EoSKerh6Nby4rcS6r7Eo7PodEevD5bBtP2/lQNglLWsbLI0B9ENbksd8z9UP7Z/XrWcqWbD1HiWq HhuLkWhr7QPrD7xrme7ZFYEk4Orj6d+VlOdQXAEUql2FYWAv4CUuWsi1/f230Ix46VbzRdu3nqWZ QrCMVH5m73D50Foy2SWzG0V6or1jpj9L3vIqI0BAQ/oQwKSljY4qPqMlYGVaJQy1YzGqwRtGOry/ AtHTebq6qmfNElNJiPInOhiu9mV+I8X5DjnSxOAFY12XaFRaDpABc4WRDRRZOWkKBkMXac4VCDb5 2OXnA71feYLRCpPR6QarP6KZDSjfgI/H9csvxUMA9RK0/bBb19OjUeCvry4Fw94gvO9HxgXHJP9A wmpyv5n5Ce6k1ketfpECrjFvKMFjowZZKDFbEeTVDH1wFwPMUtyvEfo6Qr++dJT6V6L8ei6ULbMU HIuJSq+87GDDoRLQ2EiWuMleub1WtBv6P+HuXSKIvI4Y33cy0GT1zBHrk7rBoBOIIrGM56uCcrQf 6+cj16ea7JcewIDgaFW5v7rCl3KM1V8/aMq9DV27PqmacxDVCeBolVArZaKJk/xP6AsyNRMtBDGq I3yee19Ucla0Pn45vnaTz7JD9cCqwBIwpKI5yerIC/7sGvCxphacTPuRbnRMBufk8l7QLjojwd0U FHbs1zRa0FEdADDLBbr+A3jwZQXKGlW0lpg+egvS2/xjaocHXcQGN5n5fBI/dobUCMzGnmXxgcko mdzFKie+zu15iG+pQrrVVu1fTTDrsVx0nraEvrP2hpEUkVl8kU/RAgPAc8xxCuO6Z4iyqv0fIWlq ZnutgXSBecyO3ycD4lhfd84K81eOwToJmP70U1rQ+wAmBhbZzNq9cE/r0HOxTiPBvJouSrWiXB68 56J88341wg1u0eJsKJPWV2D1RTurusqrjOKjnHWvhebcEIryoRwfzIvKqD5Kk3S9aFqV0CMZC/6H lDcWOzL4lXrh0pEaaCKxyvUaPeGPN8u3FjcTAI282JuumtLVhhPwu0VFB2uzPxqScRxoYLOCWCtX SHdN7mMQd932/5ExcFPDFNq8Sc+1p34SHMbdfgPgiCzQC/p3kFLBQoU/wVYBSdXCuOy/ahkTmVMr 49xj0ENsJM+nFWDMbYV+wxDWHemomwwHxdYtDSi+XYplemAb8zNttvJtqA/qTMKLn5l78Oq84XNL VW0mL7ZpPVWmidTRdnin33w4XTL/uH3+XfwYutz7Dbi0weVHd5WoHZqeEZvJDPBoCd5uj0Zvlvrh sSH5WfXgrHX0CTbUv5FplEmwW/Fz4zz0/TqQj2rUzAwxb2nMIujg0Odkx+4mAo3X9dFpqryC3Bv8 MQMKw3S5AY7tuOSE4FuCFxKMPVCc/FNy2F9zjdFz0REV9ymnh0uAGoiPtijQX+tUpprYSjOB1XBU gO4aRAH2OAKwnXa2VWSlzBRJL7pQNoa7rfYLIj8n+LTTWtofRyBqJdRRjKiG3bgzLxHAUCidNKST LZbAjSOjojr9bn7p1PmTAO9ex3qXCp2GAEsR7i7DlocDCgYXMtEIv73DJwmIq9U2LKaC4jQDOtaD 82cbAwpxzXVTrEgQZjeBkLoxMxMwT3FkJXLosxqnR9SLxxL15qmTUh/jh48yskdEli5VXMLwad9Q KRyr0ybi7MqOK7pNbGJQ4BhZKOyq+DxqmFd8NQPJlir4Pi9rDGZqyw0KXeaWELTjxo0QDaZMQAMg moWY87iOHA8oYCgwBYUvZKSO9q/I2UAAl5glpE8kxpxL6cj9oitpSkn28tGUapgT9XgAhC07URxh m+r3RPeqrPIfFnvjweZow1k6DKW7Rnj6jDMviTsj9ImfZSmOzkZ+X8kmdAHK0jLwpuPgjaq6/TeY UrWoVcgSsKeIG9ti6ev53fO66yy/SZ2e7fa3WroiXM/J7m3RtbweZA5PgaBeSdBgCOGyHRKX7OrO IEnFPSdRUOfyL5zERmGRyEHaC0bicBT13Qa1GoNUb9x/00U/mpp8ggkYnIkvEhyQCDamxIG+SzoK PFW46rG9y1GM+YszCUsu/BBiZwXA8KK/qEjhoKnOG61wB/5N5l5pzRKIfcHjZpgvLrnlXjrufkEc +mP7Zs1FJ8tEmgkvPA7Vn3BvQBO6c/nTVtTjs+cmynzKNNXo4fqI+kEZJlNVHlsw7W2Q7pD29nfu 7WQptMeQ9vYyMIskhAnDS3MXR+ZBjaiDfvvIV4wAeuhv9JssOW1A26a1hlJyanVKmzGftfC1oJoz 9lhYXiagv7xeo4r3DimivsbaPjQP/dC5ZHym65OtWP5YwpIGBv5ZVfi0j1fd2bF8b3MwefxfKu6C T924ukMqtoSOoxPinM8eLBxRNlytRB5tN5vkFaU1z7VCYBWbg6re1lSFzwhoB4iXEc3hPzFRS0+y l1anap8Soo5BkojLE0i13qhYEWwfFOCDBbYBh2QLIAleGzlzllieCnbXi5CyCG8rrfUKP8eyhH/C O5VxuaWbOOHHcdguBeDGa48ZfdPY/U5OCQ1P6BwSZOBFhr/ZBHhL6H/DxEgStbNY36HAjif5gSrP /VPkUB5snQRHGLBWa8yHfou90TEVb5IzdXMvLuMaX05IKY4r0qK3cJYtX6S915M/pxpgxlAeXNf+ bB5ghuIlaZzfh392PC3hO6BjGMZ+YvU3W+GmmdhrmJF70i+58vLN77IUYt6PDw7Uu2tQq6JRcCQb Hotfiq9FPEApNPKV4r4dVNRGegxfoiBCrhGBoXtZ9M6qDQcfR4fywS5ZJxeltNG8ag8Y35704EuU nEXspM/40sj2d6RfwOfRAyVJZ9gqEU8THS5eFozmFUuCD2ExWjLdDGukwzstQyllQE4JqAoHd4XM z9oEgdIwDpL58LV36OzNI0Os9Dbz1W/6l39u63J67hQl/VZD668otuYgmIzlH7gjNX6/0f3J3XDn meNw3EJIFUyXB3s3ZM0o8WBWsqGQQkzcUhaWdYpLB5RtOi15QWJs5JtDcXJa0NDIw4FswAFJ07nZ ofrcDK7P8m80GtdNrY5PYii+Q1KaGLlKkXwdVKPCZ3/8Sp79KV89by/sCCDMS4Oaxx1LL2FBumYW pUwTQPfAcI25nYb9YYhFronAq8ZulBJ0+Buz3xgHi6IEaRqNFSvJ2RFqzQOZRYKL/N6LEpNns+gE U4rPz5TQyOP1OYrKAp4GItxICNM/9Fu3SRf/pqipBowzXRfOeEdjIpM/SGiwx+2YFQOkHiDUwTXu 1mtdatQPmjBKKaQqf3kDNE5WhGF/X8/LdUh43tf+B0hNvRirSuNCXYA7L9Atq7IYslXRbuqjO7PW XaRSO1+ayv3TBBve8nwtGXJNaactDba3JF4CLVOK5idtYaQ48Ci9EVwzem4R/YFWi//klId5uQ6V GfOhYP3y0e8ak/GikU+zHxda3cSIFkya7rYRrNk2BmK1YfF8MEiDSMkDrMgarsGTSxx7gCtGW+st x86rfG1mIw0BJ105A5+Zh8sZJTaPUKkuvcoGqUP+8d1Z8cxlRVzxoPOcgAAMxvdjgUOZ4cnca01u UuyiUV7C/UtMelykauDzC+c90uu1bkRoUAipesyv5WgehOdJDzGnmuMOygefkFYv7yHEhibxZxlv +dprHu981k7RYq2W45ai7T8xYyyKRSJ5OL63eenlpW1M4qjAhEH//ikHOTlOWqG7UXfE1A2PO52m 0FqyWfRi2xfY2SsSDgO71fgy6FdQED8Vp/7eM2+4C70H/UQmL5/oyGqpYQyqRMaFvqUS56karPHZ AHPmK8TdLHai19nugft+wcInEMtxJWINYcBVbPic4AtAd+Ka9OZKAvx/aLMAupxFALvtHME5rMc3 LgZ2KLpTuE2txApRQ7dNn2bqR/xOoS2FPhLlDKY9KHyuvuFxTEAC/WFlZ18YzQ8DzQBCNisvHL4U 9yA4WMYnfTF28K3mzUkr/zd8z7vPABQNHUEK0qb+0x3pDI8Hz7rDNBdyeTEzVxe9NT10AAQXk51R 2QhXrLR/XrXRpiRBk2LdJIOMNIlq9lKMA/bitH8aqqkCGV32lw7q7CCtGlsRV18Bt5tYIH2EunFn osR1eyfk3sOC6+/5rp+1o76D7kwzgCCjjRhwNxui+Zm8YioMIFs8j3R4I2/40mmY8rpkp199xoE2 Qs7tCJi7TLPkHVF5tk+OMngxLaJeRmuBETxNmIADP37yZ46Ga9Gm+AvyhhAUsPBZwHTIG5OjuSEC OLCtzhMtN3BRqDov7sDfkVnqp2DRD3+gD/quCrnTQDsqevjOu01wFP0wCT88GKoouqSfRUOLCj8y GMp9K/rUq5lFTMvOGQMZNwZaDsh79tVP8D/5SSZcI+RO/zIAbzKxTW43DdFLuB69NoacfpFBspZ+ hyjPZmXMNe9iuUNYSNlg68Gv8sOPbkpCKGb3sfNsx7ejbGU7h2aCXkTpPnyEsSwevck1dO8rcFdl HrYNPmHmCGekYQ353BPOVV9PNpf0Ba8GpoxOTE9xe+rsJQXiAmEJHQC5jhRAfbkcr+ylKVeWgW0S lx0umcA0n6r3a8g0/8ZRavfqgPy8E1tR24pCSgCM4EbAHwRIZDQAicxTsabFc5seyVGms2f6LKMu pBFPFbpaHD+ssB/dLWPoADpDXonhB/ILgh0US9OhWMtQmPOSUR+O2hXzYvMQ1njLTGtmo10WD7wb d9w9L4jHxFdoOnIIzWEOjxbCnG91lTyME28dzaYCM5IavnO0YK+sEyOKE5RQvSBwJBwpnJGu/3Z+ Ttdl0+38N8vPIMIfd+ZK0AsAFBpdLJWw/Bo9De6oofnDpB7uzo886YlWfLXbTJe5/CbxR2HA5get 62vwnggslAMFLGO4FHFWOx5A4XpuRqA2lFHukJwWqBd+lOQ0YVQXeegoX5U+hkxkXn4BhXhCqRcd pjJpB3Mf2Ivi7XLuspnEMesZ6t5srFmZPhqMMYAe7RtKNeFcFWpxG4tT1HQLBFkguAD8qs9TJ6at EGpduxsldm/dkaHIilE4hPjG42IOrHCqAUg+QIiaTEtg+Z43MgB9gqnJdlwbuvEUYU5LpsKMPYOE df/FqoVy+1JvPvxTDNr+r/1QhVRx+l2bKM1Hval2HsUEKc1rynpo9VOyzEapCjegByjz2CjBKlJl 7ooy9Haj0WF8wDEsB7dd3ukWrpJ2g0RKnD522XV9y6naguqXBzNyUoEuTRTll3gYTUaDotVBZvEa 3Cn86asg99wrGFVD+5HOrHLPA8vyfRKXiA6IrjgD//przx1hRPQg1fkd+1rSRkpsg1qO5kVWKEVs HyrbOpn2aukL0O62tOUs12gUggKb3ymMM5whGaFwEwDitUUBBEU6bcJ02h5/AUWV/LBTHnW849ED 0fbTV+2GkQIgXJ53yH1xCuVAY4ZQpSpkASDLk5OmDj7lif/UXlRD/jfj5v27qApysIFSdy1/AShf lfEK7qhnuHWM2U+vzZrPUuS1mZkfRcS/RFPP3XOzB8L1Ah5cU1GKkOyWRwpPFlzy71Eq8QhsaeVv qxy6KExpLTT/dwtp8CYb/WzLTmO+EPqJ21kHgxKrhLuuoEYOdtgKyJKGydZ6+xRMp6QTbM/G827W qEHMi8Y7fGFtpJks2DN5SxRMGt2OgZFIDt9HXRvNt+4IzGpI5NZt+Oh2EXO3zh/Wo1YxQbfudweN +OXNWl4ANHXgBj70DFE2CsKLkTnX6Iiab2m6mHeZQNw7AZAQyVnHwsK3jbBgyTEs8dJ7v6OBswV8 W13HOUT+0ogQlzjHVikQruTtUm/Mai/mfnxMQ/9CQMLVvEiw6emyzEeSItHMOA7xUGtVWUADbo/x jHDURL5CUHDdCJRgWeKyht//vUwtfETQHa9YSEKDbpDFKbbL2HQa05BOwH6/1keD0iJliGsAmQy3 syU4vZMn4jQufIMGZ0wa0uVEcO3WJMqkYxlq/y+qkns7MFgf5YRmoRhe+ph7n4slIZtrH5MkF7y5 M/xJ10coYoMpi37VdLy4imOdJSe1Arct3hCBVkc3jHU/tOpXjdtJtXWL16Ca6QoHEpZ/2KmLxU+w FOdNfgS65zHC9K9RoYIoEsTGhUvbb8SA9goE3c/+iuvxCfAApSBRqWZ6AA4MRtdGpOlQGRY9fjR0 I5COA0u/TkkyXrC5yO54uGX/6whFFW0vEy4mmNlUkI5XkrcEPvAGkRYpw8VmD98msTd2pfwFRnFD HjuNRhRYtp9ElCCZJAMttBLKldtv1X59G7zk5fAePSXePofbv53fAky8B6ARZ26nAzn+POLx0asn lu69MfJkuJNBlWNTwICJt45N9iCpKVGyQOtQnJxgWW/DNPLaRsFF3V2rHMw+puNyJdAxEObHsOjD OmcW6q9gAaH8zzJRtOCTklNErM91jCl8WfJiD9Njwp5N5a1EetsX+51iVFiKUHTxdxKeUwzdZpsW Up8uL0QgKgamo1foXLg9pkdfcXXm9vgBg4ELzHUhvNvWp9WsqwnAkwNWYuX9+oDpHx2epLA8xAOh B5GmJM6MpU9tdIut/GWVlq5a3wEwP+xNsgRkQkPJuRAiQaQT25u4N051PyUedw9eo5WLZJQ4kF4m 5FQ+fHBAq3vr0B85j7qTX8xuUn/6bZNJ+cS7qYCSrNsEMtGYm57ORLB6OFMN6wsFRKP8IdRY/WzD Q7CJSW/DseP5pgpIUSAXHdqNiYDB9GNFDY/g5UA7IOfykZIt8aUr5dxtitasUNUbogIdDA8NtVDk c4i6Zh+YycbhomwDaGZrxaNYVT4UtprZXNnXE4D6TxLi7ciJlqwyFRXH4anlWUnA/WQWFUu5B770 YPUiZzgnEyHyHJKKkfn+jsQrG0/wTOLXR7yg2/1knayY3oY4bfYOqa/pAdFiDRWTy5gGku1j17TZ SH7qgPtO05kpuR8ob5dHQX/e12PSdoxi5BX/SCXhDse5TMj1fzWmxtv5NLISYic4a9HK4pd/B+2F PMM+QKK7MVGtxhySfL563LDXOH/dUmlsHEoLkEB9V3ILwYiY+MXkIBeODueTd77UZbQVAOcDuf9h UvX78P76cU9+i3t+IDZK0r5j8m8LTr3AvQtea/m1UmErfCe0j5hfBCGh7qFY0XdPL1W6XCZBOvu6 nDBfYcGHCYwV+dYKvWgcI+wXfOaURn5srDwoliWuD+DNmY974S2+5gAH4sZp21N87vOBbOxDbrAk 5C7OIZ3f47rkeBBqxTYaWCWbYdSQVAb6lLhLwr2fzv6YDCuYyvtkK7m+nkt82fTGMOeInF0QyG1c uPrQsxqRdmPhqO8Oc52A5hoPPgb7FjKB455HpC64P0OC6jlG51eKFq1qZDT9nK4msbB4Dr79oPPf 0HUdZlemJ0q40ycFKYxSb10BgzmB2bl2NWeFtGQFEHY16mRSyg/VZY0McnZIZzHcivLAZWRwamGP X9XUfsl2NLRmP5LDA3Rr+UwIy9pwvRNvAI0Jz735GqUXSUK14q2vUcSCJBb8jBKRLR63o/PWRB1w PDUsly1hAbhZI9+J265dLsPxYYL9mz2IwtYXnqIdN+STR3D1dfoQUm/OQo85q/UGQzsm3+WniQOw RhL7jsFmqg4CnKfyT48Zin1bj9/zhCqoNwB1v8UrjJF4GprSjWYk5nI11k+qH4JfytKfUVl4UtlP nwSAXpj7kETyFh+9WaZT/ofDwQmqKeqZ5tw8idDc4VdDr8XRK1/rj8hGH0Ns5+ZzdDGTzFpOepu0 spCCSKMDScLld2rlZYtf7Y0Zf9LKs9vJ3MZH7K0hqsD2In73iHkbk3EYeQJTjNh3fwON5qD7zniI z0v8iuhR0HoFkzWfYb6VfcBeMFOhDmBXiGYvKnQH31muCkkmnD+WBy+I18kvRR4mKOqc1AZxpTiX a00OBdrdI+sJIqSOAr+JWz+G8tp3wE0cYUnIPX+WerscdqCSI64sD66BeN4Ev6nRTfegVN8FFUKy CAc24uzjdMlUv5uIzAdXYWJdnL6plHH8sEsJ6aZP0z1BeUgkSzq3mH+IHZ2wkjEQe6Eg6j5Q/2er 7dOSwudgRHnSofGNAKuQXgQoUXZU+0CDaFCUpEVmjX0miVruOkOD0l1HenWuCG4Bqx1BcdFtg9B5 YcGmLYVi4YoMeeytJIuPHPLfIwbzaG2/nrWuxEUMxODgls1TL+AxTuHDvxoPbvi3IqJP0fV8+lAp xdxGdiiLhSfJnptrYrz8QQmp4H2fgSUB1fnEg09Z7+m299r8eAfkwp8U4MpomTBMErIci7ZmLr32 BQQll7Yb8gzYwdf4hId1j6tFVAzdyiQtp5XJGwmcnLobBiWd91Oa1VGnf2X6IibXxxkJ3M9UYqcM r5Io2YGuTzQKx0kevUKpg34j4gLrXntRmvTmhQp1/xK57W/h3tBwaz95aXzpvY8wjYwvxAchjIKn U9wEd5mA2BaBkAdnzTFxdgtkZrR9bIZXyzpv98bliYqlAQz+8OADDT5unqZzoZWKqxB1B01n+F4I fW9cE26taXo/H1pIWUHZq57SzErOZi/zg/Jo7mAOE9YKKpprPoKbV4GiuiB5H2CYDstN1PkM1fRK ZiFy1VVW1OXI6tu3n7XrmJh/pG4rTrw+NsPQR/h2NEMB48vHb6qGXssNAOIme/u43FesigqO3/Ry oFyT+wAUKevmIyTPQ+NEXrzLQ2KPWp8HBVUer558ps+nMXNwu9Nk+P91pQe2OO+rk3eN8EicWLtN 4mkscDTdSrTHuv/xMD5fU/7GdeRey+BpZv5VfXfkq0x6oPZEnIfYPk6WqO6eEwaULt8yD11pprsl IZ+m5XoF/v5v/+joUC4KM5Sn/oGjofhisJg1m3PUvJcSQa4WDgRGDRNMN+Q1qjza1VxpCc1olitM kkAQlVH8fcWIQbnuxom2KocrKkjEULjbfXDGAsUJIPmjqqbXHOlVewD5zZupWjnOuVzztGJyly6w 0QrpfTNN0F862Vr6aHsQVh983zpRB/x5oCJSC+KbnNXijWDTcl/N3JahEUzzZduPR8PupWRMX34A mgn2wC+Wv0g8F2jOLXsDCbcwr+HEI2TR1LCf9gJ+0JAk0xG/mVOtiHyz/heq6oFdgh2FM9zGJYoK jwV7qwpBtCVwmpvB/R6nBHyrnRLpeMQZpgzslyejXLptyK5YZ4WOWdBe+ThCMAuwGRFEfk7Rizd7 KJ92hqSz6LUdxmPcd+PSPWtpGc2G4492FM6mnMmiELxS816bakn5YDDOYWntkWQih6DBKdRESZi3 ++RvM/dHUvLYxLVwBXNoPnU/N+MJX3qgR8nmME5guh+YYh2AD/gvNK9KUrRhcxdQAEwpkMpce0K9 SJZVzj1omRPnJsscVckwgaLv54CW06aYFILUFgYrMp+u2c1IUVw7tdUmb3c2MXj/Cm8Ml+UP6D0q 6aj6eTL/h7a1zVOqB5Vh8NeHd6xp0Rp5TW2zF4s7AtWU/sesgkHhCtrtWF5gYnKLjSCm88SynNL2 JE0KVWtIy/w28nDIvLy4QiOxn1lQ2qsHNnAG4YMhedu+MfBG77L9nZt9uKIVfp+/r4YXsvuQ3pZ9 Obs9vLlW+oCcIZNCm10+BtG4Xtk9ez6hz0248QsDbq+6qax8nOTgGqPVUEKyjLFyXyvdHy2iKQB+ mZpwy/EPLkkABvbnikBsX62sMeRg+xkKQQmiGnQBDETBbWWRkOGL3yYgSUkxhk0X9q1GHXeDdkD8 LUV3Pd/o2XbiKRwvID09/VskECMGnghIxz5ZllnmCzi7n3e7gV6A29JjXd6oU6r+C/shSRY0B6NR VZQVt2uqjXxLOIyiH/m3OVcC1sWAQH59WgHOZm89Gcp1CH0NNzLr2oLCBkmW5LUcq4y6AvgE8f22 vzn31wlP6ntJCCSD02odBaop4FuOPztrZ9oV1leqPzdFxt8lUkQ41oosuWdqGTpv9+UswaX6EE36 WVkQ28Dj6RDM/XosrK+4MwgsaISMHVkbrxgNUm2LqPcZpBkq9zYfSe+uGVNetTfaC+5gj1Dv2AHc klqc5aM0+d4EQopy8VD3wtIl0EvcR/UMznfkGQJh+bJCmDenvUNvzVTE1IJk7+jvWNCeuC/uhlIV jQOUecRzrHxXKsNrLsrFHuPN1Ai1VyNjsB+LisRkvwvlNZyUr53KJpFPANnYg6G5WrBELDXNii5v UABVcLIk66pp1SwOUxyHzyAkwStLybJVV6QMM72uwhwTjTi19oqE0VQs+XozwGgTUqaF4JoZg/Lr TSPhBsUOSQHPjgYXDLVwMOrwin3IW15kCvcA8dVV7UlktIwsUA3MKoaKVzyoMUKvRu8rokZj1+kP PfmhBIF4BOl/znW/Ukz8bn4vyatA8cegV9ChoIlkeJIU+jcQcciXLFDGig38nnsX9Kig86NWoBUh n4H5thyVBDkQnA0kEiyypPSSnbtYEV6DXKhFhdD2qBR4h3uR+WVOs0000jEmg3r5vsvIcUsMXnyI WHLoRHYJAVTpmnpmKi1oLOnjdVa3YJDR9rLrKzyT1YKyNzwjAUrh5lLa4iRaGMit1EbTT2ERkJFy A8POOB5C3iArG5KRLjm8MWfmp8iW2f6hbakyhtyo/dD3iLyjkFMxQzGUvJmA208Q6B/4o1TUBXmz tgNG9EReMwG/5iJhIsXIvx/d6J6Wrv5kmdf8Y7s336s/O/8PFsKowTKpF9eW7qzpEdhO/aglqsV7 z7p6j0AOYm7VCbM25LtxLXqzgcOzVPlS0Q+kvK2pN1qvrJICyxAIlUPdg907eR1LN5Z+VJXjWOb5 dWwZh8eTQwgDIA3vHb2MwLlEi09wPj+K2Qlx51SOGBdjRLe2aCRUR9O6Fuqs8uTK8xh2Yal6OZPH h2ClajnRTNh1UkaeXaAkINVXi0Rn2X35eXcwEkpURblGRUP12okao8BSFl2uluC3zZ/spI62pbxZ kvIt0sHwL5R29pbFU2DqLPnsayHSOZFpYA4PztrYEp6mgn/q9wC2Iv7MOptWUo9YlytUP1YVTDA8 70JmlK8fFkYuXUse27NyuIJzP2b25aw6lrSD4qq6zP1Y6GU8UD6lwwtx9fM63yqKUcA7ZHJWVIbZ FA1BaBosFyE5BI1lOo9LB6/Eb7o+QdK9lyj2LBKfKxbEb1eWtyR8u9z1ibLG96x2LTxhNOQ06SK4 E4O6+oKEusCBCmLPWyIzaETvsPm1qoQyWSQ5Fur/UyuZQNCd/DRyimYPgGVaMF4EIb1hZ78stxnw j5vpT7/oTcXxK89n0WAuvSMMAKCwsBbKma3Ce/SuOd94nZLf8yetKCvfiXLDjNkqG619+wZ0AUV2 /uGb1HT4bLp1EWKLObq69z71BUPmJmbBMA4Wouw2k0IE/WS20FZQY3AvzJGjzOQ3gVpYkuXij0Ps UkzFLVezVlsl8OlFGytmjrUYzTN54en2hum64Yxl0Vl/cbvzB/UoOUqk1dJAK+ypBTyA9grqGoki 1IJzAVlrA1Gf5/BP40Cp2yD5So62tMk1efMCC460oGQYClFtbkEqAgObTojHaW0NexMTc4XjZ4zW NtiPI/TqLP/O+99QDR0U44Gq3BC9ZAq9XdOvm0qgoPVBuxXY+NvD00fe6X1MOygn30EpQ/NSXmWI h+34XPX6KKtF+ZTnnj1r8af/zuFL0QKzDDJuN4KL7ww+VVFUBIUGXSAU6ptbosqL47VPx6YTMGZV e+WXFt3tSX+Kg+lFpxBZcnx3T6pa9JFzHdj1lPAibtO4czCnCtKZeNSXWZClBZg2toXuLehoSHe1 87FwIf3c3fRXsr3lZWw9zWcYqOu8QqOhDWpxtqCVHXgie1y4o2n75o7G7QqoajIttBFGOK6NNfCf kJTD+ast9jzYFI8+osxbX98qtZdDAjILkNWay6wamMAT9ulY8kCdG2CP6Sgxx8DDFhmrXTxbpz5x T4yO1AjADonwMGzqJ3xa1larv1OwrDLEkgqLGPls+HUmmtgEAHxhEmDObuGGritA24HcQ0/38vUW 2cLu4NP9d1UHScuQIFoSSN9Eyuwj51HmoY482H9KV2IEZKaDx+s6iGfknm8y0jkLjgB5fWo8ZKxH rJHUu8Xg5zmL58QnHF6uXWU9OoT/UbZzygejyci3Hboq5H7hLhPL6F8ogzUMhQ3i+r1e7Bh7kQeg 8EJob7FHRdMu8WpBb8n0H6YX7eFIcelMB8KqTIOHsitc7g+hwc4DviklpGjSaizoOvEPkoUqAYWf xmnqF/tg1/u5lOj3zM5jEZyjw210jGgZkHVYm4vUO8GMGlYKIG6K9kmYR6e8YJ53cHw1Fr/HkdiX iocJGV9b/ZFXyO3mOc9UPdk19BKVJTgjxNrRC3TLI+GvwlEALhpoCUWDQ5i05d5KyIfcTL9LqGuC tkXxhdAIWo3IVcB/S/GW5FIf/MSpWImujpkAoYAG0f4p7mmY4iOWKRIXc2LPEpRdxzUy+auJ3Bfc OWPyAJS4V9hOQ7AoCYAx58Oa00YMAlOZJXO0FDjMeLKxj/GRVAVvrxCvs0YaQQMORe3Ad9CXMoJa QdnH6KNlIrpMbWJXmIsD5lWKczy2W1zfh6B6KfTrOuicYBa8l0lr9a/C79cU5O2RLYoBqGGqu9K9 zGPk+3nnRwFhL8wHpToVlxvxPRjz1Q4Qy840/0YxjgCo7v1URhOmseiP9oHPvOr++RYR+faMoJTd dxbdhIyZsFgD1ZqLeF/2DjLU9Me8mFLIrU0BttB59ewJ3vAp5hFWdqmJ+dYAE82OPEk3maLwh4la YvVNQXwxWheafYVhbiNHBdMOln9ohSkz42ARrDePLUeD5ZvfgGYMR8WTWXINP0YEUPuTxclaoCru vSjws3drqFTvmAWh/ppEcGUQ04c3OIbJ+QtTmybcroNy4qK+KGtx7s9Gktn3BzsD/Ozi460NP20F OHy2GsnRnRov/K7dq9sG0vHoQ3dlNnUli9k3irzLEcsonAwqP6MA2MRUmTdVu3uIRpMjxdxV4v/C /VtFhTgKvtrZoo/7OaWeY52ue2SVU+/bK2spLapNdzxylVXX7Q5EzYr29NVKFHphd1YE1s0eWX79 teslY10rfieAN54oYU/2vqgOwQe9TGl1Ixdv060PGxV2NyPD3lU9NZIDBTDGBokq/ziR+Y3WODMx xugppYstz7oq5tZWafSwn9+YVYH9Z1qdnnm7sZOMSSLjr2zp2Vv8T+yd0va0c4pvKrIEOHATk145 gC5xVCRNhuPkDWP7RJjlLG4KK53uakh9LxyxaoEedJ+j7vRg5GyAhp4YE3PRtOIjvCrSuN7XE08g jUOSTKmc9bBgUdA3j5fJQGpD/tJZDqlRTKKtXlsGyqLEg5mqTOTQVx2JDyPU3do6Zx+iahT5oiuI x1cm3uesjXo6kj6WDfTM67YTPtUJcQ9pZ4XHPupSpF54IKYU1ztmcEOR0DSfaT7OQt/UxY25a9cD 8EEfZ8Pyb2FPIgCgPoX6HE9dQF2t87Wmf9bBtCYIt4BUQBwKvz+2iKXTYto4RxczTmAsJ8GhlmCa YRkFTRfbSlZNhEjESjmJgKMGAIlsV6YQWyPify8URlesfgnPOXJKVNbuhKhStOVNnCZ7LmhPxXyS n26CoNMx7fVjmvxoJ9wiVCVkFSJJRd8OkHxZnBXe1kbCBgSikcmvOR+RCRR5+pMvLcOX87/hW5+3 +YzRyF+UgfUkQHtlSxTHJvffYfvaZO2VCrLXQdk/vwYsGKHKjJ+K5dPp7jkWnRWV0K3HIIckHUMQ uk4aoCvhOczFy5+CH7VnVa0A/lqFn+6E+Uzlhdc+EmPLEe9mTJcJfHwEMnPvVsuUnAsqFjf/i789 dqC7QOKYCV2jPerjVLOZr5NLJZ44loxH8ogh7DzweJzyY1E9MJzb9KRc4P0x3AGHRORLJOmQZjpN v9cnM0Dou8MW3KNcWra2FaFoX3Bwc5D18fL1eWWPrdQAWbAAqYhyjvxzZ8qxw2wsw0ZA/Liodsfa 07T3Tb3htLhg3ZgF6jV4L/uEbsY6XyUOjh+goLwdELz3NoYkpMrlaCjM3gnbcxtTOvqW3x5eZip3 L0ahH6Z6ymXoF2Fsj5ZVS4cA5my9/DwtFNXB+kvPtcsRoi+FeMnRC/Vhb2PSHcZfFtL1LvS+Hp/L OzEBS6h66ApEMW/X+FJahjRnwX6LuvvGV5ci1tAkMLRG7KHo1mlbKm5rsQ9B0vAT9aCwxsZAXHCA liMDWL2JxekL6Os31+5XZUgTJfnmvIT0iOie/nV9bTLbSXVUaFU6Wt+3Bg5fkX13q3e4Dyb/9l24 6qGjEOGoDt+d7U84YR0U5V+hiPshQpuOD2rIeCFAJiBfS6LtZY/RYOThIAbc4oG3gGUVgpAVZ4ed poNQ42T1/JBCoPmQWwTi7f5IZOid1k7vnF7hjcVFqN1DYqv8hYyzVsV5UKj1yyAVZSRHlEwUhVOo z5AdCgA06w/JudbwW3iuaO2J9xqvtU2COrpfcKHOGokfqCWmGzhWoZd1E/45wGkMBwUqjUZarQWb Mt5O3GK5vHVx1GtJl/ZIE5VytddwXw2RIuKbs4VzGvnMqUti/7/FV3ztCCAMcAfZpOkcdR6eNRJP 7aS4q3UZCqZ/7xgLM6SPanFVuRpMDaqn8GnCvlL9932lbRs6aWrbSCwQpPbasJmAyxIsn28sap7k 0R9RtrnhfWLqUGuoUEJPpcE3Wx8EUhAB81ZpN2tKws+VNKaMO9VRdY+dyy6JVoG5JPQXwAD/OwAJ mluKyk4cXH2quYjmVT/XPHswOtbnuTBt0uX1y3aiZ0rQ4Yjc+rp+RUFhemIfGfMqLLvK3aT1qbts gOOoCMH5srZNwflIsVPBo84f9/Ahjb5TWroKheRo8thkOW5p9jhxswl9XUb0m+ZpoXn9LK/KHRNO yrIOpTo3SBC6bG/xaJUvCgdauy0+UY51nUHwDC97or1iyaYWz4d8qesG1ocwanhr4kK5ADWtxuKF i8w1sdHtuR+m1f4Sv2fxi+bim2+IR4/UumjDi+pvxrcqkhK6l5Llo4ievPscAU7E3w3V0qREQmgc 7ysXr8LL5A5wDXjHZ5Zthe5j81ErE3SrSgKJHFuIGeVNqU1nM/Zf1eJVOwC6hDxqnFxry8jPWMKV nLdBpxGaJrB2rPJYk2hPz6yko4bZV6RonOEFeR4MBeGcjzdg7ip7mVUh3U976T0Q8zWs9jGndlrH tB9toDZMByKWEKTfcYAYcery2x2dWPRA6fQsjCz0oCjjH12vJFGF3q8tLabJlvDzl1Yda7lvI6w/ NppoA+ElD+X5OJUQ8W8XDyP2QKT1PwqeRWV6proAQIuUwdxHg1N1cpxxvt+ZUWGlXXHKD7qReAVn UdEWfRC4eyp8nCqN1QN94ixeYO8gtMszGb/jLeXH6CH6Y15vWYqf1nSg50gDKcXEudadvrkgXQvF G6VaU+GODsfurXsRv7JhiezjJThdI5EIodGIwFOuT3JC9pD0bqpUTywsh5UCN+YgkAjRX/pJCEV+ S2dBmjlRplF3XDX/TDfZFBvaS00502MiEm8rbDfzgdxk4cKbxeu36KvQrkZdGxouR27+FKnCuAUc RirBUecrpw4l6Wh0CuorL//1W4aC9irnsbOMox1jAr4SSMdZlW1FIJc2LyfCRX1NKyndYi2X5Riv M4ZnB0HzQrFp2rofYG0oleptF1OLi1752yOl890U1TotMbnWIZU4ExEEdGqhkEwzFkEStCmVt/1h pC7LVDW6Lfalo/JU714h+7C9qDpP1hQkCq4cFstU0d9xUw0WaxUmwR27BAMZ3oC836mptfj1vxxN M8zGLiW9X22aQWVx95Vk5sgKNVBIz2hSv5Qo1lpWg01LQ/ZlOw+CIK5gsIVOS6YxO5duTJjR4IU/ ttiXlZophJ+rSKrEwiCKRWAiCQqNDK9qDktdY3wLQewRWYzg5yIExC8YhL0E3FnfQywEY+FBx+GB 9AI+XGAMw3DBuHRdQkChjU4fPnCgj7Juo4TH7yNP2Ect996r1RXgyORp848ce1IWPKMxtzxvJ6Aj INb7VUEOm9VY6Fb8G/IdgAPpi1ONvFM9ZvjznXEOENRPLMXC372tWR3sRNWsbjqDGQOW9qUvzLv2 HOF9XXzNV9IdqRD4gRLWlmkaNCfEuSNZ5u8D2SQQwZRM/4AFD7p+r1WOA9ypduYY28RJ2aR/vgzg v9xFO3/qwPJzQgaGF/yXS14OGgB6LkZ/Sn5L+8/gkC3eSqrttPKc+1vR3/uXpRvB5aShSHh+8gx6 3l0JC3eB5hOixMveC2g8B/ShIx5SS+IQ+40bCOLX93odjXZdoejb5tiD+x6dWwu+gMlY5OUcBx52 g/SBYRRd62BNZzOThyOL9swvfwmDlJuEk/I7kod9vuiPwKEcedzl8YuW9Sk5XVcr7KKUXvwfaELm bzeWWa+Q98qHZU4s/X0qX+2fjaFIJzNWRNI7IyUa8L3+EC89Imhu/KVR2ABeNTi94ENmNz6T7Cn2 zu/70qa4TKYmpcfgy+5MIO5M5H3zAufBAGpnbjwOllI4vVHXg1ryh/ZOj/FAIk8s589UuE5NE1Xj BS4F2CieZTZUUX9PdYaNJioJqRaKdBdjQPJWcbvpSWgz59FGP3mSzvbiMO8zyWcRWqplqpP09cHk H/TkdoqH2SIflevkFxfl0s3lZYZ9xjXhlw3lfebfWxOARRUrERODAjvgtD3oDlLwkxPOO2EdyOtN Ste9cvCmmxYLXUyN5a4V1ufLGelJboNF04JT2pXaeHPNcImYT/JYxycjZKufiFDQXTlWF7SKdxlF bEi72mgm17VO0Jgn3H6h0RKu0xSnUnBPob731yLx/yeVKOa7G/38joN307GTMvuKKpQEHkS1j7SF L3/OyJyGm7Y9YzQ1fWmW/h7KitIXs7upXvfF8rv1ZpdlyaS34sa9/vKBP1rbFXAP61lQsDjsLgTs jx0kQEgqBx79U1f4HnGQ1k51Jn3JPCMW1E+Q4YZa30bncHcWgRQcHHNS0WpoZLMTM9qt2S/nNcEd Lm+WZXlDLvPb3LX0iJmE1ZuLr8bUUjHX8+VNi47eZbl+v41QmtLBVwvMt3ECn9a08IwIMf84pCbS SEIm7HnR2ReP/IFhRzf3GwGkEnGtJBCADqbtEPC3zCIJXUlhSgoxGQuMUpNzBBEnTvZDB4MTSmj5 qFtYFRBQhTIheO5+x2bmiVrTSChI+w8zbhZdt20VBd04hSxzu6TIX2nnSaP5DES22Ox8ogrLPlxI fUPwOJ/BcZyDYFc30l0QTHqRy6eVshvZOI3RyypXA0kAYr/N1Jarwz6x5JasH1ioWRfuATgmX3JR Fx0ypMz+nRJDlHqnVDtaIl5gioZDnXbgcP1gwyhFmwR78lNaQMu4sl0CIg4fB/+mrLkH2lWzfkDf /8CR8qvykYndsWOh82uTqOxvIFUwAEhivKMl3DmZVEd/WMeeU+PiZYG942vsgNlF2uhDo365AIMp QgfrqnAh25GaWvpPZryihmKs2jmuw5asb+8WWMBcIo0D1KeMHDmmlNDFaYCsAHcjTv1b/qsfOUMO EcqpvpCRtCkzXgr6OkDIOYx8Mj021HwGIB8N/BTWmew2kOi+Tp7VEA/Xh7Ox2F7Lh9r8kIEEE3+C Xe4HDs/MaAq/528UIqi2YmTyEzR0gHSo3YEizQ6rrXLX8foT+roK9Z0+C2aLq1JrTYjDrD1kTHZt HtyHCjE54bHFfzVCpS9lqg4uTzixWu/l3x8TvDeFkgj4fKJ4mHpvpIMyjAOG5jyjgPdPJ1B1oOjF Wek2OQpJdT5j+XTVZEp4OTH+5NyQWRJcj9bs3HyQdOcnVU56XWVeY0BZRd6GuIEBYEvkY/KWgvFQ MazPud8V+MpwR73KKFgDQCIT5tQfhbtKhyGYAFq1BUabwxkcRPWfzuP+PDN5Hb1EXumFCh7E9zrK a0Dq7HMnHXvIkQHtpk1lLW8bivCoJBQgm1WKBb7FGTk/4iq0XKW0Y8NYD2+8ILWdOjfWj83wiLuD ln8XyjR2jej3LVKtjeF7qs5yeU/YZ0Lal76DjWAkPFtvXiPgNoxoYS8PWF0YDGF9rA8BPYQVj2wr 0IR80b04Q0AOPxBFJbmzXPzRs1bav+Ft9IvkKf/NdwXGMGaPk5oNPmJBtJwm2pzseTA2q/9wXgMV QGzakvJ6DwHwHo8ohttVIBDEFDYY12Rv2uv5HokC80yPWF+IGm+6JXwE8dto1mKt3s1q4zcvqbsx +/DP5xULp1RNzL6vOAYxUZiu4Ry88+3NWojPa6cAYpfjXmnZfXRseKtfSZSvgN+b4wHXNwWIBAO4 nR6eSrkRuRLEwg0Tgbdd9H4N7cK93cRr2frDQKinIlvEcdofFvSBROCNOenKCP4VKd/vKSXUDg91 mpWHRWrjtSYt0x1lgeyvksJrmp6Qm/klypt6FYEK1HfvMkH4RhzSo6CTxUMtQxRPJ9Z57Ni8Lq3t eYX70FkNVm+PCBLiJYajMv+8CYjJ1lT54lOz4oN0rdOJpySxeWoexUHZw+PH4YlpqsgcsGpAW7Q0 BdkQvCXO40GFW4VKhOvB8CmU1UbCp9g3I1UszDYffq6BVt15g+31BoxQQJk7z5WAxvUVABzdWvRc Q/aoDOLPRYhHm1FXnmkthuvXfZDossVRV33JEIrCwH078zmxPYUDCx4cE0kCf1zlABeOcY1A8avN PdXYhZp4adgoTd0F13DMn2T4P//0Dh7Pmbwne7Q9/nolOXz7OnnUExVwVoBIaqglHmg7gd/5AiRQ dy5F4E1NVDGRqTFaTo89A3nOqXTJb7mZ70zNHBi8q+xo3dCFImamv/EdWSsbtlhEsaQrW5FSLvJo SssCghyXf43Z3Eacq/GoWKCh4duU4+/oYyDmp1Fa2kTmbpLk1hnc5gcf49h8HS7c/reowY9XgW6N /YKKmAv1U+kHM3NG5uKEPwShRQSK9E5RkWs9DnrB9yp310LXpkpe8/zBm7DBx1FfJYIhGx3xjZom KTMOUkmgOgFAZk0p+Ej7XwZ3fUpHepeXkx4mOHquTaC0q1x5P248TPxjELtZMooEMEY9e9b7DXIH 8R8ZPLd3riAvHKC8K/koWHw0Xk5UvLorbP4EsrufbkjUqwwE8qKmH0Po4mHl4FIh1kGVqhHgoOhC bkbuAnC39nF3Iq2HoC7GMpst+tk65S9tMZ5PwWXgOKtxB4W8HrnUvYVeDKIl3LnLsYcXv2rjWVnl q3ZjRNG59toEJYdYM3QUNyuzIYvY5Rw5Q7bWO/rQ1l9nFoRT61dohu1KDpoAUy2ekAkoqJZj/+pB NS8OypRrR+32KZ+9Ghfc9rQS8zVtKnblIYWZG0Cj2aoxmxg2VlHuwxtAbE1PlxOzoPfBfIWT9K97 LXSznsff9yRSRK9QWrJo//E8NsdxwX0F6oyVzSmBcdMmGuSjjLXAkAxtnaESbksN6ewSxDF1KfkU qBFh5N4Hbt1t6M6OwBkNd3sZFK040e+AtWNORTAgzopf/4/dsYVBMiSjPOQcOmS3ZStQWsH27Pby AbndB9CiTSSubqXSgzke1VDO2gkcOcgs2oiG8wTxKdvuR16Hexs9U0jp5rL+pKoV41naRwTz12DO pYCpBS7pOm4eQ+ATqOEcuosXbU07kbm1wrPQNQ7Tvuz0mdPAlQbmIWaxg3Dafez2kZ50gXNGMKhH iW8xM4sAFC6GgnP4SAk48uuAHDLIBmMDgN0R//YOH9QiJGGvL1msuwdBsWSYqjBqMfyXK9tm6AJw +d36nJpJeMC7aRXSSpvu/llrf/u75NMRsAoU306WFZ69WZfPS6TmPGBivok89ewwjuTRbWmsX4d2 f/18Tk5NPeF/rmuzvIYuEfFNaxSZHL3fC/6b5ZnZcqgW2VsFlejswWDj7qBwqyUfk9BrMRkOpylT inHDiwlJGl5VWy7VcWuadW1izTFxwIGuvn3Bd0mQ86CSVnUe9NoTgrrWxoRaHyPbiVg8dadMMrva bydavUyC65IStZvIiZdZG0qr6ApNKWyLEYr/ACRd3ge84yCuju4mcTZkOtTs8r816NBia8xnvDBd Mikf/Pzll+G5rH8WFCEzXPu4FsmNe8jaIF+YgPgNYkzIguZNKAwk9bbpKzGsN5sLLRsa5PHf7zjy BV3NbsmZspE1mdCqlBqOGN8JiK6Pys8SPhYmPlRwy7wl8COMWgKmupovpgdaZDD9KmzxvBmsjo/F Y6QDojok33B4/SbyhL2oSfPT+EzbBhu6r5KxxITj+Th+b6XnttTRxjwEkO1rIvRsxjW5wAoIFwY3 lC7IHhujwQ6aqP098rtlgutkGWYSf9Dv+zT88A0o1muBiZjqqys/eZWewY/SjV4oUa8nUcEvaG3f MIf44CouMPBNdfTwpLEOPMSqHrOt8s6Gew/nIM7ZNxlvKtbChoz36i2rVl/RBA8cddLtXwsh326e AOt50CrQx14B5vr9Jpwq5PCAsE3Xmm4hNY+QROe2DoXgYQ4U5nYqBTlr+6tzTgCD0ZVDP+HsrzhO GbwYnglj3wiY5SfeSsETZ6DJdQj2DcyjSV1QBTUNKVb0l6pIebNY7VnvghVNDfjggRBvftveDufF WMV7+qL+Em0Lnjy8u6h4OLH/9cwFJKEuQf5r/vtIwuxj3iB/Uxa4nndOdhxOeHlZX7XJa1NfQBq8 Xm3+Vg7d56huki+cCc3PBYbeG74nglqpE1fM4Zxd9luH4Tzagq5Qsbbr7YMRhOaXhT4WLGve8DHC UZVk4FjBGQKrz5zuUUmM674FUEzEiLCRt/HN+YuA5QLctClAQlf3WHOuTv0DPc7EvUVxitgTpL8C iAcJkoJcwVr+gmmEv2aQSXhf6IA/Bzm2ZgBQgnh2Qeyihfm/5CsEN3kLGWtKhVO3l7tDCRiYIHNz l6SNH4uvFGbdmZdIHKIY86GPX3sDMNYuo9aqouVBOFd1IsZ+BYtM7uMZSc+wfS51+2ibqeSSCJh2 iIYSokGjFv4jamiQxzlMthskwrqWKVRtlMdRAFz+aGgUO6ywOw7WeKZS99CVMWcP8nm8qskCqA6y jI3LMITt7pHOY47FVw6LtqWKpyN5zoM24Bnsm4lwNd8C9dqU+6VArH0QGAf1C0ea5HuJx6YZuYPP f2UE3+IYLi/ikmOprD/0TOTffaKg5DxlGKa2JtPXnQIMeaBX+YghtIf34zEU+AgAsohIxD1FGY7v lIdMPjSn2u1n4riY/xRlF/EwikIS+odHtqIwiQQNGn0w4VNO0FyXgDtDqSKfNILPtDrrxCt9wzYY Rn/9vOeFoxd6dbKLQsaWy+sithliqXFT7JDaLT5Kg5snzEqmuGBZUlfn1b8+V3g8FxO92xSLk2Qh bxOOJl2VbydFdBBSyxrcFvhz9RThxYrzV8qsOMi8O0+HvaZ1SP6NWJ9fLzu3t+lZEPjgW9UZ/mz/ zGkk1FW8/Y1UNUwjLz0wFSkD0XxH/fLegkhjfyoL1nWkkPNuwSTZnytsc2P7LUPWlIzE77JoXpyl wsBPibv8X55U8JPsoq4oRC2CBmiKJWyKkV+LD7KIOBhXS+v/EWPyV1JYiZ/BtjHt8TUNw6M1U3AZ 0ogHfdAG48e2D9D+1kHuffp35TqlCNGmwi0Jd2ChAGnMxgtcxLli07IZovIcOUwwlY+DOdGi42YE lH7g1SCLtCtETbJNtwCtpxgtnqrPoQhdxiusWrua5YyEk211z3rOuhBnRxUxRjZ0T2zQaj32tPVd J0FRsxCwkPSn9WdwNMgm4tvu1tUV+OMeLiOfoCuJAoLJbWVb8Lq2GmI6UH7tN1RuON3arjn5dUu+ gxvJb1f+pYgDajtSpH+P6kh8n328CUNJWLufHH6G+5jbMLRnvOiRelLZC6Yg13IpjuQnMCiB4dFS MbBxq7q6vGle5x+RFsjSefKMOABj/haISQYnpr3VOrqH4KuE/EcovV3hYnjmrffSndA5UZTOIPsc vyZopTMYU3tvqMqoZmtV8k6hSXt3f6zQy1eJra1AB256e1nZbe+Wr6Osb8ko6xnf2+swcYMSu7/q nvHP3PUy+uo52Y8hA+P8XkG4ib19Nq/L1d9Lmt2p3hG/Zq03McZJUVNtb0J4vTHcH3lYGPLRJORG lNw77E2EU3LjmYJ1YNFzjWiVXKBrxh2+NIIJ6EoVPYnFecXeBjQ/W0ONqeD9Gm+02sMdB7b+hqAN H01PB5AU1oVD7XYNITizD8EzqmuRVKlUKYsXmjGl07PULexJhBv7O0XQ/E0BVllqJIvwaFhDqLLA Wu+Jvv1eWJxgPTrRcpsGHybsufRiAUtM9LWX9T7h/D8vF409k8+CTDBtQxEHiw7cD8UBJOc7kH4t AUyTorc8G3jFzwto8PIU95qgmN4Mhj6YqxjiyO4uQmWuOjrlxfcnkpgafwoPh+6TyydC93vldEON xJhAqgWFYMzeSPsgmN5sj7ngIviNyKfZ4EZcJX3wPiW0Zlsz3Vgobmz0bj+m6hHm/qIrX+LtrWLZ A9oVrmXrNxEykBkX9c5jZXNOPs2Ch5mLAgtOjcLh4cFpAjZBXWh5jaBPuR58dFFlyztry3Hb1Drd irU2SkmsrPmlT6DUaHsrdl6wubdupfRAcQUik2MWzXTu/9spsDPqUm3Wsyv+JvtLbgSt2zYtG3Mw jnkmJ1MKSJM7nV3I98Z6CY3I+JGbgzVrI795+IWXlN6bvLJ8ce5trtyD7BsLmecC9sKkyfd5MmG7 EWV5G5FOpn9PEvkqhB/TCoPhfghw/szz/v9ehphbspPpHybWiEqZk1JPb65tSCZU0+r98wpMBs2u GWL5s8cxu9e6VNQKZFGj+2ERBOnkELlPJqf1XN8UTJv+Sh0+trEqdzxSzImtTDn363Z1sAU3PrLj ISWbYhTMSdjPjB3He5dsQzkJ/BkpKm0W/LB4JBNAYFeeSk4renKDKu6LHtyEdZtwkiMDM6G7dVR8 U3gxQTmhY7fVh+j3xyGs97bixgucrTcCfUEvbz67E/nwWO1ssNlEcTG6ReOB0JZmTjVZ/XsJwzF8 STqlavA53oGOjWOlHrLNNzAt1kxIzoa6MMwIPV7FezRpgHlTdSVdAq/U+LlpNgwYpJMg3q59DWpl D448dbCHRcUxoGvqnGdA9TS8t5tEY2/CwrqFqvRq7GV9GZRk8i/F5BCiDAbXPu2Y3x1VEIJGi+xq IfzDTd5k0oQ8l8NcyKP3c7YHZ0taAXt72+64KQGz4Ki/znL7rvUyzQjei3+dA4iMW34xap8oMP1A T1hcLVytk9ixyzvgKtfVxlcjF6xB+5DXXbmf12ay07KmupSJ1lgwqEHd5Qa7/UMPMDfkwVpiRo94 7u/YWDc/SDEmnP4SMMFdBoH5Lz1utk7Xni1qNcpWC85gn8IS8LIww2lXVWxMGaG8cjHe/m7lHekp tjlio486cMjN93YgdL6hSPeWjAcRsd+O+t0bbMfgKPBf1WSHEP+Kx6aDbzgOiPMvqFlrFJe3jeUC +wkWTrRXXMZujLZ1SzGakeysZLK1AnX5WMtsn3VS9MlSgW/8M0nX7379AfQitg2DIXmoo2rHfeil q9a28h944f1I/m4oYUE/iIS0gTve8eSl3Qy59AzXLx5Yz9fZUQ01gw1ZDvlJxqTzxCN6kElQv0CH aqLj72XF6o7RxeVtdoUjrwuyOTSoNSJpxmdrvA0vneFh+53G9Y56Cgw8a9Ntli26qp4oiLyfjm4C zWLK36oT9yndtpnn11fe4cpFlczm2vciGx3KkVDllgyPWtgowFBjQCozfVLTY8SzrwOeFKhxiiMj 3DLC9iea9sZdWZ61idNMPFiT8FbX+A1076+7lW9GEFqPpWzeIX9yu6Q6BeeiFbRvCdMBnZ6aKP9A G9ELOluD6EYaq/jXXizWbnYYEPgFaPz+JmbHald6Zn0WtDjgqNg6gZ4RZht/NJh18WQ3D+SueSZ3 ynROo9VoREixPg4/szoiW9OnExX0xNsWMKavGbJcQVh/yCgWX+HE/N3ITHLKuxVfT4Yq6FFLNoXB 3BcMoiW7GZ3Vb4ADGeO4NkqN+5IaR4DV8Jt6RJ2HMwcxJo4WvgwJvMZOpi+eWtyuz4v6e5B3xjNN I6Dd1+pOTdBmeaGB4DjXzdMkl2JHU4n3qW+Vw5B3XNbGx6zrQ44sRNDexM/T/EctMDxJCsnCpTjs M3RHmRKeImG+wTNN+f7kgvzz/oDwyg4tQRWCjixFQgCdPfnx/6N6SAaMxOFPRrZJ04SYDjB8HXxe cAwhlLuYc84z56Wh1dZGJ8sWcA9hW9VE9wGmU7QJqQSMjBvZWlXd4kJWZghD1nUN96dt/xRW2sR+ 6pNQupPq/ZkMTdG7MYTw3MCIucQteSwm3QQvVm1ROCgrXlCQUgiJO3HFtsy1dqflU59+rCajgv14 CHhIqnWX8xhmH+O4lazeYGaAei7P2M8J3gK8XTQ2DFmLvh9AMUTgPwEKsrDMekQ2Z3/xYi4XqVAH 1HptB8T/SLTo22Cj8/tDQZaB7MFCgCYO3KkNcdorC4MV/lO9OhvJLiGIPcERoNmxvma9IUqEdApV mpkOH9paT8Q9+raBsOa03szPo5UHyRYtt0o8S+yIHuT47xbnTn25m+PtFRbe7yk6Gd/rxuBqPXF/ iWTYe5kPT0oAXAISiB2ph/U2nMZLUAH9KBuE5g6gr52xLSny63sNSUbm8u9tCQqCK9j8uzs6oHc/ x1s6d2jHwdMu9cvnpwJI+i1Bfn1+5IhArg8ZB5Xvcyb4zSqZGW0KE7DBrxEsZeYJF+rRBdZR7gWt 1MaFHQmeMwWfIRKFqzRQ6pBckWV0vi3yxn/xrUnGz/mWPGXzFK8TK6vV/+jOSpkvOzqiJVnu21jj IlMo6mKCWKxwbyexu2SUOgodXDbIBefguiq/TifuosPAj92l4EBhRL3CDIOI+7dMIzQ19uSXLttW 7IL8Jc6yJo3X4KCw62A8Y+KZG8B0oh7yK5nP0D1a8ZkDSgrh14HacUBgXl6OfXa2Td24LftVuK51 kq3zcakLKpB38H/SjiYqlgJgP2X3qVBCA4Wxav5Zr71wd5Mueh7ENgUheBtZLmAMvUyy92dkEIZI 5gfRT5wk/mXW7gf8OzhdQynKvhdJc5My0YSXC/VwZlNex0XN1DTyEXBVS2+Lfe+ozc3q6eGFZmjR 63N6d0smhBgFw5j75KCacn6xuRlhvzkM+3J4yDHBKv1QH+SW7ipcMFcHmvwh/xV/H6dr1fwBKtYq KOUpBgPNlLg5+5XoOLT2/YBbEdnnvBjCuem6QIitRXLtziNDQPRds5Y+gmTXvGGFlRQhVbFaY/Wm 4qeVxbmRJAKdV/gMp1Qk4uEKC7Y5apHZXCk2+B2N635fZ2nBqcVi4fsW4yAsjbj6+cpRoJRHN3/5 OozH3UyF/Nfm40KWNGSrnaykNzsfDXSygffI3mRXxzG0VxlJ4HeUUvrPtSh36sluRd/XC1BZYJik DqNmpGiOU4ksVJ0Gh2z2QyjBSb75yIrGrwu+dQ5SA2AvEegwixGLVlvlfqW3INQAfQEz+pXGjnl/ DRG8zO3O+RzrDMsqaD67QxdLXC9fVstS5eEJeX/kBJo9itCRIEvmwSKT8Ie10y7k9N/rc0eNfKaZ UhUEYoJpPz/n7/N3jrZC75wZB89KZKLmLnTJRzxzdEZLszkx6KgSSXoMsF0AAxD23locNdyfNfIE 51SeN752PlX2krtK3Wzjfz36ZNXiSS6BLvwTnyOOpI3FEldc/Xq2aprVhQ7cF8Tv+iWgBVj1Cpw7 FQVkrtczbnGSEgQ6dRqvNNUlrBXmeSbuew05bNVHEKf6rlwicIQJ8v3eL4JKiqKLlGHCOGmLKKP4 n5yhNmCRaGXrLJGvlcSVqEcVakxf2ZkkqIxc1d6Zk7u4OKESsnONZT6zhH0sOxPWUx8GTMqM/aWn jz1pS/R3WPEP98dvYsyzqvqMSC5nFiW/BeUAOn2huv5hfI0cDBxnqNdxtwdvp9uSbvkIJc79z7s1 iSIKJYWMNKoam89w4muCDvNMgpArA9NruoktGdJW+RAp35dIgOTey15WxqUevIwDiEsxR+nKtFEN eEmtJZcLfx6npy78M0m0zEK3STltY7Ev4sB/ik5TRdAxzcGhayYDHy2fmRyp+FN6JXFyIRrIxTms YkpkIQYasRPFTuSm6fra684gMMu9CkApk8t90G5WHNRyxE8xH/wxYnxijs+Nufqpw/t/x63LGK8+ jM+EIONrQRABJU1S7nJVWOpUJ91NFA2senybN7fUrFComSqZHTjEbeO9x+Xbl23x6W5LGa6V5guB 0Y7fRvTDOSOZSn9MFuKMxF27EAHEA1y+iKhLxF9ZD55qKHBCAjiRznUbDHFOnv6abHKqFFZMsaTz o5vO3GckYrAB7sSIo+e1M43SjGdKFOdxq5mZ92xMraOaOtUkpVqGCBkpdjkZYMjKg6B401DFsf5m f+Im1e5xxRjC175Ch4hgZDcP5yiYRyOQT19lOZDiQv4jUFqhvavVlYPjHHTbgfBzxIDDhlVbcTwj 4e74h9wIhBFpOcgmnj2RpdOEenc0vioiE9nTn+cDnSCxPyAqCpCpwYa3JD/6Rrcr+EqNBzLQM05c /0gwZuBmfXNOSE0kkqIY0tIt7oM3hpbC5H6BdHNwzevJcIn4ieoibjEpfSNu/H44nCMHw6jAhyLv eRM939sIYkAQzUHn82MBvgOuEgxBcUVlCwxez9XS8mCtMmnn0fNYqWjLCc9I32ZbV9IRSUtFmFtv UVPZBpcuCbtP506rhMv4IjPadqROKVZ0VG2cMVE2NsOncUbYgcVUI7wy/6NQ5ntvsphskXLA6zyy GDDdRyUYZl3XOL7LnZLLF5PPnLi2g9FDqfnre94pYEI/xBmFJcsx1LBOBls6riwwlspF4oLFERrj TYHbPdFARBUurHn8FthreoMTNO9Evqcmb0GQRgCkb4gBQHwj6+EOAoIplHXYK7DDavrr1jOzkzWC A3sCWwk55Sa8tBZg7yAW/EjKkFZEZMhf6LKXUhpfmWnAoAM37SytByIdOq1wetBNB90vh6bvpAoc aHZvqeNPHFYa4cJNhAw2mLoIHmlXPGDS1XKEeaYZeiLn/rKlPYGvkG4JOzmHv5z8QcYls9qw0zP9 drt255yUcQXFWD2wPQ2NAn5fLnChu0knDUnJ+xegwKtlQkQHSW1xbLhHBtoWqa+JvXbdGoS36WvZ Eieq8PbJD1q2mMb5RGiua0F8+geSoCp/y7X1sr7tHkHVpgev347SxFEAyqTnGLsUMFo70RNx4HR8 zwTM73qydwTGPWEzdHtvL5tKq1BRZyQmjMTNccd2btOInL9bouBXwo+recR8m2hqTOzYAxj+PRVP AkgK+2A3z0CazOeB68+mb7KatcBmGPB+OXbZ92NGQJXIMlo3kLpYYBcah8VGVrTD1q32FWnTalUH VDnaZp37c3XbVL3XAMRBz4ZoXVJ7K/BUodlu/26W8+Bn8XeaeoVSc82UhxQExeXT7TMuvy8MF4S1 F3xMLsHxS+TC1xnG0K5UOE+h6vuR59Z4ho8FVs+gqH9S88hXAxtjo0qaqcNTAZsWP2HcsmWnpI9b T0HGTXYyyaUpCi9coqKRERwxCqNlDVYtA1UBNxSS6SlrCvJ6sy5oR45O2tW7fYUxf26wlWYAuNPL vGR6EbgaPoITrecqWVlcofWPzDFlMAa//tAUeKWHNN7oM+uPrY3GM5790vAKWiMSIAWT+4U/OI6W UjOMEOlSZv5mb43Cmewe5FAqF5EafKDX6oDil2+bG3z8NEK93/aGLakJMGS1VU60pLu0qsoWZN7r BEgIXkiAOpKwo166rE17jgr0XyIdyMo0eoijFjcPK4FqOsl8t49yCGzarD5C7T6z1vHLQB7+6qGs Si+KLjW0jalRm27KnM8nOqhjZEk0wiQdKkrUob+XVWb6uTzTBx7un6Y44hmxwHe6gKvnZJiIYV0F Ogol/iKUqZc9SLKPjCjn/abIwZzwfzSjrlyOfpEyg8mnFDxkj3x57BGSu4p/6AwDdYJA3eFKmi9X G10ckX3bYRTc8BKYpP8x5Ki6hvhsbaRl26iDxnxwBkfLRCztCx+uOwm2STAzut3wlI+ATiRnnXtk nkK6Zme2RoCuzWO/ZO9G3zRbg6de/EB0ds3rlvS0S/5CjbI9lccjxs7qcHIabFDbMH8KCJ2whMfg OjawKhkiYafmjiYFIw22u9ic7HRkpVAMVZG6CvHSlSnvys6okjN9UM91meJtUvoG4aIKljW22mwo b2KSgZvveuRjd5lsMgQstPHN1lbICPgGRI0kAvvH9Uuh1pQKmUztixIUi1xx5jyXJme6NqA7lvAt bnerKH+m0dscf/o1x65K6QFe2geD2ggPQzcLZQAwRjSvdfHoMPEN7tjBUZCjA1b3ysCR+85NGOti QYexUXCiAo0mIuP5K9WhGTZHwrGvwf2epGNicAQth03jQHERD2kSk7ct0531vripyx2pt4nu7/jz j3VM7Fws8aMHgzRTIKxGVX5+cx9SEhqq3STz5NXWSnG80eVYzbzjH74aH9FoxuyZtAu90AWy3174 kFpnqihJqXEt8WtWQ8nURVNQOfK4Mf3rUrqJUfzd1BJMdIAvSIOmPyfnoMcs9q5oNl6h+ezAzPsW CFST5qT2yKkjD3QIvQhlzVhVsX9GKaX9m3N00Nf41Y2CuU8yowqh61iHShWWvaGK1JoU39d+CkXC BNUB6B2r+1yejTS+2K8YPcwI/vKUMif+WRLWmljrnwNyk2OZDLK2i64LGAYuU/t+HEO90+6jGXG1 E+MLW+aDk9bjSRmQcGBlmiaW0XjhfoCVBQSjgxNISgzw1FZB87GO+a16lK/npHRlHnri6088lVVP aB1kavygyCIFGn0hcUQBxYXUE0pqGBBsS50ZLR059OZrPjS5DsYsnljxmia/t9f20tE+eRI+zaOK g07QFtJBaaisocMHiBw1tBgEF3HMgK+njfn5F48i+RojT8jMAQcnSwDvR5O0CEhCwr5xm6l/ZmYI wwQ/Wzztx8ErQZdaygFAPCGXfeO74G8burL4zmFr/qbBrxb6tnPzjnhT8OAYoJiGITm3kO2bVJ0J sQnv/mk1KD1qapYreo7oJpICKcd1UZxb8B4uLM/Nwu55EuHseohm7Df9cmrONb4cbRWzJmKKGS84 Fd/uhrsjVnBE82Z4w/EJTCsz1LgxRCj7HavkRyJbOum4IQcLXebWYlx6f8Sc5Nq2CU5vIHMZXRW/ vCv7EiTx7JSTTWghaJAWdxJT/A2aQY1tA3b1F0kFrZRl2cG9QpZi4JQraDnZh6IHe0UjHWZEhwgQ /Ox2ozNFaGiXgpZCiduQJBpNFRqVbk8wU2+LdBp3oxNlK5JkRARa+ediJ0No6cbyiiU5g0X+O1ty DBZeCmzW9ZPo9NA7jRbXkKTiFoYqTrdzjGq/NiQJYPMwY8bxn/ErjlLDPrb/BPs1OrqdmTTzS7e1 bgnjAYJR5Aw7YloIPiyvBlqVKZePqncrB7QRyXn7Ys2BrF6YybDvvKOss5MPHAWt8LQNaSdXbmV3 g8eypzE8Kzv5wwq/AC7Ct9Fo6nXnpeNujoW3efemdTazjC+p05ehh0YOqXkGb1LSQ0A97PfJCGzw a0RMCxZ4n2iZBb0K84y5s7CUU/aGGPovdS1xWi4+DL6grttVp8FusvBuOG59FM+T1OYJdhjIpSmy tDMUaOv/rTCjdj13aEaMOzyMTy9PA7Drh+lUI6sSX/GhBod9vGbjNDzSQZ5weyxuJUw3YF4QE6UD xyqsm+fLb0SMoM3Q11cWBJlazVj+SpyPCvqXTZ56sViOmy/057j6SUCMjVi2/bpkwpm9yajjh93B ZcuHJWojG3an2+HC7Ak4kPFJq1C1wcS5EY0teRGc8L2+obE5GVyrm6P8RX0vyATyd1ueQckk/RD9 vNv/eicGC4ygKrGtkErfBZZeq0BycGOCriOf2B/RkAL01Trhqh1lKpUt9wHCWTtL2aVkwg4vp6gd kZilStCdV1/2tISNVx2qiO39TTBRKfJ5yE4b/eXIs4BzvWhZSkoyQIgzXTuJnLYxnr1Y5PVpOW/S fKzrQja5yjUZmco2pkei4YheGjCTytIr/a5FmaeKkJ+cQ66jCwvPfQ3mB79GSMGhmRWOZzJM1Xyc /KTRCQ89TvQUTKnOhTvERqzl/3unqK9zKo5tGYDZTyDciUszg/sWey9J3Ui8ksTFGJdwAwgOJdJK IdEYEIR0SIQC+x65W9fdkrkm70JaSLhxxVSkXd4x5ZpStz1kGIMQD7QOWiXKKHh3CGXQ1u83NJuc xNMGH+DJiJJhglNeX4ElTYz0rtX3sCI3FYB2Lagug/Dsq6ZVyN1egdZSFz33Zl3SIf7dAs3Nk3eV F7mRjpHyIrD5R90f8OywNrG9HhjdSlvTLfkJViDCcno3pO452O/Qmej5IggA088h97aj0L9ghl7k iGhFgm0nVtuTLgSuhuk0KEUVxQJ3mFrg0AY49tHgWXegXRYw7DFncMEn0c3a2qpc7ehpVJ5UKALg jJhWgGRQgK8OprMiNA2mSUjQW3Cq4+MIxixuWu+Vm+obzan1Uy1zIdUWYcMgkyEI+ApAxOBfvuRf mlYz+RRn7wFRDYV6mo8TAU2lTOXa9BeCXEcl4d0xlCVAvWn/i9vioLxjEJPvUlImzSO0DwYeqyf/ oF0w+ob1yDZjXvyukPWB8NTuG8/TyHD/C2jTRsXt7NRdceudUekkAUfkd83iOfcNUSzWxubd6az2 jphgfOpJhtN269+retGR2DicaLRdFa1lqe7kGsu5ICrnH6T55LAR14zi66uUfe7ISsiwbQQcPUXu aB8Mt6CRiOJdyMUpDzIotE6jHtOk7NBaEJ9oPHrqaJvtrA5GVBgg9DWcLIhyo2xwSpFDHvuLHjuX nBZZLTQthjID226acSmTXIVvN8oOn0WVlTJ+ylp5eBANpVjMr6O0+okUlSMAv83LFw0AebeDtbeJ zKb/QCWc7tS1sP86AwgBesrcd7wRzRN9h+SrX9vacdkFFqNivC7ilt3xAmpZnWsI+ynP3NknXxEf 3FK6xf2tNyBnIfiX3ot2rvtVu5c0g40NYFPK/me6mW7YjTrJoYmYVLDyyKxmfFnTslG1L1F7PlVY 2P1ljWBB7xVRlq740nF4CqrFtFIkE+28N0skeAB/wqiwURkRXNiQ19JN7/Cd+B3Oy8x4z+JB/nCL XfjM3C8TrPr4Uz/fqILl320FmXTYvkOwpZge1z289UD0DtyhX9hqDYNmGnjtTzfbs6NBB92lYmEJ Zr0KPjPJPbijYIiF9Dy96Vfgf298H1GPcPlYu1HLo2xwjTiRPYsyO1ZlRBKeyI1f4J3AaRiftRal xDPreFLT2GAmz7LwPCDxYaFRKA+lW3x+7ipkflPb4vQm1GOCOzINFKW71Qbt26sPxdSzwchBvgsN 1woOItfDbPZZtF52o5PX3DT/okSSPSqtEau164VwO+GJRRUGS//T/OBz8oIJ7XvV7q6ZyY6EwST2 AHS7pBVnw4S6p89F5ZHlM2q0o+heIWsA2UYor4JjzUwZyNCzS9p/jIlnm3VchxbJ87bwIrRCEjiR 48DDTwcmJm0BWzhg9y6cbnfXJ9NzfwHfv93dJWafsiCVfKchGp5taZ5U/GpEacO7uPzLeRR0oHP2 K1ORRvrfxy1XpxyhmIq0Y4jOFobYUvkvTkxEr0rnkYtlONv67ilKz5CelLuQoNhkS2H9vnTkUNMH LOHPHuGwZv7vW9AdSa5rYJ43ORVrrFwpdKY4ZklNUFWA80d41+gVjyjoggRJJ5aijDea/lLIXsLb qqLTcG2spIB99l62q79Hva8gXtjG+WHDFWwTCc8I3h6uNL7BLad+eMXu9k6AcRD2OoRF0gbLIRyq AZ/uXPuYDRQpl6krj0r/n4trZOAVqMMKgy0Ovz+6pk+oD9E4Nl+pEbIvJFuac79ZMqZTlSbAYT2K IEq6405t3j+eQfadOZ6+kadRPselTRPIjmXeSMNIZm3bgtqKGodSXWXC90Mp2VtBg1YQFGy6bvID kGA14zsBILeZIOx6xcbEmWag5uCdoAJoLP38OMh3DwIERKZzNTcX0ImA3K1FtN9bVYHXaHnaIVAk n0LV/fe4Ro0/qvfag/znqUccwBxocNU2n+2PYHBr8pnNxNa41+NNlgKQqE7ke5GfZ6zbqZShcW/0 64JzMAqunVGe+B0jc6o9qfetkrxjSuCwxQGL2Ae81VisOaLUaqMlyj3YtIfjHPSANtgePKdShaul j8FPZG4Xn39P9Jc6VcF5Zy5y3s4x1D9pHXH8KdGzGDMjh7J/euTU1X0RY7eTVci5JwRoxQr6s2AU Fb+EtZ/vof7KKSgKL+eLDxhYLPU0JCNm6xOWetP8rR6g9nnBRo8YHe2/r39qRumqt3wb17Y6oM/W LLB4/9gP3m9SMam2VluELo6ql0dfKGcX68EQEGAjMYL5xUziSRLgJxy5cOKoeAnmhk+RFUab5Mi4 hE0gG24CETrFZFIWoQf3FcGusYR466z+KSC45B2U16zdHe0fTDojsbAbZ5YVkDeRSfNCMuznIjmB vaa8x5vnGxL2RAvv5gS0ig4ujRvE5Nmi0juq/ktbu5BER723/pDBN/59sw7xVObuVThOJFZ4cw+b Cj1hJ+cP2X54O0DZPjffo0uHZOZctdKhUaIfqFfSrqNMcq72YhpHn1luRb7AYtr6JROt58RDC/3L X1ilewew78si8BL72Qf+AnDsocu6BJF9Z/yh/NhQfSPlZ8/9V0YsxG3SrbZJxvd132c0pV6ySMda 9YzsnuRy5yMmczKtJFRHpOS+oJ2cfZ3pGnpnYH+lyX7WqZ03v5p6InvBAcc9rM0Vjftq48nDlszQ OnABZZekjzT24+V6HrPjOfo3KGcHliwHtt9iVfE7F2torPrmEF2D4IrRmjmXPUgCTasmGm1oqh5+ UB8wQB9OHuAWpNBfdp28nLq3rssm8MHE5JqXfuDu3iedzhtltPr9rAfRGz/kzOvnHOfLoBU212mA riuJ7QLG4C60MHG4JMZn/Vj3XEdNHNh0lb61yKavYYG+QKrpSFHPeX/usRVTD7oPv2qDeDMPHZ3t o0Pat2Cd5T2YC+M5RNFCyj7JcyGaaorf0ycezWlWL9OLMJGRsglqN0Jli9mWBc7cb6YIkNafV2JO Y0tKiowdRnuujtbu0i1GEXHRAqj3RELiQQjnWYT9N6Cf8upmjpgrf33Wu2DMDZZGTz/cU2c65pFo q2SrKkNf7QLN5OF/xyKLt4hpObzAU4N54sF6HMTcHEsqI1zReowVUv4hUOo4/Rc6MHGSOiPMYZrf 5pqVE+BGWpLjkDkqHhYDq9Y9JzSeiqUQv6tzKQ9vDSLLIDaTIVBjWEBksWpZ3iQmfLrtBUzs4CLa iUskBcE4D6Mr3+MWx2TDq4ySQkIBGW7yBA0SH2kwPT9Hwysj7RDgklHGOKYnwQtiD2HORIYGupUB XRaDYCLdoNR9dkN6xyDjnd2FpDAO9vGwBRjgNl2u8ojEIE5DoKvm2gEPi70+nkAL0dBTPUhRy3UL LsVx6x1MOdPHwmmjSu1ME0GCAnVheA7Ih2ENoFlXiUNYQosQKXWo9mBLAMCOifquDLudwbxrQoCe 5rdyMTtrGNkMyh9I8v/WEqgLRfCq6JZU1oUk7uqS98K/o7xi+AjNMs+GXxytSyuGe0LPjYNMCUAN E/pAGyCoAFHlfW22YJYIxY58F5ZGTSaULxjItZLCofflBrmF0+HLgL9COvx36pmBefmSPBBgnbn0 asiZhkcv2pkVyKSvOpuNJRQUC6Zg8AQM0wLzo3LtEwSo4DeTE/1tu507RycRJOhqVyl+xDiKaGgc q6MvjZCSITUPbXtv4jq76S0JW3cFUqJnbfPCnz3LOdHafRcdYwgBXSeL3fgF052Kw8x8uaCo3fd+ lUy3a3YToy761lI3KeABMn093ZLNdYF0poocqhpB1emb3l3dc+/iXUQ1Aa1TuZW8rOVri0yj+lF1 Tc6x9PoLSMzyQA/Tjt72T60mED+8SLRiuV6641VC9LwAw/1UxcAg8/3azIpjzwIeJD4A96OcQRNj 8wZFhjgVPAh7zLYBNENZyAyBz/0u2edg4gpVmvSNpl+y/ilhuxIMScrnk3eIq74een1lxjDKhT9S rnvYQhhWd03+XiFq4y4KFi4OqudFyYDHFd10Y8dD6kbq2g/P3dkJx/xPZBG4RcA9dupHfFKhsW8E yAbORmLUYgeS0t6hGfqWY7cCdVDXjoo/7/pMoSDKH3Y0p/fKga+3ivw2Z3B+ZEKAryNwPB2GqnBw rJ5XOYhvW4QQ4mAl9JHH3mWTsLqmARTW6u1wrUzD15TDZWpyro7pTH4hi67IOQhkeB9yKUSV9ALa ptnt6Sxelr6ndoTL4MqN91NjGDrKr5g//b6EnGGq67vlKIVtHpI6EudF4V9oxwzWmA3PG0Ss2mhS kb8FB6aGToEBTzQVSA0lV8hNVgSw3hpSrH1AUKZx4ew5XwoJ3lh8RN1q5G5pt4WGCOJ+9to1/Wcg /lsYuH6fNKfgjAAmjKHRaWPhqNPNKWcK15bD9+IZ+wmMrw9dx8QWLnIeLkbVxvPKIt8Xl6wd2eOd XPzHXO1TMwLKkLsrhel24i/AdlmU0LiCXrhmmhL5j0j+2z4K7J0MZ/rWegkF0UyDdaNzeJpdj2w8 VcS0H10t+OLykFDM1e16iOCSxjPNoOltM17tKeacVw0oXLg13CIeMrS9VHffEMNdgwR5Bzpz4Zzd 63C8XcIcASApvIjmJxCgQd5W6rybT47ZE9sofAGnznEcUrEIzoT505fJQzERL5r3IMD9dTbqhCmQ ieEeyXcpvv+gLFFfTxDBubIIZGfMW8WAd/5LyUfHZ6HuCfCLrZstnLctA/1Vjaaeg+x+5I0O3kt/ nltparKCTf0fmNRgj3Mnn7r780ajvRmIHjvI9QAa3Xi2V1xIEkTkg6H/6UVl+h5G+Rcj08GsKUDR 76wc4NBbjYKydPHAqjO8UcsRAlaBrnyO871Fgq3jrErley+s1goQ9TtjkdhYvaq7GPXX28U5Z5CQ lBxl4w0RbDbbxoV0W7Pgg3ewFWME2OpLuTMBRUrAq90uI9JDSiHlx6fIh2GQaspjKUDSXmmu/Wqb TuHclnOIhDEOKTCF3VWiloa2ywvdhzSTu/XjYXb5GJEede2Cs0G8IYF4d8Yfn5eOQXkwT9TSZblQ EbwmXDLTGDyQ0JHre36vcZ3vwqqFoDIpEArcU5xVPIn23OL1vRXcLD7YggRTKps/S2pOENp7egZB QwQ2gwIHzV4JyvEDxlmssKCzpJwsD19qUWabjYwgHW7to2JOQ9htz7dWLd09dGrgMq5eHNO58a7L 3/V8Bqp6ayfp9QcFbQAwx4+dFNabqAAaqd97NT0w5pwx6nwjtxumEf8IPAP37TMHHkfi6Yi/mlsU IMglrm0eMiUGY4Ya8YbJDL4bgPJFB5JxxCMFMmGBRy3EhC/alH3h7MK5PKlYCyrPn2x/rFiGRaJL UpGWDstDXGuUr6NAoZa7SjQfhqAL01QNsC/nSqV7eKwrapAZMiHuMAQxlPCUD/pJk+QSYbeq6Ihf lBKNsbOc1OPt1WVSeCn/FZWI8giFde30TqJug18cYI9Rm3JajRy7LFwFlKuKHxvI48WSh93qxXKI U8BS8MkmLDQd6b9WlE2/WhI87mOzctmYhR7uKNn5i4JLFhE1mhcm2Y1cR5VGNi+rqjtE/j5rXi6S rJrD0xcvt1RuouuSGHVRT4W8loMD5Aekg/8dTx4uI4NdKrbV0rDco8V1mwoq9OzHX9i2XKG6zkUh Ib7+UB6upNJo9a493+eP5znnmLaF13mThaH0ondk52w8aZqrmS7JuoES8y9SsDuyO8DesxSVi8gI fLTnbTQ+QgmFMHI44f3XNUNqg7Wt4AJqcxQFOJGFZn7p2xxTpPrfqeHu51AXB2jLo2TSdaea7I4V BQzaFFgD0xXoEBlbzK4aM2A+N1G0gfEQ2yWdKGrhYhSHcHkkKXrA1WYdM2FZwCpNlvf+WzQNojCr hZRSA2I0qnqGtWxePnSzoERP+HsyTY8r8yBYjI21MB0xOraL6RlYmB4hP38cROqfMLC+CRhW4E0Z cZK82TWIfuwUnIZPMn0VUw2fYJrL0GwjNooDKqH8nkwVrrH2Bi3F348tMLWokhsIuUcdSeYJyheN A472D/q++juGLv8sR2o9kvrR/HqJR0qTwhJW9kQHxsT2ULZQXlhLMYgD+nXbvPthyyBKiiKbMCH+ LLdQJBifmbn8eUi9N9MmJSDWhy8yoju2sybzGIGS1KJkk0zqHFOc/0htDBXFuGoCBaM1x8bKk1XV WiRhVv4NCIL2inCZHU2mHzqg4Cu7rKBjsnJF/8Sgj5Fu+W87ov/m1ukKC4/5OHkiOANeK3i4Wdw6 b6YaVLxWnw+9P0uUsy2h9lefd8VEAKwmSz/4NnfM/goc5v1Hds322CVRakylk2cTuj7qZiQ5pa4Z AzWxgACuLmddE4pfrH61O2oDiXXitHz5ljhTOzPrGUGCikabfrd/mn5IsQoZY/KtJwTeN/VqLrRz UDBRK2zON6J20tttpC4iBesupdX6eoVk0++vJrMv8PMD+uVhhll9+pl9DE7AS/pf6s+y0O9SGfbz jFVXicb+0qoi/8T/ihNXV9XkEIwqndxRJ7L9MuwljF54RHoegNFJVy7dVMOr9dALFNCSy6SlRjLS RbmYRsOX4BkSLK2HX9rQ+YPiqy6J1mB8Kqhi6lYbtQCOL8m1yOWRLqHsSGgakptWqeqlyHy/jZqP yc37RwVOr22x7Rj4KFiyG4q8VF+fmayv1fuBOJuXUVa6Zet/WMW2B9JJyx7viOwVFKzE+hhhCetW zTNtpTPzw73sNWRoT5rXYhaVunOz6rdITGUF098y6tNryHFynxYgbja7pZBN3REZn5jX2S2caA0I erYgjGqL064TgP2sbxyeUrNYi+5dOSF6+aPatu3itpQhMrKPfWxj96V7ASuL5ZRx+KS8D9NfzM5s wKps92SFPODCMfM1NtVsJ5cWapT4NZZUk70A7N+hILAl+y3J+GAZXo9sWbUTfDLN4Kyw+KdyHwbO HDq/piGQmu3Hx0eNhn1CCUmthisUVQbGoafFUcJQoSqOkz9QLAPyi6RFuIe17wue+wZc95DlJMhL wIS0vEV6rdB6eWHESM3lYOg2D07070mp9+cpJXVmnrpovz4grSYxKztlDZTm4w1oj2Gc6CVMzNvd Lp69p0kMGuuk1ey4AmfMR8YHPv2TFDMSxZyUJR+/3oxVQ7PKL3Un1wzse738CPqaPmvSQ0zTka4P rB398ZW326xD+yfJN3aIAPjPGiaN/hAkbC0HVPm/ivR0CzZb1q93DEvBZUb6D3IcMfYpC/dX4x8S Xe3qvuVIfHHKWVTDwnbINgOV1DGSqtepHZ3L7+6pcwpwNAfk1CsNOckXPZkyBnUmK7sQbLN4xEEd Fz/PfzCO2GzcydqcvzXHDRcSx48cYVPsfvU5zPDphtqvuyBSxxajhLzjglVgkwU6ejiZPV0Rx5+g 9Qn66BcXogNz9BbTbEB9s3ngB/RYEd+FIQHtDcSLLrhjI612iGxCMY5lnw2FS5U+fBbqH3WmeXUp IxiumFXo7Vk3eeAhOXdyyoViD6QsaOxI+HcHe7zFGAGl11dPinvIXKJ7YsGi2iZ1ABYuTDu/n+EE IlSYbAzDBaUBy8XSHalsYAb9clE3xe0slFvwOVTHDAMQ95BAEax4yVb8JqKErYfZWD/Y1pNKOqBk WXQgUe4bEYWwCtESSc8d2RjJe3+Iq9g+y1YkAFKZ1ok4wbXaHCgtvlIl+eDOxFl0QtvRbi0D7ZsC 80HYUAkeRnUZD3s7eBJpSuH3eA/YPAgmTcRRYV5h1waNBRGomsaxA59j8hGEM5rfLKBKLfBrr4xc PdYfDT637S+qOjr6rxTlBJUi2LKQZTeoX04mplZasaxLDYU8GVZHHXeuOilwZLeQO2Q5k2dy9re+ uf46D11cdE672Br195GlFIGk4VhBPOl7sjAZg3tAGO2jDTFAHLBAzYLXQ0C6FY8dwKnQ8JQfePir yoqXr67sZeWD/r+k+aF4lkystNmcJ3K6y+Toc+bsNdzWQjzS3FmO+/YleeVJEOA/TTv24bk7+UUr lqmEVMBM65JCcsN4AmelW+jpEtrtybtKSPXjuR51QyFj9Oln02qGss/a+vr2oh7+m4eWyWMmTHHd 0Y1CNDTkjnZGZ1fyFZKO1Z1Iy9Mf8949JnRlUrpH1u+BVEOFVoDxe8E7sj6zNVz1QEIVbINIFkJi eodvj0YKQNHS48aCQb4JuEY/YDuk+/nce96Ft4k7uWwItN73ICjmMCtdNmJ13mooEmM1ZzQ1mAAL nMz021exHaholYMZ1yFz18QGupYGMOjuMTaY2jTHFF1stenhg/oxY0AlnzeKVptv0BJFx6Ug4kpy hBjQ6lnif1crYuG56AiTHYkig8yB67nXRcTcGYSBL/kkzw0zPtVTO0q1/S8ix0x4tSziI+oh8yFG NDkYN58A/ngiVqbjv6+C7o1MEpWA5NEHXjNSbmNX+imW9lJOdhCbNsYeFGK+7ksnrdUQL+BoJvJI gHBUxYYmBxbEDlTf7Sp5T/kJFKjIkjI4jdYiwPARLruXZ83OArXcOHP6Wwz2xP5rubpFser6sgDa Ge6QM4vD9haMoaBXVZhVdjo6OqUKLKyE2gmOAIihGKcl6SD70TExSO8KCQe8xdqU+xb7NYjXqIZL +PunjKPINPV28G6tMizsTclx2d9/d0p0MYMEOe7qz7PHzDwdt9G/U/QrpimG5+kk3H/hsR0eQYq/ T2A5wYqtR6W8+v00BTWQ/oPiFlaHPANdHO/0gtb2fSWmx8VCh+6ofHmcibGM+GEpM+rgRXVRNw3x Zfv0Swk2MCsReNTrMcenNOQwR1ODoRfDM5e9f0fy6M1lj2XSRLlycCwkUL28NEI8pEBp6vvclpMF kb68ZBSwNqd3pvxrT8/7mDb5fjHZx+eDSLvnSnr+K/T1saxuSSP5Ieh9VNRvR4i+aKJzGJmXNlIc da4X1fKBPfs5WaxCw05PKNGjbeDWo/UKDHnOvuRrR09VjI/r1Wx5hMSfQg6iI7MMNFSiTZgUof4b seZGMoTlKpuD3ipAo3xhtBvm/tKspxpR2ozeCajvYS1AbFjufEt0npslKiRy2RLIAbN1d7WBVcth QCdebSLqfnHZNFPCEcbroeEza/r0paElWFrKabpUQ0i5AiAxMp2I18U08D3ub1CJoyNe+vHAm2k2 ei1sx4ZT1KPJyXAcR5PkgeRzGvTtz/2dAZXv6wqhjRcnYBknJxXJvVVRFg0u6kQ+rXEFcvRsIXA+ f2tkG79Jl0PY0DPCzOvRNGamt3wdHVjNFxr7T9L2dREWl+11nJsc+scokMQW7chAATEsCTo05l3f p9RKKSAGt1Nov7MHbV+tKHVj5xYcJIAkrusiyybUvRTBf0dFW32UxQIoA2ooMKB+gJigOWMbOTEv JmM3a69mJCUj89ShOPYrxI+8wWQqLryY4SKCMdzW0zeqUpzs4caxscTcvpS90T10n1RA/qV1D0nN IJ5/Qx06tXMuLntoih4zz92kgpF8YkyIhU4FHTgApGVeN+QRXG6bWpRCxJIXV4KVBPC0+2I8DlQO 01QuaUBTqPxVPfoDq6B0aXlN/SY2QFxvpb0LtcsRy0Sni8rP5za+as+BulEU/FbGWyYXVW26oa+W HIAMRRKtjQK1lmiVpH33jugtwHjj4VUSErs/kCJg90YFJo9j9T2XTzWdgNNByvxCFZ3ayiG/DzoK HOGx5D5RrzzgUeAr8DpJKfU2wPP1boxAVTvalRNcs2kj6ldMKQhAVS1EjdUPq8f2WicrHcHgoo2V JXA2I3HECzvAiyYlX1lMgduIBk2J6tlYlxYxqmwBo7IHAsuIkNB/Jzc7+PqkOJFkrJjnj2RKuvII djd5OrbVlH6Hzx0eLEra9FVlzlSqZ4aB9SY77xJHCkknyHCmlnmcnaxPiBN9qHLgt8DKQAtVxZIx jqR8UFYJD4MkHsAaLgS07nMXKxFbxbsxaFcntIGYZiRciZOJ96c8O/Hzx2f35lC1WXf9+t1Nv8oJ 6OgAZgeU3Z+ffw1J2JQvVd4AqvVc8YP6ywxrl6BPvySVB7hc8tF63Ps6paPxeVjBA56SJ/gMuJqr tlsMC6QNpekGcIiWb6oNMM/5/CVLhqSEim83OHjmaA5Y2zx5+rhYjDNFU19gnr1NX7iBYSgMm6rA ATZZPradLxHCoCTrE8Otpzrb/s3R1Szzc8UvAK+XTJGbmeQwuCrwseIiyHqPK43ruaZ0sGfT1BsH v4d/DI3JFOqfDKqzD8csuGyjYdYYRgEmkZZUqKz77kDxVguRG1WnM2ajzyOk+DhAyunMRcjPIBgO 8+so/yddXziyR0XpuOG3s+o+Skkl1sB8PGyRhzazpI041BlMsOHHCbTpgF/nw0QC61N29nx+DYZQ shZogRuBlGbefLifU05Bztg7keYX8cISexx6IzaG5I4MAl8LnGShAgIhXNDRHvo97jWAfQ6wJ4Zc J7GLmGyjs9mgyi4pjsWNzN5uDcqyoXiyDw5vCUo8gqJy+6Ww2eKykGk+AuscST4P3j2hO1FIl/Wr +rVh2NSHUDA3v8cJmEb1oSlOcOs+8abBpKb4+zoBdWN22T6+PVlDW+FbnY2xlB3Af/rwWHkMgwyu Ls7sG21TWBCQJroUGI5QUcQRXjLoNAwwW3a1jmCutFP4GULhaxR13Wbxlnd7bZ6Tb4Wd46lFveYQ i/2DMVnF+h4jfrsL1pFXXVPX0bizZWsCAFjlHm+7lah2xFCN5LKMRpFp9gEJaCbBcNCS0WKHL3eL VHNwZ5d0AokGC32NTms7bn9Vd5sLSH/JiycTfMAoKhuw8xZbvQbBcjXTSNDdTM/8kHiAtkeAiE3h wid+ZxwMTntaLuq0oDnxRc0HBZH4zMH6ITkGegOFh7pS9onI+QobOUDI9tBUKDJrSC31yycsdD1K aeiwVDPG4WibAmbATb1lIp0Rszopq4KBgop6m1q0ZTpnsa+tJ1NuSpeWSF96q973LYw58wEUQx7j mKU42SlzAPepoTCrfyFR8JdTpO9KC7GY9Lx9225tSwe59cEk/Tk38M8clJQmK/f84lQ+8s5BmkwP eYUw+tgDmgS4RwEV19Wq4HMTiyT34MI9LU6sf3pdV7dt43bUPm6QhDXxyViLxLUPcqd6G2UPtHBC Rip0Vl4r7DGKFJYmbte8rAvLh4Fcenj2d/gfqD0TS3pzmzC+malYMWB3xmZmI2HjirVglct3sCiU utowYrgK4ChyuBI1uuKuR0czwt2O8Kyzx5zdp7itPcFAGdQEnqxDIsPQOUPKUQsvMEtUfQeAfp5x HKFlReLFcfxEGFisv8U50Ih6+fzXfsajR7/vQNJyp7Bh6iQh7ZYPun/z+D6FeUUa5j7AIRWy6y7z Vu5DM2CfSgKGrXTLJGmUbK6toYfUzFSVbRdLXJNPjZl99HaXA+ez4+g6t4hTXIOBtcFRlLoJAyuQ VXEqtupnhpITU87xkhcEUlsYhwETyFiW5mS3IkLUBxUvBMlfszUOGEC4veRWyTOcR2i89yWxkfpG 2A5W+JbsYz4ISfsUP7H+kyarFSwUgk93/o9gHO9zYn1eJcdEiMdkOtQIAJhLX5z3nJe8PSF28tad 5gmTC8DoX7IsjkmiE85xAu5PW+qIAv84c8Ofn+R6Bo4PBXiYzSnWzQJ7VSkgv0raPCnmTZZb3E8/ ksYPfOOXKshzhC82xmq43kgZBDIdbHC4YEdm/HpAXGtgQ8GkNeGrgxeyH7qeE04LFrghsIHP/1H7 vwI5vNCqBqndC8P91AzZNocNr48/odjCROllnVlAExSOJY/k6ce/cHNA0LovXtXnPBxyfkVVqs1t Z2jgIyQ8SDx7R+Sxe8sFaY5WE4Thd2x+DofUilZoz0BKfovlOg4GOreJMY0bcVBWfFd5Th0syEAv aTS/wX+MPRgGf3e239C0SZwU6FXbOKcOV7SkP6tnkJS7LIC8rOzIGvxD1UkR58wsElxG3q9m8/18 4PKL/6BdXAYInQ+cHfl1/rESy0PgGIUa269/dsu2ILJyokXPIzSLNUnaaVZ22ArtEAXIZ/+mszwu V8g0Ddo2JYnyiW0I7igHXlzCg3wqa+WhoqKh2BZdXP3KaoXxzqhabzGqfQCFhg2V5aRBykydLlmE eh5B947JimulcfzExzLJZSXgfdoQ8bkDOlKxnc5EbamPNr/0Ho2PkkyJLN0x+/6f20hU8VqEc+Rw C7HwA/w50KRD1wKuM5+64IaKiLPvbzRvr/tHnY5yNZJ1O2AKB4nCR9zVA77Pbuf/PZWqWCri+ex8 WPPDRSRX1qhD64fUkYu91sddi8nmAmKk2jgkXOQIb7UbL1uVgaUGT8qzDTHhUQSb3FLL+Ni0wfGc rd+ZxP1O6B4qbNDY8iJMy09ylOvJhjkQWumJQWeIjQDFpke4ROSPyc32uunY19yiFiFgWg9YqEH+ PASIQ3sFP9Lo+Qko4MfH2C9Vr7pf6D4sR5xxX9VB+cxizLlCJRrhrCNp1IYgj+Z66L87NZtG3TNN ex5XJqGp15t49iKM8IldIvNeSLq2piLuqqw00IRCnBbc1bCH6M+1VaLwKZJqe+6f+B08Szd0C1J1 CBLyH1xafDMwdjMC2Oknt3G13eO8uYuNq+VHBwEUE4Z19p5MNEY1XZWzNnSQnSR9CKjv3Vc+cSjH g3ZJwBco6h/vPGp7y8So+i820ZHw9ogqKZbB5qbbivK2s2izuDfBKz0uFfo1TFVVMkXhJBmwLd7J zXXooleBSkBX9f5meESqeUm3Yas2nb2IplKFndbNNTSFwIVSgxLBkdjlBtgoIwzOBjOte6tSDMtQ calgvmVlsumJAZLHdA91lE9OgXe+am/QkqeSNCTW7DTJaG8+uEnBzKoZo4elnnBjmuVPm7mv6Gl3 FNDg9yrAWrLg2modWlNIkl/YSsdbbM8jn24IwajzpYKzsgFQDo8DgYifL0LohgA+RdIk5L6frQoM UQGf4LZq7RZkuhDXjYKsrq41PjODF2F4ru8ZbKWjAGf1k5hpaZrEE3GLcqZHZTK9+Vzsd+1EzHqo 5PRgg8MbcfYdk2Lk8vZlzKhDzRyu/AAsFBdAD5CsfvOVMDCV6CFEyNQrRw3SUuZxoS1cUz1ytWPb 5Ip2b/U9L1sy3oWvCYVhGRXW59HgBtZCQgLrHLwquDZtnSBYb0NYckQ22q9xwB+dE8lNlVFtpFnT uGy+EusSTHNqSIs/YUncy8ekIB+uQOIkrXXx0V7EmWpPUFdQ+xxyYIzLZ+4gEuw10TbeITqoaBXY uUdXnKLRObndA3fZLo49IginaJDrbQByI8HR6s//rfTdEsw7lcP4uLcYwbeQ5eGRJToTwxIdOYi3 ALzC/5EemKSeJ2ztM4Y+qhHWFx1WwmiVCGYFv7YtQGIdx8QYj1/QhnKJ5MhRyL2FeVuMRIDVMl2L ODhAuouGw89VYJBVABa7gZtEVozrMjCvSY3oPsTkqL0QSUwDDoTVDAnoaUWcDJVuRzpGADA6mtNB Yc857NyP3JeH/PJFxA21AKd5s84j/qkm7oYa21l1tb1FG0oaAlAm42tWroQOrEDyH4VZOx37mo7X JTejNeSgxodO5yULB31D3nRQ/ko2Io4I69wSfB/I4dAN0G4eVeiugPm/do8gKVLUuwZkQFHqR3AI V54h8UWlZV/ekWusjrzT001uGwKVAFvZcfQou/m2RPQzlkgsLtxrIXQAY5CwYaNQzbNHVONqgZ6N tPv2axryvlGA8VQFbguhOe3KPfa9Ciu50mp8QbHoB7ZwYE99fLfuBCFLw3zNp32oa3NFfjx93tu2 ZXMK/PWI2wdN2dbXtwRjFutgheSXw1XWMvG/VCn73FlAPjwIJMGa4LSke9Q9dp9FQdXASsct09WI /5qBT6fYzz9+rq40P7RJWzmy9Q2pXnLuwKrvwxfblVsjPjFChDbkcPgEY4JPoHLatY5QIok/xdnD 2vyHlFR9NETqBiwOHTTR//7hx3uhDFn8auV80vxPD/waPVF43YMbndAqHd2YKoHZxOxsF0iORQ2F XGPKLj6h24g/9DU08llNwifNt50w1Hhj9mk2aIyT6v9VIRyp5/CC8M6qkO96FJLW83Sqe+9yGgzM bZgx4NLxNQgnHQ8ywGJLhncT7ES1ytIQUtVLHT32F1iCMpx8bs3nBYVREIsL+QK5brVKMvv9HVIv c1dr5QlV6WeIvZ6FN0mqL7cwdzTvLWU6Jgp/Fxu2hEALzhGMMFWmklt/Lk96rqmOIY/gpj+mdBfj f/4eE5h9Pi/6R4uRZvLWPIB/x+VOnzZPfKDkrNNCU7OY5/57EFFkHoPdTphsJhK/kPDbaLSyktrV gtJ/TodXeg9c2BeTMb2BjywNNNtHCUYXSTvh8AUmQ13TARluGk+cHiYJ4GqBwzatkP1zwMUTVy+Y ouYncwDqzMPK0FU8ZLPOlNBOSUlH0v6UxIK2di8gL8XnwTEpImWP4s4Z3WgbTTb6oliSpmgmjtBo 3anhMSRrPeeIsUpM2E891f+w5/bcJW8HXcLo1WSIpLtT6pogfRq7LqIhMWO6c/wduB34jai9HnGO 3NbOzD9+H0+vKOc4rj2WXhcB06LetlySY+Al9VW9NKfWZYHzPnl0cUvnLpZsMzLW00fAUMlIXuv0 WYD1G0tXlTDHo7WWrMeb7joECG1ToDsEhzGatEf3ZY65Bdeez/EyC1I7cxB9K0deNkOyE4jmnlfT 5ZbAXD5FkuICVFiVWSAFDcZDy7ohnR5Gj9oOzbQnbH37pLpU30rLpeqhsVZwFVT3p6NfAfLzwEhb S0Q/L8JkoDHjys0tWhRGcMBwjYpkbfJlTNPIwdICIV4yPAdKYu1lhdPoxN+oO0iPYnTCuao/yan2 n64sVceS9sUM186GgvTBecAwfthQhh9/Eem7h7S5pw1u/MRNm4bzuMpguZcOO1ElV+kCz7vbcvbP XqEcx0DAa94tRvXQvsLNp+MB2ksSUQuCO6H699fRQiUY0zqvS5Zz6W0EG84zcKPpAL7uJnvQYRA+ Eg8+Of4z20ZUtzkvraGYWdkS5vjEkRcgAUV7Kdj1kdria6hOP7P7fDFnu/QeSLX+i0MYS9Sl+lne yTLxdQ34Dx/kje7XKi0Gm54Pz3wom3zVZFOBOpkYlGzTParvQDuuKRxFpoBQ348nuoxPqetghwqI 9TQRZKr7851vCEun0g0iAUBpXqL9vi0/hJYO0u8owJK4+gIXcqrYklfirs35Uh+wNp5vtZNpmmC1 meSb4LDawV3ZwuN6d74iDk6WS+jq5uceOz8SaNlqmgJ495LIr86t6S8OE45uragu8H94NJXtCfFJ 0Gfgsn0M012/LgjC7JzKhyeLCOqIqOs3NdmeeKt4jHaMGwK/pjw/MWWHJYV8lbnf9nRHM4I0/Yyr W/2vsS5kTTuYV05x+2ZRblawnxuqaeICksGvMu6Z2URs/TrI6gXIGv9lSNeUwm8R2qQlgKxFLi3g ZW5bMN4UJ8ZLK+/H1T5+yw/LacOAUsgqTUm/cU51uXFQp0LphPmkMfZmFQCvraHhVKz4fX+pkEfL Tsp/9+mcKxHArn/FfoLBN+BwrxSglMuYn0m6zjiW/4wKvjts9lZHOboJhppcDCFWP8WbTH5dOqfI 0bxeqAOk2OhHAUsGD2zGQE/N6h+hm7NwmcxiRGdDvAwQk35JedHdzo5S+XaPkVuv7nXDuIgN8Wnr 3U/X0pVsD4BK29eQI+yjZUPqYI3/7RiIw+I2x5edhtSn1HrTWeGOykpJbuoux6wU7tAEEOP/ZWTz mg69HlgtVlIGvuvNFsKCSdPq0mssXfnkN4MXLiQ5+eo91VD5r930BFQRlpk19tB3kvQpS1hnSpCp N0RetGu4eXgP6O16tLo10k7hXw7jbUBvUGgwURvfjHjAZmem1Z8DM7b1cPRXEezXVZ8EGiIeKcdi x/F17NnVqSJ3tA05LvQHxi5cE55IL1AEvKeR3DNnhdNdrMu+SjC6lA/W3kwIFCT5gcdi1fsQl69X q1RNcdIPBt4lNMQHcB76kUEkPl2GzZLq5Kbz3Nl1XzkhzBP89Pf7S10QoKAnBvWbsOFfaAc07Pb5 AVdNOcOhJnHLR+JqxrVBnve6sNs3H4UuYTCNXGUJ9p8NcC/Ynaa8r8v9xrGaBddd/uVcx+EwJJiX MNHiXXaKtMT//pkHRBFRjXDTFSCzp8sh/1wpwIua7mebKH0FRDB7TzRrrDIjoTH8Kph17LsVCBZw JiqJZwmFAqwC/rN0iepcPNU+IGyXGnf/1f3/5Bq75q14SYnPhRnRqg3D8QsrpUwHqu1Ys6Xk6Cfr IHCH25EFie2eIEltOBd5CV8Im8CSY/oMHmUUt4lMiFaqkGekb2jg3hZawEAkblnCjKnYbFm01YWP +w7KxGNkD8ng5eibKp2BRA3VolwMeHVEPLMLpDcNwfcfszV/pd6DOrM2oFIn+2u2qI+XxVQC5uQr PuXsU8+B01yTgHJ3vgGOWEjJaxgqA6YRkUXPvPO+kAyczwJGquPCEF2/b5wW/PJ+DTBr6aUmLtby LCdnp5VF5T3vqu/ayUk2BoaGlbQJSgBUenEXv7q95KUUjMKp+Jrsf55Cwnnbbg+jAMLy7QBfsPKm fKlMsd1QcCDUmrucrLeNeoDxpWFV0Az8B6oHuttUhZ8DKcg8qvvROft6FngkSXKG7OAevY8y/UVu B/pMCuI32rL2gI/1HG1m39tGj1TA/io3FbT4skl7o/4DD/clSfc3MMUKhOrR303iTeNxuQycvTff qUYEc+8lQVEepG7L+P8RAR/NNBFHWNLdkIgdOnryj2s5CuqPXdMZBu4dx0DyCI8LS2f4bmOdZbLD adUY9Ax6Ffk+oZvIMheM83SzETonOAgFC7zsQwfSjje9hmV4EoXqFQNYaRbC1z1QcMn8+Y0trBxs OL/0FgzckwcKFGBwdE0KEOCj11f148nbyFwkJp4qtK05PG3uqE/meuUj4NuH2dFHzZfaD6WrUdOI vRRZv4EjB4V1AHS87TL1z+KnbgNqdUcNMbxaN/vpy4+A3tk7Vzrd7N1p+kZO21EpF3Eh/hYMctyt sPwqUh1WJOsz3eJdI/e7A4YAX99giDGH/RLgP3L9/5fMU83q7FNRqGthVzGv8ZmSdfBTUbpG6JJ6 pSljWmvPbzBwhKA4VUOq2JR+25is1PRlnLAnDItuVVz2pi6btaqxol3NdsTceF3WU8w+mMD6WgIg SidZY2YqwSGsctHev7mWO8nO8vjaec2FbwGyeIemC/evbPWG5s2UnK/iOMZcqoDcno/l0bSpZsVo 9tFmmWvcWMKeFa92LBnm0KiuvP+L+f1g+KB8T7E51Ph9BI/pAG1berIGsvJQAV3AWePnoNf7+i3G zpI/fpeOGkCOU+2TteURlPOv03LHxpjQ+m+SRcRLmVA2WBvE0gwyuXHwBWNjJIlQmN31G8dOzWoq 47LFCB6Cd9hJi1FEsaQ5pSeGOsUyTQ3wowNqSQTGyMtA03pp3gq9lETjoYdu0zZi8cs+o+EDKvF8 bg9/SYplmiU+8X4DvBTH/cZFCPgm6C/6DliH4IEqyw4jCS3qwXIvv94hyA4y8JPPXshtsWaw2qbl aXIed1QFcucW5MY3OGFcd3kQUq6fMleCLf6tatlcpzZtk9uiOQfBVOiG3aHoEQAhTPfUhJxBvCbb PYUkKVE+Fml6SN8NspEip8S+Fi5rjKWb8m7I/PNUqhlL31xsrrrI+kkjuK5458Z4A9/5eNRmhple IPr+GlqkE1RoDjra3p7hz5M/R7paNmBS/cylODAq1pfQravOWWyqh2OXgqCw5bXFoRFT96y9ZXFY Rw/qnS4S2I/Znm7pX9uCruFDMwCAoFRFmBbiKRIUoWJsjXd/WWIsra4MurlFHOOfKERlLdMWysiJ kLREjEJColIY4sMLHVA+Ic/uiGy2gCMcnLjZmDvPALmomynSOJLOvmXHDDppWG8oAouXWBDgFaRd Q9yxk9N6UD9LpXLKr8axUTrS6r/40gZDTGn6k84njfIMt/U0iXRahJgkzrW3MaXJYtt0WgYxr3aQ 0w/7GI/VHqWW8YXmIaaowzLEpqyOW5ZlcXDSaTssNW1DO9Qq0vVEYRg8dmh+yUy7IE3N50UFDdIk ZuTIxmy5A9EHb/bv24Jr0bTYduCZ/l4W3TYNxdwYelps5ri/n67btsX9fqpPA/Fo8Yh5rFRc14Xk y7q9S/HEdeLP3zvjf3aUdMu3mAXr34H3blo02miUpfQaAcZvTLUjnnhkJtXViDGNwsAxgVlUO1ld UIyy4MSHb7bI9rnqCw7e0q5F9DUXuNDI+0U6/qDHgtDXN9x7vIvjCtIBdBL8pfZZ3anUN1UvH2jY /O5YV9qWvD1QalU/Y0xqLrcbr44ftONaQOga/JMmNgBjHf5e9HlOpokVP5awtCa1VBd795QfCd+h aOPNxSuUy5xiApibYBXqsxteNAdRw7JE9O8r3eTURTd7tMNSpkBgHnkEntqNe9FRcc5vwygVQOL+ Fvwzolh01sGMfhxAPO0y/qN1E0WaYtuMqN6rxkKgB5EhtC8Glw8kRaf7tUkgoCGwCIYnkuV9ZBwt 3i1jf5vYO4Fo63Qc4rnIvEjHGlQvpk+8JkIRRq+jOW2N2tEwE5ucJh29ICR6/8QvOCCybofmcF4K SKJUeZ4K7ZddS+OToZnZbecsrn/uPh7c0m05agRa7/QNkGAgS7KoCwUYlDWzNQ5IOEbCObTQbfmv qbqxAr/4UWQCftFObf4p8Rn+xhLD9k8h9HREHvu3R/XSj51tTIODIhloZxUu6T3FqUvC9s7oG7tH O2rFm/98SJ5sK1WPkNv+L+SMhsEO/EHJrRWXZAy2OV8u2O3dXCLJ00GMn3BJu3WiPLUmx3czQ8Rs /9EYMs7VLkluavl31BX/RjnmSOg3aKjmbEirjU6YGeC9zhD5WYU3lZS1ZQxIw2ThbMFxuZ6bvl3U OdtH3DRuYBLHNhsa+oe7g7j8STOzYC+FFOKWYgiy6U0cl4yNWT2ulpmWFLT61BeP5H7LsGFynfr4 rxh/50n2Q8XpBsv8R0KKJaMXWw/j3CRor+EAXtaRiXVOrk50sCkKCKAMQudAr3Chabhbvu/OzUnu I+wGsMF36Gn8Ucy/Z4ve3PHJTIoO2UemOQakYkfPAQIJu2+n9pYC83MHEmc3H/E77dKhynKPRm5T fHngAOBB7j+j8RCKWzNCTyreVPxv7oQatt2BQ6kzyEQOxtwfTgezqzJY4ao3ZiVNDqiKGfgtU4r6 5MOAVkPzNNZeSB/5w6BRiK9a+bAPNj71BldlHw6nyukHYrroNgcdP1/kqThITMh4opE1A80YjXVN CxzovO9mgOOrZz6AORFGOjZsCo0FIn7qgD05SJTQJIXBcelEyUbfJnQelGhe0EFnFdot3VU8AGTm fY7/XCax48vZiutG0HONrzAjfxfBC1F6UXK10NsT4lqR9zGbB3UAuObcxC3/d86srecpaxrNJ8Zg soiAWcRD6R5+Ju2yrGWkdiwRDE4Yw6rSOhsYHcDH4zTp/AWCYur0xZJ4P4kKJ7odKYHRKpSlEvZe 6P4ulfo0a3iQiZK2qVdLimk1lg90uwrgvEtUQqV8wuKuQBUsXQUHNpR3bmohqZBoIg1Rj9hFs+fY eIlLombnqpMQZOBddEfF4W/Bkhn/KAFTyZfV8rZ2hiXAS2gniMJteMpHuMLECZNGuM2GPUAaqy7v 0ItIcA5wYPEt5TcFeDMTStKgdZ55tAEvuirioQJhwNrnXuNMp+SATXtf6f4lQxdDS3HA8v8Uu57n rT20Y+/B6HnBpCVNNPe6Fwlj8d0JmpyI5jV59bmCqk7n4cwDy9QKV/n1eVbG2NqBTXbmt4DTAeRC 1rsgQcde7Hkh0bbT9hlmq2vPPCuv4fkjSBXkAHWuIyMOurQ63kvm1ZxJxPkflBItNWlJTWi9Pnna sjpfWrgo1wPbTP4ktsor2NS/TT/CqAQiJA8jiqB3vpEBMse2mahPB1yh36LSUmk3Tbhy/EtyQQ6z Ak3fxnK3P+fbzzhW/EOCK/SMYEUVhaj9+tSfusDido5YaAdqLP0Myq2AKq7mANeiPQZjxRKXejfS cAFDSYg3KmFfB9767rIChVjZgU2RmCmakz+ILuV7F0tfmhpFy2SveWWpEPbToOJFaQDqWYTRQBlE zP9aC5GcI51Yxeqtsab6yxF195fTWeVUWK/jIOvB4t+Lm2mnvL+CfLP1hqeDxf3AhvjOyRVSj3oq F9kPoTnr83Pkz2y6czHWZrFOoQWqBvpwkdGj7YRaHM5romtOxB7Ha52BtMxO3qcuSuVQfxjCPjB6 Qq81z+z307qHBB7uhZ+3Ul678O3JsZGIhrlHS0Mz4CdQ/yPLckAFQhGI44KAOWuJvElKkiTZwA3J whFJgDVWWdE+rYKpV8DF1Jy2wsYgPf6iKUUcpBtnDkR2Pg/SPyFb5L2Hbzz1nasysC6JpCQ1Wx4o srLmhHR75VA093RZ4sjgQPakwen/BiGFCi5FvTmwebv70Ch06Rq7pu9pnKvtcUkkkU/lrXqdPhAe L/t7XSSM92QudiveeF5bc8NpobDp73Q6p3CQe8KW3hkuNWn+0QydaXfLVk3sqkiqzJtWhCoIXiLi 5j8CTIqQI5r2El6xb0jWiy3Bd6SUNYmqtyLXyOYReiv9p21/QDP7IZ4IYpOq35xMcjqbQfXGypNC 3Xu/CiX3v6bV1YSCjoolISAJrjpg81xuV5spVn2i0yw1bSwJ9YcJEqv9cYN3QGpcOb/85w6RpPEv bI/r/bx45jkPueISMl00lBu0Jeohr3kTnhdVT3kCTwh/5iD09HX+WTE70hyD8K153tpo87Y/10ZS oX0K2TZC0FUl79xruzTmvHuCOVj0cZT8NQWZGNi+CezykslYkVj7OA8ecgcphEbn6oTgjpLhKf89 MWSP1vqDE1k5DwbB5ULGlflT12lZtR+7oWaYQrynEQicAKn9iFiVdey0SNjbjHOkl35RndhhI5ZZ RZV4ku/G8K7PmmzZubiZSITRyJcq4SaLvY+bxWyNa6u0zLMYWc4R5ZZhxOJua3OB3a1OwuQ4AUIK sc27Qarxdivg5Gf8Cw4m6k7r3mmYi+IsjKrnfBQ4+6R3GfO3MFhzmAVG5IvzCDRrZgVvU/yNbRrD B6bnaguv2KZjpTWCpyfUvRcVaTsNgaPJt8IhxG0HOiGVbG7uQIjpQ1xwaDsbTw3PjIdaCw9YO5+g GvfEvjMvAwuMf/7HuJ0xpDAKH+EKS+n2i5KpuGJjUoreT5LYK0HaV2+EWTrQM7jTFV9cREoXKWKg MBSiIkbqq38gYm1mZGbDblePKsuZSWy331y5dhD+GKOT8X7/ZmaGIvMPChqIuIlnLjwqWTDkuRJL 3Gr38SPfb7lHsbcSxBRTXMDAcLlSoZQp91hbuZhNn4wXB1YMhA9eaorwilsAlicfjG0VhrD9Pm9S jIb56FepnQ/f3QYd7Wksclj7/cf+XGwqG+EcsWcBfwPMQoVoKywfLheAdRtUTpvHdiGPybxXXkdN 0qdUEqGdW33dq8tI9nG6Z3JXtbFFQnE8QuqNMk0znlPuW602I7bY5GbipyZBmi/09KywyXnr77XL N4Qm5W16esDjFFuuLal+3lFN1J0zj+FFNbst4tNCalVI7Jq44dX4I0zKyGVD50q4VfnJNyM6U+z1 oMmRCOjq3NjpSSkGrhcMGsEQ6TbuvdXzARwE8pCgF+FuzQrUfBtB+jXPyGnKE01XTxbPkfTipbBU FAwiRCDF/4Yvyn+zcddQGhsDEySBasmmcu1cp/7TuarqMRg/Ch9PfoM0xq5Iu3AScVW6m9Uf/H54 UR24DjnfCNgQUjp8m5jeEGXiW4lz2jizdhkgaWkwO88AxSXKXsheahV4LMUalpk1WTjWsNMvUbit 3f6FTVOW0BPxiOaUqGOEqyOLYlk86T7vchI13LN8mLk39MAwwgUEjqiBR9Hv/XKeVN4JNCO7w/TX yB1NQPrnwqMywV/tsyX14ITbsVu0ZgFG0HjFhnd2ZEHGzDooSmuNCmOQru4tW8gbkYBZBBqe//MI jaiBG+1lV2E6JF/y1on5WWn3bp1GZOEUeIRCCDvzERLfnMF7iVLXlYYNC9xSaqhoWYLTRxgD68Qw 9IqjMIeXdt2H79CNEJ7+13zd3bX8FqKBW9pNt+ZhoZSawAccHn5BfkaO1xfgtA72qeRiYevjm5s/ 9ZIbn6SuB4KrYQWfVQzWmzXDPsR1aq5X4FPhdKgWey5wVjX3spw+BS1TSmgWElvVUefyk3G222L9 VjcLaa/ZSl2W5K+Ug8HI/XkhtBdFcKelj369RQaWXiTSHaiR86RFpz5bssXFysZPpfZhJu/bqQ6C PZARhgWEK0OSLlFcyopKg51lmApHfn5XlzieYZbGj2pCn3aJ8KevQMVo9LNnkyJId0qXDywk93D0 u9srfZKIFnEI6FAO+dKJzccbamVv2F4lL0EdXWiS37s6px2fu26HUqvEStKNfL5Lt2w4jVBsft3a UdksUndf2GHD0x9IyErvmhDFeGFr0z1R5gVMXAsqmqX0NHowfZ+lci2Y1mTK5JOpDjFkls4P+Jn7 0XTg7/oNSuM+afV8MghXpGYhNcMYykbnnaF2ZKshRSCiZW0wxHVhTFS8Zmyvh28amQ0rAuQtJCie Fl2I4dW5rrqLVYXKarR1BJQjlaw/1xUZOh3jcJ42TVRnKIBfp4DSa9IuNevbL0J5HqOtaeueD/2p ib1Mwwp5n0OZcFWoSBJbClQAV3HI0K1a5ra+G3HgsjqXDkZXuy1LFOY+lcFcMao/OZo144aUPqFp hlGdxStvO4h71KQV3hyi4E3cIXyGypYfMNlxz36Dj7DCGxGan83oOKGF9SVx4kz3hpZznNsBMg62 t/OIXy6loO1MeJuE6TXqeeXRAqKOK+c7Jpuf2/T7OWUTQgszlWsts+6bTtDcsd9Kp7uwEgE2El7T K8A6sWRkuTqKhlLbmAC3GDrRilzZ10Lzp2zFAqo21FOiY+tgSr2IY1Lw1+2yfMuymH8yH/5Vvc7k cjx5Nd9w8jhikiqepPLES5hrrqu/zRRewcRvmCM9Bpc1aLqQZjkKfMBr2P05lCC8MHn4oLKCH0V4 L4+aHW/tuE1jdw6JvuglKnAMOK+oeMEP8kQ4P9zAGZe/2eCsW/sC3nnvgKINHXRbmmD8CfNhcRhV 5MLwtQq3RpCpgZOYPoUEwXlZdh3u3602ONXPpjIJ/ANkdFm/siDEd/270mo3CHqWjf4v82rpNqXl qWlLrljsPv21W4LgC9z6NRHqH0LxVJhUIdk5v6vGU7kkHYJ85diRf5ytcM186g5Va+SHopf4Qm29 u4Olc38NMOfl/nFvRFDXfiLZUZmyOomySMXgJdZbydaVHL0WPaIarvaUzeEt/A45oZ4yPW2IRuQ3 EgaIVuYPgziqLaylXl7mQUPXwLLo8TMbiu9d+hDyusQ8eaywELtvJ9pLAjmFzvUyjkuL5Wq1m99t BYn60KTTGYPHXlkDkhk05AT5X/lgQxuOcBJ+c30m20ZxjI9VGpxKRg7USNcnY1skVpgj9c6+f5dA XSip7sd31g8FRXPBhwo8BclQyIpvNILPSbqLqEu6l8RraAFiWqa0eFo+PsFjOqi38PNtExmVuiEJ RDstCL29u/rXnC18puttyAjHyQibOlxeTd5v0wRMlJ4frgNT/y2rKfRzrBto2rMkzd1cHnQ71oCT LIC6fi6V1mQkrYGXtuNyPIpysbLCvX+4DDP/PATBVkTEdD+YrK6rNx9E0tz/SUvwx5+AwiXz0ZUA j8qzI23eyNoCP8bWRkYm57uV4aZYUtXfA391of5udhsFXsK0ZmINpkN0v7a1PYQkdHGhqdiYAmUA 9Au/M0RC2kOcl1+0TcXcN9nlGL+QIOUVeQYNdx9E3yV1N9311PauCHXd7VqfHcAFX27gzwj3nDia rqZR2AOws/zSbNMlXRgFG2lYjl6IVx5rf05viToDKkr9aGXaSoCTDi+nUg9jtEUZWVlwsEbS42Pm LXZe/fMAv57CnNmWZjwAuu6D5uKjDpi5U+AnwrDrxMjTABXTApgQhSjNhmblOHussrsQP+H8Dk4c sprxEnt2X6Piif1OEIZGiBDiuBaWr5Tl5Lh1fzlbvgXmbjZI/wMGNtpA2rSdwFcele2LQzio/U6j 8MLeci6E5IcqpcSWAxnNpxlXPyJTyZZ2/Z8J0GPz/iupgnyuds6NUMmMVnBAzNU22ajMfYRKUZHt XuJz5lRL3hnN5r7zLAw+83J01brHMh+IfP7h6PVkosI/t7Q8dW+QXOKksIwaKuMrkVr11Hc5LxpW bTSIFkX2CeTsw9M7F3B4cGAbQf/UULGj+YM4oX2jkm1sSWqCl8lcAoCgyFvtFsKiexcpnX8cHp2U XFVJFFC/3/oAPkOZFdhuJ0Z131CtoY3rPYnYyk0PaAChv2OBOwfwXC1tfj1LOSftP3/4nYrwu66j gQ7Yie/FQxe1sMEi1OMzoZvEpr9xzNeTuZn132gtbpA+FO8YLm763nMZeQqr1h/TVdn2lPd44D93 BvZQm1DUmgcRJCjtfbcPZUz/CHLqEIZvV37RchuC5wKEQW/71/cUACiIDo8cTGZOgH0icpnuo+WF tG2lqYwG9ltYHCR4Yu1TGULYckk2ywVi67kOI+GinTKhGvUs45t0uAjnaT4Z1DdPXOeGqk+xkbXP QGjE9CRgoQciAdNH/p3P1I1WqZb8vLqT+RJSHGy6f4HEtKEMIvUO1+xoWakhnSEvLmaTEg66qyF3 8wwe8xklk4vmEhaNcxp8N8WeJujeyipHzLc1xjdjz3l0RO8iRs2qNLRQkPJC+MCfXRb6bwTVcO1W HI08WvoUsTXfWdu7UtLgdpzePujAcU+7PSP4vg19GlJC6DyfmXqNNkfX2O3RvLEh6UQcszHVR0b9 syBSZjueIVMm9OKinsYibpBZQeg2iUzCxBTcm667VfsVPajHMKDdR5QBAEx2pV3TVT0RCjRUv2xx q9ua7sRhLQwL/xhYS1E6hg2Mhdlev7/84pVbjnwAO5X16ngx9u0PRsE6X1svCki+WwS5jo02Sdhb raV89OVRoYEkLTFuUPnSziMd7DZ6R2DFVENDOugCSJnIooCQPMnZvNHiGpokyGvlq1qDoGiRAe6r HNo+TWnXAH2Aomhm7jJLUzjtMylmMZf36VkaPgu0eqru3tVjp2pexfSEngqDfRn8iLPsyPHSWCfx z2PlR6xTmzYy0nJg22d0mTTkbk8gaJ73oXJxrbkB2h2TT80UPjpCGmlFaI7bg9b3zxMPCniL/dSg GXKulWkjp7dCEpQno12leNi9S7ouxbf+cXdJvtsIYNghGAmXqwNhD3SUp+N0XZPmPS0E0SHmwiF8 4IrZo8EO/UrynN+MYzH3Od7GrjGBn2Ayi4AOuSFbVOtT6jdLczKJ60QNNfFWwix+VSyW3PTzokbn vjsrWDfgY8x1eP7f2AmzmYkkysiXBlvaap78PsZ348e1Zoya4qTnvAOvmfXAdfgOHUmcCKNkgG3A a8SfDkdl2yer8z8JPi3hUf6CIXalYIHSWZt+CiVITPSY7pcPwILXgQJ/NPlnxYYe7etGeOZqR2RM Zl2RixnvzxJnaHi7J66fEwnqBNdGOgFis/f8VULrjGbYZJvF0ApXnzS/1L7H4T3rERMBa63Gz9Yf xSzqo2hkPnOmFp1eZxIGmLCr5rU5Rwum7+ExCmGQvPgJlAUu3GwTtiKhZtRr4VkvknKcZTE278rZ xn1snLh5TygzcQzJsEd8mospEslvNR1IdGf5LO53Lo82IwNqnz4mECafWePpYbzyFTpms6TPSru8 NH8dR8WSMxC3803etLujdJ6ESns6vHwAOtfZpBrPok64d/saSBxSOgU1NsnsXwrGq/o3k02nOpk7 PInZ/2Do544y0xzxjr9ASBsDdSD9MhVCnxo+8jyBTS+s/+piqqCbqub/nn+PYWh0BPd5Maqqwjfx 5IaUh83oxi0KEPAQ/31pvz7uW/AF9woqFAZRyH9vi4u2uY5g4llm+vdY2PKKOtlB4WogEo5+V5CO dUg+62/lnmMdIjBA9KvAxbERnaffDQ/2FDtKLQSpp2BhfRhgXZVFz/9ZJvgym99huRFbz+sli/ZV fDhcP6AwgB0Vs5JCDkIoQlEALNT/BU7Nzlrq0RVPy/cN6EPW3i5MDbPGfCt/WfwGa6MduBFI9IQf sbzoWCvTAuNWvHZK7R+Ia65EJs/SvBrEYh1X67Qm1Wl6lRCqIF/fpj8i+sdE7743EzvfgZpkO4Zd 9tYF0g9lA9CH+m5ZL2qNolMKLnMwoL/JPJs7LhBU8FvBTpsUPLQQUHwEMDljBbl6t7Iy4/e9kJ9P owUVGIDxQtdOOeqgdsuheyLrDYbbNbDgfhbpItHb2O90Iff9id2Z36lMi/WaX3Uw8IzZlEkm/zdV 9BqUfhsMLlYL75ZcyySozPa3Zx0bdXi6KnTOhm+LFt8T0TsjdVhMh3RNfHgCoCwKdgLA2K0ZEUHb YbmX9fr8J3tem8ShEHmoIJVieNdPVLV07YVLbZjPETHhm+QvexTRotiSGB4PSPXhRZrNqO9fIc/9 91FYK7BqLLqHZzBv8fkRsdIYN+9exrtVK3delZxgLM0ih25c/lb06KICF8mv+zkVXt3czG4j6gQH CoZyxKggoEB9Xxllcxe1wgtX9IexCIOFl4o93LX+49IvhVRMRIID60PGyV6csA/VXO5XmxxNcQOm ipr5xPRqkGSJQIOs7+sh/q3dGRFPpFEo4xW8CDKHO37yutDvPnV/1iY4xNz52tIVcmXTuTR9V2kK XGSaWO34MaMQ9E6T5mHz4MhKy2/LR0PsV9J8PgrwmGcsWy0pzPS8ajbCAlb6MnI1vnzFwvWRHtiv wu93DQZbnrFwjNXgAu9PBJAezQQBco7D8U9ynna5yMZ6Rv5NQ+DNCurGSFdFib6X2PRp7kgS73fI 2ES7TUpC1/RJJnRgc/I6/xdrWZI2hHhJ3yUwev46nNc/qz+J7dzCN1efI/NjhsiZgDr302xlcPyq 30bDMuWKO+LRktvcMa/DaIv+FeMR6JThmwD4mZEAfdmiN7Nqyw/XKltWnQZqpoxuz+nZQp+xyjeG P8xQM5Es0jXHJQWVEwxhgLhmsqy8j2ReBBFgbvqm2UCSTiF8GN/ItB2WaL/c6p3k/qtbVBTyyut8 TK5UTo6XhnaiZRSa+8b7UKRQU24MQX6gTRRBEiFTMEQa/MP902lz1TSdv/rezybSu8gfriK55qzh F1sSLZ8YP0kGxH0FwU+RHOd0z6sJMNeOAcN2yHQONoRPAvgWAs6BnGgi2Gb5ygyN03lZvkrlvYGY Isr4J/X3eP1f6fj5RXFlJkqQSIHL5NY0DVCWaFdjvzYYcLyk0TgGMbPv+Aqll3D4OIfg8/ZlQNkZ wni2fE5mLLLzCBcx6S2o/kWiJjg2kpWo/Doy8ZczpG0rBdFysONVHqCuEK8cO/0wCxi+b0a22jmw dPKMthc6HiSL/H/rUEcQ5BvaX2GUsCuY5TFKngCMGR4y2pBHb+J0HY83hCaACsjiITDnmsDsMwKt m9ml/KPpTOLR5JRQgAwVab3Xma8e3bdYjqO91Q9/KDNAcbw6qa2FkLGGdv0GKYCNEdkPhTVg/xD9 1ajgPO/Q5iw/kdqxcNwPhwBGwI0epDmToPMJN85YpBw6OdIWUJxKZJU8jHoXEGrKAqpS7Sw7iKvI BuFSvAsVTZiA7nq1MLkU/aoGN1yPdCNKgvRItvaSeOszPJBJleGbHx4xQpUxbfCXhb8mnw1dr5KN R7eO46w0depDpezQOAwXsYUR4ms3jdBXQhxBGPS3AnPxZDm20TYOn+m0kQu1k8XNoIHT3RXaWs06 vy9KtHfvvNZXTGRKBwMvY/vNHObpIW7pHF6Oo/3ILkxDRrOhGj1Y7wV3aB41gAMSAiLysQeoUsxb IAjdenrZ5FmtGWMMCIfFeNxaCJAUXrpKjIRWubSGoOpN6CE0Ecy15B4j2I+jVvk30Zwozh9FNtKK 2Ia0zOJ7LkW81osjNvp8niOJ0hcfdPbLwmAFwz+r4fWo+brM8Dkn3vyWtPt/jmhSYoL+im8wNbcr 5dSZ6tCg1XdwmaA3wawnVsCnlxMr6QEWxOJ/5/IpETfu/365Hy+FHAVx47uuahYHnhDvUkEbWkLV Pa25BeVnQOtd7l4JkAJbtbg5tuOQ6WLXKecgO4tg1SneHkYHMEBnowAQw7r8gr7NflrJSS4IKpPv E1A0HTB7ZsQT+sHo7q5RaTNQ42GdUAg6OkVgK0+u0yT1KI8ijK7uoKFWq8lq4G0ChupPDq0NYKb5 mujotiYCilQE6a4Nhjc7LMN6YPZvPsvTWK1PswJV1QJBK7yBpliNy3JXzYA+bYap5OrVwURH91bA ASoI2c6O4JB74XnzhxcS87EpPq+n+kVCGGHaDPZFanlpHqXVRmMfcDB84Uab1QogiAUUzQ9QBety dZdXkEf4jMefDAQDcvMzwIHynWbzflVsKtx6DsNJwEhqqBf8rv88z9Ac+0jYEbYGiB5QAWJ56aan iA1V3mjioDGeEBHKWuUv1JXlWFrN9qatIv19+kd7DBF2+LqJPTKX3EhjO39Z/2EtR5VljvMp0/DX hQCJ043eg47SwoEwo5Wi/IHgB++XvO20xVsLn91WhTfUSJs54QhOPT64wXX/feFGmhp6r0lXJnxz DjBaihedNb5/QY0uthGaSsEzXnx1v4MlIhDim/On370XqNjtsSXSveZ2mwQIRsOBGrwid45ziW2b aVjq1WND4USJVr27u6HTnPPp30om98x5jnWtEPTRzxS/NMxTvYveo/WIOExwv+Gb2Dh2kOmLO4h9 YXjO4DN7eWFFS31S9yBrc+SF7t7G5f4FV7mOwBfgWyoxMcUZrrl/YzJp0+bkMiMWACjIc/t5N1ra sKZ8aPFUBK7XcTX0+HLrapNwPVrSejzYCjijpzVHycAGUSfQrhIcVeseUiUc7ANe/t7wWmF94YGa pXFNTHP6czj8SRPFd2uUVeDIRhhFz9ALQbsaEcN0Ih64yOqQb63c12odMF/dGu2ZE175WkHVNv8K vnSe98Zk5IK/uK4TVowy0HDlrpKPjNOpmDezajcF2y6/VHB9vt644XoCChVh8u1ZgU+errCudNCf SI0DKSu7zBVUt5ipVZz2sMQ46IlaCkG5o8tzsStdEHLf9fL+5dVoaRA5Hyo1bI0+EBVCiHR9xmeR eZDdryeoGrJ4TpodEggGxhMf8sF+7Od/xxNnDV6NwUuMzXsKKEoHEDAqioHC3csj8zcZzUcEk/ME jrJUOnC+Nkl7u9Qb/8bpLARz5Ic9jQvntU0YZ8I5m0WQ4jq9Q+96wMW4SsM+cDReXqz8RGOcFDZ/ T7rynuEEIytIPtUqJjq5Z1veUV8xcsjxqY05o+H70UEeeIeOqGKA3yM7IZM2wsewEGTCZ6jLHfbK x61Cb+UIvStqW45LB0VYF5eXTZs6M8oRDTwunMt48iqc9XZTwJZlL8ESoybQRCbn46bkOAFznmvK l1ehn3d/has9TPGQ7Y1SaBh/p/ot4tGYb23BLkkalGUILa/aTvXkiJRMJO4iCvP+Gu2VvzO7tZx+ dfyhIQXwzzi99JOYVLcKubT2TjiOjPXbOu50SdmBs3lPZqxDlXvAqHl4B4QqBKwbg8SBA3cLE6aS WESJmCIPw0DQIcavlstT4nkP/QrvR3gg1X7wej1RY6th2TCs27gNxTnlDpvFEb+dTe+CVJEtzDH2 EjzTbNxV+5B+3YmfYWRi++JAoXgwz22+tmbjDDA2Oo+mpDcGz4G9mV13BpINHav35r1K0mbNadFK IjM+xASL35WaIoMuJS75C7wllY/6OOzSSgzLhS4NQFICo41VMTyVWI2wBcowM3cFIeA8sTDrCM3i 7y8RFvunC4Uk67AhLjKHOyeiSc58COoodLPO7mnjBRuFT7GdKFGjUw1fIsK6vt12jpE+INmgkhU+ s/TiOzw4JK9ByvTYsSFpXyGyyVLe0inmtUz+J+Xi6E8pMjZqYgww1dPl8xB8YVmYeeKJWH3XrnNA K/zMWn+d9lkPPOu8C+ojuadV8KreaHiicpVWYBhtGB2t7Dfs4lrcADQOyVZaWXw3j8Bum5DEPs4D hG4+cjgcC6hp29Fhqre1K6rKxBFMiPtseyZHw4K9cNWxW0yveBDr7vorq8MfWU6M8Nt1CzA3Yfbx ZB3OcUTTZoKZ/LgcgQnP6CoNJj0r52FMhjyWBYn8Vd8wGg0/F+vnDIjf2osniXo81EULdvZGU+mw dmhR4NiuFZ+zcOZMc4vau07HuoplMAoV08Xh1FCIkyEWNfn447Tb2xLvLLcYtna3xm59Byvp5jFo 04UBGVaSGnxYEz4zCqXb9+X12YH+bsRsPJL1hXbMYY+EZpCyZt8maTjBsugOwDjsyzgKhqQ1uSPe rEi39rCQ7NFWANNp+aSwCBDlP0j0bJHdUwCfJd/8S1qPtOlfF5/i/akQ1cNdXNa9QWUqXpfkD9sP 7UCP+ouGujbyn8mUMmiwz4jI6NXFT3FI0gcKj9pwC51BfFalg6rpph81XFw6oF8pLFPAcEzTlT6N ZvWeCQSVMsSG34B/glehxMPn4Q2Zpl+ixgS1M9/aHAZ1+4o7AJmJjjojCOUbTvK9UCMLGF0GConE 0dX03uoRNAnEOGPGKb23B9tbwhsSAHxbrGLOvGckuDLGzQyJ6Bsue7G9ep/8QlLesFCV/TqYjjvJ JB1kLoj4OzGIGtZUYf13DQIrZD9b0XoI7BIgu23VcHTOXLiEEVzOYN7VmxDtPtkx31wxwphdS9Xw +rxGjIZcjaWn6/x1Sx6Y8BjWuW0A4qr5tMZtgR5L9IJ6iq24G9x4xh1laVXPr6iDOLzOFAKDuyFa XlP8KHE1pBIaZqXA86jL1ujhx2Y2Y22Df7287M1x2bfmE2f2EUIsUJFr5JKJ2sXc37MwmAC4nG5y 9OT6L0Xn/ATc2wqmqCipvtYVEuVt8mEffac0F+/whKOEeiRudBGKKaT2SoUCSdrCR96aeRDu1Rki SeubkovkW/wZ0WyHMgIFpabE177eXRJPSGOHBnJS1wbgmdJLwfwhY2tAwvEuz23XyRsddalkfrSi HdJAwLxhr6HcN8hU8pIhEloXuStkDMuME2yY2siIu7hK/toBsPWLwjwJ8mYz7eTRy5yUdezUByVw JIgP+mW2AD6L5LasMoRf/TZiWvI5uHG/4gWslDf6wGM/tmy1U99wHPhu2T6zSZtWsN6in8YNJ5A0 vSCnc4FsWulVsE36jZ2KZNjV2mCMzVQhQsMeWP+MgRLrQ+Sw92KSL58hmgU1vNZyWmFGLH9574vU kg77bQWdaZoCpwMLxKuNGQf180Wv80dcWHHKXuGXWInvOGi036y4G438T+t0dxEeG3m58NDOMKao UwX04OQXfVeBNKhaMG4iAI1gp+snT95/YDHKP6VF0+OB1yebpLKuK2clGGvBANYRmmEpSVwiF0Xa U0R6sLT1f+DSouvTTnWW50F6RCAs9MlsY3hZNeYRcEjDrjCW1nMyeab+NliPrcbWR3TWhVgLjbj9 vopG0dyx09QNxbI3Db6ihLlkJ7TqtHg0XdTTM8IQcOjLl4rU0VVOh8VYt9OTKaPSBCXJWMV6jFgA w5gAq5h6RP1YzDGbhd1swZq5/ehCX5ZSJ7nHdOu3uptBjt1Wny7MkqZguD72egoJUF1UBdLGUCJ+ E0LRa2GInP3UIOH7x2wb+EsrwwhAVYP8f6aTfKuMRj8OiSJbDn54CitwNfUDgfuim5SAwLHg60Ps XFXcjRBUctZfjJp4I29av0XYFEPsVoBbDSxR1zpR2rhVdakSYtT4y7Y146WDbNy53FZHL7XrC9wG ImSywjJFuUCirS6n4hf+57sWPcnRBd188F2E/QSCNtlkt1pjfDf2XCLraPwOXUcRUbPmpbYh2fRg FCOa3HR3qhTDRSR+t5pJs69xnJ4DRFpkOdxbPwBMjZOCD1WQ7UBOHDsTomCflMLXWOn0eNLbwRNS NUmEyJ23Pw2Iobr56qvyHW4FPgNe70cwJLVNaZtN+O6Pz/k/5EKHV4vZXC5LqNix/Bdsn8u4lLxX DWM9rEXmBbvuYn6/1c2Fmi9BWNzBWLoLJ4vz6AbfSOsBDaieji0W3SIWKQwvUdGbFcCG01E646YP CkYthRn8yrQacRPEV7L8ZkN9orssJA6b8vl+CCzP0QGG6fFuGqfodYR9QekGH/AwU8+k0vBMrt1h GHchPg4iFr/zmOETAV/gRl52usSnvXtmdUeC7ZsSCRNyaTb8wRnXC3+nVj3h9w595/wAjQY/asa4 +whwHtTNGyvelSWICHBYpShMHT2i8fxN4L5GjWUN+ozxhBVTxJytxXtg2X9yFHwZPxguxrD+jfxm l9ksda3t0kWwJjQCZB+SZbiERodYPFKqqcGuBnyBFubrViXxeIBqfAbyJuxuw1El8UAQH5wc2xyD XmJTqQW47tQEcj4SkTKep60KfT481fBitcoF/wDNZT1G7h2rlNx1SzsurFOJZ3VDMy8rhISor7uR FVWBy2SG7OX7jnX5a7F17DIFskRbyjMIFHVW8ZjagoP6yduyWE3qzHPdOACo5N9RNjv0olS/oZxq 0llyLmvLyiJoL12pcFJfofeFGrtqa0rPLZvXiPOzTeFCMm1r7OFBR6OyWnz0VUckq9N8kUtjI38I BFqG5hM5/0Ko//K/VEeLHPwnAk5nFVELIEjqV0yfauKK8ptJsGcjvY6zdWgcCIKbdFVVIRpwJiyO FRTIJQ4YGOfwLdA/uXqkTNsKyHY01N0eahU4HEdmmjUBsNtC7AtMVmwUdoWIRV3WZed8m/A4p0e/ jT/jZlEE/sDhdgFHYjbSD8s9vhGjFsrt+4xNay8bMEYQIKsq6+MR+bwDQoc1K+9QbAtaI7Kr7gCV KXckRQv10Yjd/Ot2iR4F+q8oM8mHZtIBhZfld6kmQJ4GI0RTGoZaJI1gHxYsotvCshbTG4iyGBHj UFr8jLrBEqSPyutuWpuYxJ1WZePhUSRsRBfGXDVz7TeplxztM9zSs5GXTrp2s9k0o7eT+FSu3H+F FbIc6DWNPIHsngoTig8zgl/QKbaOu5P5r8KuwpYTj6M3yfGp+ZZEEuevc9mBStoKMIsiQ8QkKVlZ i5hewxJ5ah950ghrxUHUV4ZKMqqzoqvgQXvtM50vAfGLaxtX8JcUMzvEB2VIw6XO7vaNf0FV2XJP 2jPnL6+dOTZ68KqimzDp22thWmQEWBfWoY16BnbP7zEn1eN8EW8Na72avmzSffy7tqwJ3DmQWorA LdqrRhjImoEnvAjU53yfIlahEEQT6IHGW9lKIirixU9P3YuY6dMB57B0Igj6ZG7KnmZbs2UhEMpP rBk4acbAwp4jWMqc2hmqkTAa3e567A9BHoazTmHrOS6WfppSrVZykJ5a/R3LvFTm7xHdCqqH5Q7N 3F/4WYipCccejuhBypGLlsZgzCXsP6BF6PCcQTAJmV6e7vEVHd6V6ltwbg8mQWjZVn2ZkEEcsLli vBFbeUhgBbGixNepUNP7YGJGgs7+mfws2Yj78v2hFD/IjKtIf7F+GMOjCDlBPsn+dXMv1AYJzw0B thzInKJe0odBfl6XYLo7T2S2t7mQdARtx3J1Pfe/1KA9C8MJsnRWO84uHjYUtEpuhh3kSz/6qDte Yeyxz5A8wcxgK3GVirXVdAFqfZ3MvXc/AjswIYumGlbDlLYOrIADXKoktzsOOU8P8oTLi3urBWLg /C79TID1j6X2eV7/zDPVZLE+FXsjS0e3sm+d9S3umlFdksi8nXDuNf0g9KQWQd6048QNvqABaqjE 1JT+J5qnA3h84qu6L2rUA+EdMCe3VFGZwOtcHhOFmftlO7eMwEYN5VFRGN8+eV5PRm71afFTLSr0 lZRgVWFGnaJLmXQ8TJd3Jy0xIvrRdL/NKRP+EzXGlueKIFiTmPJdtQbkqwIzulE9uo6i8lnn0ftX kWRsC7ztLEeO8g6G6CKJOt8PY2AwoKdLe4mYigc2jGcXfwPpKJy5mNsVcW8agH4Tl7IasbY38sFS NzoIAtFIn08rGBQGU77ddwpoFyKEsETn/8vnL3gW1VA98uF29y0wioeTizmiSXyb37NL4C2+CJni 0d50c9JENTDXT8VSt3/Tz+q5VCqqCyM228xr19OO7Op3srM4f+FYmQXOKszIgoCzm/n/OM7NyRBs wcWQF8tXrnFPkC8zXb0ccgS1tdBXs6ifjWzqNsBVpI3psHThkSn6yNu4/3IcUS8JXCQZ6BwnuPEU 9+owFEtoHPK7eFdy5rBgzqd7dHiN9RZCKwDnJXFzmCr9bU9yo+jWwXYF2HdyvHzkjL9YPSFp1Gw2 CkXuZEOqaJlVtFY1Uq8TYf4pRq4O2zYE9ZFvTFw64x7Ilmqmnm+Za6z5sqyN0GUNygxTxDzYqSnW a1g3RFDsNjzdRAxY3dT0c4ZN8kMZ8OKcjMvAXiWgNJy6u8E0ibgsSzrDe04336OpgjmAWHfpJm/C 7kYAjAib/qoWmXP6MlGS1P/C3xuJW1R4sFETjMU06dK4Q6SdMrVZwAK88KE374/gB9FKBVDy1FE8 nGQjW9rKgtSvvobrZvMgoIQGBbxaaMn1XgtEWwGrZGK/XKyRM8SdJiGJRc1P7ithfSXKAeOcNEfQ sXog5UXrupWOvLyNeDOWB2zfdAH/8gT33/8ni716FX2naH2fUKcYBrObF5tKE7a1LgCUg26HJVn6 WKWueKJlt01yVJZIUNkRkwXuFkJH/u8PMp7UcLnYyqa+CXZBhauYJGZWkuVLXu0nfJwNxtKVSGQu VzxVN2+bimMkL8xFb1HC9AEU20KNQAjcxapCrZz88flS1sOscpVnSItQrBz2A/0MLq2FQFUs23aY +oFHly5P4M5sJaDDFtL1cZzbsVj5VzzWPu4FNQVvEK21bMGwUDz/wfiyVExAcornRn59ELreN04i cD/sXRmkUg3GKJXtddDihOI90ELAHUhtmhQAh+NUeu98RjVZyMCdiMvb5tCXtrsWqHaGis1lrHiD 7dI228AaYyU+dfwZiDY1uKTkluJtxACNEydys/DTUfdl1/I7TVSRZnt5UUzD0h++5BS9lIY5rZjW VgAGX55GO2vQxJU41uJ70iIs+qwTk9WT5WY2xkw/EzYnCVMeoYUdg/QXOdycFMu4NpN8Sl85wrpS FJtqYcdRQQvUiDBOCMndQ9Pu9EKpDH82b5LAT8oCY5OxCCSHZSp/PG7HInZn2ua3Y/Cdf8ttTpfY VRXQ66HA7/tjvmjtAfdIjA2gpu0/5f/DLr6+v4OXWvi0nE1QZBjH7yCeZUDoO1cnEXyjyZYXVuiH VM9LwiZgRbqc/v2JIKkAR184YS7CasOB4ZMPCoDD/2cvYYSWFo5bO+lw6RmF/h1aqC5DZqCYd6z6 cYTk0HeHxNO/6cYZiEwJqZnXOUg0gbd5fVx5QA4QxX3xPno7Hc3wHU4bWZUdGEG0VG6231xWKhcz l14aCVI9H1oAkWF3xfM4K3pIYcbBHBgwD5dt+BqrVb6pkTnxPni4kGpiN72lMzkyW6japJSPknjU oiYG2MzFuMT8LtXkB3qRq8wyImZCFcfHRDjrd1s08HcYcqv2rFb0HfsjxStYaj4j91AQLxm80VL7 41BarctDSTD8CzHbjFor9dcatATUz8hegOGCYCWPQR0rINYlm6sCglwCEdOFDsdahT+r74DeuFxU o7IHaenqGyarh1Aypu+Hnuvy+j/aTlCZRvvIVDEQ067wcTBUeI6LtSzoyVX50jUhDYZzmIlo6mmC o5SvKkGSrKePmi2nNjjv8oZA2Fwiz7zPBYOH8u/t+MYA6SuVbUoYfKHY4o/qhzgrtWbdyJOYb0GT FYatAcVcCwkwRrIDjDk+V2En3oOGFIoDqwgGBb3NaVPyVRZwawF+KxJvqVAAhgJfnTlsBKooHIID xxBP33ZjYJOCQE3xswkqnQkHB8gGT36eYFhzO2/bDViJsxwHeZccDJ0o/X75ztWPTuVp/mvaFNV4 tgXOr9ebMWiTHw0aoFWtTiYyw2PLEDmV2YltmGDQAnmoOFjtwJr8/gaYpKIACJCqDHVmNHuP4nn/ yRNEJitM44sW9mHpu55syhjfF5trNb7ynlPc8eDGne/kTWTZTpA4minpQQCAwTnzFHdsCHzjSxEp LMy0KHHT7ocLXfbL8foH+2owEyf52Vy+8ZARUFrxCAS9UGce2E9t1m+7HeKcVDhmvJ5YnBubw+ER YZLScjNgs4aLx3YbWESUQy2Htl1qcRswwK7QK0fgmuFGtvfHmeQ0CyN85EAmSqxSsJCerHXpnA6k MUecAtkI2MlMCLjmhUcIrXUKL0DAIZ76Ddfwomm3e+xlXd0unGkJHwACH25zs0mQ385p6YdP5zDP KEdBrBTRdO5C/efCF8POnfAFibODrQ2dAebmMNCEm0yHqmmg/dX8UOzgxJBDlV5mR9Fci8BCd3Qz yW1p3n6WR+tWaekjGYHt+PR+w7WLVnhe46ZVjwuE6DopvlTCVQilF+AEMOHCAgI2tg4Mui92XUZW JvSLMHxrwE7uFgRq5GFJQj7yWO5ryOLwesPeztpijzxIob/3VjXU0GoHvZo7MMlmcvrhS2QoKqp8 kKuvJXuoau4P0q3IQ+/mFHBev98mfI9p0lHaj85LXx8da3w9DrYzh7IOCbfQBmjTkHvpk/Xq7BiN hO9SoInooX/wFZNQiTizHo8qNX8kC1ofP1giUzvxTfDWp/aU+OQ3Rsr4JOZNmRmVTElgQjB7mVjL bWXSv6VqK2HY/6D3sEJ6n2XgxPNc1oNrAXEw3S5vg0XsY+AWy6kvVVD6T30jk8fXJ6QNA+tqVB8h b0oANbdWJGyW5YP0BMJx3bBHGKp8K6vvNbIvUX2y5K81z6TQUk0jCvC4HNVYqPLmWlv/2woKn8T2 17yvsPc/5aV+lCYQ5pxSq4D1WlitHmETPid5u9fFpazGjwIRWfjPcEQhuDTDIMJa2C0iQX/5vprS tx1zvVYmnxZLSBIrtFkNI+esqV3PYS4Hjr7XE87gp7OgsgeA7uejMl99YE/1MlsBlmuXVEatgdv8 J/dfd1Tx/XMmlWR6gEcSpmiq52O/8D8NkzZsrpILlu+hBYDFf0nvkFVSgDPr3TPcWM/QI3RXGp35 aFZTyn63oa/ClZcgr48Y34SNFcWRToVJRisX5Fi+dConVRv67bLx5vPOHRXNtsesd6MHo9oFxijF l91gN3V7C2FEV+6lbCrOEUZUxjy6fgffyd+6iS+OHbLgPK74DLixfumIVft66DbXavL8x3uaQJ2D la0Dk8dAL7jQI0eRtqnkh9VDNGXM8CQ2jPwiMFNZCXi+ujwmC40PfqoPv6pFKLF8dYSycSlrubOK TqIOT8IQh7Ycdj5TuCB4k7tlPcYbFRLFTpJhRtXGMsSJkcpw50AbCVbNf9KZqTGIxi0GEnAu2rIs rpd80qLsYhMSqRVED5vEkXWeClLj99Qp8AglEPPeAUvN+VbfxYFJp+/Mt5DmIz535Q8WC4iQQD1Q PFLmLXNl/waH4D+yJ3LipvEfBR9jazbEGSK1I8y6QO+FjsW+1Bt59rxMhIfRJvHyMU9oJzMshcqT KxLSSgyM4MTTRS+ju1CMUOhc1CIKqaDdkVR861J9rNpG55kDLyejSlF8yvu/8Wdhy1re/QltGGsw bqn/IbX7a0Ls/bxf0VIYU6JHpxuXzwzMpQHywbPCNC3GNP7ULA9Sn4S4L8h43CmHSQ61FUWL/u2/ MTE2xGO3Jz06hhzlch0gukifLNkctFs4BiT3kSWuRZ7q3qzM19j1/ZlGs3KYBFccsqNoIpeRwDWJ YQi8eEwyun5evKM2u28FyJFGagK/VKVN0IOAWslXXyjgEbl+kg0PKNItbP2eRB3O9nF9+hxBGOB2 QB6SyTOTO7/qz+kMZ27V5FxE/LGhhiCV75fwu9EOWClh6w550Wlhm5RK5pyqNZakVEUMLE2DxTOf MFdhJDvpx2U9zbbJ9O0dTY+DgiVh9dwVFmWemkV7bCXtSEZstUIV5KNgLFYiovnkWyeci+dVnchi 7XDULODuFvbzsrykux6iTm+tpXprCYclwgY2oL9+4ygJthT1f+0aPH+J9p5jXDwZoITj/deiGXhA sev2w23GtSIu2bMJjQw/jfAg6EwxeKeUoyhu2EgDEYMTOnOpGYyc6YVLS6z6oYyEfMMxtY0Wrl/1 +pH7zJOQ5FFKB2TPxYqlos1tRReMTCUAKYAyXV0tMTmMdK1nZqAnMMLhidUELeyIkb4ztI/QA7M/ JwUgyomBaDzKxvmzMiV8AJfaauSkdJoixmE8z9DhjOo9G1/GKswSLZGPSe2Sr9CR0P0ea/kEvHEE NI7s9owgV5U46jtMC3EAA/6FI8AYog5q10bc11wE6cau+mGnaki6J1CESgF9izhpp3xxVbP8FYSp i1R6XKGW559F9oUGRePRi5pShQmzjEvog6jDnVFqgC10i7yrzI5IQsYgWdUVI3tkA2QjGKEWXj5E bdyKSgAmaJ/D0qCB94je9+DGwYBysuNBw9qCweQ6emqJkfT0BNs4DyXKkQuy9OQNHOKOjyCI/Z/U t3curOuh+YH4TVFxRbO5fR6sp0soFnkmCMTQzdmEoi+7+/jm3eoqeg7gj1uLG9NE0WFt11kLu3CX 4yKIePLY9MV6+xgECaaQP0r/oKN03ukPUjTX/j/1ixfiYNbEP19HYEDHTnSXouevgaRjsRrcLHJR wcDe+uE83EHK8fOb2H070m7ThCEh3BRdGYUvEDTnIpBaYiVEJ2ndeV2YHP0H1AFv64ZfnLyiNedJ PXbe3DTLTTGYtQ/dsg3CrThVIw4q8KerMylr0dO4g7/33TCM+XiLagIxQxcVipPYtzFR3UCp5e4R 0wdkm1Ffk5i0hhUB5A/srMv2dDVzMO1/h1e2dl3pXn1wknub/64HSxI264lzOyZ5EvgZFeQfxT6c AlQxmWJcAfa1XqgjTA+LQ+0B/Sx1Kxmam5JvL/zHM9OIty+Qp32BcHwa3laKO9abW+LaYqEU6ftv RqJJWauboHDTXS68YkegZNt8S6+4+hUIWuQeJQ42VjlKYRi9QT/lekktm3H9zG8s6WQwvAJmEIyp vXGGaIyvotnHxlu0WZkQ2hf1fGF/PBE+zPQX+0ekhPAbBxg0R97xep1BB6A1xbTc2LJvq6tZTkTl rBjxvMO1nD73sIthH9N4KeeAyWhhhWjhggGF63n0GUVbzy50bTgbRddjvaam43hualNZxqAlGrXE SCnwxqPlJgkuIV9l9LBcTkdXb1p5VAS7K0P0FBWNRRopecawTiLTpn2z71/FshyJlPTThXrQyXgW E6mhJns7KyX/hEi/MRgXI7SqM/8kpg/iM/9knB3MXpLpPvIC/w3V59DYGDUSeEsv7rOF3qI6+apC Fm0CD7ElzL2Tmre6HwFFWoO74E9UiAJwwvfbRYrYlRxM2I4nEQSPtN3rhwdGwdxEh2zuXy7yUWU+ f7JgboV2IE9pBaZ1gcZP5GAd3rxFBxbTJ22NVi4ED7Fo8rdBCp0tVxD7Pxvsrw0xKrHV8EhiWIKX CF3/MlAhTPsnbSjiVYQLrRC/BmE+IpzE++ca3KGbimFAJLT8xXWurPzOnN2WPRRC6Yo59veQpoPa SAe7mW5DxnWft8KF/2i312xS/qCMwcD1tm48F4AmGeJbfxTNKOJhSgXKeV49vy7+GR3HBgS2DAPb VeZofsBZLr8p98CP9lj0BSdeZ8gi6B6QwrMTltUlxvfypSc/n6ro3UXHC1U8M8tDGzYoa44ccvxi ni0u695slYwB/q+g89nOIGy+zhqFndDvhj3M/DpF9Wgvrl3GpQEx3aQnfHpX331uz3hU27u3U21P LPduyua38Hw1Cy6NoeH2FCwQEkIQ12rqhWteTh5d/UNFksWmVeZ8og2e9Yco9sM7xK1rk4JPzRZe 7zEBgrccAdnUU+n1TAOA9WA8+ttQx3EFjZHb477FSq25A1wrtxb+HB6ChJYohBBBajV8gaUPztgP S0cD40m259Ml/etIM5f+J7CIiCJhtshqe58z2RAASY4zXM2THdczbiiSI8oeX0bvPgPXj07+ZunX 9GHcZLwMxLxQGTnT4PskAct4XNhuvRHjxzImVh8xHiT3J92zwsZa43pxlVetbuo8QcNTrTyQ+7ee Q3WDa6NZqAn8rv9T2bvBCM051wq8IWnXNXOXEnMR6UY/sr/D9Jbnh2KngvHw+DD4P9RdehLEtX3T IL3xykXNGO2MqSmUlbbhZZ6Go1PfHCJTyRl9xKs3yCVDno975UCcgkP66n1LhvBR1v3mnbf215t9 atTyr/4Ws5gXheGmIAijtAEykJqLh3GaLV5gkwdpECYrdTu18K9vEpwHQVAIqXlPhcr9GOwJLQeD NVYsmDe6BoeNand9IyXxcE8zroMvUu3UzXzkbBL1U5yIZ1LVaGLxBM3/W3lSOrHcA0gFm2l+PB3q j+3GJw0WdLHrOQoSne8mEwgTs2D12wUN2ED5huDHbVwSKjj2NgnZslvYaUq2d74BK20VFKirOpSH 9CPr1V2GDyBIalwgAUGDRKC3EiCQOSjOVqR698yfBjaXJ+aEx2y8thSAv0/HiRsdJLg0tf93M1GM ht8sp64vhhYJ1s1bDhZCWwIZVTIYfdAqVVqdPmxF6zeUG7gNM/f2Eg25l0LJx2TbdWKMibyCjOMQ MFbJU7v2do49mHBzog5FobUskG4bHelPb9Kq1gkd4vZrJsfxRbeFAD1qQ+ZuN7FN5m+vEcAvxues EF1ed8F0Lih1MVvizO0fmeTAMqXonP965BZKCGUQS+Q5WbdZGnppndQiWohuVV1wZjFj6tY1e2zk L6u6f4HfB4kcGBFkIu9arlzwYBU+vEuaC23zXPwYETNyULT63fbR3deyyRF4IooyQJRwNOYzVMci q45/HgCYT1Mjlbqv77JtslZdQ5fkb0RgrEuV0ygFxE8qVHLOBD0Z8VohkU9xxsuUSM3BfrlLSFGz dz2HMAGTnErWf72ppDi296WoJUOHUB6L7HtF6F9mfYl+/XJUSs9AurSBBla9NLpFlG+BsOiW3ZL+ W6Wa4pnqmcboMZkIf2fhRjJrXKcjNMjP+nd9fJlrFHJW1SEZ7xPUGTLTJXSjx1Kr2GhtxRZL5doq FdrbdxTi0zNSJ/SdbbHpHTsauzr1J6Jy+BfaPNiOpLzCbOtuJ6RY/DXElYQkG0vFNobDDiN4kwEf SA4MJkMlSSBbuS2fa2SgXrqp3GwI6LvOTozA88UP272KMkctFd0MtC83eBbIMylB/VhzdwWtWdTA 5f9Ro6P33PpZLSoJKD2EGbtKkhVa5Is2XUyBTIeRE1anA9gPEWGnc3C5NQoGwBe+eQ0WPno+3gfi sJ6aulagRKiOs7yeBoRz646/LR3XY8Gfn+uYWCVSHQGaZyYhy23Ntd2jEmOoDkh0iHKmM+fHLfIc LIItzee6Llx0h54ZV1a4+pd9g492GB8o4IDWNKnkXIOrQvn78qutJYknxr6jD9eS7Ogk4GEg04iQ Efv9cRNdjB2fW/3Kwf4ZeT2nx2JDJJtQidpLtk7VK7vD6B9fiLqzeBaRadQsBrAf37JjvOJ7kbcA gU5u3H094z6mkk6PU8+7xcc4xgdUZhmbu5G1sUjazZ3DbHS+b1oRDsu/59voWH0RhFo+crAC07aa XJAefypHff4AhL3vF7+6ZynEZbED7Rxin7u5BiR059zMSwc0zGoCl7IsTiu6ozJMJQpD8VQtnuAW k9sVxuWMzlSqaMSui3TN7u3VjyDtW/4uyYzr5uOCKAvY8PqTTGT1V4j4Bb4+y98J4egrO5yYLqPm 48AruBauwgfD04sI80WLTkIjTXa9/TPMvQWrDWDIVioNKCSvdjr9dLRvbpAE8ewWpXNGaWiOLzxP 9qWYrqZABs/ca93sxnSZhBu4zJNaX3/hi1TgcU5XUrBGk3o/L0IJW3RkXHmhVSM/57QUsS4xTpvq GdpyD1qe25Qd56NrADs5xZJ8M1Zja1V4TPQDQh4w6vN2Hf2laGIk+DOlwZeeMqb7q8QhT+h6aAwV W6TvuTNM8c7CXqqqTJO3G8OJxrN81NewvFjJa/VoYOtjdJq9IMRry1sgMykx0IXJ2C4JUvFGZiUM s9Y2M3zlb5flbT5DMo8tkH78YZnC4Ax2r94Q/9aVmu4ZqCYjyq86OeMIjOmqdPjtkkpLmfaB1i2N +SArJ2Mo1RktOD3uDJdhbuM+mpnw4ta44uQIWK3njVl7RKYjuxOjaGpFgJ7mXRR8frmzEoIdl8Q9 IdGqkYLUb2k3nQFaB3HekFIXYb/8sH33Qf5o9d3v5BtHboundpN/19XFvLfmlRhH/qVhydPbX8Zz s45mzseAdxTk8bTP4HVDYlLtP1gnEYIndNdKkX3NHkxrDLK8kP1sRRnvKc66t/G6deZ0JXRHyzWt NnEdqS0TsXpjPzJX4BvuxTQltZYuCoNPj1cDgx0xDKq3Ki+9E1LXtZhlKIhn36gOGBSkfhBfPqcg OvodQxNivzAbyuNfYtB9ZNE90U/spVLU+01oozbiZm8r+kcNeDSiuoY5jBFj0Yny85ng8kf1NQa1 xsEII9b+BNqT80LvudPjarRDhHGYABXSQcM5SvyH3Kc+ayZRvsIIsZAxFhOyVPz36f9x+Xa0Z5AD lVCr/69wlwqr5UGS1TSpweGPmW6Zh2B0vhXArhpbzjXTXql6P+j65couuFM9prApTpq2uuzgerLf m9FYvsaoik4zPbtAbb8eRw5rKgQNswfmUjwU01yWhZLasV6rqRG80bBdk5bHmzZEE0ciJ84Bn1gp miT06yHqq9WBaKLt2aEUBHuHswywkj//siNj+fZY4zon743OFgLBoINHWcALxhVrICyoMvN+WsbP Ue0JvI9TGCE5igI9OVqGrQ7F7KPLfasZwppo7EPCZOU92YuDl3nWlUexHjUzb6Xkz/a25/K9VKwH OKABV/NsIj/zyP6lB+Edo5eWaAyd/P/QmYUP89Ocq9tfSO5XjBZYYw7H0d/fRXBxwc4jTtLd1+cK iPJEcQXSbKv57Aksyd6CXq43XPcQ5O5/HtbjTQbF71BCD/DISU5HMttahNWPysYWJJ0LTL58Qy2+ RC6r8Ah+VhOT6aJsCq6hFt9f+NnJLGggbg0wn5R4oiY3ItNoZkoAt2zgTfD/Rv/3iMk4wDgmWNOg Nr/t2XiUpC0pGSa9w9FH9PS0Hj5T3RU0JSAVrmGHODjm1cb3dqo8QEMkMyb1zjlxx10Sshxt3VcE xx/ItUbk1RbKLdP2+626FDqGAFl1ALnS0C7ErbXW2K/njHLO0F5RpmOVi3Ad3IzXd618+sYtCwiY 89kZ2uXIWhoXNI32uAhAspP986BjPt7bbi2Ynt/1GDsyJJCKySr7sIhbrhv59A/IhsTu21AVJRef 11/1CayRW+82/Aqbq7V8QunDBrCdwYpHKq/SaCjRCgMEyVr8vvtP4QzFhSPT+P89Od8M+Zrhyzz5 5vMUKdJaZQR6Vahxduf/cPn6SyvEkCNMRhkaA5Q+Ch+U/zX4t1wlRJeGj3emGxgampIVX8v85Tpq wwa1yq7vW0jctCPdy3SD5q/o18r4SOWt7POjEZ1Lgw3hm7q550poli1kvOX0h1vLR1YsNhuLXuhl Qx8JFfU10eiz+WKPD9xJv0RYU2kK2l1lcEv+buy+tiTscQGm5GaCr/HMyG3IidYvPWmXuXKKVLEv 491F+B+fRFaG/0Gy/nIZsq0HU40TGhDKiaqD9NLFrolf48tW237rJY9WlBmjaTY5Dt/BbKpDkPa6 RqIUwq4XrAwb+3o2yMHpo4KnJTlko5JTL7sCGLdRyxK+82qFZlcExXKfVHJynSg0SHV+IvK4fHAr rC87KFuHV22U9KjasHGQLWA5X8ugtjSr3DDiAFnJLl36DjKMyHjJI3JXBUT+Xtf5RPqAWpOHOe5W NowOLQJcTfq+vuw3TzGzsywmvFVclBMHbLnRZZL8p3YJMmpxAJ/tfOOfvmejxt7O+L/GOtnPktng DjMXJGjHJ1CDUnOM92C1PmBickEk62jc2DM8UYRBE4dND+czS12JbxCcbXWofAG5FQWwyhhz+/RB 09tlJ23URwMWDJm3HoPn0EU86UC78jJFrWAxU7ZXLEbnwjECP+hwqHwVVUPmWVeFQe37TA+ZRxc0 Dy4G+vVY5XSE9UJ5hd0qT+WJSDSuSGXhoqtToltELfix0rvfBsLc5lDNmtLcxkDtF45R/XhMy33m kkhK4FC+0DN2BY5DF6se0/k1wdhRDmX7IL9TNugoWUz+kMoE9gZDZACORdyofrceydZyTAEAC8A1 Flj5cUfJZyGuzvqMOzXjiAiD6rb9DNGE+tjJXVxTy8/FMDpfWDNVj8kj6hLiDdeUqxqrIaY5iL5A lMoKW3HegAIQ4HnTHOVBkP66UDokVQfFQgqfQyAZXRiVFVAXMmWl0zvgZzuYGDUHDrcI4z+lsD9k zhvwg7qTp0kj+m9FKtr26bDu4KaGWM4C7STDH1oMWD82myO9Mt6llwzHwR7D/2GpclKkuxruWZAz 3dyU0q1bKDVT5gSaQE2oMxqMx6WHmnHSQ9CPDfH35tbUZNArL9Tj3eDrlb9iQ2NTLHLYBePO19ts iF+l1kxX13LuzjSCe9J+SrDXsWy+dp4TVCXtSa5eE6L4+8lXXEPyI5VcuIG61+oXQc3ALZGbl9Zc IALhrwLYSxAFlZ+hkihUdBorso4S7O3pXYcsLpAQB47K7gHonLR0CUj/V6weh2u6XB2WkJiZAsxj tz8k4dw0YjP1UMtnPAAXZAXQIrmA5PZa7MyRy57a2s9mtr9+ut+jIPhqapfMikTKqyzLDmYrR3OU m3AxT1kNtjgxSd0uz3AiVtRlpYqEzogFntXi+8u5U/S1kzIAU0Z2YfxfDIiBnPX4d6H3TzUckugm 87Joz4z+vR0vwvDUC3l+Oe1shIEvwYFV3WtZGW1zweWu6SdDrlWoimvqH/BoH859UOXA5yrAs6qm TDYNJlv3M5dMH+xx+y9GMm95ORE21cKVq4j0tChR2E6ZOniz1No6gmh6zc4duU7K5U4Y1H853Nen ra59j75kbnYhavnxAhEPrKejVI52jnpn2ZQ39GeKVk/ZxA0Utx8pmzPyf753fz9lBIK1bu6YGeyz dAtVhUXmoUCN+oCcryw8sqzbbPuKu6EACSntWZW/wVJujJ98TfS3bQgfqLc9v3MxHxPya6tfPzsZ RigfieB6dqiNS3h6IlV4sno9jcB+CYDi8NLGmRe5BXaXZDwi6vxITec6E129CshCrxWXwutqW6w3 16MJUdtJ6ptui3xR48Rq1HAy2BTgdZN5hEMIsfZ2W3OCY2VbsLgVEjki3D6fvhU6ggnC2GtMId8B /5keheFKxJzEgOd55Uajva1kwjDNMjLpOqUYAPLydq77tB1ivq4fF/nhH3m7xRbieIn8yxcOAuCW AKticEhw9EK6xFtHAMLCeX5EhsvkCrb7uUdi0fRTtlJfNj9Bn2Hq5a/OQJ5xL9hENaIUctvIcQN5 ZlDKOCJTIW+NqLByVlpcQkstB/B4F9BQAyLaqjg8Ix9W0onUQYWvDGgjiq7/q9jjDXJcMlsT8znT 83HHGuHWhjd4cVGbUb5fhL4HzNfbCDRlCtfnx/rx02Lm6kzStoG0D4kyR620Xo1tzsCTPYnfTXNA hWTCmum4jsig1suHvZjJO8KCkuIxnaV5pzau9f3K6b7v4EkcLYGkmvIqGsWvq5UGsvr3ITS84fj+ B+sOYNvE0x05UOIfsG26HFag964Ec3EA93gK4C8K10M826ds5PFxmorESn7v8iaYy96FY3eumh6W e9XmoHqz+Mu+R27vLVn3gyDJkbIazOrvBVK48UEOc+V7cKrE/b3ht7ZhHMRkDECJ4/6SEIjDrCrs nsupsPrB8s/EHJy47KI1NSTLfl0OjaJ9xhdWX0AjxALkSq/BtX+19sKnd5lcvt6zfbIOICGPam40 a5GDAy6G58ob9Ypr/tVJr3M2BmqyF8qlZLjhULaeyEM+ubs9kOS8eznaTiqrZSK3XC7B3IEUHp/d j01yp9mztX7DMkPyGRcV1gMKyGSr5HDf1XmmNSajIWw0N41n3wHMELSEsRrWzkTBYphECXAMcuNn tOhKqnSDWEObPE1ElG0LtVPBG0bVjldHvQJ3eoxmGVLnK1L94DEfX/ZiXslw3QaGMJfRy/+jmsZb ex6tEubequB71AHHJeTUQjCoF800+p0pvbsxiU8tQvViVlWpvOf0mWWfIs+cjOqsEw55Zsiz6nlu QRGJwSn77M/BeP4h+bbLI+KdyxRCEVU6BjrRNbBr5YmEZoDiGFCE0S2kBFg80RGdM887gghJIBqt bq8Tyt3NyLrXNgs2gIbJmUyG150BmVeKJQywtdKPEgR0kRYSbylQZntnn20NH/SHjfaI+hz5pSft PP1lQze91JKtGQ26W/5yM/7HXhkDG4uPpBHSkWI4YiD9e9FFhDSv+k3cdS+eR8E62BNIqDV+Me4G eqsZGfGx1PDHA+TSeuNqRSJK7SRv+7kmSqkps/5FlkQbCnaaQ9t/wFbUAP9QgEAylbypSeUyr45d ADJHvC+9VNgYNWghO4rmBh17cwmT1uZu1M79qEHNoG5EXxuan+Lk/p7fXBHnrdMBDVQKSzeGKB3c uZg84Edz6M3gRfaLTzIDFGliaUvpqWPxcsGBHqFbcM6/MLUBmP3xolYho7sIXJS8EhW2mwRPYA/8 ZBbKWdQ76rGzvcORu0Cl4+LnSPeov4QXT5osejNUfJ7CT/5PN0pzOM4yk/d6RgNw+WhrxPbQdVO9 qHNpMuvKaYDJDdgQ7IGSY6EEndBOvlqeE353Qt8wni5xtbjs+oA9LA7qMawkEd9zUBdcP4ZNndXo Peht66oraeG1uJM73tNA5p8q37axXrTc5bmCAeaiFePcKcca9p9M+N3vb2L1MEC87DsuL9ZB2/vo vAcTeDLBQUC2T3Q6WHeo1D+Rb5xmWOUBDi99pG80jt2W2bYJGorGjNL0Gm1h39qWJ/SWpgHa6J0J 24VntBW6ijgO3pkVhcj9liwnXEy6DfP+7pXch+K8XUWI++KqTqlVQj5rJt9U+FqOokAUN7YH7XHM FMerAImsdMGzqntAnBdw41xg5WLcJfQPTvlhX/oW8t6QNKL5+4C0ovQGHrGTYlYfJxLC8jgfilxP hxKikONy5PD+BcoLlej+q6OvFKknV+0/rP0eEoOJFMCUjQMVc3f/G+JBPglWJXvVbIDTDhJltLS5 jgbHFWfpFmvOqxN/V99G3obYoHsrc5arcuCwJnpRY4XSRSGkjivLC/AxzLdqwvuF7lzAtutoJAWC O8zKMJq+vI53koyOHSFFwURBtHtTLJ660W9IrpVeEZzSORGLl3aHvV+V0QQV1r5trOguiznKj1WV Mw5cTSpi45hXZ/nKcKSxata4gFmGSmuSLL9iz6joe3hoEFSK34ksTFHOrecV1KfACavRnlgy+yTe e86GfoWFH7cqnJ46LVmg9f0iD/Y8IaNxeZq7HTOet8DlHMJ5m+DDG9/eJHF045vIfk2kHszqBZJg /KXyBy+ndMkyrLH45a4ADf2Raw0qiauts8xsBx+lySj9pQy7sdaLqUxO+t8hgkBAz7g4OQ5ViGwR JmxJPrtliCXPWuQpXbXDecxU1F6z02Us1Gccqd2uBQNCCLErLBCtw3dLpPWCXgaFZKDR5uv6MZPY P1ATH1wNbSFVNtOXx9pwLWl+vJvvadH1f94Lgbk8e8bqeLcq4Ws40bD42zG2qhdf/EIAE9encQ57 HZ/c9jSH9g6OCCgaNE+834zPSeNdmC0lXFIEHrkyGxvhokNaS9Jv26c7l6Xa6a/Hx5GLUc0y0Ubm qM12YY8el51iErbXrzTsUp6kz06rUb4hJS9jF9NhtQRbgje+jNQvTpueeqMSrdmrrjpCGX0fLEVC YKI+9zo2XkRuEjw6PwrQBy3Ev1ZWbennjGvejMd8EM/In1h2Wcdgk57JAEVLzsDd3AsoDPI4hEwt yMORfBUVPm/x3ZsVSj2436PbqX90hnR6wu5bHV7ABxyOoJOaTMMgkIbPAEftnvMHdXHrDGfUfc6K KwrJcJ0+PsaETqBxuhHVB2k8GUJ/0wjrX4nUdTx+r1+vkCbs5PJ2kVoELJ3vLhT4xcbrHI5g1Lip dz3+IDCdivk/gh2CEghTEONaAs8XOLpjN+3Tt4trjVcWkexGW8hT9doZgTP7+sVcxhHPjlXBXirE K3ALXMTplzHd8KxG660urU7hJbBwUBWzgJTlyf/SHbWsGC8dqVYsyqjOwJQHdYePv001vZO73+YQ UyPm2oHtCd+VhuwhAmzMqIflhTexwU9eij6o4C/KWiSXi/BcFeXJge7sbhkC+uVMBrRmUA/iptYE DfOcsrp2Cw+PPndAH4Urj9HmmZxgkYfuw+3YEtr+WGSAN/5L0zgMdkwVLrf/7BZuMPIo2VFiwsXt 0kwkAdCVpnIKL0QY93vFiNMNsynMP1FQB4extuOA6q44MdmgJn1vBoRLUbb8JHm0CFA6ju9XMU/E 1uwG+jWkVqe6AiLMo55aY3pVKp0epQdjv6gVhcWOJ+Npf/L+tEGz8y/6sn7bnDd32yQSjmh8jXTU +KPM9zMKDX5yrjtZVdE5s2D6M30bRfoElvk/i0NKc24YxfmxcTsoYyrsXe6Yf+nW6gYAfRAtb9XH KQUAshGPyvJzfc3hYzaNolBz1uJTzyse728AIcu/SMH41NI9izZkwjnfxMkh9m9BJMJtsJvw+nca kBZMdv8YEvdxV2ngJWtSOWQdqAPtSIOVO07lPT2vJQXPe3+wdpqXA7QNrJDu+S0LGg/A3bp7egqo DcxgoLv5W2D75PVFHsHq2xNCEFWqdUDK10/VROO7ITzQzPAonL4uDBy0E0Wj5L+LPAu8A6ES7jTp IDhvQcumQaes4SCmKQwOLw9fc4RHhAibI5bQBNskYM1pbP8EH9NE1lroZXQdvFTvPWF2hVRLSxox ycwKBc5cQiQiaPrzfyvt8WM5VrILgnSy0jatqB/bf8AX7CAKRNv+8FxLzDA2h4pdgyMrP5ErXQpz jBTsrYws+nV8aaO+aaXr8o9aHoqRjT58jOKKGmjVPISJVdaIdU/sxm7Po0ki1UaUJayAzlCbwrQ4 U/n1koS4sE2mduvwwm5yTfLbb5GaR6ZNosCCZzq/yl82jQRy0rO+VqHT54fHntw/lJU3tYat478u YXVhv3D7PXzY5pcw7X+3kQDUXbhyDmYJBKVOvCBs4prE46jT+RYCts+rvm818DHqV4FLDQX0ws4f kf5Frrjtb93/5cWLlvkH34UCAxoyJu+TGg6LfzaCPCAeV+9TTrTLn4we6978HQTdc9aHUqKzPzUv ZTxOszEEKpSzq7Oxh7ZzUb4JANAG2dlidd7jc7N18zQt9pI4NA6Cb+mdnTW3I9qcSG4F404hDRPr xVCDIMJbnPbuqU8SXw9p9hmVI0g3kB/ECSe8nGB2DBawBnOvtSvDJXg4AKYC2J60jVnWNDNDUmBq AFeicYUfnqTOftApeSkiGoLG9d6YhLp4L5g447o/AQad3KawXXozcRPvwK+KaYuQ22w35BrCCWFM L3Cv8L6c5VGq/vUg09KSSanDeY1oLX+C+ODvrCNBhvN7+YAaFg0J5zmSs4Qjo+TYvv7nIlSOur+5 Upw+mJuet+uPcZnkByRhvzWNrSYthwShCWzBPwaX79wyesOKwFRQQGYBtJgrkocD8oSZpGlCeXiM Ld5EenVMOGb49ue0feSahd19J4CeeCnRq5Q3oZw8pFSspCYLP97XCpCKCDfCE3vpIUoDfJrftNwV 05GkR7xp9Sw/jv8GBVF0iBxK243DiJxTvCz6c81qyQ7KkRPRsYJ7xi/4B13+Q2MG1084DVMvqKn1 aIv1TtWonlSpHOn5ykTfzx1gHCopO5QKLYlO81gZjeo0AYHGiDFs94m618g0VaDwCdBSjnGx4hBg x8O00pKtRcFW/RkYuGUMUYNC5kJ19KgQUsEcUlfzDRv4s8ZizUHzdE4Du6F5etfZ+WcMRBStjj83 piDoK88l/9a14L4e9/8riNWyTdw0DqLVhXYFePdCdD3RlNHcJ4IDxDljnZ1huRqTdW2Z4kZjdnKA zMFmJiE/kmQfATxSiSmbK3l8xstZxwfDIGyWK7KEAbpsLjNf9+e3sYkrqRNakx+93PIwcxQ32G0c flS6udyPleNrGpwcFA5wYswGajaGnJBrWeSDHs3s0tKu5mu4mLiGC6/h51LVFHNr6bO9M7IBUji9 9z7aAmH/YPEuBEhch+3sQ0x41nFLMw8VwIhNSIkGnJ3O6mv7V9ryy99dDeZg83VdwAWWIypZ1bk5 Tzic3f8jRRUAGDQXTpEs6iAOTV/V4hdgmEYSullp02CmdKbfLj28EtDUlxdvSsLGZRnUh3Wbb6QK JuFBlDajqX4nKAdi5uuder51QiFCIEkXURaNp1OeWJBu/fmY1XuzFHn/8jcvzFqmreqjSPRNaJ5+ WhbNQIdfTe1mmrmg1T6BYce3P8PfryrpKk40IuU14qfqkZfGpCpjQXN6Q2dvoVwkZEfGpofPWM4A 2gB8nCuNN1ADI6gn426SQIXR5kckISuYRx98CXJDVN50N3Svhx1sBrp7ZFUYTPsi1VFmPv40Qj92 7HAHFCS/aFYtb7K9iLslbR6wJE/T/SJ8FU9iESa2uPV6XqIF3n24p6Au/IzfRpWtW+NubshcrZTI XnuHJTBPB8m+pTREaDrc7xxksiGzxrv2V+Lb+r4LU2FMwP6NgAMF9St23N+cxQY1/aMObCttGlCl jWAt6onbIpBMv7NCJALY958mkm+/xT4IuSeT01kK5dh3PFzqk/hHfgRJ0/2SSsImwvAKrrhP+6aA +8plEZWD/HUsDZTnER9eyczjvOetasm4RxgQ4nxRWZoJgzWj38mvTP3U3PzDCsxcHWA92k55d2Fq LR3ZLga3s/OFHnDk3YkxQgZRh6a/zQxsvfCXjs2//vHqNNtWLlVoLF7etxS2wJAI6FdSfmKW1JRO I7WI0WYLcEhfpjkTSgWhPg2oFTwQTXVLHlhity2uHqZZHci4IO1bazGhTHpCwz5ebW0VdmnH1Po3 u3NuAWFiLGpbnpc8Y+UWghiP1WcW1y7mBJuBpUbW2m3KfxKyIRUTjLynhDw5pIhE5lpu+P7Wy75F strJD/VKI9Kxcw6nNp5rReOdcX3sButE7w4ov3+niq35N/JbuDcTvY8q4f9O+LLJFLcvg683SOMx OkFgxTdptEqPdGpPzebr/GdapE6xwrbpthpVXP+lnzGsMwGsJEhskpHbo+Tm/dGJSIYSeLvlLP0G /bSD4Jh5W/EaLYdvr5a5GJVHunjEKRtmNr7YzSWIHdLAS0sc9VL8PUSobQffJgY1LMYVG+3U1wAq 14rhuWgFK489uF0MYvVmosNRIEX5EVPL6a1+Br0Y4nKy9Zu3Qt3q2GmK41Tb0gAAD97HYsFueXRM magI4ujicWlsVqYzZz3SxjSXKw0LqMye9Z1g4Wwph6s0mvBpZSLrjbsu6+IK/7Qbk1Qw09qRJ9kj m+gFgGAVa5S1tqOecpD1bnWuciFnsv2nmj8fMPmrCQMu97S8pZ/hnTnj2P+QRJU5lQqSq12MFRKD 8OpXgWg+z0IPteW/mE+lZF3WhfKpSarqraGBmHmKtQ8Mg6PCEv9npaW+ngJ1J1cMTPk+e7+WY1rm nfvafn7gVaxgy0yuTOxfNuDWa9UFEuGEOc5KYt3/kWqcUXPts1IxTGaz5XFvkPzPg6aSqJBJu1gp dvueFKz6i1hygvUQcxJgXQGugrTfl/63e8AAdUnlvfD/ysKSwTTxbNmjdolr8aETB5EZ2fkMqAex 2siimhKos+OOC4nKHl0+C0/UsQKrlb5IXErunJLPkmbUSxpaQgYE4i9Evb5M+08Gcyk5NVKYY5Z0 2JOqhfuNlk441/ar/pHtkR642RJdn0ZrR0jH1ArdPnabhCZYpjvEOJlfPPcEUEHCm3Vh/wLtCQbC PefJKhLSxfKCt+3viG1aumpFy3wg23BVJZt4tesifC8O1FeHx7YlIRpJJwd2WkDHkRYAv2Ujqlpm Qq9bP+AbtS46G9uCVdFgWSr0Ko3TQjJnnt6uPOLQWmZe/xAmLAn6rUzdPOqk5w1m9+GkBts6kO6I ZhXQVrUTTcTib1yXcvHTFhskl5MDZlQJO1iBNWWTQMS7pVh4lv92D0sPYmEoCbwa9HkFyV2l+9k7 TB9gYseUh4/AZagg9IA9C0+8gRVUqI+Ik0VBoongfoTqixUzGYt6S5EYbEbyFMrQipOYb+giwVS8 x9xUq5D36zNSgRScbOtl1FGOTNCzf5ybnVIrgcPL2jeyloKcHHSZr7BuzVVHeahajkKSzBpdLPOa fEOXa3RRjGaxD9zhwhGi/2JTnl2WtQ6/6OTFZb9ZjwZnSYEWcP4thK+Ni9UypCpoMutzIAZwZMSE LZUgo4h/QIv6sEZ4tdcwmnsV3hTfWZPbcOqfey20p/83QgQDcaw/Wqxv3+ueaodOrbvPYCqJ3Beb 0yL2cNvxHyQdgZgrKrJo+3kpmvhVtlavp8Fa+ONbiuFSxudS8KvI+Rlhhy/wzlN0huXR46/raV/L Wz3Riir9ZcZF+3thUWlXC8dRBkLbE6dpOhRSvbljI9nSExxF4fdkRTLrfZOZLVyiW6hpgUghlUYf gmZzbwr0giMbemIezRSKG+4PJmdgbWn6l4SiLVLo3EXnCwmuvwwK26ob5Fo+SLh+jNcTp38T9W9i 2U4LbytfiEU7mll6I9hmsWJ5dTlXUVBwMHwSVxJ6ofjOFpAf9VIRe6BzRhU620bcSU50WINQQrI1 j07JmLM9vU4qs4NlbBqecGu5MwhozwksQFQG2s7GribV5p7B2LLhVbnCMwJ2f4wroz/EvMyHW7I7 xO198h8/K1/6GD09WC7AL8KIWb7mk5HRvKQ3DdltaDvQUKU5a8MsMtUzjLaMJBnqulzMlrAXDNyB 73NcPJKF375z5HPlC1G/8XAkf5WE2lE9nngGUY/djZ+y6rWuoAgmHGtyhmpYe7WTKJ0/og6MzACJ Rg0fQJ/cVWnuzeGANLgvHGg2685snyGftBgrOVds+O4l/YFktEno2GAISlJehx07MaAObslJp5VA rR/oRmXEvadKmn7x3ieH4BiUcRv1X+EJSiW86NhtLx2x0tb6sR9Ue2TpBsKyLc4HleM9y3kFoG2B nSpLn4FwkdvUQAhmtFiNVYXHuf7CYipAvakPxWT1VojdjMNSAhuG28Mey1NvFB1eLtEQsYSznHwx Xxg9uWi5QnrcvkKsVZExfgnpkzlMdwcNKrEUoo9OOz/R/XMSr+0OLkudDOoe9FIhn6fgTX0fVHPT Wr9EuIyLz4DXjj36jPKob2N01FEF8XkiQG+6fxzhRYrBkpf28Pz/Nlia5Do/rCeU0ZAungTU0zcY PECuuLeJhL7qrxUmI5gd9VXK8f6Vn+pA3bNqH2qSeYvIqHJ2pX/oySFtE3oWaEzeaqbYAYCWu6DD OK7CJrPICgobwB/bVz+7J1+Klf1PD0Q/1rJ1Di+TTXirEBO8m79fELlgqSIaOmHYn3twqHlTXs/c BHcjIjl7Awa8kNDEkHWHgfF1wouAkqS4ICQv6SwZH2ZrnEGTwhbQq3tPAbi8GBqvCCovb3BfJp5j vpBA7KUV0x9Wea0mAyjT7ETSgX0j+lG/jS0I4y5FG8CFcBcCdvmAr4ykhMAXFrE8a/ef/M5SDBDi lL4eYd+HIpNknfSTy8YnMVreEDMuUp5MHh18wEW3Gl4BcVcS4VVExEIr4j7dcbZYp/hsx56IRzbj DQn6Pv2zg4kykLbL6Pajm6OJJdi1SbAjGjJZ57J9KGxscnuvZFGtXfBQT89rE2f7y0eydIAO9JQz 7PeUahR6NJ4pmsupkJImFXKQ2tzZVagxddeacAhIhmqFfqW4QhTiPFNrG9VWkNHiKdM/qRz9ME8X PhWtTaEkfoWrQPE5TvTSgFeQtARoMYf4hn8wrL1hZ1FgA4wsRecL79uTbOB4SSevBmDgioD6R04J IbL+rAgP0/08SEotmR4xPXdOEPQYdOii/6i1gFaD4f6u684AMCR6GBI1ZAucAYtGV+u+SfN3R2AY 3OSArDDz1AdzQNVElwmdU8JZL68wQF2Nyn4XbbGt65LcQBCY+QhRjnunzbPvy5SD9UCDdpwK/Viz j8aYyh8nYsHERtz/QLwQhBgf+BL+vOjyomA+eZG9irWJyWOUIQilPfUUkas43ncWqK+vPx8xRDjp Tos1jHIOiFRB6P/CHHqz3z9cDEtsGsJd7NxF5ZTV84sM11d803wglBxYvpLh707nARxKAqNhD4xt Hu+zc5I5rBhjGoB+QhzUnYrdMFy7mPqlyfZjuM011bUmvLOPCu3akM8AG6Btf39CZGeWS+fyCEqX E/sCbYlikCoDUxqq4F5QwT9XOWNfRJNBAnaUzmISwQtpoLV8tqcgjoOe+YkeyNRlrFuNarZ3E1hW mVDWAzCd6TGSOUCnY7MmbPiM6GVOb4J1Qt8U7Bbn2q+WyWTaT5u+joJToImrtKl/Ggpj4Dc6BRoS aaKZy32qDMKDfR7VDuua11qbVd14QY0HIWFFC0M3/FmivqlUP/c65bTsXcH5ebp6UHJvDoYQvUDQ VT+DQ174jeM3lP0L7+G0U2v1LLnx3jSXW4KpOelNBwHJXLGK6mkP/aof/tNDkfY5GKA0f1l23Yeq 4ojyqPXdpQf3X2nX6i+LSssDWpzwiEbeqJLJz0oG9pGaYO8rlJXog5iR8dxTFyxglSrCUNQL3ayw YeTITBGhryEtD74WPYYGQ0Ll4Ywa/1zPY8hAETC6ocqmkjYiuicfhUNBr9HEageqeOZ5MTADer48 AKDVMbqR025VVVJOdtEc5ktTHb1urV+1zJJVYCCihsyQAIqtTLFpTTZ2+OGniOT495zZVreuj7+M OiS4TunBvt1w6bCXvJHguHTIAC2VYn9BZ+FFyPFI81bgvGH3HPBPZiwSzQi6ScW+T1PvUjqu3ryk z75wXnBTEpzJqPabp3+dg758t9d0Qnu+CR86Icb6JCvxBUztRabENFlfG9Sea/tpakZVSh1ow7B2 ePRvrISLeRoEfPlpPOqaNi6aU/7Vd5HexrIvm4crYy17ei4T51z/bDDMpng/9c0nNYE+GiZlMps/ 2cJ3I1WrqGhGqgZghQIGaQxwxCb+JIriatkh14A8tJfLiRLTu5RQg+OnJFU8NSFUE38RWcnRyQpq X39HjNfcxpKrc7qQbkyfxlPE37tWCaN4iV9src7WCa1JaUDdqtTEhuocHxaATJHocPtlzoQZOldr 9NCI5rj5vGiPptlXtGL9/3QIcbKXmFSbB9xdxN890nAJIAwwMT2xkQtBxKSLAIlHrMqBpOLaYgKm Wq1i/lH2JqIa7EyR7ztakCKCXaF728UYnQO0hmSvJ3vDjMROheXT5MR4wtFnrksUlD13cvpBzO6N lcvqJObJiG7/6lq3P381SLqVtyqS7v6d/Ti441ul0zwzAYGCOBPAzoFiIA3ZGdmFENVpVPOU7GdP 9zzxPiC9D4b/sNC0Di0JoKouy5Kvg+PT12/LlmA95ey/y4hPCzL74QBiguQfzfr30HFDKHHXGYLV loo93ZDtVfZfX0BD7zglHpxLKPDpeMUQzT2H806xausfD4wblr2RytzbLBAe/mCuUQstxFlrOJ+E R2D8BW2oo6pQejbAfb+qEjdoh5stuUiKnm6+xG24SOj4QZfqvPV7W20Sf/eIXf811Lr1jlTVWZg6 V3Sm9YhhcJpO/kU7h3Yc5kXCVgdr/DCMu1Wb/cHl5NG2uhFRxdkeY0QXPID3J5y5zPQnrNaD8jVW x3WKOfrJZe6DdnH4f6O891F+dYIIeKciZipXL4Qa6sDn8Zt5ZjuUbTKQOeG0WYCTDw3PeKbtL3/v NBzlIHbdpKm4BrLA0ECjjlYpugNqJKipo1n66CI8NnnK7sBcAA4JtlVBdKdUUDvXQDUo5fQB0Mf4 TVEi0nPFofGAEJh4k2xbrzRwXpLnVkK/3oBXzqpoKg45tv7T1qEhsABkTJ49e4T2YrjZYClTUW1N JVA7NQdjbiX+UYGJ1HuhVdBMmiqUSGKxLuqTrUYqXnoznQy2xKZ4Y6rZsn7xOcTBzCiL/vXsZn2k vekZ4Le47aMuxXlXs1+3n1mxVl5FTLHPphGxqIGTxzUHlC+ARzBtZJcudSyqR5nNpoSISzMG6Jqt wJoUGUFSjoAicK6DfN7nxrrvyPhSemYEa8Xo1DG4mlP3QyJW0aXAEJ3Mg6jl3vVhGozYZlAKUVSL FGxQzm51sp32Y26Prg6LlztMDu7B95Gf8LlDsDZtc32V8IJt426zGodu5oNsOqzMbrmZMXVXstBi BtTXyxNwfu3i8det53ad/JxP9UO/NKzr1mEZQVwEiTIICXY9iWq64A10ynk0MOve6EfUit5Ur9iS uR5b91q1s+vq8aOraWx8wVNOkP8E1CFu+5rXhZX6JU58rjswTy/YP3irrkKasIE8xm2fHAyY6hZy HLM/Ad7LWTcRd6yC+RicqjD38VRY3rod2yF6cG6O9Blm6ZGMK07EXsyR0naIGLGEJmw0+S64Hd6n Lvu9RFhOtyFZ0Vk25TfYi0NgIq52vNvgYyOAp9epG9sgbg8rql1vTN0xvRquMAHx0S+dis0nAmmc cs7Oo+ENzmNizVmhoupal/3fDv/PZkKDJc3KQuxePt3A5/DDP20l5270RZFsXI9dgcCGLUooQcKm FJyoHuwCzrm1pN6/9DsZv/54pFrM9NrVrloUuT74zO5MeMCBk6kCBTVcz9dtmHEbE+vUOtIcar29 y+81pfZXC3JKQbEEi5yDp7zzduAsSbTE+YMdFTriXWMExzSHIKbKkVtLaVor/7IrjWWJqdvXiW9r TGThRgvUB75Sd6VruqPAxkTJakDao2T7JPZlwFCqTRlcCsC9mF0pIEVpw43UBMB1BSqpA68DjKou nCRP3W2Nce+tKyCbvipMhIE8q45atoD89slhQJPefuOqpfQ3FM7eE1/TG3q2pdyxZ9OqEWaVfEFl Eg2m7Cd9gn3kfM3jY9mp5bfZ/ndotAJ4x9s0WdxTfMfK4G/oL5cN5SsMR7R/OR/UC37omBNoV+qa sPOkOqfXippSc3DLpPXnpPT8+KhQOI/CzfkA8nLRmyoAlutpXLsyFFKMH1vNim4PV7jF0T309RMl BvrymUSBRf7DOFafNylFkPRWBdDToBczhBsk00DLHTpQqsg2uforOI/gcT498E6zSuCNkB8HmTTY DahBGZclRo7gJIh9NsBFNz2OHROUxFiV9Vx0ArZwTMB5R1jvy2lrmB4mTawjWig06SyjpOKD2hje pINR5JxEboQ7urUmH6l82nICM3SVIPptTsl1PWZVbjoHd6xsHQ5yBQTEJ0P5EQ0Wxr39muvSRDIc tSJdZS5fvPxfV0lRco82XwQlM25ux+2YZbFeW94P143X5f46LCKzMm2YerbQ6a5CA9ji1rIPFjKT 2RzQru9zrnAKOV9LBuG3/ylMaO7D/DNGhO4VAOYPbX+3QAmUyyosePHu26b2bJ4+xP13r1zWLYYW aMljck8xKYvH4mYcc3f9i/N3t5g9gDayWB26id8UOArMBxYIB4AiqUEtCec6RR0m2naIU0cOYV2w EKX1//7THgva3hcBkHbzZOZH13QlMXKuN0iweg9Mj82+Mxa4wUXewRSJV+viVblrqKzaVnQeInAJ Ee+7fgQ/LksUnmqgU4QfvlIn+O3W0SZNV4EEswmfwz/L7jrQtxcZmcIFjtE8fsIJB5XKoRxjb4WY 5gwy6DrauRMk02ZeygyzToTcZ1BeN5naSTavHl4he1XLOVwR1DP+on9xgRF4pKXrzXTp6B5jyCZn F6UuQWr/Fhk/V7dxTcPg84kbL6vdMjoeM2qaw+DzflgXsPBtOEGSHysam+k65vEdT+HQr9KRWAF6 nuF/NH00XeJRoubiOGlbnjx9pvyZhqmdqMiv9QIZ9M1vNlgn8TBjfgczReajDXWVcU+Oqc5ihDPB 8YpVEsrkExIDWHxDc1CwaMojQ4cgN59iq1jVPHHRZWoJNuQnJY3rG4j2DfqpFR42mia7Osx2rKUS slKwCpG8Ok4KyrsJpw1MNyiJcBlis1D8D23jNNIzVrunVjc5EW1m5XVeymJRin9hJYQ9cnICpops u1ocQVTHbV6xE21SbiQbrQk0bgjH3vpFWjGiMTiCGOTFpEbEOu23or8SPsgPcYXm0it7x5FpTHDD 5tXaa5H8gx99irgPoL00oaySRmA3sFXBk+xCSMD50FwEKedFPFbKsrlILoh7LDUQK/A2JqPCefR+ XIZw4gR/PUFYwCU/9x9nRsl/MPGRC2l1whDChWFNg6EJQ/06egWe9cNaNa8iv4Ar44XG3/yg4Ovk /6UIr38fFftamjIZKrhssR9QN2M+TdFO9Mf6lY/sQ0/+Hu3KloaZze2Jsa4+NdHLhivWjMOtCEQg jjkRldS6pMbBJqHdw33nyFqkW9YJHqTF29ojjnnw8TW3uBKps2pMVECm/SJcdXRw3b6UW7QS96YV l7O9IzecgOdO1v/dDNY0pgJSDM/e6HrnJvkVs7dKip0XdsADEuz7sc14vMrPCxTr7PwKu6h9Xmr4 j5jfxNbw6F6PZkSAP9H17UTvfgTzYTJnY3SFavEsOV6bLzW1IogO1bvBNkj3gTApWKwufpyDLY2Y K6WhY2/3NVfrcWltc8e+c0ST+oeB7sxQMGloTCkvUM+vdQJdH2tvrJHRxVrIhSyWPM1ZfRSJFjx7 pFTkYpC+jcFMp0B+lYAY6+i2gJpWEymltsvCK8pDAeO+Pz8omp9XuWGoLakZrEberSPqXQJYJ/50 qAzZJcn/YSQgmWwLL/1ZQowdCKRSbqFOJGGbvHPbKazzy114ygtMbCqFs5mZNCQiAvXVRtNspbO8 r8GZFzMsveLaCTtfDvQeRuRwLjn7af5oWCCGRS+iMxVnu7aEvemjH7r7ySqKZ7IF+nIcp5z9CMEA lOCQbyIBXwxGJOvaVaDGrcU1C+rsG1oGpY0xepZn0QvGfXdTHZMLx1JYBHNQ0ma6UMDzry9VelNY xbkdSrtX+EqQVA+Mt60eLXpJ25gCyK1cIN1Yd6QzftPGL+CqfZKUNwsApqG8Jfy3n4ku8aQfCy5j 3LyALxpVbUh0s1mwu7LznCPhN52dHkeWBDK36ZRzdUPStwII0xWI5X5vj+eU73XvnhMLbivOllPE rBWzqf8HL9+DopkF6hBVrWsslOw94fbnWgm1CWvIoJaVz+lOzGBawAE/7EqMFXifRjzgl/FbGHRH B1ldxG2nghrGXzyb81BoIlFS6BG0NGud/2xTFHv5hrV6Y2MKHUZtpCbmtrdBGFpV+szK6TpFz8Ib b58+MrhER9RXE0PmRvnv/QsF8YD9Mfquo/qf0FSgWDZ3aEYP41bA+LsgLxgP6kKDpJ131/tRop5s 9Llh3XwhXDlRLSM0Aw3ZD78Hw797mKMARD9ahpvaIajmTuTqLnK6eZBiAGAU4eCFHqZGHFkFaOhE J7571iIy+psiAbWhezBIDUiu0eYMsF6PKaY6hWa2x01H3mO4lb4l3riJSLsDwz52r/crfTFtFqpX wRT0z/SGe73HFDmWEsiDJ9Afc73//iRAijD8BsnvNI6R+/NmFykn611UANYdR7wHCcBSatqvPe77 2FOsPDE1+6M+MXf2A7lKHefYCmkN0TRiG1bFCkFfniQ6X5zILvg14UGGjLEgqDS3d1Yg2LQ9cTlV tG+kEqDFpDip6pvgiBw1w7vRl7OiKbKtxN6X58qrTkwDFtMxtds3S7xbv37YwPaoSeaJnfndCcR3 DMgM/LW5nUGx6bM3JxZPdi28OztxIvCCXV+F5cBKw4ijtrAfpJZHtRqZw4prGRjno0BC5HNbsL1V KDU1EqmBI9bF4ThQiKE4HoblgSpN690Kgo9SedOntIvYfVaPeDkLRlAjkI70bOEjIsw1zB71sRB8 Q2LQuWLjse465QxLbcDVJ90h8Fyn7C6GrcZZsW5fzZj92pvTmgE0mMnWHSW4sIaNov1aIU6INUMR 2w7UNyLcwlSSIhi2e5iYLFpH5xH3qy2aF3M8rGTmKxaD5vrUIM2GbifaTOjP6Ra8p1f3lH97n9Kw svgJgtM+nENTWJwStZDU/jCjAf++jKeBftYqcCreuZMyTyxc9vcYSU2Jg9t5I4//yjnZJTZS7Yu8 6r3AGoxl8qCCFQM8MPKlVtgHao/II/OlinUr740rfjQiQQAcV5N16m6UnWeEKPuH27jhWaSsOJBu qToOMrASiQRMUghRBy6tcwA6FK0tZR3mb/yrTuoX/aeu7r/CvjvO6Iy2s3QXMvnUMzsVz9eRfo0B p368uROe4EQ93S8X7OY35rTC6pG06cUAOMaiLWnUjPvuWYEpG7SpZe/lO7tVxldUTG0yi9w8fi5k 0ok2pi4wVu/0LjcemP0Tow4QZlq8gdYVzbRCZxUp98OaJDtO9TO9esGXKgIbL/ig2M+1A0IxYkYK PLUcachLDjJOLbnqiWZ42xcbZ3oiPmNEcOfICVRxIBQPyeykYPVvO3lF+b4D20TJ6xEn28Ogph8/ TnmQucJlcfENWJArPdDVk/VF95/RkjHPyccOD7J5Iu2UtVrlJx6eUQpZdjiuHK2GVIcRTFa382Hr GWYngGFvbF/SGtsblSrv3Pm/Iz2FALRp3u7OGGacppjid/2dL4078+hqIJ85KavIWpbOALIJ1XNx iBcm9ZYB/FKCDmtvsatN/DYzsWYiYMmSesRp8N9MwaDFy9SuPpK4p0N9fMhCErULfV0obrYgK4C6 tBt4lYuz3BYASWY+O/r2nii8gVBfdN6yyiGrGcI47Q8RisI7imXC9omsveryAuch7RpXHWGMzgYt 8Iu/Z5RG9LuiaSYrxZptFekS94NMfBBytp/wllojmTWId7aiBPp5eafcuG0iKifll9wrjpN9cGGk OCkv1eGfXZ9rYwXDCgPIW8PQVcPAl0BvHqwisrWz4EjHVwS4V9gyKCqFk4gwVqlwJmlw8yrytv/h 751j1bZ+fT4n2IU5waOaue6Yh+Cj43B11WG6yU9DhnIriGRo4lUYIPslmCRCjLDuYUJmQIq2vH40 /flLkgLmPcukuthPQ4VGOVRNW1UH2wi1Arf4wOc8evOA2C43Vabx9mziEpMNkpfp6Z5j8+3DcIwW g3uyw253C/43hDc4wyFrbqppwBKwWYbvY+lwXxKwYwIp2RWpGnmho/Yyk7dwlwewx9v/DhhaLgjJ /8xjNDW47gSjGVTEOvbM5IVJhlli7CVKzb9YEBfuTsItZV8G92oEfYs6TEElJKNJcnSO6xe67JVe qmc7KRWz7fNKQjgldjJ5WoVJrJ3bThrLWs/k3I1F4Bw8fB0EsmugZ/yHyvjsW5Coc2YihzHm9M4c 3wFFdyHa52KoU4m8XoaOtafydqq/I707A3aVeYD/xGZ2s9dYMeG3DMljjWSOnZkM1UTnZCQ5JePL fPVK2gE50dZmBpMNpbpjkdnxgUIl3uDBoFPtiO/3SCAYtVu2xECGqbBG651Z5IEY+aAYVlllkryI LagTQBSIS6hTjfA98UqdrsXSchM9CxJ/dWcD7MJgf0KVjgDphlz/McfVA0U454cMLOZ/CqycNv3x nDDsankyfB50xUpzf2XJGuDusEIRV+g9F75RIcztghUaOfGQLmLTa4VuxrAv7YAL/BEgaxrSaMxf lIlnliHcCLFlMtpzRkuA+wv1M9mQjv/ZUdTyh7Bog8XirYV9aut1MWhQts/MFmILccKjyLUv+REn ZTNRYVIOVUw6umkDFKkQ0nIdu24ZrpNLzNW7VoD6tEcuYdp9OvNspfT6uwNsto/wgUW1WE5WDwgh 8nxGT2RjTOtrAT8Cjti06VmRpmtTJsc7oYn/cXr7s9vC7dBxT4sMdzWFIyE8EeZxO+0L/pPr9piY F/+xMCsdX9gOGWNsZoxjQiJPkB3+FCKUmkXniDrfdfnCnI2zqYrvmUh1zJoYVJX5qLsiYTfKbb22 HWbvOxDQYiRAt+AdBBlGssZZPT4KPr68nM00Eosk0T9CQDSWQn5MFhpc1nN+Y7EojdG9Scsc33K3 nY7rm7o14AXnfpJ/g7iS1P+EwVPdz71Y4lbI59XHnbKycQ2UGffhwm7N8lXxlMllWK4lcJuv6IJF tD5Jj15oOEQ/hLg89GhthuLBV15Ox2MX2ng+Cl35hoAxnBrrgxtgseHsreG4oYnVYkqQKUgrS8XQ OUEPJV7CgkpOFXmqDmclfIZVDKnbycufzsowK5g1Ip9VfgDyUrKbfw2PfAzvD8sjI+z2amZeNFeN FSoI6att7+Tr2l222AfJp0WFmC6AetaTFeAU0S8DhJoY9XwTPb0mHjfIH8ZkYfrt8/tDzFhFh2OT nAIVWK7mx8pMvuHv9NSpJd4AkehGJOpoZvnH+q2tDDPdmCryye2w7PyqC2alJnBsa7TNtHUA4nk3 YHCrD35BBaNE5Odvia5i/d4zSPnUYlBK9bo8f7y/zf3sxOCHUW+pVZD2dnncSGPyyb40jPfnbkfb hdPeD9AfnbrpQoKstO/w3zZ82bN9sY+UZH4ookGn5BLIAoBMQQGfBJFT9yalkyPc9TmCedoEu0lw fbgUVaQgdgxeLLRqX4n9rJYJhfywcBKHtYFxYQdAjPurjt28vm+drMCOy7Mv6b33uILQine0Haah 83TbwrazsjYZHER3IxhDOtBsF+2BKz0szRJRWWOolWp8px35ADw4Zfu34rPHlO7Ravp3dBamKKsp y0bbYelW13m7VFXKQDB5M5nrgoBTXjx7Jm7RrA5yjV6Xf4z0Q8UZHERN66nLpTujYxXqFYZnrK0Q jJ/hsci0HpcG/xIfJcinnQEU1OtMk5oJQQbzMRWCKiAG+EpHzHO5NQtFd4UdQfUgesy3UXszEtiQ XtqJy7vCPPZ5osVvSSHyGZyZow+HD4CZMgiyNPO+g0cro6d5Oav/3y/qJTqiwZiOZ7yWUo/ELOfs kDQ3DKJvBBlLJAgBDfAUFUFw81XQXFeJqzinejWqQtudnLsy2oxTXerpPiVHKQI5K3QgzIlFRaG+ qIwOaOlRvm0JPgGupiC5ufDWHN5zB1AhZRFXhkbVlnSqAEpW8G5+cvRoJejIoYdk+g6qoptXVBTK 9cuwSgIbYWUgHaQJv0iUToSTeh5/UUhfqJksyxQi9Sai9Ii45UGdC55ESi/RE7h3gkysl4Z8SUxS J6UBe6AWfN0oN/yDwtMbMw13OEEqk7VgQZMLqmetxSsXADyLHgRC3bsaBNQQ5U4mjnCNNMU23JTl IkoZhCXbXn9kSL02OnK9UC/vgsHGdMft40tHuDJk9fKC0CdLfOgJuK3JPNDmR1rJ2BOGGU337KsW bSuJr4x0otQtF3kcieytsSIMyPDrOXvxGSaWXACvXGFs3X/VV1JhPzforvoaNqtZ5z//F4k7qYIa RySikxQEsWmoPGUv05ofBC7NhujrXlQ0nFSzhQP5Fjhd2vDALhDRatv9oUMa04cdruXeGdIaUSVe v4BJBhhvKfCjjKfdjyD6KEdWHojLnuKCiZ94THWGvAY0QPi3FxILcbVW/Kq39BnpeAq2cBis4Xij d8JVNequi4ahgrwuGVe/g4QpKeOV3nWgaDMsK6kw2o5iZCWlmDF9X26AhVuuYK5cv8MQBmSCh971 boLpdFdUj5Ow5tS/4tr75uxp6MXiFZtz1hRM0gHyS3rNCw92JV7zaOyPjKeo4R1zPOSOtTtnYi4a aLT/rZ/E/5OwlNbvzWalKT0kqwWM1FpA87+fqSDSpPAw+UAH6LIjEVjEpgLszwxqNGBtC5qS7h5W RyoaZvBEiOmHoJJk9JhLTvl0tBmQcwOGRmCbdR0A1rRHjpX4DGoOu7qgjWw0KnC8XjkmT96s8Nj2 mBCLhApsWjcJZzkx/d/KXxuC/VWuN7yG/mThEoVGKRkVCGEd7DfhJ9bn4o452YDLawTtVdBpWDnG jsiyeykiC7p+tb4Hs20Ualz2l44hByUgDe7oTu2eIqQuvU3Lq55K3XLquQfflYCGPnCUaI7S5N5D Qn08tosSp0cgNbPmaUv+ZOru3xcUNPWJz1riR6sOCGFZEPDD64mLEFeSNUEGwl4MDqKSXE1vyqB6 2+IlRl4mEv0OswgXYjjOUhc9d1x1m8gXLRjZSatUlxVRSIq9f/DgCCew5Ks8YRJOOnxI8nCcUWAH SKT/Zyc2kUoZ1jIyIvg6iEF3cXrNjdn1PAJUupeoVahQD6aBvn/r3glFR//VwNBw3HrHSc4vaytY gd8T4EZqlwzS+aZkRQPFqREINSIjdxVybioWvf3OBXqSA5zA8yBm9aWh36/QLlnnufXisqYIk9Pa w6KjyUiV3uuMP/43xVE4C7yqn91ZDzN+I8rEAZQfb3BgSTJ4IWkpZqzq8qxei4KgB9j7I89g7tco 98sg38TXJ2JRscgNBQjLBj8ou7qTXQXtZUA2hxQRg9swgNkvllIIkGZ1JbMP3TVMD0zypbftiBt6 d16d4VyUB+7x1YAE2tcwRXdTlUa6RQpRc2r5x+g1W/wmSZsa/9dmn01S+muhBAS1ZhS96kgpFCJD tRPCtBvbUASJ+p8f3C/TtvpHCA7k77Um5ZkPgGNptRtagqjfxFGfyDURqYsdkegwsWsDyZ7KZVvv Sp5mR1cqO0hIBzMwcFK66BvS8UUSzdpN12oC4L5D+pTnMfqvndwuvVBXa0OSMGoYIUzAgqKx/M/d 4H//CT/jpL5dyjjLflR3spVnJuRWN51vZEFBBPCKw9cvAkYNcpP5EH1k0COnuHIT9VuRs/nsf7b8 p/jrqWOHy5uIOX1qDQ+KxdFAYhinAA6uzMc3vu/G+ML8bby4Veb6rL8XKzUB4j87qkhV4/SMBoeG NcMX7oondSWRGCzjbozOiE1IAleB4S2ylrax7RI/72yYpxeYUE81VvTOlVwTqKwDiUJxJrWWAVJB 7TMlZO6D1D0zLtZ3jV9TDd/7m0I6a2ZSuRQ3po8kgjBLLKLEDGTgCP9NyDUazbltmmm2H+JnkcB5 xyCWdf0jmFOBjfszWedWRK92LqhnleBdbAEpBD0F+7xoo/5d6Y5cJSKPIIlNPjpuaXgGUV1VdAaJ xX5XOGAz1o5tN/jbclXnFtvC3zMlFsTHLiLEAnapcWxcTRJL/kFOH9BuPXJKzeTi+dr/lsIoOZho LrHoIZYqFfM5uZFtetIZbQzIBri6W5uamFtElAiUaZI8E9CGSgggbeyRdORXtTCP8wSmN2626BAM vWo60y5rJ02WzoivWa04PEJjH1xK/+eYLe0Qj/Lrx4ELEDJl7q6DT4SfyQt9a0U8wK8vr0jPmoai NPnC5xWGUWfIyWfIdrqW162t1KEE9q8HZKa3ElG1sqPlmiw6SKNxL5dZsoxkzkTP+RWLabY3IC/t dkwTWplQJQFntvOuz62i4rPZtU6tTmwxEUtovorAltORpepKckEJyBh0BV84572H4EB51TyMwyZo H795KSSd1LTccOFNgClHhroUFtM6wFmy862uLtx3E1IF5aIT8cnitt1EzLInHduiPqch/F6kwA5B 7+cb9OotXQ69ZBJOjFX4WnWJCErixCGt7zDb8V6C7YRjmAMy+eUuBYS8NV7D+Lws2c9/5B5nu2R2 7hAX8EEA0U7ELPEm7Z5Pa3KPmrAsv+8lhPHjWjgSYdIaue6P7HK9eGLLhOMQCZGBXjXyH+mq8f8c 2Lyr6eBiUXdlsPW1npRtnysxD7C9tzuq0dSGysiux1dY6t9Sa80wuR6kKLgjOyFRgJb4ki2IpVnE q5DRHH47oyKA9gmAyc/dU8eilzSLw9DAMHCOIuSK7infxhWgb5mLadYVlJmkDRFLWm11jVSNPtsQ oeSCJ+TliNPAwdEe5LYprDcH2zxkxU08wrS5nefaExO13AQcTsyArWfdoCE+plC47xKI0iKOfWEj E1ib3TsMSlWYl7HSIZzzx2ifOnuUK5OIP0cj0r16rdE8f0jvO8pqPmyQGBzuPQmxIFgORHXc++YA dG7LJ32JydAWtyuPiwsSSNi0Gwynkt+VJVeB7zs0zChquMEATtvhafn+SD9kBsPnTbzMDMZPYMoU LyylifkFCPik/bvq7S3qOIPQ3ci2MrypIm86rj8zrNTCmx/Wb+HIDgoGfE/tKtuQskGhaJM1RSvf 52g5hZ2rkhd0goZPbJnqzYi+SP82YkuECoat9jY/OH62atOMnk0tBPC5As7mxmUMlLwTfHVA5UBb s0w/7g53ZbkQ+sURFHvYUnvL+pKeKfbtzZXM0JdHn4j9uzyl4rd1d6l+wl3IPNb4Ne3zn2sBKtUs HCN+y/ZiVUdm5AKOdQI5eM7qPrLIMnRdbyGu1TMmFTqzd+4bwXnsWjdqz6g2iZUD9Vp+WfTVw7f1 2xC+J89Y57+wGpfvrkUH3k+3iavKnWY433HbN/K+5Esu6FlLaI7HU70MG2yNAJ9flwV7ezPb2f7S KRZzU8WSkvK1mRyRRC4k+m6tiGqMYeroCK1+SLFh9zkQoutYXkHfbai81CBpmwQsd2IxNhiVNncZ f+B96Mda+wTb+ypRXgdvqqE+Cj7simedow9Zmw8/taMBOss8kFxjHBr6BDA2rwXLKv/SB71PvjA6 XXRVk/vfbzKt+MAhyYZCbGkXSBYKryhO7WV6WFAykXvaCW04lMe8JmQ+BZ+cWMBqNmBXHuQK9gqT Z97LzPuLrScZHGot3JdCTX8mJFnUe5dTN8KQZjffNxFBLZNM6hcnp8SFLlgGFejBI1BIi362yj3E uJaOul9B1Ehavxk/1ZRW4eQ8+MYyIj8TiN2SlI5tj5Hs3I1l7czNvHB4Z+G90KeBR9Olux1DeuE6 S3HQqPVwcB7d8zGVKFBTFnFCare5J45sB/jUpOaHExGShxP3aaehuK/YVTmgraVY6vrXrgC87Muy T+bs/Nen9nYW+5UtjdWCFHDx/KBgR/l8JMXkaiNsdrrTbGWR3KilkylniELkZdslkk6vMwhkyt/C Ux9sv4mfDdUs//c14SVsRenvRSRK0vtQR91gHxRJy+Q3rbFpioL1ld9B1/eP5+fJfSBKX+K64Jr1 WdqFEwUnZpjGeUmtnpsyRTp6SSE6Wbnc9QCiq96ahSgmcXnAzd6KAi/VJpJFgaHhBmqIk6uYiqmQ fv9nQFf6XgsauJu0JyIch5wEIzJYCY97ZKr9b4aQwaoxwj5Gz/GmlnuCXjMIHV1U5f2iLTeKguZ5 Wt95UjMoBslJH3g1XAgH8dZT62g93PFgE+Oxh4deUszjdUON/8Fl0oV8v0nsYVbj26PuhIvtEYbw XnZc9NginIg0BRcSCDorPuEjZl2yLMjY+Ck8XFAf4O9xmOWtSCmEXwWhFYt8dokqwd8tGJiDZNGf fmXDxLblPhXzyH5uPwrbfaINyJZuDhEI24nAN09V0GckC8xggwa5HbTrmb42P95sn6zvP/4ZwzLe 691M1C11pG+ZsHvyuDt3D6+FNkJjnQ8Fjb1rZ8CoslgoMzTMOtlI1Y1b98rz5fawBJreLc9L+fJn AOogvO9Nmyycdq9hAmnzwyWio4CjT6VjAJ/bKU80uEE/1r+LfWLtpq0zTMRjZurOMs0MQh/gi6YE zgrg5rDza7E3wRTcA731qTpJVGT1g79+Y0wAHzawp6laD+90IZjQ3a0ZgnYbXGaKz8Fbmaju83qS ydP23YZ1KyflOGE28UoBpDJ8uAF/oSoIUaVt5hZAEPLU8SEh6YuPbnJQs5Sr/cmBh+Tl2iqSZ8PJ 3ceRaCixs5vaD50bNjwEAs8lasruFRKnTozjxVq2CEC8rKLiMueYK43BcociDPiZCifjx2FUqK0z dPlvoHFlGD8NDNYbt1QJGZt6zIRrAt7yiiPPH0myOLanXQVmsKukrM3uzzpcnzxXx5k9PACGhLng Sce8O3Ys8ITVqssTpOZ7qrcK7K2mmtznAMmdns2wPsx9+GITrRbZChNiWiU9nuKxnDB+cdFKUFWx /vlo37arKefOMlYizGVMzaYMBtbT8uoDhbhQ8BBCJF48qLd2pojdG8hhqL1sfNzs4AMtI+yQmm9p 3ZFIgKjOtHa4KVKbDauZOM0sBdhiWe5r6PqRa7g3btmmwrgajh2Pn4+BAnZr8E35U9qp4jphR56X vVeOlEI50epJ9QgMeQNxaYBncReB6a1eixor+3mam6yVKzk6G7NNAL8b1q2X0XIEebUx924Avt2V pX9VnzeIoP4At398QC6Z4gWebKYUQisvrHQUJrhAji9iI+JptUNt0gbiWYJTCp9sZVxGhQ1bUImT pCraDjJHqvU01TLlMx9PGHb73GEh/R0b42Lpr6xPIyjjUIqFI3TpIDjRZoD8pH5QShziy0l30T6N QVw1Z3gowm7Mcj4r1RzU3rnFZfS59Cn3XcqlcQtM5GovUFtNXmZxbKs860IxXgDITVV4T27dH2Cs haSe+9DGsO15C7XZWVseqixOGv8BxR0+AQ8WDy+dXPcwVXqPfRDH4M63zPfz9aV9bwiME7M45l1J bhzCn7l/DDtl2BV+1uY3yWuagXNqG50J6GzvBBrLMoZTtgM7jXP3Ym22/Rk+5GjaYvJZonccLQbB 2V1gMYqKg90dcmiNGF5REAn+gXIp5tBm1aidUD372W1h+/KsryDl1Pq7MkE62OBwf0xiIHzdAhJ4 YK1NhWoXgOH2rM4wlGLJlmiZv1Kx6MjdDN1bYp+ZbHkrMitZlHfylComa5jafLtc5M8WoxGxk+wJ mE9P50etNKaDyXy8yQMkilnrug4lxb5BpnC9K4mdPRApeoIurYxKcyGB+D36gnaAfH2TWELkzl/d WeZeOxF0gZOzs+KbzA8KlnB2tKYJgPgumxiz0DiWz6nXa3DyTOzP4vQjHiHh9RZXJZ58+wToDO8o PnxwDfqKFsUCkBzKegDHO2OCzZu84CcmhWc1bGCbv0ilYz9QTjuUCZ0ikNhZnHiJ3RsTWtiPbIrL QueqmDiCNB2la6YCSvgv5Xpv1+Qywc7FppXNOTPptSbNsg7pCzssf1vJBltjqIsPj0lzDq3Px8vo Mt7apqo+GqKswZ8hdPT2DDLzyZAlSBhTa7mPJQ3UJE7Lo/l8VWCBnuqTaeIZ0ZLRBbTtwU8F4AYi NbeuvWRyITaFDvKP2tDWZhYIHkd28H+4oEot4HMET0VvrbNvQmqDJoNz/upSsvHbEShppK7okyb4 evoLzGH+MfvvXHAoSYnrTjsxHfy2xqhdHeIIBGWEnAPRhi+cgSssEmqW1xXDqkXpovpX0lC2kpgE CNJhorZ6IfB/CYYJcChPZPTz6BnE0LW4xW9/7VelQQpMAROQUvx6SmzwVYQOJjKwrEr81raxte9r slWaNrRO3wwx/m/eaLNq75ofB90wbRtXKAjiJMuTyLjM+hZvmF6on8vFUC2UufdgiE4mk8r8Q7ap na4s75KnWzHs+3lMFsC7BqDmo1nWO8k+MCQzaMGa6u694P1gcVUqIgbjfQvEOFAHNU50/L/Mb2Oa Uq5uG+u3w8qECYP254EG3x3+ACBB6rm/WRd9PZ6PWm4nVjHBHysfryPVbc8N+EtcVB62eGIrQN/y MHC31+eFwJSwx+o80qiY6R2uK0CBCL+FbevsTeR+Saw7aUgrfSSe4n04ECqCUfkMGXjufnSvQ8h8 haxQ2BIZ4JIZMVsXiIY0DpdaQv6fiPtvxMY7etUkpdy6zntHZJonZKiRZ0pjrHEXQAzOHEOhZjHU SXgDXS/sWbZWQ8vK7zCDIfbyP6OKQ39gk0jmPbnBjTRWu591FLhBd3w6206lChTqz9Kub0HubKUI HN95C84WYZojzJtMxu5wQn6jx+XCsMFLjyk6EREs+3LD4GPEmor0CvWL+12HOglLHKBWCiwZ0P6G QCM2HPGfyWjvRMFUdSpA85GBk7DIorY67nVeOMeJrG/15R3b4Xicm4S/8v5KmP+AHrtvmZpAz6Sr ryqWpimkV76cMxs8b/o9dVBUF1iaJ2JX9kOCOxbiFMrAM7UjqYaPW6E/NbGQSBGP/RKXCcK/zKAw T4c95MyEbwNaHMCpSTI4/Kzp9FffgE1BCUxEEEfsPXtfCjHzWUSehvjXfEQn22b/tgRCDdJocBOP 0/41im+7GzTqTnhNzZv6HLRopELh6FtQS0H/mhSexoZl5hNezUepUBFcT9TdAf2UU2JHsqykEGGs 11Cx+0FlqgnW6zP6YBt7WOOguuaj3PYxWLmf/dz7aK2KwVGiCTlTTC0CDZ5SktNASC7QOmuqaXp3 atqzat0Z/EqNHLbcgEhxa8JI0wYI5aLoXuNaa5INknk8fXmcim7dJeMwiMCGihKlE/HMeEkiX7Ij BgKFz6+nic6UgtC7U7ey724VkXOJi6cAIPleq+QGymOuBb/CfVGy4sUuntncTHcfoasZ4MG0b78Z 8LHwokkN0FLfGBnQm6Ivsk8Z1OBEdRZmpSzEntITfia8d22c9NPADcYeUlBi2mYKsNYA83wurbKm VAQHrpFu7r50sGoAN45bX6mgmXB48N0NexgRLWFERKx1Klc+jg8NNLmyecE9m/hdvuDD8/ezZSXG Xqzbz55guqtgGQTvdXUL9gK/NgfIXiF6CiibbCjTpyOS9m2WYRQZ4faoPPy4zIIU6Te4RGO9uFEu h/P/vPTAAP8YRGtWoKJou60xVSdyhZx/5FF0FVb9BhHCGHJVONg0RY1q38vwrs+nqoGoaWHa18Ng M6tF8PCS2wcTQ7fcJfTc4nueQhhpKtKwhbuc5AUaLSysyxZrOm3WP+UIx6y7jZBmxjpcsRvTW3ym FFFkRN2hVh4ZUuXNQNIJL+XK78nDkv7zBRr3DCHmChhMQIXNCnLdZv+Hu1T7fzVy+hfwDSm2dSCT 5h2HBlr7GsX4TO7tvXo9H3b8NMOUsJ1LX6/TPGG0VNQ0SwgHezxEuSyehfSpSu7Zf9/xdKz+ttF0 pVi82rtMYc2Xbz5Y099LoQY3EMkKPdnfG/mc/FGQajFY2/OilVkhOl7/tSHlu1VMkMfq2OTkXNXG SApTB8a6c9+do+CXMtvVmx0RercnlVpwtiq+phuG8P4aOf/whYpd67UARG1ZemE3GsFht2OyRFD4 FKRZ20DNbfDY/m4YYRiWqBq7FN50XXnQR3AiP2uwOw4oYHoK+egGkDdhkf+MWeTIgXqLggHC3Lee Xjq4ZtswLYNMQaEV4i3w65Pws/kvLD0btfg0lKb0L3j179naa1X/Tr2BJbukfnM+v0WrYO3IhInG zmoEfoObr6Y6TlGJasNUVOo0EXi8KrvK0XdG+s23othiwCAWQv6Jjqnkl2QEsr0cyZe/DXf6+0FD qQJfIX5QBC3VwLNFdECakluGm8pFMVyyGKtmFVqAcFku0dGmRPrqUnnUqGx6rQN2egqbi15Vzzb9 uoIlDVU/u9MWzxT63NV5Ol65dW7QPwh/ghNgCHnYCcrF7Ra5ThHl76ZdFoXmXtAzdT9bpQHn1S8U CiFCaW+OfzNBlccluBQ5WHr/Mg5N/37U781IuZz6fb61FDWxT+f9KgANEWSdEu4tbo7s2Q1xOrp7 0YaG/diMIYZEAij4T+tM8fz5b/c++m2B6tlMa5xOjWLHhiSksFcbhjqbwS8fv7yKzQihF2llc8ON R4FfoMurM8Wv6/ldyALrahvP52Qw94sjUlVD3g84syKg+sSrbEdAIJcN6pWb8Fy8SyL+SaasI6+G Xb0AdDb4WT79NVM7F9x1qk9wn3FG4Y+fke38Pvgwuc9zmMQsXGL/57nAQ1bp02JwThBjgxfA+ctp +kwfiag6aUzRfucTKcdAV746XssxD6v1ZlW+s896SBa0ekJKHk4fGP5+UcIjnj6lUtpDzhBf6wzX LQhvsezt7TiY/H2I6n2Ndyq1iN0NbT/Uf5seXDb23Ixp8LXepgbn9nnH2oYJn/d283tUwXoO7cdV G/ao3ByAGYm+A7AAAdG3/TDsCIS8nzQ7Pv1dxS8CPPxnFvxdQAAeHhepRiPJcgeg31Oy2GTAnFkP CIt0bwCkqOAvbWQoz//cLlLFxW5+m0/0AUP/H7cDiBI2cTatrKps9ffRleuYYE3mJLcSbDoJD338 nCj6pnQ4X9vZh5lWsSSwJbDYekp03iEhXNkRLDhlFtj+ziVF9tgl6jUF3JsIQrq/gxeFLkI9+MY5 Zp7NBrQ/vVOHov17vUOj+4SxzbQJAwrgNe/UdpjcqHj+ZaloIrMCqlhJt9tg5hWflb2MIAC5gsAf hK2sMwnGNK99giysAb9qD4Q7Gfjq/6NhsPDPUQG+p8rwE0ZofpLucXr2aDGjWPWARsCcEgddR2XW 52wEKTGmeXGAjCeT/GZaS644UjaKAljiuSzfHA+PNkDIcdEcGb0nBNRXWqxbgEitBkd6wXgFGS1e 0R/PH5m0QR6hRbSSZeJbysQsUOBSSPeCidiQSgB6vcOA7W/IvNDQiyZCz6Edt9n9nTc0lrn4RWfh ww5QNskSehsLBdEkUuRc1sZvR+uIqTZ6l2XMbzkZV9tMp7bO7hn+s+c748BL94hIaqnwMkqAy5Ee kSmRCESud9olmDLszGXrsT3zuf78nfKrUeXmFgmcZqJlA1W+GK6UDqN+5wxsnB6y6aKR0kyWP7Ud 6e5M90CYMVja1nowndNKnmaa+xJ5nu9XBKYxMLBoyDyIDRjZB6FL7KjJCH7fnT8grRo2QHFlKXF7 paSQdWXZUnxTz35y31TWeHzipuL9r2/YKBpO6Fu8Kdskq/5uKLw98wOUE8p0U1nLesaiC816uf0Y Rj+dqrX0tdir26kMpUMTN5CIZnhQE2RRwc8iPyp7ocqvGWUPSKGWD7IxZMJ5sa8zucopAvzNb/1S YRFyei89DMovVf4OO03Xnb7qa+5P0juMIs40VPU1hgm5bLM2iX0FJZvhKRFPppk6LV6/vbjsyQPv G50uRHgKRwijTJ3sPTA+C1yBeahsfpuoBftUcH0RtK6OFGiUCTPIgZ6M+AStLyUqqoVntOsvVs3x Ya051Ts4z3Swoqgao7d18Tw+BjGYPf3zikocihgw3zgV/kJNrQRdsrOA48yHAySlsrv+ku74V9Wt H7OsuChBAdYhEkhAsoXxfPV0/ABXbS7/8HJIlDOacKOPCi8QKQYLJXdx3Q7NBI8xavWfwBUogY/i P48Voic5l5B7WViXit3UXG31QoXVvaw11b4LV0X9ua4F9cGclbEV2rRLu1e6Hy2qFdJextkMeQ7O drdtLqWTTQmbLr7pEfMCtWMoYFCbiH0LO2yR5nbFT7Jh+DRkmQ7uUzvAS66kK3I4uxJdVUiXyyTJ /o9DrNDE8LIuXqf/NkY0OFrpfARq7ogZG9qD1J/E0exkzFmF0/syRDeC7JGQ3wsoQovi2JCtCy3R tD7XFJCXyJACZhKL1ry0Y/K6Pqh9AJneMVbeZ7d3o4gzHq2BCSTxZW4i28Pjn/iF9vogj2U4oRi+ eppnkJW0HoOVVOzvw3SFZK5j36tINO04ig0vBucW6Ip4Tp+vE+KnEJJO8TkwMRc8X0M6dH6Tw6mu TbI543Kw50kU2pSz++Ln32cAvvS7T4MPr3oJITkjeV9lOhRWsEkPmg2Jy9ipe3nvyzI04lIIBp0v ri5+J/2ZphG0efDtUcOSvWhFlQPXpMqaVJNEOdZJs2jHEp8W7juKAfHlIehR63CK96VMkLVGFcT3 jMF+g+jpeG7jMWGUJGhtDPwi7IE9oKdmrA1tc3fGblk9qvPpZvYgbeIOXJJAqfTTlc0s9lEmwVl9 UV/MYOXQB5Pnwq30hJjBLk1yujHtmNVZKQQgDN5xFhQZDr5XXnRCtAyOfI8q2s2mjpBSyEHTWfw5 Kmie0Px6NCOxrllJ3W0gp/aFgyERVYXpe3Mpk449r8dXDCZFcgCCQL/w41dbtCJpTWlbr3itDKFf a9/SF0gwKFD+SZkOo6FS5UhlQPSt8Bq+1NI+HBSRQKphcXF5xBP+QuO5Y/9k+jKIbGtor+h2dMCZ cNgDOfc0dYJhrn/IYMHfvNpBBgHXgC4muB7nZZUmPv95KOTb1xubDF0MwsG+kyx0QEcxkb5Ku5vI Mgf1pnPP6RsGBEB0jWnfL3BDQchUWtyWdd/sReXbIagZJada1F7XEiaiiosPNlgyaVwbsxQRngjQ Hddck7tstLTnOw6/7vl/+glALmyiFdBiEXXiZt0Cl/RqYFD/mTDfpiuwrarGtrraodR2gPG0Z963 90Ngvi3wDweS6dmd33CXlGdTnqPf/iV0cs2MlH2SXFsZPP2rOo7qOmQAc3Of7lQfXzAlv3Zo8+JB /DOPdAtxYOYdekRWCFsgm6okfW3HzkTp0m7b3XiH7Xs5MIiA2JFNzrlwoRctWE/zZF2H8g6uM+r6 W7xGKMWnLy4Bk4tuABq1iRxhXOGF4cgbjrFg5P0v6Y46iAqg82LmpofKxGCWgIak8F9RDxkjKb/b KP7sjsupoGOAGRBYilYOZTBZM/sZm0q3dHSyGy0jSpvCt0O1nt0xnsJNe+zd/EhahuMlcrJfltOL qI6EiJ7IGqTQC6S2K/jryZTa21I29OFPk9cbXjv3wivvshVnm5yGgAjHmgvhWT42ZRGU6bO8mHlA x51KRJtaH9aVKKStf2ivDKls0NmvEZc7LNQX4Qq4JlGxfVnesLU9HTUoCP+OyPUXhD6QpBaw+SVe N6MMYd7dTdw3tZXV0kz4SGiFCP2JC9CjFS2n6/y9MnqWqeJANSHEHrzG3PsSX2sR19df1zQ48r1F DFh2RWJ6LSbanRAvLSd1tUJCnCYHEh/dznSrgh22mQ1EqHEPV3dv1NoNkFNPH1KB6t0TDR9RJlqn lyuDxdiYCZUND8324o+YoyJmoAPJc2s47hgjGjZcCF1lse+w+iGIXCssN32IX6wsPgHiITjcgkw6 +dJzlldIptM3mBLf0mT2kRzfkSgXkewDoHXXxUUtBtsnz9JjjFf/N6oFss8iak9k1IXmsTm3B9Jd qznu3Wa6ROStmHIlW0FjQO6O0d6f3my0tL3UCVQvDVtK2nG/Hxw5B2PBBmDKlKVgHiVrTlStIEMw /9DTPUGAH/S49U829wQsS6ZDmR3isA1+QdzlivRAmbAvOWIO0rXRwg8/7hiIF14j6LRXyiFBrsG7 nzRI1U+kWvEwDTAsFTZRweObeXkDBwastZ9bTQbc+V9UonDxjwQWdtz6UJNOiLHuKWgzIFU4eIuP SBcGhNxFr0MpJUnwUzyeAVTOw3xAxce8b2hLbmQgoE0TlTxY5+677Jn89nUNH5uJTw4dhL2zb47I DWIfuN7A5QpfsgNLs1SPsjS2HuCwC16J3R8DnnFMNkHDASYs2upX8WS0oeV/bVX0wOzr0rPWI7Cs CWl6yXJmi9twSAbFm7qA1ezC/G+kazVqx8vV+Wc1A5eLoid8OB+sVfogqgl6jum29yA2T4CHJkbD Y+TusEqMRbZqH74PLTfPCpeYqeyJBuKTEPsM9SJytT5wdipF3HnPLHtUlYU+9on0yTWB5FsOaHhB 1FPKgTSabvpnfggyTGwt5lXnf1vUKuVKOZbbS3NahDif66B2ydbhRi4m78NiaUwd/y8V/WqJR/lw sM07ViO+Hib5dAyjOCMIFIy6cRDc3NEMfvscXaF2SaYFt3OKs0TaznP79hVYX8Z4+YCXPYJI6hxf FpLcwOe6RmP4nDb9rVRx2Ow0Y4gQiuDA1Q5Jhw0/BJA1nvenQlxiaxR2InhhIN3K0va/dUi8ducn y0M/5hPJnXEV6dnyi/o65KDilJWthXlPCsBBxU6Njt2rZ8jHP8wxZMEqhBa47NVgRnyPa7VQMfvk s0gaIUIKylK8Zy2X2gEgdVWdg5E46Pab5b6wkMl8B8asxgSO19P+APG+STyFmMfm3WpQF82BPmOJ d7mitgRuxpBeFHWl8a69mSe/oE373Y69scxqTaVEHvH07TP+b6FUIg1hTicgEFcdF+I6ZBhHJM3W tLbMaL5Be5+R3FgyvOTr7atkYp6dWn5vIYsxV9mfVHAr5pkFgpKm8oPsmEvSx9jQg9OSnvSFvmp9 vyefB4MAXaMLwbuly21wmL/WppIQ6+x2Q5kJKciaxREYpwR+CNglnOSBk0Ogntsmmkrs6EA/3vJa ZJXIaVYFxJdXChIRvkEHQYFFYj0BqFBrMcsz0mrDRFcrlzBW8wIjncj4mDT1uZPxX6Uw/75yOSQq Pi973AqfXbw6MBW+0TOtzN2mzjt/qTDWp5qFfpl5eRwwqnIQKCBCbx1U6atv8SjDCjI5sNnWfds5 Qj7h17XvHlPNEUQaFQ+KJmBVCiF+CZCrhcElKD6rCyG1IuRs/rCyx4mLBrJPZu8otHxrAUMZ9wxC JqGvlCnRmFG0eMXWSVDDx82J0bBkzZTmWk18MzonPQgzCruHN0l4cO0RzvPId9ZT94lwsC47jzUn KSfcdOeblPslJTQNmxqPSqCU5fzwVW0kqcEo263OLjDc20RHvMj6iO8uNS5y48/KKZSQPTFpLSZS CSkE3lAfSFjm16ZgooZARZ8jA62HQxvWSkPujrNWC2cLlA9AeMk1nsy3BvmJRZsIfq9dv7P67eeW o8E11ZESDM5rIBGBhVPboPvoI2tbGje/kKakMkOf6o4ht3IujLtut9gzLdIEDzpHV/BLt26qNpAg FH49ubezN4NwvZrk3xOLUMSFpqUkS9qNST4n44XQ0rW0dvIkewq0v1WDvId9TP+eeJYqoZQd34eR YgcwPDuX5KBxx+U2LDSRrlBhUfVfswXNu05HQ/+LO/ued3/hmptso242274sRGBXk9PvXqTe8Jtw B3udgL4/J/IiR6xx9PD+iDsQ6y0voJHe1z2Lz3tSO3a/z9ebeNiLnCgelesfmXp50fDwvEn9Hsyb GDT8ZnIj9CMPyTcfKdfMMGtCFcSnPACGQ6bgJILxaxbQgGOS63qz9+8w/qp9sYkSd2b/9EBnTygr mFge0xmxpoucIwVJFV/BuGaZjLOmKJ/GD9+SJzByeDKhgmEI2tsrYD3AOFflN+F0zGAbA3JS2TfT BTYT7+Dy5WmpitCnmTix1zXXVQx1E2PFZRm/+iyBB6lB0oqLCQi2hRSTVa5ba6yxxIrKksUskTGZ WNEyr9Sf4otm/o+MpAUIbRSQ8yRnLWSTVgcFLvvCkHwlwgn8c+YTux3hMODns1+Qt1wiEv1vnwvA YEjgIuvpSEVfR8LM+6Gcj6W2QUdYeeTRcuQnS5KriUDYVB1TrVZ+Q/ajP/dD+bTYZwHVnUs+6l5d fy2WNKTz0x/mADf4lJouh3bVgska7ooV7tactWnsNcOXixtA7WzqXcVWmIEO9Qkrnmz3bzldoSKu avmJ49T5eTXe/o0DfjQ9Ib3jZJJYuKuOuoNz/j951xvLIg26KGP7SLXkYYVVcmT1vmaPFTwTPr77 EoliI7pabBBoI0lz+75ydN4q/PQyjNjMBRRGFSFWddjbwcJVJFQZryAuWv7M8MWV0BMPg0qiMIUa AKXTmjWeV49fd3OcGSZRmAPp/oRcHVqcNAYOg/hf5F8CFxDhv5EMEB8FOM2z1HwzuxuYbaw1Af9u ZnhT9kgiFkNBLZnV8pYcIC+QwcqSuwFiUNCH2BQgh2PWaL2WNwbgi4eInm2wEsLmh4QmlWMHuRqE +CRhHsA/5+BI9asE73rbaGbCZ3+dMTjKPV0BajsYaIJV2kr+finmjR9dEQsJhjJMebn7bTRjXQp9 jGpaYj0AuQ/1kgRSZx1OgD7yxGZGP5eqU/MnMqd5QzBIEeCRfOsE3KaD1rgCRw5EGrS3Oa9odkvZ hwoWb1bfqJ57GvxmzXrexJ1kPbVo2ju5tc2MYyiQ6XFNOKsQqrwUs3iB3tomIuijy5ybGXzU9pX+ 7KDxCs+I42BVv91J15HUJq6aL26RR7ZlslbklyZu2r3AIzRN1KrMbLHDoNpw+l5sdfxVnKtGMvYt mvjqBEA7kQ+CViwcJg7zLHsoZSCYPKVUfQwej2fzvwgXs/e/puWiA4o/l9JcDWMkP+tngDIN/bay Fac3gBxomjzetsq2vyWfTC+f5rkHN79qK1bcYJJavJ72uOIogXkujGnaam77Sy5QeHfd0B/Wv5w6 d66a0Me7BK8Wu20/KAvR2ADtxh+A3IlHJGhfHOCZS+TDstpyQ0u5r4yt5Xyzxa7wSndbFYzGwUU/ B3Q5C5nnDZH88ycUwFCu4AFEVwBFLrmZ5GGlUNtvnNA8js67sNdYpBJTev61Fd8jgNY8cMHJMuTi 4YtuzNdo+tD4ianHqoEG80UvRXR0tsRexeLn3CBTZ58Op2KMQ6iWqDUMMQf/rf16ovI7AAlW3jvm mECO3iQUVCoESC00HUjBeD9uxDogQc/Q8ttyS2raZHs6SYVCKt4VcNmbe4ye04LoR1cnlhb60qNU jrUmS0YJPSImFCVqRvtWhhOmWEAZpOiwGaMXJNvAspXbMbyhsgWsWjahstPllM9aHq1mP1gy2nwi Hnu7SIiXjGnsWN4+vWEMsBudfkuD90/WY0V4SSAT1Sy1P7ReFzcqYZSLakw77B1WA2nutbT4eFSb M2KkP7n0fbGAyDFL22Cob0VDciGpqSZpdFfLqpOkw/iVeNd+Jblz/0w83DPVcorIQ2ZYoC7YZayB L0wYb+4H0N6Wk/AMdoXsvXz2olLBD2Fc+QL13P9j7LD5h706YCcddPsRk3KPLPdjwYG9vBCMXg7C My6Gyc3BDFmHU0oolRF7fMtZZdDTU9lDqOuL8EmMk/Xs/sk4QJbpjky5+sklkHlAJW9SJcb6ghmE kUmEEti0SksKlAZDB3lomMVbcawD2BvRMZ2/ghCQkMKmpKPDiKckBKyGfrkCBKJv5TUbZ7z9rW5N nMmMDZFMmSo2lT5iv1JQJJnfGbIkXHFotqOLxSZFU3f/1So5ADX93OX+qCTob1PHDqps/OXfZ3Rd /C2W7Dov538FKRQ2k2qb5QneQpun26rjnxu28Si4uEOeC4T53xhYSwMEdxOgu23bciPoDfGdb/m1 9zan4caSUSZYYRok8k8GphjejgsdbyEFWVM1C0GahFjFF2GNUAQYmlTat/sBBen7BOB44ujxq1Xa jEPRWFnLIyQtWaRr+qogSk+tCuCv02Y8mJAnrbtZbhjUk5xvHLM/1QRyCCT+OU224BsA3yzbx6L3 zZ527lNiwpHID9gtJMbiablXscUqJR5ufJKZa/geF34y+clkXoA0T3wqahkGAFgr0544wTtn/XQC NUByix8MeUh+liKGoEBkbqOEf3kkJ/zZztV+2/mOQrwNyGdZFuPxkBrZ68BiA2nAWKcU7uBAMmcv y/GICtspO9AIm80v/dHnH59u42S5WieTlw6bzPnymOQ3QjW15jCzIihEdU+YZY8bPgA3x0viCkLe H6RZwIEaRy7BWvcIZCrqPGKDjg4CXVQlM7zST1sYE2blhCGrirGXrg7WBkUfC1G0ZxoTySACF8+4 rn0uX5icXdy1cfygsX5tHjKYo3TqT5CakcJbtdSEkSjkCoqICz3nWcnZ2XY2DmT4zRa8//8OTq9U BQN310oBWFd/bTuPzzHBzQGGJwb2GBJYVa5jUa1atGctf/qLO3OiOMf0Ryeci9fYSc+Q0511IPNT mXwSFbVt3OvyhpZSYuQ8gtdZ4juuXhoSQLw54/cPUYE6l/NdB816xEqpC+MBEIrv2WLFbjHVNlxW QWiEe+BnWO5kYcrA6q5qaKdD3eCdfF92pB8Yy13s9F7gCSsdUaIEm2rucfk9y4GI9IEJ2lV5fWj1 bxGnNLEBPUSQ6hEm9MUifOVebiwXasQClfYAwR2y2JluKDaOhbZbJ7qFGn1KcJG6oSIdR/M8ayii WpcSByw7vR9NR56f7/noQC1zcA5TB3owOE+MPpzPRtMvhaArfPL4ZWq6ifUGiY8E9CCvuh3Uwnd5 /TJXu1aUZ7Rq8wyQnMPrIY5l8RXm5n+VDzJ8UiYHyxVRVkPHJLaJHY2GCnVMb8TqmiOPRMYliQE7 egG5qUxohMLRxQWjlr3tZKDfbzght1g0cvXezf7u7UjMuk5qrmsYLAm4Tb7wZix/utS0VTNIi6ep K9rqdcdB1+sMt15YnyrNBFG18OMAZxNXx9v2cydvWHAta5/Br0jTTHQi1YR9p2swtYxubPeg59b4 G8HYPNxJIkUVnFIbAf19RqyRGOBf4lWW/FZFY9FA0oJ8BsC+N6NDUnswEZ9RzQ+8mH0XIA2vblfT om+qzsLaIl6C5i5QAgB/18GM3SILCM2QvVjK5GKm+4y1i8zqUSZGaPc2NRHhSR4OwnN72fGWLXOW fGPEZvG4I6CjvmLsaT1kAi+kGESsZ3dmK2pLpms1AoVDKL5uZ+epjhQgai6qOSWFubcCtPTST699 0SXxPKOHTVKeaISOdedVc8URe+Fjxr6/lPvuCBgWhv01mFKMOrSqOTpie+R2A6NhHKcKs4L7iHA2 fbOtcht6ipWX81PJJuLWd3ZAefZ+nsIXuYD8wTiFst+3+KNsxUpRx9Fg/8LMkVkHPuf/mcaDL0Qx Jw7UbPGsSjQ4rkM1kGSem9+YbcJev4O/cmu29Dz72tMsjYufs+PGdUKeLXdzio1A29MvfUpsFcJS M9vHba8/oe2SnIQgwGWLXwzWydsH8XhiLr4kw4YBZKcalPmDeVozOS4Aii5lFlo5c2xBOJWItC5K w7Cc/OWr1SL84+2vYt7TEnDIbZcJV48Mc+18o1hP+k/+8BbrO34ZHbOSuQy6B3V0oxOmRBKdP0TQ TSBzlWNcv6GLU1CK2LPSSOAf3fhN18bZhDKcsfmghLVd+AVUi22HnGiv/8xkipz2XpjZWQ2CqkUw dP+MQE8/OVdgLjXEIukSTyElwn6a8k3ApsDfVLGnmeLkDmeI325J+K3zXkoA9AWXbwGbAdFerLjA CWxhYcwQ144BtVhm0m43Y1pu2D4vP/plKlDOQaRlQ1iNsBNCN2TxfAsHGjT9w8BKdMebp5rBHZmk 41ayJ0udjZZRgWvaTu782GR+l7h6CSQjVl+hOQbyV8Yg9c/cFH0qYhIg3e8O8gj0teGRX9UjIs9L GEoR6T0AGKdPkLvpX28zuB3hggutuBQOLxNS7QU68e6wH7TI0Kz3Omm/uMFSk0GfS/0FM4OFVezK S8zTSCLI/pQW67DTMReOMuRXYsxYiXHBAoV+JkVgQj/jbXwVG10iwfb/oaerA3ySsOQ+F1eU80EH mvlyLIKnRdwNCH27a4G2oJin9IoOpY+yt4KSKbKUJWfFTlMH38LT4nyzXrVPtZwVMIvrmwf1SRMY ENaeG1vtcONyUCNrd6tAw7jeqrMZrCWMFuAzePNseiqoqkV6K2gs/TMDdOzn7Q5SG8pgkwt5fNrl FOEKJG8Gkq+Eb73UQTv36b7m1dbNVEmRUVcV8m4A65PZ8aMcTufD7iiT6GlDVL6HW3TEeovjJB0U Yz/6k3Ebp2IUICLodwN1y4lK5HZswI60meOW+0T3T0qK3xwSMaQJdVjGuWQWxdwKQBj078cp8Gpf 7hG+Om/dtZsvFAmUnZsZPfF+8FKPmsAc+Pzf/BS4HrGafk0eiY1DpF1NtBGIb/Yl2Yy7Ni4ss7Sq xtZcTE8XWDby6MpoAl/qAWjpetd0QLETCYHVwKfs6PEgmHrrg1g86+VNo3Wr7nt/+Z5/sppIAoDN Pviin+gfAqigDx/DaTnoRysRFoJQXjO5iV/DEz2GrlKa8qHIt2deiqFa6ULIAeXA3z8JnkCjUXQ1 q5vXBUDoX+6sF/DvPIPboGGseZFJ4DcmIAjQJdxtXCShxKvoOgR9haHGtkJkmHyQtMO7NlhTRY3q ejmEhJhOZk40vw8Mq9gA35Ypvrw+zNrZCkBmiAhNo1oXhHqY10hslnuxHGLva6LfBNF25zrAYdjs OE2/K7y6im6rQU9rk77QNpnzqaj1C0WwKE+QYAuRKlRxXu/OKQ1N/jcGS+9U3PMupfVplRPbz0cB CahiAw781BCNgG9KjiDTkJLX4KVOANfBKbquO58a5ziBWpNakLs0egon/4f4HJk6xloKbzls9/bV KmUpq6jNkRrxNdRG2jON3EGHQjaSmWMPsAx3SQVxIVL3DfD0GdvHW1mjDQLaIOe3j/6e2b0cQDtg TTuDWgdnN7q1TsqTs50WylGCLj7IKoKzW48/fIwZ7VhnFQmD2zSM1/oiFAw4+VTIuhD1MVSekqkz udSBcEvvKTvTVH4vgKWZjkLHQ+AolxXXbXI2nl++WvhKDas5yY8/AWNs2+TOL747pt7+fL5N6GsN 6P2fTW0NX8uO2FYcj/bBDGGFLUt/FQgsjEIKsy2e4VqMStwBIELIhHPJNbcBS67FNkerngRP5LVU 6fAT9wq677QbirQvupRL/iUnItMClzP1XVSYhsQJ0DqhMXW8hl2NWlPbZb7srXwjmENzrHvdbJAr RWLu2cMtGko+BM7b9maiTRGKmvJkjXtnJftQSuuYdVt1+vQeXxrJm5UD0s8sEABvpJJK+TxAMPwq ORd3U+8Coewr52y9IKmEXKPoFAJktHTUbPHONkALelcJbWZwR5Ns1AyodoKboZe9TS+fv8Sf+wpa LXnk4WUGaiEvLbqhhkGcnhATTcVx+TfSiQENUWquhDanyiiitwmPi6fssi03egjbshvgBQRVi0p4 0aihVSHRFFYagn3+pGdMXLHufDdEdOEql8cReFDz8yO+9RPfwqG8Rktx7NfrIZtaeCtmcJ17SyRh 4FaODe8ka0Gp/HltlnLrPCx/QANuKEk07MjiL/Ylbo0TGEkDhmJ4EJk7ekp/wNyqo0InffkHL67L 6dsibYn3NaY090uYO/O+nSlmNQGo2FqDvJpAZVjx2AAj3hospu3b1PigwKtJLKUdJXnYv5vAlAz1 EE36O1eUWa6bm8MErTQyg3JUqNaQ5B5xCdrO5G3AoAAE2PDGQi4sIigHkFhmVfreLqjnvcRwLYlE +Q3li129gAhodG/FYldIlJ8IaloGkmiBZT9rfyIcHF8sjeMzYhrfXsjSv1kC6GP/D11muNBFKiHc MtiZlK4Y0++ctxHzf+vWtiFZ6Rz0EBxZ5DdOlYtDpVi3fdwbdrkm5+a8oq23gWCfA188rzjj2jFZ 1vbiuBrzhXg9fcQhP5FNQ30AIXBwC1ix3sqP3nbQE3T1vn5rZWIGP3/dTzyRGBkVPR9Phr2UXR3j 4HaJeJNLEBaOiFF8RN6+wExCDuk6g4Th1W66gD+R/HJwIrfb6Afr4Sp2Xh9QEdSS9IUn1YBbfzo5 wnh9IlaVIY3edq++5q63v+kyoxI3cKkLQFYC3gT28o1KzoTRbeyK/FaOMcfsk1fPRq2dF3C8b/Cm Zgz7FYAMRQQ+LyRCcAw9Lm2KOLsHbdWQS1nUDlSNt1idZOFi05iQAT4+jfpfiZNB1eSxAKNagI8M lB1OKrWLascV8GmbtBDive85Naai+PsHdHLtvQOm+WYtOnw3etxKvlKu1Bh7roIaPNFN1aev26OO uq+gGDiifIWEg2D5q0rGqWZ+LDyw5MYAwhAeJm6NT/adrry8wu1+E+YmP7/SecIPYwvBiG1ljKiz KkEOZAKpZdr8s839wWYl+c0Jwiz6DqfniJ24l7fyykBMeWCbNbKf8Rzt/ZREX9TbthlDDj/T5waP vqualPgSwbXNZfDJcRqJcZFAeEx27WiMY1bsCVLpOFlHIGSJXJ4OebXETScpkusDQftiS0wgWF9P 1FXBd+2Y3xWT2fTmgyPI9fw5RTKTPAgqnJUIpjKV17d1ugo2p7cMdq6rJQieEgFYBNaaqts8y9aI sgN2ZLkmF6QOF7sk3Qjq2IswGrJDQbZV/tbfWyOMPmN9wLxNwvjIrfUiW4bgJ9YgGrBTPlN8KYkt Une0RPJ01jTP9gBmq9iJov3FrjmC/NboJR0NmnBG2ErY0BsJAIQ9zQNTmt7T45Hp71sMyLaNrqt1 g8QkXVKrPWJYGGFgchceC6WAB1ivHaD8Sozah4uW8DKqtSyE9nTUZO6+6IrrXodVEciaM10+Etnu Z/jCRRHrKepOeE++Ap7DqV7AC9wn847hAMEw3EU70HizVcWdHRuv4jla15k/lEO+PvCrxhT4VceV C5yqWnIxlTGIZ9Hvic8s/HHfTxw97R2ZyqdFxA3rRkBQm1RMRij/WO3t9T7msOqKTQ04JOjvahj8 7vUcn+itEEUF0o15QAjcuBlTMmO5GvbQuC8vh1IppcZqe03zVGGeLLXbp3y22kL/udV9utiSU/OW t4EEQN5l5Lwfng+iDRouYnjuL9T01t1PufVP64tKaJrdaTTTH9zuvCjJ9HotKr+EXGikYGJ+fE2d eJTjE5yU8wGDsf2Gd8goI0FFsyJPbpv+FdaV/zqL3A+6kxCWRiIx1wlbhQ/GBR5A5KPF1UDn9jJs dBiFnAnlrY0Yi3lIDyQbssLD+NXvHKf4JiZtbMmUQzax0TIRAa36cB3AyoC3O+rX7E2pM19ZqRL2 PihENYoxCkiArmb9LfMsJ1HXbuJ8HUqOCQ7J4iCFlUIu7DxrmvyxbOGiI5qbSgbabR7BlGh8U/6G +I6mEb0Cl/vD1+5F3YhS9nc/WzInXm3R7WfNNgiyER9jD7IcgO1PqbaLqhCTo9bE3wwqx84xFLN1 M0cEpdTIWrFXISGX3tyMOzJIVW9rOy8V+kGUTSFz0CljtXi7AkTqIfjW/ieNRoRFzEDEkJEfpy+o Gc9nmqcTYBGwCeGosNBcPQcn/QDLwi1VfhP189qNFpQBXvn8mqWBJNypHVay4myV0jWJXgPC4KfA PIyoueAWiMbd6GUHUQreFwkcwjIe738xjnjK4s/CJSKP7OKDOJDFivtgFDbsi8kBRhBCrR7T20/B hM1tsGZyfiSCkIT3oqh88GIBdN9RWFl/24z9vDlmZk9TXxnUmyhYArVqCL7GwtjrjYeuuLsaAjYY rXyo4VfXnr5CRHk7u5rBgWWvfL9s/DiiVjW5DLUTP4dkOWRrKZP4f79LX7vhHGUiKgJ6GVYOvFe+ Uj7656m1rLzFhK8Y6BbXKjaPR+cWxr5tk9P4vmtmiDcxdOIVBxjdiSbvcUBgDy5iZbQ/WidKCBPZ zoWEV8nIT2wA/hOyG4+dMEWvFYbIOAEx4Y/wJ5G/5u8vjwdA4VLrQSKaqWOpborNnpy8TPbrn9CU AHaBDtHe67nG1X3aa1ccexIwzCGqOIfc2Atiz5hcOf0g5g6m9PbKRjeoE5RK30n5nfnRJ0oGg/WS ekr7wZrJELojZaLzdyQTIRwFD38aOBOQ3OFA0I9TT8uuEyiGebrS5aHEQwifyltYfVf/ZmPbmd9E J9d6KCtkW2pWiiZc0DhcVDtfcxGM256dFujP2N4LJvDfCJp+ey2I/IkqonCUDKFpupqUKkSsX+oc e86D8RKNknp71LKlW0nw+o+IW7hwZ61N7mhmH3q0hyGdFMQf6VbOv8fuw8wquQUUfTag3kQ5VGEq PiSUY8E9g8UBm+6hJmvkFD24VEV9zDsoHoInVrorQU4aKjuXPjCGv+rYKb1qOCjFgwpZpbpAyezm RtAXZKzpB0J2xaWFJZBwkUKiNklNi5EGQ4OfzDzMvZgWMKsCEevFyx776YYXQI+h+L+FHWK/Evvx uwD56jyy3kiGK5tg+mP2/eqOAGcsLdeSYvsR/aALY9ZR46gaYk9iwvqWEaNmshCR99d0mXw9DyKV FKzeg9ziVDBkpJI+TsfsUohiQpoRTPM3FpmvY5ajt2wlWTzaY1cjHDezS50PQ56ByhZ8GtxtUeYG nKYp9lDKTRh4x+aVwUXxyKCxLRH8TqUWNnJOyjhlODvBvHzJ0cmtFjVcqA8pwJGK1q7WMEVVURzh OxDx75JGM23bEpuRVEKiXMjB+aRSOm8TiZNkC2r22NpBCF0jlhqMJuyOKrIDAUS4Sf9l+zj+5C+a qR5JyaixZnpjpb6+I23cWQuwuQIuE0HSHzSnEQ5Tf+7vhVFbWuy4eOEqQ9cVAm8Jely+lt+0pT+V KnyOS/wf8pLHrnmYuLDRb1APwjkLD9LXXEPiazh2zKrSX1qkTQ004V25kB4S4OaH3qqr3q90iS3H 0P7uPV0dlqQ88Z5LOl6CUjWZRmRAVCfkbtc2nvF6D+iqtxzTUX46uB8Qby3keeV1F7UHbgpTds/n op/R6pEMCrJZr085vqGt4ryzvdXkz52UkGGxrtGAhuX8pt6Dm5xy9JYRiq6YlKAA4aPZLXf7BQzW y9xdqUAO7FNzOPqqFONbQWZm9ylPZqPGrMII/SbnRuhrXbGMP2XzpIYnJ3EZ6R1iHarkaBgS6nTe eiuKIr9IMH+e+JSGA8vi1JO9DVwAv8uSlM78EVi7CYWf5z/NTQ8rAjzmSLkVschSWNbHUYikt+ME c+Vkq2TdzCOqLt1XhWZkOX4VM6qyRd8ZwdMb15LsyFBTQtvDVrTgHm5vkgBb8grZbIvhnoxRVeZx fvActoKtqTe++L2Qnyoe5Og32d0z+xCAVTSzfYGEN++JTk+Q538nybk+oMf7JyROY0IR1w+E3985 pJ/qerojrXPIF99nwbMABpSN+4uoNU82icpJzrHBxZ4kX7L0Sdjz3XveWfZkYjqrTSlA4MsgOJLJ 0d8ApRF5frF+MBhXQQeOXC+utn8VsWeEmpLz0QXN4ZJGM9TPEynTmAhDDEij+tsCQ+ziv358mN4m j5vEksqH8COnPvtIAGFOGZfa/cLfbk2oMYUI1StgYO5Oiqtovb8n5CzCEWW6TNnZgEWcQtp+hkLI ki0Jddk5B2g7IJ7vviSWS1RMDeZtc1+ciGW1owpiQ6NcS3pfjtkYsyQe/SPAQx2YGJQbUjNsYUHc bNHyIxCw3af9LGbfNF4x2uEQwcuX6HNX3p+OkSECLb70Oq6QYjfVPDEEQoURTg8wkUGr/bNKSER3 nO5DDydfUkqbAyJxlM2kbozoJiIx4QvD9eI8mKfPKT/xF2mODTlEjE74pq5vnHjQs/+3VcCajF3G JqGggPKChHsCvXKbWazdUjFHG0gNUvMe5VRszsqEeraqewFKiv0de7tOVHbj4saFmtSp4XhGRVyK QzofJ6mTqsXMhwSFLlfgrcKa4eclfbCfRu8MzgWl6I/NCcstC49K2S0Pr9528z1LnfldYGNe2JFG 6G4p5aqXG/1PBporz1b0pDDFNX9F1nSHMW/uW0A0ISdrm7qDZvwNHhX9g0G2Kgzhd12CCBFpJf19 Kn6Vn0yV6sF/lI9jkzvxic+K17mihpqpqFyz8HPCN6E7tDEln7GBb/CQXkUI7cMvo0kZMiZdXwtt /E6Ob6zlFiFw1HjUl5Z/ozEAb+TRZm9uRCJwn/69XPftP2phzK7rrWrLu130xIh3vqA6ejs/rjsd IXLzaY8eHc4RlW4u0n77fbilU2FA8QKN1UCElZBzBgmoNf/11lqqRprI9UuunzpFI2lAnQKZQGjY y1WIK0235ajkxo/WPTrjny8yFegNT8+lOXKi3P2RiBmuY6kmxZok/gr7K8pjiy8F62iskNWd591J RwoIvAwRAsJr9sJwHmDd2W9ezhTs3Uu2hVbTZ66MgwdRlGjWS9Cj3idabfPak018Zbg+rISgARPm TtLV9S79xJCdrQATzRN5OidvY0QohrT+z0OeVGxgFiI8qrDfvfritvnGjLLuluPsmNuGamuC924c srstey//zTBQ/mQp29nuDoEYGibLlf8wDMtPQRFyFDqA1eqif5qJVAWavdLjKfAHeJSqRaNOiE0n tz7Mnq6MHzvzUVmvjVAj+QvXuoW7lqKpOvMGP6UDPuSTgcOGE7NZ4tBBoO79ezY4YmwXWWO2mRvN M5EPmmUbCNs4F7gjccrGsYUwGme73wQZE/EOTcIYS3Lj8V3yB38YIYN1h4vSauYqdQ6BQdlEB+EC IMqxiJ9MIbhCRWXcrp33wNk00usECQ2yRmKqCMdAklylGIo7rGPFUiJ49STuszTg1jAh3VfJ7Za/ eJsmanKcvIn2NHzNj5hachtu/085iDr7et3iq3LfubL6VeoTK+NsqkCeoeYbpuA4fWKXoXs49Kql L1G+KEuse5m2u6bSRqkmPI8W6deGDiqylRJG90rvGEqEX/5Sdpwb/OEsSifIrMODkd9tpwglExoj +MdqxnHA7ULJG3n8F7S7vAa8Oxk0mZia9qM879zSUTP9caZ4JoAbcIjyLY8ai1EAaetN6UL0UJpy aB9dRAy7HOJlZqNLc/VmV8qjOQBL8WDfg9Oy1D+ehE4o8CjqUd2PqlNWMxbuQJ0d/lHR9slsJiIg 4h5ZiiroCKW9Zan7zJfMYhoeg45DewQw9P8tJBxW0u0LL+ePoCmAfVvaHaE1ChJNGesp0JnneDwh evK1EYlXW+g5NW7bhxr6HKCgvMYr53bsTBf8O58u0gglwk18zQ4FOS+xasPT+T35VcGIEjD2kvzC kv9Sz4+LofD9ClwCS+dkoUY75bb4mlT2gaH7ehJShjOgjHHcMA/UOqmcRC0xSOQuQ9Fc7ehxsSja TfEZTeSHLEgkfG1WEyZC0ZLT4tD4YB/ElO/nHtqht5l2VdvC6hkiwzo6P41HCxzyyzi4fyyJzi48 vqhWRAVZ03PXTmRT1uCY45SepCHotnWLqQGiWNw/P3u3Sf43vbVTXOvGOz0UODZvnW5vKJRYI63m +Gv56oHxoBlk5kJosQB0WwetOkKp5XHXvhF+oH7IbYmOqN/hcq6q+lfV2EHX5fj2CFTA13UhkcyY ZdtB3OM0FMY7NF+iSFoPs+qSZ/9BEqWB9WK5SGLE5X1WfPqZl/btBg3obb0LNim7Sl6fnFq6O3M4 hYrdkUFn9pYyjx6Iumo+YEALlb9+0uQulvH2VMXB3RHrNOAGLc+G9IkTvVzSmcFnq8/nGs/a8F4L aJBWmmbjl+TUtMF4JWaGBdL1ftrEu/uILUPwYTlmy3oKi7a4ZrCpzvJA+TCyt292uQq97pljUfT6 jpIlgTWir+ETgY3x+N2lpXgWx/Ai61Y9/k2hvpGgwgf8f2UKPsObJpbUHI7JELoETVpYI2TVnRpj re89EkGvOXIrhDN1ZhTCZsfFFN84rrrym2adgIV0v711EI8+6P4A8Q3loF2DAuCplOJDf+5B0YmJ MrQbH4mzKqlVk9zurLdhPSTFWrEZgHribVBXMlngaNm6gCZ/Ol15AUBZAGzFNTUdz7xuhN6guZkk Oz/ZIx9iUun86d0qjMxONewSfSvfsl06nAtYAzlTQh1cpSX5JPFh+63Ce2rtjDuT9cRoBFDNiKjV tCtvYmFokaNS/B881+AEOnSfIvUlTiA5YTGownJrZ2c3JQgBkawpe2UXpH7Y0IsPC6XUHNPQa+Bl h1ltSTI16lXAUlR/jcuI01juo6ucPiJDAJ4vOOERJ9USPm92K9eU4Cew2AOOiHpGftXm2MopH/kx FiryGdEEsaK2BASN4qhD7rFqRxnlDxAdYG92f/4xAogBBh3SZJTGCA4oLwHQ61Ejg5NFmVYg+HZL 3yBfSELwVwDdiYqebSiUWDuOyoPKDUKuEqsEEcYpZ2Olz4qqT57nF3fVLRd3pvUX8ShfcajRfgUx ijSYg7kEZLMSCig+QcJ53iUJROeljVqPc0ntzRu80U+7eZmogMYvXK2juRgjfBfaKne4vj2+sYGH WEJ2T3tHrU1oHqNc6w8GFEJnUI+1kQvHln/ZRmDoxGUQQxc6gWBratHgk2pPDvgm60M8ldvNif1m DSf8wS+GVazuVrQzh5xRd42g0BXFS4+CymN+AAie8HlkWueYXqdIbXVkMQ1zb87riNO9+6cp97U6 XdTFUYkO6ArzsJqL6OiAyfWasuzPbxe2DqknJNeMZG25xwet1/E02V7QUjzgHIBRjPR2Rv1SVX+0 qzbHjqpkcV5luaIkczWPWGCjRO2OJWdt12Wu2w+reTlhrzlbqif8yqxgnlqav0ces9RF81tLH/ws kYv8uHAV7OuRQ6XBh0ZjAs+WjVZdeqd955PJY9q/Yz0lTcB7LlYC/w9V/hXZnnCX+UCY9eQ/OkDF m3AeJoEz94hQo6+JB1LdIlOjTV9M6fJMMDR+RVmaGBRcJr1TeqtNY4FhLhgO1BVvT8/uCMsIX9vY 6fhsR6F3NgDZqpQ0d+Ik5JZDACbH2iUZXNY8defTog2Du5BWOQVtQadDHZWlWaZ5Yo61nZ5OeURO RV6vUfB6IhICnOIoqrLYCS3BM7zmYUezOrbXTxUW5Fr1snCp9YUQSA5z8PEqkOwBtQl4oYd1hvgv cp5XloFKSN2HUtCV5JOfqze57CbeXOh7IpVZmXO/oObK2gakozLfTY6UrtZQTcqEzBi3WTyjH93s c9FHOCMA86j8EO+NX46HpJW0sfqIqGI/F4nQxiRCbRGdYdaiJW0qIzS8gPruOd5pygnLr1+aS7OB jG66+BJ+ApNr5EPW2DhqkKysJ411EsNx8Yv0srd/okcxcGOq+6bws6EezgAHjQn9grm1Pnj/f7jF LNjZysQ7Ai6E7xJDZ/a7pwqyyO0yr5HpoP+vReEYXYeOARllRfj5bhm8Mnd96LMg/H6yh5/Ivo9T arQeGPnwactebFuNjkfEEoVJa0m2bP6MUq6AuDlsvQ8dw9JwlmdfR4m0/2KVzW8gSNt2ft+o9dsz 5IZLvfaTVo35LFvIee8r+RPc9J2sArtR60P6Q3S86pHBZIQK5IriNwp8N6lhUx2ixlVG3D7a0dra xNZdYUqx0JphnNobaGsq4a4ehtTZ87DZRohtEqobnlN1jijkL2+I/kusa1htQXP9Sz3nE33qgTza y4Zs4VP4wAHfyQgt7wXVY62XQEmeT1u/ivfG9YLe7dUEEQ2HqL5V9OY1TvUMbvswWacjh6DgbXLP WAgviS1o9v5RhVZU+GYEopH8cHl8vvhmt7b57CUdMjIyr/h3iifkS3NDv089lfx3WueqQrNWnRGT UsPvPb+++OBq89vq8WP+DgbqCbobxIGnJ0aj7fkvlI9eSTq92B+YRGofzlndEqnPOxTD7JnHVZiP MTZJNX9m2O+c9VmNn7ILPbp6GrvBjbz38+AFJJeW0EJ8o33SBOTY+K7Po0Ml3/BOoJ3lhgJ1Bdjn hX6hPUWvVOYoTOkOfkFncY0yusS3GnrOCyAjQcx4Tj62dYQPzRXszpIXAxRycmlnVwaJtDk0WuKh /lGF4hNEz1CLA4rTJgSMG0GfJMgYJsetI0n4tEyk5Y+3RbwUP1r1la4W1noF3wEkA35gbFQYu0il 6baunfRSALe0rn1OZPVDiGW4OvP/ijA6ResbOF30tif37OMbTdi2coOt/ZKfjHZdM4GcyNnlpD5H V+ayKJnE5ozzpFGP849ApYdOFzBOubvPS6LGaKiC1Cb5IDAc3smSQP6lCqYialf6Zo1tHLcFXgex 7Grh+/SG5Dzzu3nSNYh70ri2nU69D6Fkv6Knij6LHjyerdnhCnxRHhsuIgtArc4+xmFgk5gmI1VJ +BOHswMT/4kCaVipSiIn+P3IUJ96P3pNjdgqZD/uqvzAX9LxwQsA269Wk5BSiEID4hE8cOsKrByo Ksz0H9qvE7rbSbujNNO6KBOa5glriqGJl/x2+FC8d0NqLaMP2J5TGE1ceWxtspMMMKllQAtjq2OA lftK8XiT6o1WjCF6Ow+6XT8fcwY6WHbL4UuZZR6Jhf3kwbATjVYGb/HRscYPNwrg6bJyuKGKSXwJ EWhJ9eiBFvSTdTsdmEO2sx5Guep+m2ASsbKUsx74jCxDAk5WWZR6weNOBvOrtllq8KjlKnx3Yc3n t1ttdo2ipqatDXcoTPfcawCURLpMMbkx9D5wpqv5Ifh+svSKmz2BC39EcVaq5vGJWTYOEeCEF8Z3 hgUCGHF2te/tUFTiIVa198Adq9Rv5DdHOZKej11QJ1rGjudwAslCB6IoJa1rTZd3IdPZbfmtI1C1 pBQFs85nkc5DOKmi5irZHrnhgxWL3xegu+aEBA+lPfk+iqZgTI3GfanQ2h3Rg8MMVX0usmBV0HRG XrsyO7Ab97Kpu00/zv/nHBj1JL1RbP6Au1FI5BGFv2dr07HZVgYf2TCZlyJ1vx3cdKJ+RV2oFNxB rPQ/6EuJsyeH6DwVfmjYEUc620365PkM8wlatmQ0UvvIdldZR9hSvUUsGHqmDxX/9x8G//OTRjh/ w4t1acE9/stXCfkbO01BNo9q2BaQPlCLW9pkFp6em40f9cOW9EOxyGFRsaINd97LGxTJUBowRBwH 8DhicZ46IgMB8vF0XT6lZ5QyGXIC13BSP/6aWM5/IN1E9uCg2Rb7DLw1ec8bc6Ece3UkuCNX6TpN oEVW/b+tNXkszD4sk+W5jW/NsVAyrp6wjVFDo5t/DXwd9sbF1U1oaL3G9xjKtQwttU4Dk+cBgaKo Y8cvUkhYGJk+1fkgs1GYjf5fGbSfx78neFO2TTb2N3UrfU9/WKBHsMtDdLYemdCBmH2nWO0eqo/z jRhS2P0fw74R/EGlZTD5l5B66lfPlyFKCNLmkzsAkqfV6N+QAY9rjMbulmyRcqvreqAfdb//LbHU 9p3iH3n+uCQA4zxhpbHW0IkYd3erZ76BtPB+hKVTYHzDtUPBjp1Gv8KzgT6w7fSs1pLg26AKGtgX FQ29EtfHF4XjeI8v8CUS1GmX6fZTMvzSca8BNup2xuNXb4uwECrKgzafqA4x9Iyo8Yq2QLspQtHl IpUEXT0po6maNcFmJwrN91UmN3Hk/zrEAEhPwUL7ffC0LWHhdM7yNHFczcQKeA0pxJENAOBfJ2Xt ZE75247v5vF8gSkrHOxm8wLim5smM3Stv9qCnd/5cx2XAVaeFJO4+uZynB2jTdvzyXOFLbX/WmKU NFFEfdLBYZREqGluqO/cdqh3fOqKBFAa52NcqiHeWlCnteqQMogEJo7v0r4UopcZkng+3vBYLWhI k9lunj3IDO6ht+5h1mtK5mLxvpUgz+wPtdQlF9Bx2YlVq3NwMNx7SsDMe+9VH3FwsduPiOFvrr3f nbEJzrhBRyk9womzBSppztYBhaTNePyRwXeAAb+VD1gBrYzmNFlNzvEPnnHZZPTKo5XMHv2l+92w 7qnNuihYFzkSJprwOhJH1u/6pl7G+WE5w2N5bRnqLIvToOY20I5FSHUXrFhc6cG1suPd5tsN4yFl 2QDVj70lVP3TN11KJ0FS57qS4FIcsJeO/HbbHc41CVVmSBn6JeLUF/tyUHREO++ERCNilFNipUlA r9Zjf/E5hzOLIxpZC6tBAxsf7GTaLux0sGj82/ZnDv9UcE1NP1URFr7iu4PwXVky3QdCVcp83CY1 Yx3JRBMLqhOvQlGntm/XNruPEAr8+/8RmKw082d1o4zJlRacKwcF77kFAy3k7wATGRWg5SsWFpex r47CzgZ/HgaNt5tA4fiQ2/4EU7Lre1gtTszCNeyhppKVwpgpNjSvEBKHyuX0qEWT7B1EJeOgA3jK i+aVJM5gWg4H2rtUEWeL6uto+a5ADkXmD2qFs/e7wCEPDp0fcZqwxc+sJeEb8kswVM3YszjPaPVF UQc0O/glWwwrLSm/QM957bdmapsCkaVeBz3a6AJMgYcWWg4cgSTbDshofNoDjBTX7lc9TM6kHWEA /Is9+pfX+N2nEvOeL57BBf6tQfZ/BpshHInDUv0lRYuw5W9oR618WNLyCUYbGUUfRKx6jtr3y5Q5 m5qfT/RtTzQkgrdaszGmhs2vg9D4RpFwvq5kP2Uwf9fXdrxfh+nslKJtsp8ehDWoyBgtg4/tFFlI 0hkHWHNtqtSOXrdFtiD5uYd1c0pK+pKVil8O7t7ibO2IMqICw7BfTXaDWvahTi+ZKZbA94/zR8Fx OliaXFFDExEnaz/hSYIcqhYg21vg54p778X7dKnnUVhGdhNEn0tgCYC3/Ir21GvD7udYoDDq+oLh zB8J9orztC+SULJdfi6oUosib72wbKjIWqwHcVWXJGjZBpuKElrUjDHkow2UEzAl3RaLLWpMD8+y Ugf43nOK4nhCVvCBcVo5o8/Q/dhgSwuPam+Db4bE3qf419Xf5Aq6hGzZ3Ylep16xGVOGm7yTF6tE JRNwHdt5WnrV2/kVedLyEOiOJHdhLVylFKAbI7vJ095OYWXuekEc4hB2sUxqGc2KUAMt8GPtCNBR MqMRZ+/JkrbmxPonKJ0un0Q9t9ebKvOU+L+k9CStdGre2aZ3vc3HN17EGa67rlqG2DcuGhwSuE+B w/6aEXCm0/Ad8SFGeeEcH21Bwc/G7xcKeYu/9ZEzCPMtjihd5VYO8ead+ZGuf2g2r3C+jkN9YLju ljH51aSHGklkwjcxefxF0iuG87soglxK7uzESE6tcxsrpTsV51WSXYMlOrtXla6UAUwA9VP92LZ6 taTcVrt/yhlh7EDeF06Q2p/ZUiiZpXJwX94WliyR9kCKDK23IPhKAI46b0kQO3sTKPPOMRYNMZMG Kln/CcsYA8fbGImjjdXgGCgU55TvUr/qAn9sdly6kdu0XLtxySV8j+TCLLeMENrH9GysFWna1EYZ JfJWs8gvEuzsusx31ys/6JwsqDZYBt8eq15dc580Q9xzJGP70BLDvo/jTFzCko54rlGL0HUJLEPH 1nqYDtqt9SQorQYXMJFB16cCNTwt+OjTGI+bB3GLN9wiAYUQRPWT2xirxMN7TFmSxjBznpmBx55F txPNODkw+q5dTQ0u0Vg1+lg4yoavaop06gvj5AuraruUo72dX9R5kXzQyOkGx+vK6OYQUnYjFaVW W7FDgoTFdvcQcVVNQlX04vk0TEWUYK9lqp19Li29/G9z+pHD1rgSkbGnn0hn0oeYsFueiLvFtN7c 2QseD++aSx//y0MtxdPZ8d/HzwXqzMP/HJiJw5UXXaTJqXD6FlGnpjl5k+VXE4yodW/9qrfkC2gk 036Yp4fKj+d/pFumiQlD3dBOIDoxTzYiJ86Q9SWvUl05U4RPL0eU7beYgMSXcCaJrnKasarNdrnh 8a4SXJ2dqxFTBCMfmmX9L1ggGD6UWPgi6xFP3u4+O5CWTdlq+b55ZimsxfmW6v0O69yif6/jIQf9 Dzjmlqpzf6U7YCGgO30BpCFwYEafF1ppwAuKzi3nhbX00rGXW0VSgcI5e8aeQN87mOUm2EJOQteg upCix1+BWSGcAhP9iPZR3oC0ESat37SeaBRsOr8B83xDSAsFdsfKdwLvWXsJgMv9eJ2DRRdvHn1u sEFGYoNYMAlXkWME/4SkGXLs1G3pjk1Boo1T52yIo4pysMkMtALc6gzT5/rUOMexpunsd8MBL9fG Q8yWJoPiyDTYMN7isOFNhnreQLFG1OvhbrQhQO6Fm7Baa9MiH2ATteFkgx6kiQlxh5N3gFDCNZjl f0NiONqOUXNzv5J3UIiUL07ilTdC4+vWWnQArnvLDMrA2MpiXC7k/R2utM0y7vKsYBXLQKTpxRLS Jv3U9eY09QEibBKVPvh7dfU8i8xe4KTiOjGxN9A1AYhqH8esWyfmoNcPs4hxuCWw3i3KPdC+BNji HZfQX632VhBTWfSe3enIpGja6PU2coWQVQ60N6H4we0UP3aiuJtoYaMz7Sp9m4iqnz78Q+z5rM3f 5ZbMqYAQvrZJtUsQCrImKvuzs+hvqDgtgQphqQRnl9bJTZ8itDACwyhy/oJfWrdB10UUbRLnrKhw JBy/Cl9lXFO1KGgpho6MgW2miYCyVBwzy+pF2hE10zvoeNVRMwILwaGEwzdIXTkagtWFVD3/akrp skkX2wCDW4QlWrZ12mL1AAtKG5eXCB/qgA2IXtVJnMOmjZVV/TFvSqaTfAAgqn8Qf5iyN+M0O9uw P+nnOF00ymXv6zzfQqrHc6cVOtYDlbX7Mgz0CHFCgIaRdYsjbNauOvWzIbNksHb4XbEarYJILNA8 Em1e2ijvjWfDJ2yALHw1IhnoAhbDf6iQXi7d94QmLIhKHVAEvQH3Ih0dRMMcRav8HKzNMmi8Y27V IQNoO+rOY9uDR86h4mNbeGkecLQWX5HraRkskNB4ae785nzQb7DcJ50UU5y/9EqJ3F8qzDxlMknO uI0sZZ7wpdkXKf7ZuWKleSKnNGj4/3yxEYkmstqkStnOmkjX/dHL8PpqdpPqUBdf/UFZT1fSdqxh sTkTm1gxrtbCCZDcVG5ut7FqaXhfa9/sHbVHqQQ1Y+0KOzuKy59j5aEkHIC0iP9i/vfS2A0PNRAh QZHnWRJoozSOXp/zwUg7a4GCkmb9mje2pQ+fewvRUGAtM93H6muXSVYX9kzTE4QZOxNSk3xZPz5F fNcCPQAcBeEtCGPLp394/bhJSrGP1eWcY1mlH0bY8iN7QH707J2kGMydeEfE4imEa6r2/NgHlxEp diYvO7em/4d1iZL53hQTKIO9esg94Y45SFwhH3YiMZ+t5b9CmT136jl7NreA63AbGNlHecPTJu6L 2AxejYc2iIUld2w80cjXeAaoEYO+ZoGUmI7La1LF0BOK0Q184pzXj1gBuepBAZO/Y/elCJ7VcLf4 d55sMQm4AP/Xj3wMVERAuLZDlswR+IRFKNMrmL992A7eTJy8cD7UFG1S152qZ6a846gxY21/l7V+ mZJYcXUQnzSIPxliWmhHnfSZ5qC+WWOgPepgRmHcpkyPjtRV9p3l/jNP/PVeC9xSAH2g9XeXeG7I rCeQOSEl6ihWJZmsT45yS56/2zh/m/RJeOKYdMO4ZAxJAwhMBjPrOPOW0LmHAVerNecenIvVSgEm U+6WU/wtReL4iSN+BMUpoKraLMxxbMXd7RkIbop4JkHGWbGqDkzrCaZmAywXAsRLC0ehzj+LUDFn FG8lDZmQ5Ju/LH3my7CN/LYE79DN3htdDUMWv9Fu0TEsiozlVurIWNlDyayCZAE3+n+5PTr8DgsF nh5fK20UOw+tTfchynqPV3uZt7ZXaQwUoEG3s8eRSbuuiI5UeQC8gPnfCx4pEyRvutIOu5hIj9ei FfYcFaMvorbd+FTdM39+IIDstYS5RtQPSiiumFUmhd47auvNNYShFrL0f2j4pseo6IaNd5AS6kAA aFqA2cnfbR/ySYc9VLwZY8TF1+Zb1ppd66zxaDFA3qpo2NiMFZwrOiebvQWsGrVTDVZ82v7VA7PP 9m0i9fbFbTcOyoFSfqNGtnhNopRXKbUcG80n5SM5P3GYEIgodEJT0n/8uspJ3hiKxWgjGFZCZqKG LA2jTd0i9u+lVv3YFsvu+NI+8ZHkBajI4Rxwv0jSuoGVofcyNvfsyMH4aj1Ce/ED4X5nsnXlpozU in/Xz5tNDQpincK+sldFKsm5JLfdbPBbNw8JoOH/eXb6hkoi1L/pOalwjfS2IV3psjwhPqWOlaax GfJUY0nBHfAzze8qLI6K65ZnS8sLz+f4Gh9nWJ4Cx0l/rJroOJpq2LgVwlWjY966Tn8dczjv/zOe wG0bngcccSldocTV13zxlT/jg0LrJwZ3ow80ApE6oyQGqBrH8ZER5eCEctRsoZ0hRNaVEZ3WLP5t 3gRdKCAS6M33I3kONH4PTxA8ANeZAIHvihrOqD4cysXza24ODPu64It/M+ZiT99r1F1fFUCfQdG8 4AnHUHj5qYYWN+XuCNdRiPERChwkNf63UwGlqTJ2YNdLIPg4mzSzjIxsj/9ABNpbCuKUrqjQEJ0D rTFev8Psda3vvZyfmpET9Bs+S1f6dxLQCPKBAovOIq718WSDBKcdfa+nkjXSL8IOK9rNF2k2XpME DqzO2726z0oPEZyF8ziVLKgLrQfESNW14fgN5m/uU+bUaGNHfJJUOyz8sSQW13KF5bmY16pc6+cD YJB2Jo87DTfHy1ap4JriyH4bC6dYRha1lhAhR5Im61tax+d0dGy1Hcwl48JY6NmiuZmr4rseMRAV fMZ/Ttu9SnuXDZgIdK6Gxo40kyk9fhOw6+CRZ/Mbxc/mhzoVYCFDwWieVv6Z1JC9X1B7WF6uwX33 1H8afZiYYMM7NsVdcE8r7lHCd44tsQ1OQx/65XCRcIDVq2KV+iGN3vdeLgQp3gp+i5oUvUtuaYJu 7NZZpwQ3ZC6g+tQVB/zD++9zjza4SdgfLyByw4DvMXgUOHqN7/8kwT9XmCbm/Hk/F8dXxQCbsM6k xdLGP/jA3H/jGjFaqa+Bx/7oUYWqfoeStV80AQxKnFQtfXvPVto6HmC0Icq4mZnUB1MmmFvCbjLq jhhR4b6oI7UmA8wjkXnRq0SFh+9mM96+qVrL6cvS0AJFl0v4ZKczrpTHLGPafn66RubfFTbwYr2U mH2DFxLgcuhcRmEDd/SEkOT5/z0NIl5bBfg+3i6nx97esrBYmLndMKV/TNuzqHqsvfb/DEAB5rlv xYIDL1cjLtcnBzOceR6F6BkQZ9BfZc2bGDTm7QxhIDTqQihArmV3NqJ3N7tMbeVcVi30kZX6AXkS 6nOWewckPjmdkFDJIKIQr2fqllJ0sNJlv596euUiqVFkSozo306yp9nzXppmYSiBe0ee9BsclNOe I4Dc+wNM5nUpXcT88prquxF4eQFloyfRvwftlfOatUuRaS5u/njmEMedm+ot9SvOL92COXhryfbK AXbdQkcDOWzISd0+1MrX+aocJ6kBDlXOjhvm3vGKT2xYnZUNwhWbAg+xB12SVwG4AeIxcMvmaFh3 lPq4q+S/Frq1hHU9LscV60MGIR0wSiLQZJ9eslG1q70bSYv4xR+KDMk4jOMjL/q1M1sJhKmKDhcN Wg5p+aOgTG7OeN7BGddfcSRzKC4FygcRvooiCH2dStWdcZX+sLzUJXd7ALHWJsVxBMAVANvW2OFy eHZiixB2aDYHULu1Z3KzODnjJQ7cdnB2SqTkoP2F7YiJBh/fbt7AW3H/z3xTMP+W0qFdnmf4+AIT H2Vlc/Hydv7r6CsG2HezT0ZxYr3in3Ae2b2N39aG2toLShHacUtUpJq5lkWD8z1ayUB0hiIm0Jka 1Fq6fDqKXLmvUG8RGFA30K5uyRea2aCN3WKLiRxusErf9oUm/BIl1IvdYBToTgEaLqFRunJ9Gwm1 UqVhwmoL2K2v5nsIiH66zXfkHCbrENJPCkXQP4bJQVYw3yOe1lq4wRXfNTGee8e9PY68SyahLLcR 8u4UDkNVLF5yLbmxkRTb4Y2BGeVR1Y89Xf2UIgoafv4+xpjKuJ/mZE3DvsSO/lEhAK+4PaP6gH4j wqsKfhG898BD4Dls2Vd/zuXrnhpJc4srrttHmOIQlr3cmTCLf7xa/qNf6FYMFBXfipXC0vjeYLRw wotB3/n8hDwXIcK2dWfYOomhjGJo2n4ozOvQeF/q10RG2nYIQhxGmn71q2x1jEfk/XsNod8vr2SS N1AesFlLqMbiwmRRZJ2kQtQZesVa80JZd0pz8xNssbwkxnPGY/KYmxmmIzbtNYqMx2LgXJPF7s5J qF1egXHPJgpkq1tOo0p8z5c+0jft+6aMiCayQen2RUrycycDERImaWZeGECoE5tLaWvuKVrzT34N rVebWeHfEw2K5CQFifrBtBVywJDlshKNfSlmIJcbA/Us9cks2mxcw0sfK8GRZD1D7bSU9jvXBqyM OHDAFclugjQHoI6+jRSEQiV1o3siiSSaS6ZpJTuwW7wQB2ASQk79SSJ/79TMul5K/7vqHf7rQ7Yg /dc+xqc6eDWOpVc73iARm8dOCWeOtnEX0fBdX4K2wavwovC1JdJDXtPgmawq3lYByX56sAV4swGg BFaHAiiBWRCy1r3m9ukQgqtG5b4YdJ7QNZnVVYndv+wZ6mIgf0SFMYPU98HOOVVMKdnTEs03gaa5 ijsIRJzbJfDsp77IqDr5iSdQrFBLaLuzWaqX1nZattlHf0LebtbD0V8IQs9DJXTFmBo27N0fUJOq 5FuzrYtbXVBmVOGjVicc3lg4VTk2yN7EBnFYT8kU4QDQ+ql77wBHlOYxONAu8PE9mr35hfbO4j0G qceyyEeRDPG3Nx8EdmUR2YO3w14dXSW2PL1WjfTYC1SXFlSYACyHdxzJvjkOjk+ATWbc7GJ+eQBC onDQy6J+m3aTcUCrttf2cFSYTahUGbhKVAJ40cj3TlDF3e4MRj8xM3XcjG9YvI0AoxLLz9TP3Dg+ vJJ/l7XPjZBUoxkxsP1XGD/Hw0jQGWnCCJsnlBZKOqaq1Gzqebd0HAS/LQ+Zmv8L5v7eTgqT6K2k y4wp7d6zbpMO3Bd4fgVxyyeMu1jdDMTwWSBRxFSLdKRGKZLusGTkLPkq70OEjqHeFyIRfA0pJvAx t6alD2dqnt81PCjXzzuXJvCn4ptiF+L5LdbOMvCvAHD+ATBD0RpjQbQBJspDT/fo3W1W9h6ObJMf Nk1slNrWVh0qzA6B6FAGy0hQ/PP3NB7OQfty9FHYxba5tzdVq9sFt/Yuwy9TDXn0Nowq2zm2rLmh Fty+LTxNxJ+PCJhfOQ2rWqs8EYU8akJ7CLkEcB4f7ljHoD9R56dujKmdZQg2Hm2pKfsFpuolM566 nBHdkS/Loaps7/QHsLInUylvM8LUjDAyksyJSpMyOqGYBc++stgiOBTeToCIjSSXevKgrLXoRJ2p 1xU2WG7pXSsl1kS0VH5WzakjCo3sUaPQp7+CUD3iUvfuT9VIux77xece1VN5RRfD0dGLylS/Olp0 6YC9/IpIKEIP7tJnJ2pV0HX/lykxlJLXjjli264f9q4iEonvfiLX79K1c21g3aiLAemUOY3hmZaz 83NXkPvypElJOaP7u61UTRwt6D9+Cayff+lovoVe0Lxc/sQwh1MUUy5E6bYkOi8j24j2U13nXDUT spNDgrYeAymsyrDokvw4AdLmojlZMHXGzu8BQOlkzLAGrrn5SXKjcpSf6ugQOMjQPHXJBRxtLnZr fhJY/48bzWq0iVYGnw9wad+WahtB7Y6w5niFvav9N4sdVjmqiPLGR/61sKkR6pc1PoHZWLCzRddN N/BpKuKB/KhKZEQ37l95Hl2EKwOGbsS6qrJByfGCgbU/zHVKEoBz8NtiOnVL8RTQPyNl0IEd+m55 6dloBVZSgI25D2JAVKu6f71Hd8Oj0g+glNbpGPIKivWyw13BUX307DxtIjnkB24DwjbjGLowJJsD 1CX/xdNzJQNWfVCOaYzatZ3E/pQuEjuY7KxCLc3Z/nWyZcngBGN/bIWLsNzPX990HBmWq8pGNZiL vAAJmeuN3qptYohJNpm9q/y+jXxL51agE0f+q9R7xpflEbCygGRowDkXwawY9JKfW3fT+mk3AblJ Rnhi/Sv9lGMNZqmtuscbiwwV45Acenmm6XI4beQ8uAkL9YGhzfyW6KV7PWnQRg0TzQYi2tIVZx1o P8U/2qp6gouOJQOnzp4dUnSxW2gmbaw1+ML0mqpiG4+wuEZjZerpCE3NMGmmSIqB59rkhEO7CCHD sX6nM+TXpifx9/QwCU2I2cQXmhljEZrQmQ1BRmoNS/4R3nExMX7OTVjSiyl9cmz5zx5W86bg/yLW iLDjOGKj6f8mg2VNebxoKP3PKnd8fYMxdhysEt4HmYiPKeQ7mrp/KGxF/8L7Wztm9KghfSnyk4Sh 6XPyoVv7EHexlqlY2yCgfmdvBaxbqTJ849C+9MGoOh4Xp/Lx3AR3/zODtZ2crfr2DO7CApbZwcTD thn9CvETtS4Z0A2NzDsByF7WRVMgmfJ2vJC8sGMGGdumH3HXSWlZomjEDFSaSYpIy0JsxNWzsVcr Yat7aAeviqGn88t0fTdxh5qQ32eVEWCBxRkjqXy43br4L27gtR3UX5REAZY/PoReaqEaaawzdJm4 9ohp7kioPHklK7FgMRM97BVLHLdNBH4Ws00p9aMKIth+JrsKXjlOnONxFwDalk+HG8JG3153ZCD7 Ad4CFuUfZ7wcA9CYc074gaKLxv+zxePDECbudL1MM7lZ6IsQ9zS5NKUKUgaOMm3yt30066wJrNvI S/giTP9z04UBZwYweiNZLYN/niLullzEK/YwhvFKPySe4yXBMSNmj8RwI+AgMJDZ7ROwvmFOvisX /EVxw5h7AsaF1zKdYfPZ9LKgsK00diGxIHqrF5sbNncKFIxFzmp4MHR6SKIX7yWynCIJGHfPEkfw BpxZT7bChBo3mPIHapkio4v68SevWKB6tOM8JvcB5ELiPVyj1vyqMRMN9Z4/thzdJhPbDCZqTlcC BARfo502NyNSjniyo/rLYXIxRTRoG2KFq+VfGSH8qyJIh2Ok9KmQI/9GDZy7zxlbO2qmGYloTUxq F0bTTDocjfnObNseEN8QhINjEgIucMBf/sfwBRqqz3pF1dfcCtrbljoUNVDahv7UoWLZhyz8zwdY kkX+poh1MJqJtxcWhg1kQWo2z7MGHOBVwZIKGjKG9RZUZC+6+4Gy7X0eQ8IzW97aP0mIxweQ+cy9 fC+LWtIrrgQ5q/L4WWPF/i37PssHeb81IBV0pGNgc47TT5CbvJgmXfR0H2ORON9maJoMpgrgtIcX 4PGVcl4ytPc15jdrQ+JUv2wfczWAsQ4a2yz/ZHW1v4+Lbk0+9DlrvRi3lU4GFvAoSTSTbFfnMWDa zvk9cwG1nqEXtiJNpX1VIZlvcYirU2I0GvAi9Ql1niE3598FPRkr/+OddTKgxN9ubQeTVBpl/CKm 9yyVGAbVbMawPmk4ucTgTe4+8Ua4QeekPDF2GmeWTuG7qX68jQ3bNQg5HbQRt+6UDaDcONf522Mj v/BVKXZ5/dYIW/yod8wo9W1jqQPLEE86ONMrMViHCWWbwmKAW9HJPxAcIrU2Z/x4UnFooYD7xx3W r+IfDPJngxNLAEiCHQ4W3+IPt+cjbjfqqqYbEYZpSm52KEHs+fijPHk8N9ufYNPJF2rBU7/3Zhkt Oh9GD0GsjqK9wBo0xmvbwKjknIgrWIskJxE/ciaP4aPEope7haRFEWcK+W3/3yPR+A1FtjDY5YZb LefFnaxfXn1BXTn3oZRmDK5blpNe/R3sSOSz9RmN0ESI3oHE1SS2TA3LEYGgI88Fh+2+gxsB/z/l ninqiN8RuA3DgjybheFegCIlG66LOEqbIDz+zg49hCzaRh4pcLCYSa8wwo1tgr5R+duQALPM3I1q BVE6aXa57K3XqLqjw6uqrNC+O4nU9s1LSI4S1jVPyXjzJxrOJP5h/55uBvmhSV1Aerqjm3tg8om7 WtlOuAaB6z2V/8REuLR6r0mtvh52URo9A0b1jUEKrRxJ7n2iBr/hdjmSdSb6qRZKSJdJOK/AyBVQ Cxi/G31NKrXTpfh7dbNMaVuQLZIA5hHc0f/jHuChWTGowio0HEFpwlPlBPWe76Cq8Te7vCm8fhru l8JNFi8C2LAQdejUshd8q+no/bHSnCQEY5vxM69V7STiUmJJHsMBg7rMgk6exS7WvzOlCCzPeLQ6 2ADvDvJbIoEyllffIxTxMczvGn6IuMdKZ9Wyd8TVpVh2RAlQbc5ZvNfUvJ/HYJXTtL0ENjwcBJVe h/vX9TpV6jmAqtfo8ApU2m8OLxVjz5NRZQAGkHTmd/wUv+goO2le5Y2FBV+FDQWY0/OHBP6JUA4s nmTscZbxa8RgGWCUMdpA/CaP1CwbDvgtYaVypVG4xsp2/Bi+LInrmekJpeOWCC2TMxw6P87u4XHk xdgjaVlm4EAHXMLrDE+bXbHM0QwAEMj/pTIawl0JVckMEMNoJyMfzPkuMLRlTJ3//b98mgBHfChA NaZXw1D6Vo0xr1Ttnnsz4TroiDopOOOmgu10nNCOObCwcf+yHAMNnObrmXQdQW09Gw4ypC2S1JWB YYNoxKYvNVzItwJUTIKAHrOnmqKslMm3gyWzMick1U54MFrsYWg2u0d6SP1fAZHj+vHQE9S3Mmud wgZkS2+VlmrkuGe++kC3oVsEPjfKAugoTdW1OoMmud5p3zlHP3KJqEq4jnCn3fJqOE4YKlI9grQz VSEUyGjdGee9tjwmYr1U1/DZAevc5faiD/rL3L/LhCRP7JQagl9MBS3JfucujILfysysbVuhNOTV SGM/ugz+y1I/Dm5aoAk3+G7pWos1NP1imSHFlpSCQPqSnLeW5m+rKAr3vpCE2EakMyXSQRs/jLje rdemjkOw8IktUaGyViaVHT3kIdMnw4DmPEym3dsyfD24DSLLSKG6gRlDXqiR5qGP7XADCXhj5Emr LSKIHd7f+QtUa3krUzvZyuJ1S4ic+H10bVrhK5Fty2tGWNAEPvhJTICP2xPPZ8ShryqMh0o+hDD0 BDReYKeIajermumAiclOC0TK5L5yVgBlIRNJ9sF9rDh5vKKf4HF1V027cWDMHGNENLcHQZ6mgj8j FfQ3W7W/6Yzag2p7MGUksHMvRJ4PpM+nWBIswvCWvxKmYjVf/VHQ8CSZ6IwF9CvMZMFSFHh2GOiv R2ckrUe0V0exZhHasZnCxL2vr2egnjtm9kvtQZyAChgd/nahahuw5xI2RklHBIi+oOdk/XceX4LC eubnUHIff1SEKBYErLsGLuceqveADmgeAzg/ANItH+2FOZNv4cJpfyRZ8Wbf6jJ2ZQN6+bx5iiPC AccAmUzilTUaCXOjgdk7PzMDOupY/O5g0CkFRgkIls1fBamoV2PwHkBoRg5gt6sBI13nmXrBt/NE FAvyiXniM22/R5tgOoPd9UjkRSTk2jrR+5TBCNFD6rUrcSznNu+kBzryzAWEBCr2oldW1lY3ELE9 REVrO5ftaOx+i8EGs/HvRENRLCjFya/olOX+u9LggupuCNYdaWEWvhNaYKEtSeBAAmv+DGvU06b2 4sXDFI6/p6fDnENZ8Lgx/awC15Bb3XjX8fuP5zIrCB7jx1eqpfBmlD13GRUHHqnnkh9I2U3HKUdw eAjc7hGloXIIxWrKJjcMELS9G2UFARfRx5am2VqbKXpKa+4MOQUWwo/twtX/+/4G+FngU3/RXpR9 y+cYSt2q6GvQQgkhobQ3B39Lfyi16BPygriW2HbA8OyRoig6lMNWONVi4+jR8XjczKN67sGqXR6Z KgK1jrw/kdToC6kA3+axhtM13veJgkIdwhWYYJLQ6mri1nUe6C7XEcneJhBKe6Sb3d7a95ev2G34 OO2H5H+EVWQ2WRoQus+noFRSsUdenH/LTczLfJiWKDRgdKdunIZOBgHH4J3PzYK+0r4R7hEKzHuN 41p8pGa4mAg0SPqma5dCaf4Nz7n7SyXc0Q5Tjo5A8XV2aNrSFfdNJmCx0zbXC9IS4wbPaxc3Rle2 VU+wZKSqjdK87h5dEAj6SeZpb+B9wSk0TX1RMo6y/ID2sRjk6B/rB8x/tdCNL6sFxQAAvkxmk5RO +fKyAAaFaw9LWb1PJcKh8kiQ1qzMCFVlBcuWsY24dDvE9V++cR4511YZQHSfDQYbSIS2TYd57i8+ tPf1gpssvlA5OdKFENZMHJoLt8VrYgD9cyc+dYGM4CwTmYzTH9+vyi+W0Q/GZEq/mQ/Ug+wgrj/k sUOFK0Gj0RQUpa8500wmW8dfksS3zZjPmBsTiWKRDe9H0Peo/jeOAber12hQk7JMxTISryZJuzxv dHPwRDnq/wV1LCYJfKpBy6DerLiLHwPh1OKeDJ8AJH3ZoCakBxyD/gRfGaXqfcQTLm6/4zx94AOQ fxHzi59Cp+oyNXlz2q80uMayC33+gTG7f38y0K1WUXWhNycmPXhh9B9Hxv59kNm32FVpJONVMQvl 4nvyXUgzAAl3yE+z4dgKJEdCDXGhFJsd/PNFJPIagz2BMwaJ0VG4l4+KyuBeMuHBD7/7bNqidH4O F65Efwmj2yz8q8zAPw12eqEk+7Uaunom0BRwhdzp3VUmpexxN27zRHu1hCzEG1GZ67RWXMKwhJ4W XHbs0j1f7i1WjWc4eTM4GAmKCZXquK3iaObUbMUhhu+qKfxx8CEnoZ0eRY9TnZeegXS1kcOXtUss a/iNO6fBHFDpU5yaoZ4A8YJUc4H7A01JaI5Nzrkh+eCHSyA3gh3cLtHWULgC8gGIHNESofdJzElV p4FwOGebpimdEu0IjZ/zz5x6cp7dp71vIMsdqMtlSKyKD4VrwO8VE4nI6Jt3lLc2HNW6z09Iy3vr nWTcmEjMJX34LKJcBlHOs7dopajw58BZR5UXybQ3fTlR1Wb0d26n5gxYKc5A1SylXCv7TNytlqMi 7o8Bbh/vx9ln2FinAQTtNloaz9G0/5WNBDHuZiuKVBHQFFNuVoDZlRVp54u7DfDIdkIJPkfC285G 4aIN7Mw69SHVc97Gv8iFMPEFSlpRzT9OY3HwjHDcgwVWZrmeHWzmiFg8HTZOJSdmdLxjfPHYnMRr l744cFG2xmwjBtjR4g2tnamXB1aJLjLxSm5g8fJmbGqfalg++2WueHv9Mm7JLuYwZVjJYdd+9I4A wL4nN9+P/7NSi6NTSMg9qzV1lCx7iwXh//abn64TufJi0v7Kuh92JPGqMshSdHDsGRxH5mE/7rdm FsvR9OBCnrd5wFgozSPD5mAIK9zgAPHtXTySC3lIRXMdL1Gr97alnbeV3/5jpjneOyhXBjpFnQG1 skLVQNEnj7TlBct2D+fodN8z7GxALATr05aAL2g04MtJM6hzkOkY4QwRsHo73130dS5HQpFqckOG 86ohdX5Nzqf0Jp81+aWVtE/BY/pVm8JpHHjxzuDLkYciA7PhYz9450N8MwE8+YJev7fZ/zB7LJqo Zi3AnLO0vnnlGf8D555f8o83zw6woj0rvDfkKBMYVcQPfOuiZzoogSENGZiH6V0VUtILLUCqL/CG JrF5v+ZERnBT0A051zgTnxoiMgjmkG2eAn/sjkuLtdBJ8ou9vE/E2n7ebk0y73rQ5EIK4tHIVNak SPLdNQpp+9HjeyaZPwW4OvgJGOC/xRUC1aIYQYfjd/B+04S6BvmCAZejikhYI9oHWG9xMKQGdWgK I2rU4a3/qClaH/7v2I83Z/n33l8/dSmCosk49rG3JSKDVrC242lD7qbb66NRSW79ZszkJCaTsSpp i2iJIRBS+QbMViygFLtuOpJGRqxdRfyOaSp3Nfu+rM3M5iihQzqwefkTx9TXPzma6Th2ltJw69Yv 8ij9NYO4AcDR6i0ov2ZYwZHBW8WMwxkRe3jp6v/MlHkK+hfPyt+sK/KylRpQdKX61iWPVkqcQFf3 FZBsiXbq797DftmVbtgrh6yp6asgmeBp2OIW6o05+jh39yYsjjetC5qYjR11quGuK9AdOBc/0D2q Dc1aLRudI4KfxNy/X2yLZc0NbXz4x3PfvXAD4bQumQ6lMySJXggTNBt27D3GHoVZVPRdPEIk1bnL 6AWClb2aUr13w50s/BwI/+BhwdFEWqYV3zrFBd/cjNvCb8BfvgBo5yaSuyDliQy0V4SwOvfeAMFb 3g33OplQoiSsxOkhzZ6ndIOa7hgoOyJjEKBpPp10TqCC3qNl+IVbgiZ96Qm6Ug3E5g9CJl5+Kdkg pIHrWERvxn+9HUFODxnUu5Js57FKnl/33lKWP/7Pd4j9J8E1LJQdBtlC3FW9yNHgtO108955bMWU V2gqkZte5i/fWT0o6QT6m3pCSgylyfG5KZyTqtWn/yQYxmabzr77TBbfl2fl8JSFz2bQCiNHH33s T20A6nRqPd1GREDMB8MEbXsg39rWq4Ei9RNOJutQQJu1u6pMdRXs7K0xaFwDbvwFKGrtnf3DVfYS rrxiFcyTUaM0xMnFeNvIPmh8EhH9yuF2lTqPZZlEEK/IX/EbYBwSA/entTicvAoZ3dBOVS/AuL/e n5h/TCbA6ytpb17vaj7m3ZtkOxk9MB7Fn9TE6HLqe8os58Pe9XVqsbPy+Q4bjBPHCOHpZdexgHaK q11W4hMzqwF4eOky29GguaE1m7sb3ukjQNC1MsYRqMfwxoL251Gos/Wl4trVRoz+X8v7zR3XxlTU NqZECzJOxtUQPMahl43puNkgXVtCz0xyWEoI9cvnRkERLD4oevMyPe2kbmfHGfgk7ab/FVfp6sr/ iqd/H1z2Mji4Auh2SAzvttl5ZuzJcqHvbqo4EGC5ybhgGo1Qg0R8ugRyCcpL5v7H0R3XN2L25NN/ kGhScMS6VZIC7L6srAYznL9uHdwFF/DTzlJ9pfS+4kDgWH3rexiQKkHIOI1LfFhbZu9OdpS1FzKA MuSEJ7AN4ZkMkyPxo/ItoApunt0wv5TVpnbKxtFJAL8r5nEBrm3yxdUbLB9ObsAmpw/2+lGGHXY3 JBB2g56NatotCVXiQPrlqyHJut/ekOgDEe3kxT64EY9Efe4NOxGK96Mb77kNegKP7kLAaW3uHkS+ skq+/rH44qo37h00dgMlxRGM6JzGjUkUuGMZydqgJ2ohXKXvlkcXlZG5mHAg6TgGH5CInmQPYiQg RUteX5a/0yn8r9dcTfmGJZIMypUKINR0v3nv0NtgRF5kZBWpfmPEIhguFHrtDZHCf04QISvm9Z0C 0/qfOk5RNBDFGLPadbNP8hwmKrb2prCZeG+DSb7yJdrHz6HKlZjZ/ZLe0G07naEK8huK4qMBf3GT wRiNHqP6bCnCDHEl1Mxbup1OhzV0W8bx1mlGUOPNoqgprcBx475IIz9szyh8sDnR3TcZLWv+yIi3 QS5KTQat5q92nqgKhmMEblNi4Qn0gVO+tJFnCKtqLTdEwV7pYbaUfs6f0ZWldKtukz3oklbFKbxI EI4jqXaoYZ5y3QNH1+5ja2tIwHkGz+b1pNqJ2xo+dyokd33X1XynAd7pt9tYtH0+DRLvgSetxFQT KGkw2tIrCrTp2yBIhP9M1txEUTn7HJWWL8DTOnPfwlWssUOcECKixr1BqtHIsAgjHSwWWHD/T6Tg rgEKRaZQ35AupcM4DDYulDh3F2/K9ZmxrOMCnE5VathNfuVYrRhFbI8JutKwtE/6M0Wde6ECqaxZ WvnLHYUEkXZN9rNpxB/kpyI0v4j41wNdq6P5POmMfRoJFNfOlIUYGdwI4+0T1IIhKg8KwTCmlX1f j1Rt9HBG8s/uxUIEa/mJn1fhNA1KnTXQZ8TB0gTaYhPhuKvdIDzsqII0rxvjSPLl3in0/EnkdYEm qhPunNUzt2QpmMS30MmKejCcoDn0LnDyPEGCSEVJ5dgOiQgdagfefMvI4vFlG7gpG74/csN90RLR HtrvGCnRoRe5exs6vEHUEHux7sqUHjVcOsAWYJO5vC3OJKPXRe4UEAzb0lk2Dmp1vCB7S6xsKMZc lJ+9wSPqkrMpD/YRDHcjj154ZsgYFyoMivE/HdkKtc1EZS+AnCTQiudqdOaa96319TNMTED6T9t4 M1LetTFg0f9RA66DT2L2I2RSvRlFca8gLGCJ1vmKwn52PVvytnppJ50AbeYJjk/JpsB4AjhQjpKD 2VdIOCqr1r5JKcSJVbSaCJzJRssKat1FxtcvZOdkhPBeUa2Mbxm5XBkceGS9hYF/9UzQ1RD8Ykpd NKN0mR3m56mj1KLgKSbEybHN2W1pZQ/cGHWJLTIocIDYZUO/AZkpt8zIQI8cC6Y/KuJRcMoiQVGZ 0osmcS6DNvuoVawwag62z8O5bBKRHc58STxTAhPJdbEXGy4bPG33ZIMgy87LgWCSxu5o1kx8lJk0 pGs2D7qgugOBKRpOXyCZDC657K4FNuSwg9ZH6l0tH3ZLmhn5n6RgY5RGBQwDlXdePoXTM7hukwSW DZS4JLZKbA14rXAnyt3sE0qWQZTwaU1bvgoRjXusl1QLLTrcZ9iudhxornKYvINstrgCbVz7vsNM 4qXR7pf5Hd++ygHnGygq1X3dqbZdKfDbf8I32rocfQ5p4A02TPnzun4Mz0m8Q+vhNN2OZsM/jhuy ebdgyT/uLA9o+ZycG2B6/N9NlfF5if/Eke+XsVw8Kzqin18tT9sbtCEyWom5I/Nj94cafCuXzANl UpGL/EbdsJjX/UM6cIRXkHZWA0tLzJVnrgl7P85xC/9vS1qhl46CtODzd7zGrZ1briQXDni4/i1o j9pgfj2dkJwRzq3RV1EQEV8LftloxFJCCbG+ljIFCGthqAXAID8YFaHxXkPE48PchL3yJdCCXsow oAN0ja0qN6jAoJgHbE4rWY/9nuIZeZD33/tP4IjNF4OtJ/8abYayGftPw1vsqR0ExIkIc18w5kgA 7xLSMGbCa32nYDR2M2CmyhD2qR1GXV0aEjouso2t/m1U+OrzUq5ZTN4fAljINfhroZ4aLepGGBog KQ9E5n+UkbJUwgpk/01jiEpJqB1W/oYLThNiLbkgVnfrRUZ9SW+Y7Mz8wJkPQE15u6SlG/quO6Xl F+NcDXGdfcOcwg5b5GW+dIWMsXFboycwj4UpZX0AI7CsqoCwRT09V2MMhDdaf3A+W8lYyfEtC3jR GpyDddznW1l/yijuawIvEf0FCn7Yow4EReiQ1th9sLnuLjGk3Pq8sXKZ4zc97T+1PL8zv0TnGl16 VJkPXRBb5nNQJbncLqdVadh6UmpRZp/3QkdFCXn38FoH3o7ZdFdYatVVi7JdSNx06uUAvjVh+yaw 2zmMW89NXk7a40myREihfIzoxLLBl1V0F0Y0mYmMPRCfGVRAjCNbph6LCu6rx+keVqyVp/0bxBeh IQpbSn+hobjRbUqGvqcTyqnlx/Vl838XYQ+xR7xnZ3Ej83eoGJ4yJjMuKJ2IsL9IkPde2LiSywJ0 97xa031HjbWjTV4y9ihYjez6nz1PfFt+SczJ+wO+fPXO8Mj3Xnfatxxx6ACq436ElqKod5UZieRQ FriZ5S7enOw/q0I3EAOrYLGBAGJXeHounLK1texK3Xadu5cT6WfWSdCBtuh9f+johGMQRTpBMfOl Fp5PtVqDzi9jS6Tn8QzHPgv4wNLT4OPfhB8ODX+r5GglSdlcwltyZHxhT7Afvsh/Ui2qaJz9LhpI Sem5GmxNFVbzYSyX2sJj+J6HXhf/QnswUls+O81Utr1PyDvYYBmoQe4OVuXEXGEv2OfYDlzcEWqM +vs3I+v6Q9rp5yTHWHjFghAZXF2xtmCp9T61XLnRQ/Q4Sblz1R/AaCuzDdfJun476IBsfaSdxHD9 KnPNezLOV4l6cN0gO/c2IdPGFOt9No+ARLj6TyZjMBGt9Uh+Kw4RAt/hTmHOPQCGfIdb2E5PJYA4 HutdzYhWGMi31QcSqkAlWY8JGbStSbrvZuIVzBipFL39gReXyF3H4xBeEWjHIwx51F1f25dx+Nlo p6DBBSZ3uXMIOZso3cz4zllFORCG1m0A9uDUUEVENnzxkQWzRW/4tF3txVj3WyMY4pWQmo67m1kr 5VfwqpL7SnJBPkvXND5lG1BeEihwD0g4XLeuoOL9d8k6R4vCLL3aI/NIcuqeIiNSAQHlscHszPq9 C8dYc+dW6Tj8cUg3OOyj+y49ot292wjg3tpe/Yd+h+o2aftnwhnhTxVgoBFng/0mIScMwaGG25+W mSVOwN5zMW+8FmiqhRDLkSDYULQ5eqrWzjf3K0mk44Aag5oCPhWWQWTn2Cui6Aab3/cA2fVxjY9N wzELMEhSMMqNc0bcG33b5dS8HI44sJVmLRc1Gxhwv3kuIw+2yN7UmxlZ7eUKugCq3pMiR/Mov9pe iC3AF9LDf/Rgzh8pHCwWvXVpfGA36cUOpwhuVOkCM7O8rhy52Gkec2PQioVYejSBBTcz3/3a3bLM +1jDkAWkVUpou2N39OBQyRoTPU7KGnoeqpMyNSlvJUzSvBLDOdt59zAvvvjYIgCxVBtyISdBv/9i Px8kyVKLnhJVoFs+qW8dp03ntCDEGKLNwiUkuMvaT9P8MLM7f2o/9fqOt9lf1ya9nHKnygYZmkz8 3gxdtcZW9xySMXgqGasYIxw9Q1uX1pI03Kzu8mlVxSaWOFgXeEsnsKPRA8tQYY8WgXFypwab53Qx kdEtV8Wmfzao9tq0es5idC93decB41HIveoryNuRWTLhXwO4V27VhWMtOUaRN49Hd6gYmr/hac7J V0cVkD6ufUqos1ClQK8pFajRXX2OJDLsjkjaa/3h5Lrw25cDlMW3Z7h98x9bGBeKxeBl83ukL5KH 8NMTlbn7PVFa0jd6HgWNb2JkR+d4KBaJj7vcacpIELno5npuzAsnmCvPE/1PIkfQj3lnxdr4O+tY TtWwKXUE1iihTGJ4/hQWjGqrS3w8REV+YpOAvh2d955B5Gb1/gJ3Zphyu0zO20rvhyB5kPfsefAU 8MH52iNCdZE+Q/T+VDQAVKR0inH6y+FLtKPJEaxW+1AK0xGuhK9OXnm098Os4zPkW1SZ8aZyAvh/ Hdzz8kxeWWdMSnTd6MMuGDpGVzNjKcKOLFaFgqCRsDw9Val0EjeI9K1iMeFxuWwoqRHFNKePPFUQ OERVXWBJpevyMbzIA0Hs5nAbjDozHX9ASAUEGPeqnT3Om1ln8qOJGp5wpa/1/V/84c8lDYIzytZC mnoVK+oTFYyg7cNlQrLiWg0omhXYBXVhARYk5zOO0rCs4T/b+gFvmXHi2biaZOXwymjIn2Pr0SPa qtau5bQiHX9upW0KI0LEQXy1PmXrUaZRjqtOMjVboG6yuNS6Xu7Z2W/vLguxG7EM/9V2JaJNRI2a zNVV8X+P5250j3M3g0GZI4HcuZxKhkzuBtHbZCdvtjHq8/wrR7/1M3CozrdcwXWQRz3vQjg/FScY 3cfFGhvsUv3QgNGar4mVXstWgyOGDW2dcG2Qh/h0kut6phzlUVGsdhTWqKL37Eu9j268IqJ6vrJW W77ZyPjiBfHvW+glvWv2gI6ruJgFRkb1TtqFsbVF3CDLZ/WKt3zRfvgvLuQbk+5vSRfeSgEl6O+5 owJoXNYoBNIK5N2m7sY9KaqCpLoD8dV5d9thY9vE6GxwzP0UR7GWtjTVDvN5ZUurKsKXgIaRVJC3 zL5enE8l1EQoke9LZwPJAvqJs2NAlIMmylnHijR/GG8KgzLmR6mysOFTHy3wJOJxBHp7tbGs0sJs h/qwCcHHVVil3TRRhkgxYALURBWyYTpoUD/Z9X0HasZNsNVry3SiXS2YTt4s3AdXxgNWCQfe403Z PCZd9O3ZN/oTJnOe3eZpaz3jyHVX39fny182x6BzGZWHIwHFw9Zm8DtiY1DFtw4Y9jFjJnP76ARx /Xy+eHlhRR7GtvG7rAzATSXGsYSLoCy87EF7vFDVU0MRPYDPi/NmR3NXzYpFX5ocsZbljJ9oTpA3 oqiF/MU9NCjG6/cjILXfcKyLtkE1+NHVtzSqf3s3RQDsTOOlsbq7wNEIOROYj7wl4ssRewYCdZkC R3hZgM0FIlHdowQwhX1+m3A37O8TTZ2aYU9/foQrJgNHewzuLA5C2nc4M4ldV8BJ8ny07iM9pgrI ItJ/iadXwGJAL0uAxyAc67VIh87fWoMDoNSfoCsxRuaoCYImhXR4HsoMEdvkKOUW/1tkLyYjUKpe 67gROldgThSJKZN72hwO/iesR3Z1djyrWTvWaaJq2e3A0GHIV/43RYjyy3blK3dxMQiLy4vjYlGa 7vRRr5+DqKTTlCdecUQwKq+j6lp7AUZ2BKu6rLTyfRWEbK4CHPjq77a67O03tKprUMngSTrn67Bi oNPKYz44g/WkmDJyZfrl4bVfovFgKNqeUf2orkSqFLxQCdwUVYW9vM48QxWwLVzkCTzfSAJyv8yX amsl91jEl4nlSIcgn2g1O7lWAFGZuVNIvRjSt3ecUy2kKmJtnzeKQTvfKMGR4PZoXp+MatNcFch6 OIZTJu+NCqn2nRXf96IWZwwfBZXLc5j81C33h7Xg2jiBRIqN+5+N5won7/8vB1N1LKfII3+ujPLl dPEjR3A/iq+rKJFIuKwiMPDYGZ+O1kJz7owvg31wfyrB0Zgsoz2Z+kWNGPhbDFvayErwM2LONjuA CpA8xT1eRRhODhI09ZyJF2zX8EeTtrqe/jVPjvpieLOcdgcuoloDN4EX9H5FFmfiHY1Ez/6mgoCG PJYX+EnXi9YsV4LfRYtnwwOrFjjOEszi/Jrnl7K8CNpRsOFjo0MT1y04crc4kQ+EEUCvMADx0wa/ LST4Ut3BnouSBE5Tsz9q+ON4H5Q5R7Q43QG8woYhbQxOqU4vV0PYmMX4thSHm63YHNKyMDjwTYz5 qIccLYX41HS1Y1myOMF+eCVwIdWRsoO2vY1MH3Yk23kUjJaFz4CH7dY0ZXpVXDMgu/AM1AraHiKQ DBMmZDePPOByz7iSMOkVvsVqjK0ZSimFK1sBcn++d2kCU0Pg7zp88PtRWpuzl/ISJirXY/fErWUm u5R7B0FRoP2eGKJlxB7P7AtXxmTeQQMGPph5VDmjTn4vsS9Sp8EtD4TFNbbjb2mrsr7k0WxXj2nb WizXevwwba1sxvm/mQsOWyBuI27cxmOtFVvuJ8oUu1AN3ou+mMBJl/4yCh7vIPnPqgSshf3w8GS8 4+j7FVC/VGsHIyEoisdIc5Rqkp0EweuFXUrrlEuCph57h6iho5BeFB8A11L1GogsUp27XQv3hxzu zT/k86PSuRfd2/pXH/JExJTKNkS2s8B7LdkUpISlKYwjTl3VhkzgiGqS8nojHbTa0OKiGnJbzon3 7Q3kXcnEmFl72JYKYJBPPlg4fSoQ8LgkrrcpFkQhZnSquMXH1jq7+2p02yvRQCYHHmkau/CnYeQy MQu92eV1ut6xOs99o0TjrRDykFX7PgdOYkJA9UjbXZh2C1DB9lgJztCyW79V6NzUYYAsdLCJsg3m Gb2nluTmVCNbZG67Nxi9R7phLfyojXjR5zhncNW2R5oZOZRh5Gu+OkxbtQAZHqP51R0L5kEx9h+D DLgU8Lh2QqvKkZevpz/wmxCIP78mkQR+U4Qdt3gWdaQS5IH+0cm/j9k3nywtkyrud+Dj0QexmZGG JcPLfK4lAxmRJ6VH+1pDu8MCLndRmBsvyKsMUypF/s5BB1rlIPMYJwp8vN1woyb02g1xTnWLoP+C qvrLQK4I9KZ75FsQvlTziFpqLtxpoGTt8Lcj/uteQxoAQbQRpXTCKUQe3BaUIHz8LshkuWqZdPaN hDamCyKfpLPgQVSRqyyt7g4pOfXKHg2OIIKSV5k40az3SMykp9tFR94F5iqEPW1niEt0vK/w99Kr /fZiRz+WPhorwp/HNsOnCZ+EOLfB60XYKjdNyfV+G/ayfslCkyeJGb54UnXYhryKs5QssSbuVywI 5tiFFJsX7CjiOxf1odAj3bTlPfC5bQwawdM9NA4DAdvR5zEnfFjopZCeTjaf2WmfDdr7AaYOaIwm bQFNXdn618Ra4ZpRkr3uGnbPPAEI+486Ahwc2YCGVpUE9s1HqnIZMDLozDqlhrb+aQCr4HB8myoL bIuzdPPLY0hujU2L68Kx0ni3WP0/qvEpiO7T+igNviqteKXJZSpCTB50aDw79N6ad8KqQ753yzY5 ZM2u+1yKhFmqPF+SfL0ACNzgubm39/UlX9yvhYmZDAuVy8Q3rMClKpN2343hhKK3iZ8MH9izvTPB 705DrhBfN2l9W+Ay6cpQSh/nd4kuPRXw+L5BYHboLGurONgKcNLNi1XEKkfadABtDzFf+FoTffwZ nUa53J7J/pqO4ojryr4/sd/2KgtlPEz/fU2fJVgR7nwGMagnng1CIznlNrehyr32a4JY+P9RbTnx +S8GXDWAsac2c9wb+lmEytfLXjWBsxqAo4doDEtjYbNjeB8VuIWhQHRMjTGsxnpJuZqIyc8HOLlV ECWM+CUAm6MuHFmYvfF/5JRMR7iiuUx/b8526/NfvXxoCIuIXo0INrAK4NOB7QgYjjZ+5ZiVW+NR mPRw1ilYg1YmcKS60rg5DnsrZtz61d+WSGIVNE/j84j6WvkeRRlX/8ebonDO6T/847jaeitmyP2n SifdlgkjpuB7ACW/kaNyvr5w4SNR4LmxBfbohK4p8LaismGFjbYjNEyLBcqh7QQTmjo7Ko9wZGjA Pj2cICKax/z6xWbc0oNYiXx1As5IcNDlpooV2BAgMe06RuswJPboxxQkMEkJbr3AoxM8vH67RQ3w oeMGXcLecC/nhhsP5ABdPzaeUEPP4GtI4vJmWM3LPGeG+9UuOhTQhTJoN625YlDNK6lc18NbIKZy aP/YKg3OX2tYTVKabaUAXFn65p4Z0viYtSW8peC8yVGgeaju0mrxCfI+hRIVZGU8E9ohKlSQcNMr WhofAOs60YcHSyn6PmIEYKYU8TrJA798dJIpt/tXWJr2wvthwDd/Zh8fO3KpdXjMgwAgAi7vxdFA 0XI47SFnG0BQPt23u4WOhXfjFVcTxwvzOXsw1AMczP9plROYbCN6kkPfKkhsVxadJN6cBoYnxgbu O/K1lLFtclGLqQcVB1j2MVqwm6yzXJa498ckmgisA54krV4ZWhqR7Y9hI3Gv+NAmlRiW7jXO8EAk /F4msk6LWUpIZhiQp2xR5K/t9B5+ECnGEvf1pNROR7ajb5pKA8FPrrrzavoxeqJdoVL/AYmVzp+5 fX24XfztchngTzgeoWsr6H9Uy4hYWpXa5SdblJ3UEnyIXGcWpsF0PahI9EbWqge9BadntY2fqHXI 5LTg7yE/1YnKw9Ujevh8+8RiOPf6snyMH5Eki/l4UMQOkZvtXCzvUkSFT0R7MHHKLrbRjwxUWAhb Hf1J09h5LhZZK4UkcA6dOntwT+DxALtVjvPygDG9ZvjBukYtC/ccYzewMLMWqEOjo6vcU3A/IGC0 zXrjRr00Lkv6S77zZcWlG4cpgv7+Pelie8grovTlfxxxAf2Flxv52grMRmjsPQEmlJOkve3vr+aw 4kD5KGFvfgrbcXtpSIPvGQIzYzh9rxHAkjQ0T0JG99xUysRTSNLg7mXtJOq6pcLLCtrL5+VDkDRB +uCkn3Q6frrpfqqWNJSq2TM43vDYp8t3bobbMRk3oA3/1t1h6ZRvDU6NifjtT0SK3aBGubxcHXu0 ZV1fZbqjZyJMbJzMiWLfoQ208TPFqcHArGitZEUmAduinVgB08bjuboADRnkARIDEM5qSuXudeIM bc43dPj37DimrOb1FP+um2mFip1QkCHk7RYVt8NDl8Xc34HvLMNbtbkqMzVqE89gx2i4irCstEhm ljUxUyBAvTgQZivDBdIS9wr7JfDkaQgL2OqlEUXubbaP2tdtluT+PDDHzDjngWPLA24sSBOhjLN5 aAQgWYMj5y66KCtSYG954+k/gzsMB2vc1hqq1KHtV7XTW+SdGmCtzyZnXMj0yn1kSbXWwcejh3yB EOeNWMk060PMNrcKKMGHiFzTPf74gEdw9P/m011P8D7WewVnYcDSQ6DXqWc8W1XLiNiyoY3Fzsxi 3eHN3L1Ya3pFfW77IRXHriE7Y4AgT/lqr3AVuQCoAZJIQd8SOTktrpPkVCYna4Khr4IXbEWj6aNj FEq3falrq5tW+h0+j1YQMc4JUUFHjkUEZPJ71FI+nf7JprLLZGlPsYpJIyNJrLa5dtxVVC3dyDIn Mo0cAY2K3jFkvkqa27hDDngK67HQZiKbw/6j3bUPD2jKdQPssTx14YLFQp2xptB1AnYEGcfYFMSZ OgmYvHN3oTPKJ3vaFp7loSUqeTNH05ZLEyEWuQSN8+z63fNaoebee8KubccTR8gVqO2HFjOTUBNc SrF4QF5tioLtL7gwfyW9ySMOxIfXWx+/uXGGJhG46NHQUcLegPHfjQQTXTQpM8frXnGIlGTipyRH IgKfkHVWirsAQ4Tq8LZ+/mLc5WwejHfBzb9EmA/yP9ZLH8htM574ff323Ejb9cO6V/wtLdvX3u6Y 844ObDK0JTgAdOficLriMJCyWJZTCDzKEpyfR7d2LOlpS5QsCP9w424mq67WmRQg76nzTBdkvg79 4uPjXFbWje7NlAQnKu/uK8m9M21bDEQEZ6H9hatI9tJl1ZPiBo+41oEanrj1gPXzbQVBxhzM6+70 tInPzRijegcV25HdwavCJeDpz/AZoEWBihS/+F9X3l61xgCLB73W0ouAszppU+2QROQeQmt4xq6V cMX0bFOjYRLE2BPevZcN4ZYtrxctW53gMc6iHG14pcalLBKRkePuDvxMT+oPD20XDVOWUSHrWz5w g0L+m3ikJg+XuP+Lz2XS2AaArzgv+GJ86ieUqt+vwVKvF1nrN4y9Zv7IdfOEWcsrdxOA5HoKskwo u3k1SzbwS5d2d3D3zvLl2z/YyBxq7uXubBn0mZA252IVIyFpnUphMfrzkf2sMa5s5FvPQn5mtmCQ zXSoRkIpZs9EH0Yti+VP8ydBhKj1ja5jUAxAlzjqzd+3lBrfwx0e4NpQGUVqt/NxtKpDz9tpTrMA H71xYFiBf/Ms9TV9YZcLyGiXl+Tc8N+n/hJWfxFMEii0wl6NS7kB/2nKvLC49XqdRaw/lLbcRrnh rDEfZqFIeIOnQgXG36zqbMidDRSczi92e37ZjKfpGHM7eoeRwJBdyoEMW6sQO29xnYKc5NEdjlc3 vqsYtWveDqmVfQD0D73CVUckTOtKfKgNDuEXTB3F+qOwoSBvfW2M8sbZqNUkr60AuhAOVg5avi6/ CS+nyIizdR7np0Q4GqxI5jhFPECLLiEY2Xs+yRstrozEafdcpAiHgpa1Da4Qf+zIIyIqlI45kaBF cSVkWITL/ZTFat9IBCetTO6416dqLseHP6nShdiBzGKS9RIhGm4FZc3BDksh6Or2FPQQh25jRIVw 3kaNQtV/lseRZvnDDHdqHj5wLqBZwmHBe/iyNSaPdAhk2QF7usIgiseV56Fl8X9rHQqk46cTYB6o WxG9F3t+gakuUo9GIVQNqqLoMjoEWOpppyKu5Q8+u951Z/U3v8haxqXQJSrldNPTAoB4a99HvvDK hGBhvNHrKHn/FOn54x08whmzFbEk8LxA1f5T+sE1Xhnk1+kbycHq4IhSwy6GBBVAXWYNa2WztdU4 o5lcJO5LgILW1Op25BRFj8dM/xxSx1sBe4KOqUiMeHfKE65qsjbNw2jutOxbf2MOmHXBZjODTiRk DMff90XQ7Z5GuV23lVMOQyfq5zRjnQYpCPAmd6dJkHsl3Nd10hbCC8Co6bNXeeaLExEsjEKlVg13 KhzK6K2fS8nwm+yia3JY921d9OA4AGb4rZln+Z/kPt4vPtbZop7LTOm/FeZY0aNnkmGTHMz121hp 9twoIvgJrq/h/bR9uhRS+O7DtlgUVWv/sQjiEyCj8AdjTTEwyERqORkSp1qQUkUhl6LhE++h38kS hlZ1rBvjgonHzt6cFTdwKdpz0lwd+/jWfiEvEXXGbtmlqy82jC6829ES5LlNBF/J9N/GUS93dUFw r0Hgi0ErDxPl5g8bnB0ZZlOoNPYIBEqybGrlEjfJr9UQJj0EGqh7ZHc5cy42SfrJSgnyLmyN7Q9A w+CBxZarCcU+U0vrpLeCAgIF06va3OePu8nOyara9b25e1v03CzN0HmUGIQi+js6Nf1No6dIylW1 q8rxDNOMDLZ93vgdoHEDlytXX6f8IBmplpVyhf96Zp+EDjvzuvfsi3qm492eE23hu4VpPjVThxBf 8wtg9H70ctsBCUgal/T34jGIq+8J7RzQRAVW+ZgmKWiaQ7elHZf+XwEEw1GdpbIsfWI5NPRErZ3C kvpEJg5oM+Q+dtsbHGNQ1Y2RToDdkgFAxD3a9OcXVgoUQiveSOQlPj8ucPY78UJCS/mFxySLxQO0 +UMAUo3CBu5HOw1Cdit9ZYL8yIdgih0dzY3xdghAHOOjq5kPKAqaSOYFiZExwqMduIxxbXAUCVmv hNtUk0xnl4+3vq/SagtMU1zLj/l28zzFqTzyXz9zmF38iPGzZ4aG79wQWi4GYyaqIQZlZyvsttqk X2rPqEXXqF4fIuVgmou8gcGBxmx4dqaqUI7MYc93E8cgCU0YdvzTemcbxMsa72MMYnkcHaWJp2WU LnDQeSlwXGN+rAyFvU270knrs5sLN9k+sYXu+4FtQvkISPFxF/5DFn1oBHChrXeR2yEQO5G9RKcD jRzbezZMx4LDYKAR3H0Pn/0C7vEgz6pjxW/gTTZwHX3L2LAo6aPQ8pIRvxy1GDmFQPXOE5fPOx7c C9/PnqfVDf47Z+jlAGcILaWrCIZp4Z/SoRWKZrgFKSRgkFWscBmEwsXJbEI5xjcxvMJTEXOLo9hc 7Wlql242lAFQXUeztBCGTUaM7n4SO+vJuFhNMd6UUOzEBPb+o5dBqZxS2VuxHsJdB62HHAar6D+b WDAgZlz//4ew+zaKYeVPARUA5phUWgOupfJ2D+gFl5LWlxqL6G0INOJjr4HQIZ3veEQtvw56YgPN DJp7P3xAILbqQsBgAJ8GwZLzWn3nOxKtnMacAVD73ScPHDVNxrWb/dlXSYZ5Kk8xpAMawDQ/GXas uPyy0W08dL1el/oE7yA+6nPvusQn4t9mvuawaFRNkdGHRwJS4VTD2iU1X54l/BT0P/J5ZXccfn7h eeenzHtP4oTyaIx1vmzw9JxC3jzWqsS92HQHiUG4VnH7QE/aM4ZXAe/oHfIxUt/LgLkGnPknJVO5 DowmTZ0JgpNjt6Je/owI/KPC2p3yTeePfrmgFuo8DdVKa0X33j2vsIEUE5BrivpEjlZqDKQZMl/W IwSfbL3f/fI6sM5L1WsJdvMcqmViL28JmAZH1wbaqi9E/LouMaKagiogfMyiiRNmAykdK03tqxSM 6uaem0VR167asfWiauGZTLmveYR2ROYWO832rUc2h6u0aJI+m8DKfXi1H7qQu2XU7tunuubzSPn0 0z7quoCaa6F4kh3COwurSGGszkJrQ4og/hxlmHj6Vxrgl9qUoImgXUSrde/91HYmDttEsqDLl3Eu 786RG5i9e3NIQGXrnzzbWPk5Xi3GrXJZJepXxKmZ5DMdOwt9skOkeBx+XkZmCLByxiVg6ka8X3z8 SwbCibZ6RWEclzOQY5RVnj7JlJnc5zQWT3yjsTtZc6+iTrWAt+oxDMZORmEqpcvM8AIye5LrY89b WIH1nZlNu/UU0FfxGdMdqsiTYXig62WIh5y6mlDqNimcMjWBRuAkRt0ydU3Rpxfh9h84eVGlkLXP yNjVR1Z8FnumUPmqysLbtIJ54Ax5T6joYdK5C8NiiWFhmGZcbO5CRAIgfn0qDWo2J8/BgIh/69IY hLeiAq69lUxCQBmHs7RsNXzvfAbIii3rsZn9ZARDA8crZUHDz5qvgb0jli48BkO5Vtefsaz8P1JE xJNCkWvqp5fkPuBKlIp6zluue31+lDMR5NrGjrKxZYwtk07tfdbZakrdCDzQKO5yopyS9HEYBVRS CI0/oiHWSla9dsAuyt9+2AG4RRutjBSMWf84lP3ebMzaUsXIhiAqfkls7CKksqlWW2z9DQmEgtHz NW7fZVi5BM9orDG0bGdPsgkUJbL/VoSP+Up3ppxq98mAnoqBaKOgJ5PrBvfBPzi0nLQjq2gWwQ8U ahkLIcKA3X0Qbirv3lSdWNYVFm8DK1gopxDfl7E3zffjNOApzU3+Kl/2SpYjH7gje+5o+BS4H8Ca 9un1lJBiKU7tHRKjthb9d8SgyCLhUskx3LP4H9RZvBaOSQJGc4xX+xRPLXJLYo1iv5RTLToyiLYd /nElrY7fAc4DsApiKzew7Ci8LgVe11BL0g/F9WZO3YrEWXUXN39w7bFzv9Y05ZSJHxrs1Rj+mhKS Jz9Y89EGTXmdxJqjTvXp4yr6ouv1thmMMBnYnghR3R+5QMmFG95X3kBtuTPbZI+LGZpD89YOGgst ImXNKtz0VCI0SWsfShxZEdBwSzJH/Hqnr38J1FMGcgKxs+97VzrDy8b1buUA3GaPwyeOQu+UYoyb ojVaEvK84cJxc+QMQgkthXNQ4HCRMnLXgGSpvNmZEKaAE7toLBq43gnP9wVKNC+scxnjs4vWx13Z OHT5QCSwrYB0DUmbQee/WpsILwKvjXrtgeYmKeQHdGmxj4lW1R95VRCM6ZFoI6xUSy8hRVaWxQDP 722bqlrgTYsf/cTBnhl87P0S1EQlPKNhgZhyjgNfzpaSiCZ2vhvBINHSxZl/D8TfdPLfiXrHDF4G 5sAoLh+0FciAvzkJFsVe1Yv86PYMG1UluKnI9xOmip1NjV73m7UHGHnLt3D1K7vnV301K+HqlHKf 3+GR2iQSrYUy2S7dElc10xwDCxN150IDhkD8f28863ddmFG/Ly3+PJl6f/bHmR3k8OOiic3is8Nz gT5RRz/ZBx8zR9L8Rx9QGVL3QTe/iHhyzV/hsx8Jhr0W7AvJrABXXw7ZqjwR2X77xaqXlFSuRnfv kJ4QhPLAO4182zNHoq459TUhWhZVVS8X5ErfidNkPWmfxvVTLfXLVEKsxjI4VoUQiFL3FXvOnppy P6qp+xjgLls8uosZosyzjqh3ejmJSKtRIGSc5Wpxnakyywvc/U6bOlHgO7CroiN13YajcQ8QKLSk k2zKSoP9xIP0dilY3NOe3e0VCrgr+FFnNft2i/DyJ0Gwy4pVmJQdsSc2wQSJ+ealcSzvMtOSTjg4 Z4+VKyXEDR7a5SuneoLbzCJ7A/AOH6bZV9T159RuJsSYq7lm6JX6GqtARoruetQ7d1ByY5lKiucz k4ZhBmn3QEraIri1MRAaOImjPo7MWC91ztDfqddROhH1aGGC2AmF0H5O1xZBmTPSjN9iwFBj0BRf tsYLh8FBzAPKxP8xN+MdWzOippxQh8uKi66dJZ+FB/GcdrUCd4JT7q6opv6X9B55dJgpeHO9ApBc ODQj52VJExX5NRvUECIRipwWTnKztYo9War1UI5sPd4BagihAIcUYWPEfwe9zVKVlMyX9DYGlXqJ RgdJ9zjLmdXtXlx6hf3KsX6FuneI/7J2JXoOewZXd8WEwNTX23NBSfdOgUBEcjR/4zb2QSjp6kxh SvBbdIpd5+TwkrV2GBi4QGAMwexHNzS5Sn5zxNl5qumPH94v380pE837CHjjMICy1vx1ooF5aEYQ 1X0FWfZiTdWW4B+1o2ws/u5eH5mc3rJyuuz6AYtCMmt+bmXyLIZVxw/dHcAL18zntv4FbxQCIpEf 9zdlVGZZpF/1qzH9F6jFeyh1k/UT+iPOgd94IBp+U+wTjwzQqXrhIPQUnE0zL1p7/HekBZDc0X4+ 3A2X1XdMYKE79s0QBPMum56ujAo38qxPLbjmBv5YnNFY7ysMCSWGX0oa6uINhPRVbCzq8eS+2Uhb Bk8il/cEF7iZC+F11Nr16eHlSfczI/hfbVCvTm4VqUGFrpROeT6LlzLXCmEJsYrYVkL+tMCcQ/Le zaYsShUPNUfRIFLYkoRpfTVWBVJ7OOHppf0VnNnMHwCYAqGhoRIChNm04XIGUB49YG8bTcvio+8J gQJkcBaV61Zn5OLRoOh9UQKURDvUTU73lD5JFSu2AakxEaztL183Bs+7cZ6jJE/xdMpyq/ApOjcN e00Gqe2U3hW//fOBoyH0/lU63FByYXjiOKml0RqhCuNh+I8PzpIfadzYm0UYanVC6wxVelYrj8ww AoCM2/nQsiRpJBNbkJHzrOq5EYFoBRfp2DEJSxjMOfUJkFOh8AHB42xRzlxg+RAr3j+8/v7fXwf6 0pNK+HvAP+L6caXjyf7k2iGoO0lt79DiTaxTp0tTIBKHEsSTPLC9tQzeW7UfI4u0/DZmicW3LPel 9+CfnCtkLzJRkdcnTWw1Ymydp91dni+Kpfc3zWbXcA47MRAdIv5hmj2wF0DNQCVLdRN8iaFRuZhV lqwtm1XBi86/uh6Cq9O11oUlIHAQyS5tO/0Q+WSoL9XceXwg9RkDDgYPH2OnrrTuDAb/uf/2X+9R xyJaIi9H8KxkJy2vyOi7+sw91qePPgxxkQLyGu069BPxepAOowhAM6NOudjsn+ca0IZoYxhW84+j V5UpNBuivXZKpuvEOj+zGonKvuiN3yWvqJM4jiTRPhsVXUuMg+eab638ZCtP0IItC3WZsEtlFnos pwIcqKVuP3+KpWgFSCoo2lSKMEeKwIfxKQ2uogIu1eCRa/+nupQDniuflgVhl95eLxysMDUudEpH cWsGCl442mHfJh6n5hA1QpTQjrm0yHlqZPztukcuUHKYdqX1TLiFuM8XAlP2flLFHuUTAlkdCizB tnSNhvGe9MhAspc6D0qWYGCDItb6JoYMELdtlDRlJxRjbWbgfyVx+AHG1x8D0BGaPD+lzZ21nkpM OGwsrnIsKknfx3JpzJ4KniPJ8oWVmff2lD/wonYCn7utFCXe15mRomwSTYB41KpLBv5tkgUmSrm4 v1NMsi40P3US0z4zYeDzNaJ+JsyhyBCuYE8mkRtCMVvmojb5+Np6pPNcdkiNs20cSW3wvwuMWmmV Rh8ISYKvRPGQPsyua0U9iVhwu6P4Tfx0fyOK6hsVPh+ph/cD9H95NA4wWfPZxqbw+ewMxxz1kdj8 e5CmRquv2E5GFyFa6udzdjtIdLruKgWgQ7vJDsxJCRkEgTD/bh4OxLypAuuPelIfCYNhpZZteQh6 +BH3hcUALAl4/6I44bXF70BjlSxxDwkIARUNu6fm2znQwN47mq1nRY0wxHQSbux6CLSEyk/HiAPy atJTxeVQs6jjMOrYblAhZipfMByWuUWfNgvtzqiZOnuAwAxiPIkfC3E+rOUymN4wWqviqb9ExLeq GbGJgJwBlWmI1geoPppaE2ZoeN2KOj53mboKXQyEOGlUxOY9RoG995fiDQ0N91ng1KLSQXTYNUOQ HLpeY4ahOORCmLIY5b23pkrOv0BH8qFD4LRM+f/n7pWdvL9O0FvFPdWiZVeDQOnphnd8EaL4aWgt kZEmbpZ/R7OL6HAqFjJqbrqZfEJaIKhFCebo9gI62NWsWb23kL0YsXbaIGc/u8P6X3fJjn5phyOV Gnr04VAqIfREhkDw6pz1EB8YmAaFQw4BGkMWsO4iQ1QjegjDLbXETv2PFEugCikH33coPbrvhRTz m1EiLhL33HsKajVXvvdQTY9wluriOwoTnq7SiqAkc2FDVny8/2mk2yFK+jdYg3T9Tro95H8cDx9P jxJNlOLFqsF46AcGmiPWApzKyDyLJfGIBgZy9UmcwEgLIn+AeJamI7RmuDDzXVEsS1N6G9KTxFij VD6r84HGQPz1A4nSDifKQmRKo1+yv6lxYfZXxuekXOcPp0fcWnKmflK9+LbQOybSdyuzJiQHjjtL T23Pw/ZHbxU0gTJjYFXErhmn6vGaYgc3QSvfkIoei4GhwA8oqfJCPg9yykLntDyWyVmkVi+a7y5a 5O6ueaL9vhgF22hMVLBmzxH53bf6ErIRowv/4bIN9qHlABiPZVuYMNS/XvbGE0deXdfZD+Ks5tWD +W5EDMzcdmx6fHYo0SHm2tkLFhHUzpoWs66SLdLbjmrB7FCmV191NEtPrAdObXjk+ZBk/Pu4fyxv rggYUfxrJSi8EaClo2FQCqEVhXuiuOk6KH5a8zNV5Pp6KATqxPnT9eJqroDcdMUh2a+dZrXbRbVB rm8GEVPAjcqbxasnPVsY0yN1oaRzjvahhMozfBlzuqoypwOCDBTDxmhjUhGcmwfbvoGbZA9AXRsX io1wr8JeEUCuRwD6aWTaVfV1IaXAUrx6LshvJ0pv/ztBIj5bq0Cs/kPKJALBRl3cZ3BtKFmlGeNv rt9bjm/CkdLpe4fKLZ9MRAHBqkT+pJJxsCcQU+Fmq2XGeTS0Ne4ii+nYHTDSiVsY6z/S9nSc4fDa 9II9i4gs3tYmpEs2HI/7db6HIkYUwusC3KqLnMa3xpwjQZ2q2mNiGWnPwGoxnI8skKn2m12lpm4D m6hCt2k8JpctO8V8pr37QSmikMTZjlz1+QxQO+NKbX0KiKJbd5t1KoXdsE++6HKufxa4eB0wIt3A MDR+Ifj1p3A8ZvbSo6HQ7n5Ryq97ByK3pIok1qkGfphhSmq0lZ23ztivOAu/PY35hZ95Ud/4oWnX fUddE+5HXmYSPBhp7CotU7ICD87zhYoa1VcMQurrt05ZIp7pK+Fmp4T5vaAFYXkUAofOAsGCwKED PiQVuD55McjRBneHCWmr4qDq+NyS8a8Mwq75285DF8P2QVbKbmAOB+LvFkkbXHZaoq8cyrW+gKOi uAotwfywhF291zm1emg568XvDjQRJW/NYr08dM5/QF/auKHvK1/0B0VqduzZFMV6bOoXVhx5j7D5 c2YBmCt/kPItZfEYJLfYd0ZelEpUhzcBzml0Q/QviqWPvqJ5cMQlsmVrtFJJlOcV6M8Glhk2/L1c ZgDUGfr2sus7Bkhfwi4ZPPf+yar3yV3vsRuI/qk4Cl8WupnRRHdYjmlGFMqeBsjD1pNOZwRcUMEt P5c6fQOsOz9Rr0r6Go9agKLJ+8QAf6pCTd0jzMKyTyXzLn3k4HCY+cISGroMi39ela+VyFRZpztF ym/c8KzNoY1CDo0m7YgxNWmtmDbQjf9sxQxuBb//Q9iN+mqIHY290e/pOq0kZc8YIDHot7xOcjYo XiHbL2NOvmObaCjP79P6oGt0cmNrTRGvdP9fvR3m0i7qm+bN1SSEmOIS0iWIgRrCm4kGHDOeDeXt p5Dv+EDJKmiQFF7nhu/v4vo2D0NAL4drsegbrzgxF60yubfpkE6Vr+Lqy0yjsEVJOhgtE8x5rH6y fcKOB0P4NIucAPVtxwByIU2KQI8usJTuMzsssHvDw+tSEznhNYlS68DHl04yS17tzOn9TpxgJdtb KYJt/3rzNF0dbCjnf0hlRVsmfxoGjyxrxcm6z5bqgEfdk361lETaOJMjLiuwBjf4jg+x8s0QGr8d YxASzDM2O92yMX+wdy/scmwj2fSShl6IHy6MvHZ5VXhPriwRWG46NRrLBQXDqhZ29v/Agp4Eg87B +MVGVL44CXy0uIA3jKEwHCbCofDdXlEsRuVFTN7pBwDuFCVdXsbRxrt0kvDuJH6L1LMvPXAAeRH6 WEbcYVXgjXpr6JPHNmBbaS1t9WsFoq4+lORb7q1KP4/wN/PjckyaxSS+6d4XDzxV0badTeYpJMYl aei8ugEPChPB62tNV9EHa0swW/H+6G4Uiuy+kFgIW9wWvCki4/CETnyj4gWZxiagP//ftPKcp5dU fRy7EnrQYRYzfqgZpKbBA2XOdqDPbgFHKx8AHYAiQs2datZKR4OJ5wQWpw0hiD535oQ/r029xTTV hfWSAKi4W4qfd6smV0sexdjlpryRHI3wGwoDdtvyULmL+3QlDk1IisrzDle/Mwc+DxzqtZsK+jEx TxLGYRRnF4D3nP7DvLasH+5mFB1acQu76u9c0t2k49vBP5PqQa6Z22ZusRPenMG/aXgvPf3r1Lsi 2F/NDI4CKYF1AGqTbDRRhQ0ou5c3iO0P9rR0PSc4j34t96ozpyIfU5dTBZIJhq7ybifIGSIh4jW4 l4Vh/N2kJD3nGzL5T2jLKtds9TisWQqoCuKSOLGjDXRXhX2DHLv6GmlnKoAkqhLleT8e4AEP/WDH fNx9Bb2seNNNpU2Gk5LbAtPUQIsugd7um9piTMAq/oFoHm38PVnMG9Mfx6upMUhU/Vg2NO2ZlwJO WWzqR5+nBIrHTp4DL2fshtSJAAV4Kim+t4+wCVTK4CZ7Vl0+i/ZEUr4M9/gW2t1PNIMZPNyRADnl cfnS6H3wqdT23lnn4RdgofDjUCBxs2dQjyfaOqG+uNaYzBNpnwxeT1kMtoQ7TmYc9UBBvCmjawx1 Ed4IucJvcHEg0im6gGYmRONbDjUGuC/skEi+LAq2FnA1alWFeGa9Z+Z2NTp92PGqsTrhkDgeGVak lxJVS/S7zHKPjIdiOsFKbMnM6nFpb2RmF+Pf9fMF1XiazpDyFDZ1haMQMdPAQ/IDVMCqKZGLeGpQ FFEuf7kHOCyx4R/kIjGl4FnWwHp9jkoR6UJA6anorP334NvdI6hh3bfrgiMnkYxqnrt+oASA/MCx p4QzFn0XCzoEgYYNXkFyBB0WH7xVH99E4mCe+KnDwz2yI2iYrjp8kwIHcoZdkKU+EWFInya4+gOY W2OiHZHIt29r51wzzopy9NrCiQkja060B0AJ11QxFwDbkSW5nDt2gQ4e3Vq1qvmGNwEAF5sytjdZ eb3pHpjxG+BwQUYTL3c33caM6rwBZYs2pOfRLGxO4/xiL8TWpB8iCys5R4x8c9xkYMnSo4k6ZGUS CnSVajtQAvZQQuUdKjvq6vk1fur/+HjwM+mcTbNEanrTAGDPkDTIuul++AWfWVC2unIbYQna2Il9 IXyM8DJRqIQmwDwVDQx94t+bKsLV6KFBeBX/OlYVKmKksIpUYSbcC+6KDlcO6oC5+XkIB3pqNNz/ vfzDAAS68ZVL1urYaos4HPhKjfLjatb7cSLbzy33ZtJH2eKzaj7kQmmNPQyPDUCno8Zf7+eiRaOW YV8xHsG/SiDuYZgLkG2mgi4cSGQwiksmHY7nHCThjqM27ltuA7SCAvQt4bHm2gJ/0TGuEDFPIkgA j4AKmH98PZFCVONtnbUpq6WuzPc056iW74gZE7jJ+0qiAwxGirM0Td3vyaFvdTxZGP1UAO+hUMMX 39xkX+yvXKFkA8nBy3BCOtoQHCFxdbGP2iCHwmz+KgUX8jw4XL+6oXP+KHAV+4Y0ym6xyYk/VqcF q+MfHEr6pnoTAEYgGwAkr4aZ/tNn6V+tZiZZF2OEQrYSUjY1ppI31hA6UfGkO8G+jMrDIRHOf0hB b6dD9MQfjJVuYh+yijhJj0cQ0gvIZe8XK/BCra9cb6SiKD6mjK37faVt0KpklPuRWC0GoihkQ8Ex t2MPwKNnKGsGV33B7GSJz8czsMXrxpUsHSl85bN6bet548mutNHRRYiu+e7YULvLb+SusnyYFPTp xjZUqb7dEeowHwnAZrHNxCxr3IhQo+CDzbkKgnCUj9z51GLRlPY1vrBZDMWCTvlGXmw3XVR88U3W cIuQq9zbnQm3Ls5VrgDZ1sg0xkFG7pObvd1tamffVS7W3hfB2R/gcq1bC3t9O1wzqn0BVINxA9/E +UZTA4UVRdDh9KIyqQc50POv4TBdd5ahhOvL81Dba6+IQ+vtZ1pySXfHjke/7cYTUGJXa4u8boyw sAukKuvgMHYOMwabrEmsA0V21pUoaJfg6aixlCbz+68Z+PoqVZ/cvdbHKgUAOqeUg9DgLPKmViho CmwgSliPw6eM/hNHMH39O+xSMI4WglaAYkX6lmWgmifHLgT8lFVMto9Vqn3wJSYqVEuW/zP8gKGh HKZh4U6bfJvn4hK0xzMoxRf0yEN9crTu/xuWr7QOZMXRfTSfKkZct8i55FwqdiQymZHYuh8LLBOy Ei2NkywofmBUnaH54V7SCYPqvl/OTM6ByctI0AyberAAergSsD/NsZ41rmcAqeY/MuMin3VoEj9h ffBVbYjhx1ovRyCPVhc6MqboUQeCIBDvDuEsPoz6nE0X1FTikINwuXd9HCoIL1dHEGpZHd43wVC4 3tLFC3HoIKChF84gko4TPB6c4MKepqNFrH/YfqcvZbnyGkCrTA+3xPw09AIC6Avslq5RwLfKTrSc YdPfhR8XGm+kzmDUys69CuYlQ3RhVTZV7hy7mKAQpxdnsTE2S2wi6pptyT5YbDgwhCnLPGjxaO6f SEiSyEDjpPMPHnLCDlitWl5+v7c4qj6Ywe3sKgo25mlqUpP/mOqw+OFYxv8NsyZ5Cb+X/IGgDSbm UTqO898Xk8ustVhD+PyGGN19FNgxbwsJbAQahRlmMac0dQWxSr8zEC6sjUX3l3qIvOOPpligrNE0 AknuzJJTPHLkHIfQvJp7ffftn77hv85DgIOLM5hVSnwxiBSzmSFf+Csc4Fm6sF9nLVULpEKYtY/y y/xcclE/JxoMl9UTzrKLdZ/CSfMwYhYQCQ7hWZ1y6bJovi4chKy8iZK+yPHsMzTytDy3IuILocR8 n7fIYxM0qWFH8ocNHR67CXDNgFBchworyekk9fl9PJBblY3HDQzaDdUL/f/xXAiYKYR46aBHUvBv oGgj7e1MAylCiy5PRG7jm5TKJ/rsGzU/iC+qEI58DsYvXh1SLHfBdUez90DgdTXFNcqrQOBfCep4 qdLlhHwz/mPtiIXzGXx433+rJ0Wsyh6LEeJ+QFQRDhTQ2nGve8M11d2XCpD2PbPwVMjccTgg7WhB u/aHW6idlIhkgDJyo7ISkM9aGC+cNh5ZxskhUJLVlR5cODvwXGmrVm3yYHwMyb5zPvDJA4gUPfHn I5CQu4MGYfVJK/Cq73rQOlI7zqVs0/MuavL3HcMpD2VR6BSs0NFSkOmZbNE4m2B0pCIQ55zMIut9 BVEUXvoNgZwJtzWKLZH9Cpaw1IBbC/w3LP/gSkWrVcLPiIUi/QcHx2H+yd8mJy6vjSphO778NB+m EfvW8rF5RRyoV3PK7ojcthljsl6w/MBO4g0tytRnIgB8q/n6NGvNBsmm3sbtd/3amCG75QdP1uO7 dhTEe8SzbHInVTbj/BS6PFUx6VBi3QC5bj7RlQpjnYFp+gIV8gF2zSzj08YJlKfqFI893iqpDQLn zCxKnUbmv8uzC/6bfMEzLQtfqRR2V9Uw05ZsRnJx4hT/BizwfL0VWazIGZFI7/LES9YdDY5T6K/i XUocCzrrJ/u16FXHQLryDvR4H2q+5X9OZ6z2o1rB1AXdxnWYXL0qUDTuLjkxlCK2Esao3krtQFbD OvHCjWM2LEdLmnNy6lwK+h80gRoMIsAGtoxo0S8qKnwFMvd8aXhS6Ovc2LnFsAC2uLDZclk2y5t6 s0Cqq5zQLlhtJWrDclDoacUrktUVE+4WsJFHCNRhjZUAoGQOFXrNNqz1lfw58CswhJ3F4EchsksH VXDlmUZlTpksyloGKx8MZGrvYd6Y4B8wAoNo4f2yLB/AAmLVoIwIoGHbWT0XK0XWqnBywSUqgBT4 UTn/cTDZSORgCMSxdp7eTI3jh/U+qlAaUIPFFKhgisY5Mu/7SgcqlF+uiCq7gnk56mpqddUsXfrF wufN0PXbHSYuxuh/QqxPWoauCXHKLXF2B4Gf3xAgYwokjQnu9AqR7fHktCVQ9QBC0DbNIvAB2NMe YiTCtbhhiM7Bpg86b9Eop67+esP++xYdAsg8GzJReP+rMZDvF9nnllB+4b3WiOBYL8gnqU44Cq9J 0t1tFdDlT467RdKKQnH+NlXqix9Li+kKDj5gN64uxeEFXNy8LhtIwcjH6U6/YwDaXdoWgO6khLbi MWgOwPC/x6EJVJ91K6nl9YwxpQ+MEylOGzA1YnsOMELcXAj7JgBahkKJeJgsNNwgzIjABbDQFMh7 O6C0kqPwCLf4fvdiEzCAfH1pvgC2V5WeT84EOXYc4IuHzGpi4v8EP/LZ7NaeA7Odu1PRm1XnhXwq vHKLRTSg8CkHyedFrZd7AeN4GD+gxblRHOnAbovy3MyUA2uV3AoOAXUKf0FCd9kwDcSoxV29QCdl SEg4D3z3+v+yH/NY/WE01tH/nxzpU81YFcwdlm9WlGgjYDOMEjo0qAp36iWE123JYy37FcyoxTMW gU9K5BIBX3VLTzoKi7bL561V2zCT0C+1bJV36RRrhc089a6jXcLcHJlZAsjnKeQsla98nMTw19yn +vKtqbMJwfw9urMArafUB2Ykc/XelYoAJWNsTfQo19V6XGhNsVw7pOM4SIS6T5PYzdljY8S5wVPD rU9rXUImioO9/B+wC+39MLEaRzO4I2JXG+cuoTIlGWRpbh5mKgvt92gG7h4hLvbEeBW5MnxtBQgy sjsE9pkxcLcZC67XoWeoKiITi8uAPKOLjvroIWJK6U0yHMxPWIYnaU3zRWKExzZ9H5zIdGUWEUgA jRqqAB5uUTyXzcSzqO7xJ0WkAIzGZeky3RI9xndpp0MSKbgPnczraeW11Cw5NV3PRzNcGCCgej0z Rnxxwq4tb4fwrfV7j25GHwdVinYAKES+mnhMMZwPaIo4xbutbEQgmqtVtJv8pgoaiaq03Ad3CMfo bov5xU86wXZXPLTih98yWPJ7KWws4+hteFXRdOq+s0wCQqG9zOKIsnj4PRQkYbmqYLDw/ONMrKSZ dQAFxUC5hZx9cYycHGlwoW/Af1ckYUg2yXh5ANQBMCZL04ccHs1F3kNflYDKbsnEQKjwlsOpjTR8 wUOhPV43IluwAhyst0zDTiGa+yqu22/a6h3CHwma027ruFhwGWCHtNyykmoVS/dq0QeuO9ar7Dmf fCiAGRtE1PDlqnn3rdtNeOcuAApl+M1R5P9foSqYbV4n7/u5tzV7B4pzqHun6nRopoAlZVzfbzyB D53SvXc7GzCnzBbVac7FB7Li95cHP+LBRAq43luRmG8wCgbCITqnB+jASIp1IH63Sn6Vp/rSJDXa LaioPCxqcLezzHt1CXVzuGy/xtQ+9kLCYJZjLDyWFMYzyu0aq7ORLUwFZqajmjNn+E1O0+Ye6kW1 FQ/QXENzFFO3OC0s61Iq+r+xq6HSJ5nmOBI/MEGqWUmK9dBkcEovxGk7eS8TAJV+fBb8UCoPzTO6 iyvvCQshYBs3sLDJr40DvYVmrArnAKbpudKu3g5KDLDVDmlTPrPcFuXeTdEihTi+jB7E/AooOjFy Jy/ztykw6hOHkeieZ3cjjdvGfKQIgAJKj/1tKK/Wl9vgL5NUlg/FMNH6nrTEK5e3MZLlwWEmuTVD rvUPnASF2BCSlKMkEf2vrbTOi+ypH+vpy+BzcCRBJQEKIvKK4E2EziWJHFhJFXVpIWDeWWcSAlOz hy0EPae+wKyz6ZvUlGJzXc57IsU9cydJ5Ff8Y6l79FntXkWfzSi/7RWs4rqIdc1MArqW9MHzfDRn HfDbESQNyTYUHXZkx+BGhqb6lH5DuTXuNCYoU1vfKsaiIiuG9y99N6qbasModfnhMRXBtuSZR49E Mk+Pgnz13Xf6rOJmhyC49E0qIUhg0bbBEieYmIInyzpYfqXtiiIRv++b76Q8P45OqK9/llyT86CU wGoXTKPqo5pc6nIjO9t9oJH+PF+b1IXdcyHOGXZMVRPVfcZwaZdotYQsvLeLNI2TNciOIZ/IX0vv CBSD0kAuQ6jdFuqypK5g/6jIoz6Cs8+BFyuSW8NRe+dV2KWekL77vt5xn9AS6rnspJhMJdbGAnDT Ia3ZZWBLOU9buw4WMWIVZ/8KnoChQqlpSC/+CHXZqWBAfzWwQRcgZah3KPG1X5j9EjEt08uMJ8eQ FHETzJAaUN46+4OEqNYJDLceP4vwh8Tcy+Tl/Jdk6HhlOYORAeekJnwwS5WGZdBG7gYYK1WmKwkl Yl0zWTN7NV4M9eIM288Qqsg/esFSd/LONZn0gOeopki5wwte/ZhXHza226gsItNErD+naflXJRan RV1pqB3s+SjzCJYJ0fSgu40uT3/Yku3uYPKdVeyQcrQ9Dr6yjhiEdU7V/4XAv4g9JKlPM2OUxhl+ zaP93A34xda/RWfesAy8pT37EYmcAhLxP4ZkDcLS+IlrJiS0vZactCJZl3IWVgjzJAjIARBQ0Yiy MjOaHm3tBDSkGT8VauvPCDNK1ZFa/vDDt62k7kagrTvEMVEKVQD2pEptkmK/EvGXkZbSHian9A5Z NcXuRwAxbR8JtrVc/YrNCjPsb7sQUoWn3hBxffZTYDFUIQ1M9+7k+gmoFvFlse9Fi+ruqxbZJiib HtW4RQCBQCDrCEiIE6/o2mhlEzf5hLq5et04yT3D5QQcAFk77qJ0LIQKbzmw/17tswm/ExD5FZFB prTPhVfqbYCGjWBD3VnafLP95H16FqD4E5h9k4imndMFYM6WTFnsF+G/86uFPiUn0EanBteF6Dvo C8hDb3Gsa+oFIE/iUItAbnZvLOU9GAXKtXC6ea4yilZlgbQfclLmjnPtu3fSDjdIpxmoaPWe9U8K tQ1AKehuUWWCvWxuLkWq6qrPzfgOZaIZ6eP6122Jx41QVLaNwVblDfrhv8eKC2ofQaKol3y1Io6/ KjX9hVqx0Gcg5DywlBOGMbOcgxhtSn8peiJo0OC21A2kPqMXjmtBrRzkZYENVR0HptFenz9u2E1d SMbiQngYWshvDRbypos38KA+sVs6kSHUhSWa2ShO1oAQJ1XpOwNqrTFCaqumKMdyjzNAIWGjzZcW FLe5vjFCsjJaH4JC8u9Ps06fcG4Fph8x9d0Q2P89WgV+e0QudQ4pA5UfbD9ozSNT0tF0WCRBcYVE oXygX42PDPso8mgTVgIdJmxOXVvkiFp19I9tpJsf2tb/LmRljr2UTYv56eOsp4HHaKIA0yUDcI1p T6GgFO1AvKmDCgegDyFxe7z0GwTb4nzHmPrIKFZEw3XGuPwXjUfWJnPP9tixg7tEL3fCod77aWJR JWRkX2Cp9s9BwdwGC1QiHh46OHXW7mXl3twjTak8nIMHgi66H6RCv/Wv25fZan7jHHuwXAMtAvMy IGoyW6Y7zeuq850QFVfCsDsewsNoRUarFxb1vJr5og2QeQwDR3qTvRQOtm2PwwzFKgR3lpdOl+w5 KT3Q+0CfkrQ1lGsC5I0iUff/HpuUN/PgUDxL5IbXcJUZfXyXYToeQLIiWdJMJuHxyU4lJp0HqDZJ Rsx7p/3UoXXUxKWTcTt9/Orts/uGCSb8itrzzeGYlgf+cThTNwilyhS4gU4gZW4KzO6uQBwk0+m0 iGUeWs8HfxeLOqNrzY4oImBMUc+I7sIIC20APo7FpmLjc+ZDIs4VxIbsj5/OFTGapg3URujCp7FL OpXp0vE+y8UeyaaCBY6Z8EFBYFciWTb26g09hXSBt5djOz7ZgmigA6uWPhu3TEW6DsiVAmDyMVH0 E8sDj/pYn1YlVxQOq5yxWzXiP5QgdSjhtPj5B5gzkh5Jm/8HCQfYbwt3T1QjhVWN4nspfTqsbpwa sXyQWsuA5/2DNCRKCGgAy0nUGHEMrymSQz4k6qdfZbwu40EfRTKUqu6aNOlsOOTgVxe/izvtzmpt rF5vO0mptAjzV6rF0XkQP1mEr9yDQ1FNy3mqkzUOH8kI3LuCB6wGkK5dy2YKD8meQhvhru08A313 QS2FKumAw8OoWRy/HMLz96Fwh0EXJ8tKRARcs8MdbXlNF37RLSX+3s4jO9stjOubxFR/TxlO9YdS kzmQ/EEtbh+VShkRwUyT/Hgf14nfoHDIHEI9kVuMFqKslBVIx6AIj4uF4+6nhMvKnzn+FoaL3gRX bXF9aOi0FSf2GmrPNTjMxg4fyAYCgDp327EvVJz3URa2dztZ3pwpuL6o+79yN5Jd1GDycOEmot6w nqJWTs8HklJO+lAHjkfs+9RLptI+mmHwBYNm36MTzmt8Ml7eCmhWbryexWJ2RSQ+bYXAVRoO9otq jl0wmTW9qneaRBabSswo/ALuvJWjNu3eDmsQhCx5yL+e1tx4SoyOMmMp99Xj+OpUW8cL6+7vS34w d7yQ7CON79AvJQmRgPXoSgLkFsVdYvwx26iL2ME7oRuOEDZzRypDUm4sqa3Dl+LnhVlf3qyo4qZi NZf5HYbjJsMKOe6skY/W7u+OiQ2pcUTdo1L25/rs8j6bWasfEBHlNoj5yE7RoIhgr9Nm65ZclRYp reSdgVkuGwGWdqlOX9MNXf/cI7L1Gdj7JB0rbVnI/HsmK/7q622/J+/pAP4djtQibZNj8Z3U8ft8 5Iz1M/kNv0MMfPLiztI6XABXDSJwuDOjuWZeq0iQWLSTzOLBVNPJzgu5ZLEHzQFsFFeSrMEJCj71 HgipVQjRUzNKaeeZzBRc95hiihH6FqjapJqXxNELIv0R70oXKh8dg/9fMGI6BeLXWYr4zB6yq+Gz xdsfFh7ZW2Uly8tBUQBfB7yZj+I0YyfTsnlwY4lJ7LoknMume1gJf9dcxV1wFv2XogOVeidcz0ub IBgg0jb0B1OcnNWxb/edeOD04KRLbN3jMjTWCU0ypQeqJPM68DXDahIa96Dlc1wqyNlJJFohksfr BSLnb842Zq9xltOSUrLUt2sNrB/W4OmPdBQPHcjlCis52RjNqza6PAAwA/pN0fL3Fxr7PrqIzwt3 XzJudKaOqjyu78PwYGe22gWmxZIT3ctOtAB+R+x/HMZKTXeLe1EriqCVtEXvHbxsdwrT0ampn80c YsTeqOVKbXGxY6tAFMzW2MrsDN8TWUXZCuK/yOXe3Or26TL2G1BzIZE93zfazocN2lMpCHMszNRD KFnQRrO6tNLfeulYQ8a9qA6/syvfVO4GzeXhSZYj7KejyU7MEIGkVsk8WrjUWUTpizSJ8T8UPp2A bDKKCQGn+HhTI9sScV7TMqC9koHBywSA41mM4M1nnIZYeABTOklSwUXSyJy56HBxRE4GEk/ReyXY GYICh2ToXb9o5kC7xBk3RKjLQQV+PxpBQ8eBJu0YqSC4DrA/I1IzGFZKhDZddKZREbZ6KTllmQV7 s7Hqm0xBo8CID86BLj0qUhANYkSUXALYAF9z8SclcB4Y6GGtvgazXlOP5zd7KhTySyqfc1jsxbFz a2fsbYd/Dn4KVuYCQgweJjov5/DtIy5Bz5Jf8jBmgBcEQAm4nO0ZBr2YCodXbmYB0H+eZ2FNWqlF qK8rExjOMQd0I5Q2dkkSM61Oqv3UUYlXtM0aQWiXjz4OkLciSsFC50e4jGEIKpms1q1flzIYXC26 pSQXKlyZF8vQ2ONIlobkb3Is83KjyfDya+KGzus6PZ2IwsxXCafIqZzpaCMUgG4RW1rlLLTcn1TP gjKtuEP9sYT6APS4bWISPjPym+gJ88+Em/MIP2O6nS+oOOW/+UhEJ9G0Xar03qPXZvhyN26m7nCi d4TAR4j6aScp4JomqfkJn7CHa1dYg6j+YNwfIhWfMZlLoTOSEH9Z5HOI6Y/272XxZoCOEnlAgHmd ZXAM85WNZMQRerEdnvlwSu6wzIHzuR4obGYOMEKpUzk+krdtoJxGXSwAA4l639sZO1JimMtQYf4R uoDwSE2NuQrjCTFCTGPQXNOZMrbRglJ9TbRm3o4w2hTJoOQg+nEGvWtZXk3iwyV2WuXsSBabgAhX b9ZSTL5yyEqYLaZVnNrjZhIrFzKgAR6SbiqFj6TPCtnKPZtglVjOpuMKutZs3MDe404ui11hYQE/ vi3yTB4jQTcWAyHlKsRHy8ZbdAF0QQarrwIHFykhAWUpewofvosfu4kiwlO+Vxc20IX7PGDNsnei AmJRSks1KhOPWq1S3UMS5Fhrki270+6CDjy16f90qe1/CHgLWaGVYrk+5Ewk2/GCITFzmn4sPL2o 30aFQqRPQ10LaXvae4QCjuR6w/0Hkyz7jejqevMss0kzsvZzEmcNn9s0UaxCK8VSVdx6iRupZkxF BrUS+8m044WSXgifMTLtTbw0j4LwgACXUG9Pjrxcp0NMhC0MGIdLnUsQt/fFtICj/6xmnbAGdQZx sx3/txMeGiY5LaXaGM7DRm0edgjPiGm5Ve8Rts97Spu2zInCVUccLV0LQTopD9O1usFH96s6Ta2K UWhjVU6Wxm/r/PDlL6pdImFnaaaifymdI20VuEDiNERTv30JdyhYb3v5N7BnmLf/YTRkptzf2zKq /CI474JI7Nfak9/PkpzPiOve64117NdUBxudNqtTSFjrILDuhACGhCLoSPwTR55StFaDmilPu0fa Ys9uRWUfZbebyXs56iBQdJZHj6ux+e9S0wJHMvsDNjF2pYaNpmneuOVSal/oK6k6hbZad8ElucEk C6Kno9DxM7lkQRd7dFCOS1+ttFwZsMDSSWX3zYbi3OQaaZubpApxSoiRHbtpSxea96IsYLt6WVeT Qrq56rmJ1cZCRmT/fJlGAUqY/Q0+YWhsQNcw81mjrQAmnQ3eBbh41/0Rah/179abtueA9duPM1Ie WU/bR/ORBb1YMn5z5UaSOCm/+SqRYk40SGPhjElbYvQJxdDadodLMS4dmGWgIVDUxsF4Xwo3b1lV k9oB0iEONEY2KqvSaMDtbeL3yKtUGJHKxBj/Kbnm32nWsEmXDa1taYTzN+OH6iFJ6tQfRyrZDOyq VFSV9LOizp2bdV8hfiuchQzOHCMYwGgN0gkWF6RC3EpQjXPv1q83LMfnmJkANpEnu/GApn5n8h6J QnZcGDlweEqtPyaRRh6OEzTaRZs9zdpxhu7j4oQ0GAhNxuAe/IgVRlldfuiUFZivj4yUjRRtt5GG ShIEmfhKXyWRUOBMpQOcQxpGckP7ICQc8/5+snoaveRRpVSWXD8vCnK/AYENmTUul2w4+coGaGCA h8akk1BBmoxfI+8EHX8a/evIp7eajLQqOme1vTiWhBgdwvh/tk6/V3Hirjb4PJzPdu88jUQ+xwU9 990kcAjQwWd79IQLkzFnCMkNs1Yy9LGoskxkiIqDiBkL4hEZdDCIx9+SB9Pi+rq6+zQPiWcgbKm6 BwtbGapNveYYX+qmbD5EeZoU1X9hEo3L4zpro4UrqsOhd9ylB1IRLDDTaGFAPw5yHQz+JFLyvCSy EaXbRr4wXzklKzEIu3xCRCEdYMcLP5z0Xve1vg2c3RhUckfPUgjfG4Skr5uSc0i/cOpSx/C79VC5 7mOLIrqyy3IysyzwnqRRnfkItlGTpt+b9B0/09LM1oZPjj+kLtQYL85ILWq7hBN+Dkge84tR80hn 7Cca+fRGKGboLEivr3VH7CoqwAYTz7HSrDZ8AcNVyqXXsNOFbdSH+mA2eR1mETiX/TLQy4+P3JPc z2DS12wYMlqwNBLPa+UqXoCmNe/Ck7Jh02eQjp3FVqk+uUnCYxBbnWBtJd9jOqoCIngPcgk93xNi g35YmsWmDIjucxD6pANraRGwwbrMDToNH9ITFjTbGY2oRzooktnAbbvM7JhD5bdA6xoW9QMzl3A6 eXewGoSSiwCoE5zlJapg4er8CZAz2n/+RBKH5arvYUrW2szjL7+c1Vrb64IjlNTlvzSHTAIAySGk k7rJfdy2OILwgwLYlTTNMa44GuBZbt/JuaXsX2/W2xhgoHgbq58R50s6p9J89C5slAeTm/g0gqxk ZOdSYVQNK36LRXVsxN0XKORSz57flUA9uo94N3uE8XomaS7L6MFaFYAKE3Kd5tiWb4TzDshfneWx bBUZY5GPnFf7HU+sd1Rsj2LkrfsffhsrGm1tRuweuDvv98KmUze4RQO9YO3d414lSCTO1oKBylfO qNrcgQSn+OEFa11x1jYNasbEXVGQq7zXwb11OEA3bWOaUXeiuSyuENCaJ3eYPTH2Ht4OxNHPhDPV ZR5O4wd0NrkTiMQrSp1hyzTaXKubvVkPPdeI74RZeMWYp7E3iQraZP8cmhzbhMC5vWHYUcV/uSgf BX4OCgRG86V5dDKiOD6tCCPNoMBel2JKpih143wLRVJE+4y0jitk8NmFk6vMVvBPI+z9OPGYIXI8 TIWSVzTY1faoRkpranitIjjB3ROUdW0boRok5I8vEp45K/eEfbth4ZM0r2zIhCBkzFsCr4jvcEJf 0+g20VxWcjLpMTwYkxPXdLcL1UKNBRCY7NT3Jw+iJ41yTwQzvGCDlGMdjGq4IcUA6JbcFXviGt0I 9GFRNjuepBUhn2iTD2NG2v1doT8hJFBVrrqJ7RMvqDit0lacOohSD+UO5L3ryopx15yfr0Ng0JAD RwvIHLnKcWxv0wJtvAkYnQidXSWCv9kOgZV34M9zANPk7eVr4WWmbPASEfG1G6ylez1AHkUIe5V/ qIF8rRWFzNL1e6c2Cg17ewd5ZNGiijFEOhN7d8eU2+i0sz11EoGm6CiDyCYmdI2W6OlIX3CewnKf qrcds0aqNnKriUH75/bPxcBfZex8LMC9ok1JpeRrGAO+9fZsgxsP4U/xtg6WQAaUt+vORbeiv4Q/ 81AHavBBQO18JpHrp32bP9lZUPoDOV5avBBo6QStBg1m7sBvKLs8h2xInWCxLju7I1BxffRnH+ni 1+MbpD4COBiAl7AjrMIKGVt0b+9I0MN+b4L2Tr21cx5Mb8gkgEwLopBfJpJ8x7tVd/uV5/Oyei0q AKybTmOkO2ZQHLNUHAR3J8g7/ub5oBaNVKi6g1hqOLhSVMFETJjB9afKS2HZt/qE5k1slVs2kbn1 +jJW0avmdk4JZazvvh1EkhogwdTbWTEokQIELJg4onMrETHAFg9XIDaowNnPx/Fh1qfhI1ffQ2b5 xJYJcn9Cxelrhc/ABHyjC62TEwbSuQkbAcFmxtnmXmeVq75R3LtvQc+8l11F9ZeubY717y82DX/F IkH6jYrVxOg+apoa5REAvMbnxdtVC9DmnhZqeTG6rz/eEG/v5rHSfGjbO7kz4VY898FvMjsueyi7 IyGI6tscBMoNlpzlITs3++jARTxlM0tYcK3WZA60EWQCh+RvkiJZy0FGo+yj+v07UljMJC1WQpms AVfs0/ppz57g+ZP7NDpIbh4pRK/xoYLxRv4iEKBdxIWfhHmzBL9kI1yYm3yUkrL9nZ8vAWtf93mS rAgQwP7GLlCYiL3Qy2Fj7jYsiSutW8OOH2fassqNOu6EK6VdIV3SjaY6p9IoU+JHWDvk1+9rN1GY L8IKKbOR5IWasERufMtJWX0YH0lqk4kwlv1bPZrueDOyF9hsZbnQHOgLWdPnUB7DD6PxCER5IrHf i/tYSeGvLuypAvR5U3clvnykzTTWO/FQ9fX5JDIBPrgMwyJtP9YTiZtGLvqE9PjgOqNaj6CP10vs rXoyQ2rHEZf/awoTrSvhucaK1jchfFgGmLzdtFcrltQaLo4CYUAJy+ciOaj45Kbd3io8xUnihM/m 1sBG2ZFUWaZXSe1mdRBiMHxtC4T0vE82y4Kd5ngan4UzygqIHNK7lTt91lZ7n1V9AlXbhN4BW0yn MwvMj81NKrfEiBdwhLjguZj+PhpoWe+OYKVlgBWcOr+4npRZwpRwlTks9ONLymlhKJEKg3dqP+cM F+we3RiuB1COlkzwtFPmubtSWXHYaBoZsBoyaXdOUcMSRpeCP8W7tnPxSMFlneCpo1ZvnBWVSjr/ CMNnWfdScHPSaVY6kRtX73UstbW+48pj6t1Wzprr9MrgO8di9Yrmonsg6Dj/oNH6K/AU3D2m1Jkn msDGz31WQjck8WXIwPkX+aoNITfsbvVQRfn7QB/zzuWZw525iPAOwz8x53GpEr0aQ6/HVJRgO0Ev DUV2cBaWXTge9h9vMrFYygaVbAaDy23S+iMpbGHtgzg/3dyHUG7VHJ/TbISJPXxtiOFeCfkpUlUb 5T8ceiLtBqfdvzsoEPHdSWz897xwXRuQ9b9lzQ9MYW9KhQRfKFda2PPRvMGuuHUdqPkfljx4ne2H HJPTo52zVpKEvwKjyYWFDZV2TBENuoTzDF3WBTjcqKsp/cY+uGNbd70ZGoGpPV7JQeSdHg/RO93V PA1VyzfrB1iTfATgvid+1k073e66yCZAjbMiYkF4qEfCXaoCkYFm8KaEOGEwfyKA9LIGA3jdN50B taWZ2eArtlct85TStriqueXSONJkzDTiRP2H67EuDL+QRNCmPt+Dd+O+npeUmHYFgQTb7sp5was0 TEeIt79tNCKyXjdVkLjlpLbfamIJxYNGwhYgGUIrx4I13PzUA+WNPym/B3FsWaPcHK6X5d0aLBGl ur3zWy0dg2T2t3YPI3bNm/VfR57+IiC74yGa8LMv+QVhA/N6Rg9onDg8DGWp7iLmrcyxIiMaAVvg 3kH3ytL1wRL81QiKCDv5d1pbpRUHszCSRmfljEbujPVPyGlgBPSlX0dEIJuax2iGT0bu1tyivW/3 EtgF2cwEmmrTv21AH/0uJkrc8gm4l3svxNb4RqePbb1ey1TNknEa77WqUJ1AssnaF216UZ6SA5kg dcMPlJo9PsEhVSJu+XUvySKET6shVYP0ZZmL1m1R8FhCF+49XWsy8bvMEb1qy9Ao61o6sGIwGQYA gWJSgdTLmcOGblayn5EaDX1xDdYpEZW0UzXpz522cV3hMRHs7XoEBZKe3aCjvX+KCYA0vcbrDLaK Afh4MN8h5n3q1KsAoM3gm5P5SP2o2FWhMIDwI3Av+qAqbHklu+nVi5qxPMj3W+A+cpDvIx2dBt4Z yeN8s7WU1WJzQzvMg7V1SIjPOFzp/TNwHkEaBdN49lpvK6b+DUkDYUyWSVtN3e4Umg3mTmKPG3o1 Veq9bT5w6pJaSnlReXe+7oRPbydDJKbmOezObIDK4O03k0RsOggNtHqbUuw3gS4x3d1+JxCWGim2 oKqTroWPyMYkFLJE/WoSi5dg+l1UW4YTylkJ0V8oMFcYA21b45DhofQGUwxyL6P/kE4KJWcIfCAi UnrlPz9MzQpfdZr2z4h9o18g/xeEyVq2T6n9gNHafTZogMrTl4dNJZ+F/Bxkvh6yOgRo0B2KmYLN 27yQGSEyNJ6u80h/rgw1zueODVhJXZgKsOEHg7i2nlKItjeS5phNqvoSrltkxoOa8vwLpqtryguH p5Jw4G11cbXKFw95IceZXLdn7E/ce+KzI5GqI29d4GIMWbUa7mi6yTVl5x+ujKu/kw5cIAB3HS9v yLk7L6w2b/HIaLMMknVEWpy6Ic7AP0UNDE8QcNz4Ejbyz/lgnqFExrEIAw6W3aqJ3OM+YuNo1Slz 7w+0J6FSiC4ZDfJRI7am9LXj8EM1hOXcA95uwfmIHKbh1JVSCh7b+kB0cSLPW5tRrCz7QS+S1a56 YSjNUIzVKIPaC0/VS09qlxIR1P2RyYuHb5N9ra0LoouzcMncgRYInptMTLNBi5HW61R6SjuAJnUZ jhYotJcjE1RiuTwIPyxpbC3pn5EkxnRYFobQ16uXsVjHjPK+CvJsGWQj1Pxb24yUFUCfpLgpSvOA RV68l4kA1VurWCUbNGAsdJFeZ9rccWn8DmmRKM/AsZ3Sn3FK94hTzWESBf4SKwBx1qjpWMXlZzfh 7xH5g/dqRNY4QYYRkMMa7K4l5/KX0DQj1wUCepvJF2iMaDyxAM0lMTWBmtwLd4DLdcAsqmOO7Skk QB7Vl3l+/0N9/vQPKKjLO4dvuSy6BP4b9e0U5MvbZT/UmfcU6NvCtcJJQXVO+X/6XyIr4mZEkW1F L8ICq0WRz5acPC0I4dcTwbe/NRKZcr9Na3FNv0ESE7XYIVNv0fMCsCzJjpSqaJkt/uk5/jYQg5CW apVvMmuI7fyXNj+EFb0EvrOgVkT0a979MmhUQfMJZ4WypVtwPyxpMSqkzs28/1p4ie0U9Nr51iZO lhbisUSkOZAp10FQyIQMfETetQ1NbnwmRsKNdXr8sUmQ24k9l3vlCB5T8UQaHXHFn37s+6714G07 kqZxNucmb1cVb8Np8rxaUXkL42+2yzUoXzRzbolQQ4CVb9z68VoJDikJZ//3A5OMQutibJBZWPtV tmrC9Rna+MbQX5j776nI45GeXqNl3iQtMPXSJZ0FUCxTvPRc/tcE7jOffQ8l3IjUDGrWjiT2pYKV ZSmkMlu92z87YHIEbUnCoaGQmppC8S9bHZClX0NALydWHpdp624VizjbQJSFgkEjvG8hy6aKpBZ9 6eSYLPgBu8nZiswDN/c3osQwGtweJKUGXgyPMuMhhJAYQMZ24iBHHe6HAYE/YfKfX4/I2wbO4YPW IIAg57uf3hb9DfXIsL8gJ+vsrIOH5xyRnUMfvbCSSbIqsnlsP3tlxNT0MhqALmQSiC45/i1T68kk 5XJCkC8dxfzOyZpdSRD0wm3HGa1qYRv+9NsvnPuuq7BkU8tg2d8w/xMdUFK19dX4/BFGVPSjKvj2 i17sxPR2l4sZ68z5SfgGYoUYqE3lYnC34fCWEBIV/LrH+sukeLnzl7Rmpy71S02S2G9mYpac3Epc R9F9cIYCqdZC9RLjsJejw3V6V7gTxWJ4rBgVroa88kldEhR6H4PAYPZXraZ4oT3Dvvodog4tUsoT 1581JEvlx7gWkpIrjaQ+L1VxSmc+4OwGE92wbg6IOUh8LEYgRq+C44ueAbyJ4uEN2MHslPRNq6Ub iY14gtHutuYTl//n6x727y1W63CGbKZbkHwgVNy2ptKim6EMBhxB7VxtpO0Rtn27YmAhQYZX5yz2 fRd3eTzhFLBypaOIkZy704C/zve9Q91//6aOmdkeGOcVH033FTmwcyLkNxZavG+DGb6F2zc+tcp2 h/cQqqBLRkrcSUvx86gMM5OdMkAl3PRVKJyJTlawPjlWI0tNqtEh1jJCUd8th37Pp+WoydyuxW3T t+A0d1ATgI7YKoLewym2cUJRrcn+pB1N2wmDFdGVelGYJWqJmGBvXrv4JN+AMXxFjnkUsSRI2zG3 iwVmi/t7AUU9exqwtkcCOHg6p7Te7KQXELqKRsB06WAx5GZOWfuVChkzOcttVpyHHNTZBbsRtww3 pvf+fCwVR6sAQygPChTY51/xLPRHUFUwb5N5ndxVLJqDleQY9kHSo+tnIaHG2vPyOEvZRv+o5Ixr DoP1w4SYFFGeBqtbIgPzQH7fePBpVHtVIAgu72s2iNFFUHRQ14ZgzxL6H+FldwL5Ml3TJdODFene UqZ31xz6ac8x0wd85qBKJ+oXvRiUU/WdC7b2TJj8j5ng0j7M9UuOgmO2XuowEbvj6JRBWpBkuwxV czLTpDqq/0kEVQIUbBqb1tm/sGudjlsZAG+Vqpfm3ZV9LAUOEQDUNndAG1bFsoToKWQt2jDw9nqi hZQHTiWLLqraElzHj3X0aoAMfg+o7qkjydR9sU/0ByOcgrJXVXhawnH2rTH+Gi/vOshxOnu2vvBl /DMYhX5Io0bcpZwcTGiAJJ+ScR/kftMj/TF8kKwH5Ux5zVOQ+lkgwSHecjqK/ldLlxGQYXf6MRwz fmZ+no7tCUVsp4Jdg9ZYXVOMv7TcMxhl+Sf0dsZ2NZScZVTov3hFr42fUNwi74C+mTPluGnsmXQH IQgwqKEAPlMe61+FTkF1C/rDEw8CdkDaX3/XRtEd1gQpE2lconaSQAN1qgpYc1mfug/IRidaqXIk 8WLEgXqEzKvuuIJvOfHpCEpmEM+0LMP7Wk7ge7lPcGqhXBHUeEHch6uaBQx7kOmrQsi4DwJh6UEm 4W9KMkkdO9tXqBbPSLvCNUM0p9JWNPnhkZn22WiihXh7uCgeRiUi7CA4+hGrbgEUVwPR+NlyEkD+ BME9MfyA+/5Vbg7XVEFrdqLjwubjlEjWfjbfvbpCHtHzphCHYeL5nh8nm+8CA4yeVPXlDKleSihg ujC5n5ODZqWrxH9kDvWijHSO/y8/LuzZ7s8TzwNbejeE5q8+Si7tpWtUqv6fIO7VBog3YMK4Rqk8 sssi367w0LTvYeojrFwvrmG+6+x5SgwInL1YHkH9C4CaKCA9UfXv7vj8cNRuR190iNFPFIt22Lb9 9UUQJ72kr2IRr0sDGnpKga7yzaRjPJD/wgBRs30hy+0E9TfJaSGMT3Yhnk84+pWS1DX3b62SHPAJ Tnynq51S8+sk37N9BR1JhLUPnNKTUbf06jsY2wL9ybtS0o6H9YyVNx+F7/EVR3UoYSZaXUSuKhmZ ObKceVcnnN7yUSXoid/swPUHWQHibpI57Co0E1ajJdUfa3J4XO1TvUIRvoAlm1JzYWrsl9ev5fVX r0YvmWiiBNfysQsIXhX7/4WVgQ7yKwWZWs7O4KqFVAA8hP+aJ3m/eHKQwzTk5g/TI0H31CuU7Kig G6OX4gbRdOPbBOhDQfKa3cpvbnFI74j3FJDFy/cjkCzJQV3k0e+jMiu+bdfYj0ilnwfYN6LYPCWk r9PPwwDqJGIHhTc8Qpqu9ds08Vovau+cOgkJMTwG2dB7UpK2YuU+PH77THlpBtf278gaVimLhHFh CXP4lr2aYW7DUp9gqPQ3twmrP+Nd3Wqz7Ms/F4iWkqjxfm8gZm4yS8Uk+sgAGJ/pGyAOwOOkwocd 8tbS+Lh0N38laRzkganU2suYTu7onCXzOR6bJORTtT9Pu/gJPA+D5g/KUAmbZ8zs5mMoeynaETWW mX+doLRI0+JjJbUiy5w8xYmWiCYLKnT/aUHZI1Ckfvs5K8Zt/wC4shxY+r4hoCWD/1DXyP3S96wd wzJOftZ61Ti8Viuf2cCUYVRGpi+qJFFWSGgrySBoF+BZOGQyTrfj5ffCP7EGOvzNjvgSzy37LOp8 VG741AT1psVuIA/CJQGZaMHLuq1xk3Wdg1hc893krZTMF8TE9xu8oNESsuFs1perOxThY0tqbpVI 9003ilkEqN3ad++nWUqk7L69hCY+QtGDfWURKpmtHxYDiVAET3ah/6ySzYLXmanOWOzlQung1Wp7 kvz5ny1S1bJAvY5KzwpjXTZf+tv+HNzws1PC4TlLTRhvDIEZkYmdrHFVANn+p91sZSlakcGnk5Lg z+TdrbBBSSGJWj0AV7izNgK2mYC9eklnGw2N856CdxywJp7duU7NVMbU26tlFrYx0X5jskNb40Tm 5q6jrkXJrlb59oFE4ePRYLfMdPVqNPOZIlTcjarHvg4ghFtlWUqm8P9m9EYrob2u6se+YgzSVxGl CGiGtunm9A2F2S/70EGMUPBXWroyFC/7L8QzFAncfQd5Qm5TK3MCDK6+0+77oxcyjqkFXeYqj4J7 46gonLUlMX9t9BoH98FiKuKZNAdAYZTTpL0zZgd/R3lzaPAc0Ld6YlI4mXIJW2mihp0K4C/i9kTR 9UX5hDAGY2RA9U5llRyZxuI2QSr0vfjJS4g0kgdsJ+0VPNQO8ARiK6JVS/zSW+LtNtiidNYU0+kH tbr39U2BSRAWhvEh2ZqvcrGHxC5mDiZn16bPSE+lgs3jff6iwQvYTDz83ChBQruXMtGcJ/MF0klI jVf/YwayVXjGxZGV/EAicokrLQtZERAHbEdszqiNjMbOXCpKJkhVgetC5djC+6vVVbQmWBnE7iwo fXlU6qC5daI5lIl17Rp/s1A1iumfkbwVk84Q/9r+Zj4EwjEcTR921gpTNJHeAmyudIoKHI8QUzIi rnfGSe0nW94fOIMbdHKbhkFw3ChSwqxyvkVvrzNds4d26cfPhA9UkgxcQbDZBF3INYa4WBgNdrtC wTr9a/z8/ywlWI9UQSaxnCkR3NG9ttGsxlvUEfF+BYhtpBElCqd4KYk8nx7cUW2zo+/KyMIMA4pw 7Ri31+vo6aG56tnf5E4T9mEbcaw5pdYSF0W41GmLjlKWpZRZEDJndU7pCb49CpjJmuB0o/Iw/0y6 kNzPcoYoLChR0NTxSWI+eVGn0DdgzfFImjgBMxxIUddn2HxZ9lpFoFNGjMhNfbXxVOFhCBRUl81S YcYHSnfjYng6/hbU75bnRv5jC1mVM9/LTwQ9roBrWzZWaKTA37DVWVGIbr2ahrSGq91HlyyZNEGY nAV7HM6iKS5kkHxQotceW7B/wFJN2lKvVG5Y++dFXE2Jw1/ygVO1YyNEPW7rJYvOOFGTDSIxWAOG P7zju+3p+50a4+sBBcyivu6oitvTviNM8fkrDztCuTJ564nxx+Xb3bKYwjJzxoUlIwjq+wZbKgPE TlYESAaw1nI9bwmOSt52dCzB6DIaymt2PhQW6eFa7p/POO84tC2kvZBNCZyYufm5/Vr+0tdT+zIr zG3TjUjPGmtujgGA2LNvqh4pWujkMneJZd7M9Djm64gFpftMH4TnMZlQWrMdjjFu8LrHb/s9M8+a DOoMqabGfGUT42FNQTcwMWKqdyXHI4soHt0fTnU18UTsHcGK3ZiXhc4K/jCYZxr5dDzLfXTU5iQB 1VOT1QfFTEmq4pv05+0TCQuTpjqFhw80fy8ug9YIk4jNt7IRrZODL0WGAbuXkxLFIhctNoLgWvu7 kW+M0poLhru747wStPwQz3mKio9jlr4wsbTXVaaO74soBqSMuPpNihHgLeHPhgl4iU/ectUcgzV/ x56hADzTmd+qCtPHxwlxjyhOBwtQEGa1EEAxFlmk62Cf/SGD/WuzLf5XxK1Ir12ItPVQBAbUEktJ I5bIMvgpmUjCFuDx5lw2Gtj7jUMD3XvG4zvO7nG7ZoiGl0NspmqfzmoPVEZms+WHZ1KLx6ENwoa+ BJ4Om/maUN5g9dnIi0F44Ulr0FVzdHOlqnzIAdrvK+wibNe6E62O72tw73dhu1n3rhWkETGtli8B rL5NWmZAuHU7guxMCOIh7v8dq51nTdfVMiVigjPG47FmizPlMvAV/fHqWiYg7LrQEqhsBRKRFVni owAzjnOtRvczTLoWPiDWoCeVaEpl4+FZv+3qFKfa+YVcFoExTx4e24AZ5b5dGvOIbZ3nL08SgzQX a/clAxFFuLTXWNjirCpIEUK1Ksj9z2lYWZOKGVaYd0/zGtwBvXv2Rcb/HGzrikyhyiGajROKSlCC +t+ieNy3JMRDcUeqLB7S5T/l8ztBqUiJ4TuseRqLbhgeQ5itOkyt/ry1wW6ZZAZdsL8Xnv9L9zK3 ZbavnMRMKEM/WI/5+SfCn2oH1xhCjk4mTnr5gHO1RoDd112yOZaLoFpVllDGEWyN6mL3AWi+zpMi 85zGM4qfMc8at5vMOGBKSFMYtny6jq7748ZObowBawqTSC3pFH7JLskpxyqzAftTey8PCpRdYsha F6bbdWN73CVzpwVSAjb2kc61/KWfSm81Gi93nRtwQ1plwkzsz4oDBsOHHLUJyq/6/1LOWhV0kzeZ W3dKrAyMAKCm2Veagck86Ny1eYIDhnTKuQmWxFSL8ne1F1jyTZc8VgR9t6OmZBqBSLYannWVEFHV eJjiOX3HOzqDVcMgJ75yb+hCi9oqpNL28Mcgtn1MbEbcgEPOE1cy+dSl8FCqiAN2afCGoyj2r89x XJ8BI7FeABFoEkJgQpGrLsYbjEzgMYceMAxORgbSXOVOoQFatCnoocUf5J/p4OGXM9/Q36IkMR4Q Q/xWBAxbRM5Nzn7l8LIEpxAtwFPy7MoopHEPFI7nhNMjE2Kk6zfcXA4eH9cvfTmGAe0bEE8hz8Eg pRrrPEvDKbb/6CBZR25WTNpFkd6wRD8uCM8c2Lcmx6f94aYZs59seM2DI4ODxaN1M8tYbv6jAK6I /dsrx40dn10Y2YCH78Bjqz+vBJ/W+M2E0bfIUsnnllxl4+hxl7GiXQLuk3si1p+yxihT4/bIp2Sx OgMczWyhgs3QfPgumJGiAZpgxQoQTc17ioGF7Zys16KDlwOPEq52AedB4KKIalhb3nYSVoVvWc53 qPCcTtlDF6/19IA3tcLaeWDxCBtj1vJ+yQogULdWAQ9g55FQhceH/c1mr7ojhQj5klzuQZJo10ga KU2SKwKlgXCj4Nx93Hm7iGT3rnsJBl2mLI9LgxMHyvddxpjeNkOJ+4fV/7eNKEhGiI/Vhx8HnkLq 78TL0JgYo+lBcNfEDB0I0xJ7eHhhN1B6SiW43i2bsy4YFXJCtJzCFM7G3jXpxklrCq+K1zmiN1TI B2VvZRusnrjwNMtYge8WLEiNUDOAa65EJrC8wS/V38bzErnjahzyw6kEUYrTy3/yjs8/nku4qkLn M7a0hKEhDLWwZbVPYJSkkqh2mBaI2HnR7oGbxq9mMDGXwxselGh7pNFe4uyAGpipmF+Z9JGACcfv KeulAQQx6f/ZrIOIdFZ4zcXnLFZzgZCDDxn9Sqp6LLAobaxluooLYETd95HlBJwIV5S/gDPLjo8e mbiqtMGs1S7U8i71p77Sgwx+aTdBYxbcIBfdOrybKj4EnbHgu10A+gY4yveCKNbku/+u6hRHKjDV 0PEh9RNUXM7O1iBSinfaGCx8+qtr2C0Z2jq4th58jJwD9SjcBFgk0MDiUYR+KJqgAv9zXGrnZOAj 5XNaVOpogcFmBXJAH7vf9Iuf8xMuGHNY+tJnf7smtOTyaR8uT4eGwOyEYn8gcU+Xwl8NjjxN8o6a P3cGUfm2QR+PHfDdrFg5cCDdeCxIW815bioQ6dcM4Imm2WLm4lzz0LswxVQjUmzvgetzVik/BiTy wEfiHCJ7m9r6INK9J5JdXx7586iNJodAmURv4V17NZ2tClAfM380KQaIDKC2dBmAeEzyooLFk4Pl nA3wXYNvCVIB5jpCxuT/ULwg+BiDO4NrtUA6Sz30VtljTHnmJ9xWW4qpot8ZqYaKWQFQdrHCa/x3 dl4v7g23MlzcVhfJRJGFHX8giG1wiLtEvZ9xK6auTIJmAnNWLsHlc5nC5ZbAZOWJtPu63o+H4LvC YMwyU0KMKCKgMVGCTirw3ARxY2kceSho5xKLpVC3hoNGRid2X/NFn6M2c1k39nClQwo8Uh1h/tT+ MKBR1btVe67dEGVeFUe4OvY/vNeyY6d/eSI6bcwWXMCg1PLQuKJa6t03Ok/XgcUmypJpVuJ98NXD puRawN2bvpX/7PJIrq/muBNceLwlfiDeicwsgkxSNFXm8RtNSup+VmC+yhitM7CbivAxJW25j17Y AOn816g0rdkB/TrTPEIfjy9Qqf8/dgEVqKY0MBwKZ1MkVX+YF+eQ4crmbFywH/EGHwR8af5oUYIY 1GqV67uj1KI6Qrm/Arn8FO7K1M+cNVPCKk+iRW8QxyYxKLKHobpTmS4/XGJ7GDsyDeK3wE6PA4QO rQw75BxW/VkKMwT14GRCfuIrLsdUu6ah+EZZsJK7bzjAE+HeR3SwG3/XXFMYQm77+/4ix2Q+1p3d Czotq0ZurrOIccN4WUMHzFknFYFQS+1giFJwGdUIze6Gq5azuCw6vgnTbgNErl1h+kQIpWHQQNAe vKDmNQ8ohJAzgWccs7xwX35I94C0DlRioJ+7cJqq5NpvZ4MJIu1IZ3JjP5cO7NjWxSDNFZO1qh9z cMqtmuW0bw1Z+3/jcI0nAYDSfQtoJ1LPwoxO242Sw1MBxDAF93JsjVEvWNzpciKQz1kLoNa8uG+C HYn69ecJp2MNZWfrv33lBjtJIMVzPkEF8dkjhfL1ga7AOY918L1OTAtUHruKBORnc8Wl+EQ++qk6 OhJvVAFqxHgc18WfBhwNgVp5vTJTwuKtp93Ok9fd197mmzjFdQMoJtTMU830gNhec2oLo9QIfOY3 vHYsLlWjIzhxwQPRIjOzmvwWM/MjlT4E4wfq1HHPaQAP8uVI1Sh/ka0bdtWa5Flh4HHDVefpNrqk vqKanBmek16fhv24AyXlS3jP2Tq6z2vtZY/iWQneONH+BXZjzjYRyaTmUBfgXaMHgQQz57p5Lieq 0raXTXoB5xcsaKjUgjRHig6/kUIq16ZjyHmbggizRfwKEKtuTAqNrPuPBWXrnN/piHv5J42OCybU KIvOjc1hYE9FobRG6T/okMH4oMqCKSBCOe2byTiJEmPRMe1fPhG+voiZCDYf/Gn2JzLl3RZ0QMXa AAZJzODMFS+qE+sPNw0aBrLPc+5rtIvfAPDY5VNFV19mYywZVirLjitWL273vSOE7DHLvgHeoaRR uFrmQC/o9hmZnVp+U4hiSorfNaHg9WIo0+LmQcKX4/HeNCUJ/a0NDyqM91yywta/BrbSHyoGPpm2 kKC0ND8CVfytEsm6LD3Wq+WeF8P4E+cBCz5rJ+vWDZp9nRtVpedIv6Rx56vOCdwS2ErgsPQ0zbd7 VNv/0vEdFK6NYJqYsEjloU2V66zLzDzGRqf6CN5mNi7WguDaG2jUUzTIWT2fYNkxsyyrigBd3foy suwxJDdY7cVDEXTh8yOmSm8ZnvD+pQVukM+lnaADB0L3p8tTeV62Qc/hH9wW8tMCHg2WrKvs5qVc ic5699cmRhK1przoFI4GnC7DA1zCTinDOdwjfAJzKMKlMXqssJpw5Cbnl8rhTCXvaO8ikjvUkazo 5YcdoniVKh+WqHEiCDU+MLPNJoZfNRFGQ0sXNg2s2ObW6mRNmrAQAJ5iyDQeng31R8LzDPRhgiJ8 x/lxDONdt1EtDIY4PJd+S+fLGxqDOrGW9gxjCLrutauA6C8v2O3BvZvZIGcnHE9i3i5T6QmThkZ4 PD0i2b48x8EdD30Kh6/LLI3gy4QyNilXqtB1vXURhDYhuQ6xLHBkWMi7ijUhBh/bzXSmRVudUEnB +lbixRpiVymFtNV5tLjeth31SMn2MYLwcChaIKtiUdq/bs/rZjSzT4Vxi9Ci5IeI5EI17UdGdEZ9 YJTkU7Afx38NrvkVmLLKLR3L/sucCo1s+DoelV+ENSWny/7DhKQ3WUMvFpPYnGSMbu3hebLLbGtV uVXSy+kVzh+tSqNdEbjWScGoxM5SnaaJBPEikvpCUI6bM6YGs3YBwQDiiBy/uH/2EOzXJtBy8CYb kKWldogawsARQF397Pb+Uk2yi95khXUImQ7QZt2sQowhTYgchcgGlppQnroA+Gktv/ZqOGD0eFVn S997y08EP5JtnZEqxvsVnIV3lyXo5ccARjZe9nQj5yqsv7nivYjDOT8S74ut1doO2IL1DI8oTzEA tkqCzBjC/8ZNkcmjzvSAW7gWWRfs0KknwGSV3dV0bvJCgK/s6isEizcPwe0U8VKG/1Oamtnoja20 nORXYjP1fQIsfnscFiqbnD9OEfq/C6zEmeEdcnB4iugFsYaQbbFOl9yXHq9ivOqrqgHK6AMblFhk 0rLaThk2lLHmzYY1dFpg1StCrwyTqCcEp5TFn2Z7NFqm0wfQ+eZBCTfOctDaZNqOL9fa5L1JwSM0 HAlOUM6gUVWT2e9Js7BGByo6Uc6BCtU0nzpm20T+jaj+/kNjmOWFnAsmw/t2hTPGX1E3FY8VhXO8 IQkY7B+6JiwA+dAwvRQa1X3AOtL1ang0u9t9dUPU8n1uoU2HYdZ0hYgbvWJN87wGCpJX1Yszhfyk /5duzEspUD7JR3GpBZTcglj64adjDw+V9wR0WtXdAD5y4RyWQfCPeGEJSqdEXJpSlR5ojuU85rTV v0oA0m6X6PIVaTkr20LvfuFQV6dkxzLNpDwFkU2pEYLzxVhVZ00uWFq/dQveD5BV+zBFlvk9/+xh wzJeYtoLok3oXTAsVrSeLlwnCFChV1ziTukbGDgRHjvFevghO8NTRs363YwL+37kyv4ZaGq64t8/ xH+SyDW7OhemgaV4ZeSRlNcEnDfYAFC7hl/LPqi6UEBi2xST3P+z3dXhT59Ha79syBhZqzTj/8hL HQHfZOKcUbQj8LILC8C3I5lfTsdsVBcez3nq8OIwg8wbMcOVlzqIrdM1s1i6HjMIPwQCJgajIUW8 5eL2OTukkXv6ZFKOKNdnuLk0gmqL1y9vYddggDFyyBZ7WUWrDis0em5ZrBvms7/VGUKr/vWIsm7L 7UCQVTiq2JzVyzPmFTWydKFG+K/PHRX+v6qj1cqbFjNBbfzls/GokY8Pdmlg64IPZoGoek+wRWMx kfqsxOJPLsW74wNuUN6Rpd9OF35q5E1l3bvzLQ5Llqnxzc1TsGxRc7ZplGqB5Ti9ot7kpk89N7mU MSnckGXGRkpTul08QkUwldo1LUEGwHWxTaPmHKizZ7wz/pi9BurpWamaOLidD5q2SkK/kCisF/jt q/T1PNjgWzeFhAHqIXD6GZcaqPlT8UdSKhVUIE3LI3d2JwHTvKphGEN4BUJIKleGOYziCjWNHKW/ MiFNFe4/tYHnPUQZV5M7si57lWaVmSOa7PbxAT4cTcPQK/ULAi2j1/FhissjdYUHaaBdvO+mZrwU g98eeC5MTnmiU2yG58Lkn1Or0y7p2A864/pKguriDYAw/BpxbGBc1OW9halMbWU4o7Y8W0iid7I6 gj11sdc2eQSD6CyWuXRUYJXWuYtMWitS/h0bN4zKKYoHyN+Pb26auyiqdllX7noVI+nkNYMqG3Q7 u85cvl5MkdkR+Ur0oQD2oRVw9fm2wibdeXmpfHoRSTn2UW9rRFI/hwEsPslFI/+IX0IRa+9Oos2E DExWjv+R3Fmh4CWcT0cUP34g41pTBNlcHu9M8N68Ey3SvEUWhAOXPCjD+sYSOIwnPo/2MgPzMVgU KLKk+7lsgCsFK3hyKhrOtEtZj9OwTL4hPKJ72dGqFVnZ48SHeO8z5Z3WdkRn1WKk8c5+D6LnGUMY XNmYE3H5NWI2OfwdHEUl9A8sYk/eywRnm9gnRkCiR2dWDXmUNhj7ettaWkjGW3cDFD1dV0OCM3ey ZrQcxdpNFQRyXLozsy0Nd9ktTUNEsdCNLILPpuSfMZqkehQXZChD6ER2cYtRFnMFPOaShl36PK/R mkLgyTkvEPJD3NED5s57mZXFbe3F6vy6AJMDEvl7Na+RBIUSPYUV4yVcs5PGrbNyovc2H+ELiJLw zyqK29iw1wZd8HiKZUrGoiMABVyPcufnDgLFepXaXUGleQoofXfqpeVduhPRPeDUQq+KRlOcnaAN 7jPvGrB0ch02qhAkjqyirQ510ylnaq+PN007IS2zHAA+iCSYZzhxnormLDnGWOlXw75qd/s9gD+g AaO7JJ+UUqjPc9Eem47RSA8a4zLLjq+T7JLdOGSJ8rf0OkSb/KN7lmAznQWE4pNekS6E+ZFuiUT/ asN2nsgV5drYLVt5eg8SO7SnXuEQxeMCmxvw67IIyJoRDWUSpr5mMlXmvmd5Xt+Lp3ZWjRikV8Dw wXsuGeSfGkKfZFy30EvYzqScTYnsOoMiH70ZGrTar97ii5CVsIPYNB71OL8MUTSfUYHCuT+sgrDZ Fooux9MfHtEiNHvvA52JT/jQwBYpd0knHfOCEkXAPWe6zwF7WU3ELwThPGEOYN6y505n9idXaeVa Z/S8cphKf6miE4gthx+RfNjETwOOLANxOUGZYjScfxUdLarwTopf0/GGdmsDaewKuWBV5o+2Wl3B 1386V6lGy0sAb7TOCdTXVeXEl+zQOJwnPH49DkGGGkDI10F9QdtPGaQVWUsShm/39VnCQtirBgyD WAPFxroggZtU7A/sE8GjWPmtM8XHaXzMDyd5s9nc+NcCWIpeSQcvqHBCofv9FT8iUOuLiTmHNEDE rj2l+9oohyvgzahWhLkGg/3+YDio9mCfCwgmLNL3TEzCo+2SLuRLKTPzIRWkZS0RpHvYwU/XEG0a ap3Uppaft29R1+PdBYiCR572t928GmJlfkhKgY92muQaKTd0A0T+znNR8h84Kte3P6HhI+k3xppq XZuId5ZODFzjCwedspAByDQPkZtomzpB0a6uqDUh8mhPpbUKZPPC/PGyxQoTlKdhKVD8PZYPICoU 1UCBxWeLQKOL+CDggK8/rrGKZ2OUSMeH/L6jNrGWrRmT3ebzi4VU4fkVhVPorFvOUhqubXPu/5UU 9aSErMth7NKjstJvhw/77Yt8PjjIe/G7MnAp7hArYhgzT07l/uxUcZkhTvrLH3nWNJhapUzThDaj ZY4TdzokqF0kpmnXMJ8XQagg5q/XnkAigJUnztXFUC4WbT/+hjio21W5ol9OqgJBwLE6RL9XQaqx eoQFlVU/X6yrDczHNT0mQZUJ65jgfHiZwyMFTZfiYPWfbqSDo0XpXCby40ZWPckVt9Fe1wcjq1Ji wPh/ABMICfw+eOhzeNBOK+V/YU939dvaqRrU6Z1lo/Myj1rwpElQDChWsbI2TfnTH7BUGOJudhD+ c4jqF1V5ZY0bds5486jF1CMz0rjuFIO3JVEiplm1Ptq0crqQ0AahQJq+H0o0MFtd/wUH7VFDmkN5 i95Os/KQG9NcsozJwpASmbZCX1Y8m8CLH7+k1hW5p6kDtRDb1O5l90UFciWjps1X1usa8hZKx72v v4EJHlIBkL33s8ZXQYxYFPZf8UOIab9wiHeAQFSzDEvGsHkFVLr8DJ0dM6JgIpK74w5CgoulQih2 IDo4f888gtg1/qV/CJ2FZEhP4TBENt+nro00IH4kJeqMWQleTFL8gysnEGxjBQ37Pche3KHTXMQ3 jRFMfLmup5k9t1HfFhnlyD3Df/zCbrWIgBLdZ/VsCqVle5aS5055HlNQaxLwAT8F1NwKSEn/O0nT +oYFgePtSMPUzLjJ5cvcF63DuAMJoAbY12nt3TDMMSeu1klgYAv1YwiETm/izCXwj0cmpxcIkCXX ykZSk60eX9MGsYr1TgYl/w7Fd8eAjM4osyJjM7uRZQjEYY8B4qYvQBIowSYZWcoBQF1Zy883zQAk Y0cgHPXQ4CKRjBssHFwPeXrI0MBdRQFVmfG0q/3x+s+d6CewbPG1aiIwlnsEfsxKwHOQM/UyjPqm 0apIYkWbmpuG7CihU250Gys9aEzwcMaCWbMxjfepM77lZjCyUTzlF6bbByqL/cOdcVvlkNrmnIXn cvGUBMwP0yfgXWac2nF2L+N7lpaS0ijvLP3xuuULuNN7a8BgN0FhZUQYm+4+3rN+lALooL7zNsbZ D7H8BcLSAXlfF6FtvyadeUz4YqmgYkqm1eaU4y80lMfWIDwNQJnp5QQ4HfqwfOZqZWB6BaJJRBD8 /Ypw3gSRF2AUtlZPHYdF1EYbfTTOPXyh0GkBnVB1BL8IOsu7TvFPpQofCv9gZwDYo6ODXLswh30O 6YtbZlx3PHialt2zoFYqKovQPlBwek2+/aDZxmOJY5jM80XLTT9HERpr8jOTTf8GCKO3noxOgANv alubgwmiPPf8Apeugsfv0+FDtOuS45F6vFTJ1gBZhx4pJ3L/rw17J1O7JZ/M5BkkJMuQCV3mHfYk I4jjfIT8uTPYEtkLQLjNKYO4nQfL1RZ9GlPCxO3+jyS0KCawH3N/NUnP8mxKxHMg1LWuVHO3OX3p /zO5QVQixChtRXyOxP15Pc71nIq9Y0rwp4iK1nBRDrgLR4YqEA3zomiH8IPfQFWwBKjlIsBDpKHK wKPDfZT9zGUmubVcoAzsl/QlkK8pvIWyO117T6Fy/DaYTThksuSyjUdM9q+QRgyXiY4puWdSftS5 enn1jIMrhdWBqIuqpBbo/ibVfVE7lr1YSqjmYXC6PE3MUwQs/wm/jPFkSnAoku5UeY1r9qI9xSLH JO9dSl32CVsTDp6Y6mlqbTV3Mda5Z215KIQalAHldfitUQfgLed8Q4fdVVdjr5sfsi55gw8zfDzl 3YRsuKnv2P7uEqWcsS3TZsUez5Fg8js3H+qausmOI5orgR2fFOQje1V+3C9BCTdtwCLI6DT7OwaY 08/R3CqHhBtvNJaAAB5lo/U3UeeBNrlF9ltN/4+pvqcPCDt7r8NZJbuCl2QHzStm9P9srfZ5UbIN 0sPsiVy5kJjLOQlzkFLcOey16EPfZpHSF/LpheK0DIgml3Vcr0u2AQ+48RyAh3be77L1F/hPKdM7 4dvZbFuruGjr9Zj09xwt6ohdyQsKLwsUolko3QKwXoAZdccwKRyNafRUABmaeQruDn0KsiA943p5 QnpevdwuFjTk3chvT3S/eRK1xFTaEeIAOnCTnb9TDwpK1XS1HXSIf9oNUIts3z9cTBZqR752CHvO fFkJKTu2dSsJdXdCuLmCasqo/MKfrXXDfATD4Q5zMqgf2zEpzXEK+mODeyuWXZ0ebUP3o8kay4ba gY1B0h6qFWgewAUrViPcMG6izjMkB6lTxk3i5GWcvcw3zUYWomKepWQS0h8bcvjUA0sVDLJn+cVT JXcSrDSA+7ikQSSvNuEPKNhESb7V9xZOzM7X7hEQRx+FV2kmZRAQK4tW6aMFMenWpk1I25h7JXlx rQux5tb3CPypvMFMR2ZBYQ6zfm2zqv11jDkkeAMNNkYU91bgPoVzl74azu6KkbrtHC+SwijOkDOB fhP9GVTNYWE+AK2cq7iQVoSc2UQKdxYLLGlcNr1zzqhmbF9XU8L06MV62qJUC1LiADZDg2TGINQf 7jJolBdsUqGfx//4oS0MF9ukcVoxK1s0y0/9GjSLr/xx7gIadblg/EG1duefqveu9PEGHw1N8nGk tzGktXYb4I6NGvs+lxZaFqYId3Gg8JfNBy4dU67iUwZiZxUfpwIXUP+oA9+6ZJoJkLSSWZIH1gko 7ftVo0LYwX6cO2chIvgTbvXsuKVvwHRI2CRH8XhZv1sHGz01F+oZV2TWapIToPsn6gRz40z2XpNC 5QwgjmHaNbliw2mlbIDv9GO7PfMXbLO/ioV36WH8bp3toTuSSkWK39GlboZVMqsXm5+pmxhRw2/c KkPqc+gC6efQSzlQcUxS8dGZTc5RQ51hB9uk1MfPLPNN+7y9V+UOqJQIFQhzEUkBsSeAAr3SpgSa noS72nVZmdf+NzR6//+oePViqPnXrQ0HiyQGYm8KLCSyokndRHoegNiCR4EW9arMy7ViUX3osrOu bCUt1QtixSZ/s89kivzdo8DLRN5UkPEm99j2bWP2bTKFxrXlSyUaMUqoyGswez02n/Az/g5wBf1c XDdDQiKrbvAYTC4MllZHilzm7vCDknxy0kgLmUiKgSZfocGOlFSF/Fz8I6WXaSBrcdpHeYo+yyr8 IOEdc38/b3nNSw9UyNxU1RKxsG/HHRhZHtM8sTHVaQzOPV+K0HwnyHJYRECqJduNKA9KRWLAUK2S 2IsQAaLpzqXYHl4m8q3mO6qNSxe4oQY3L9JDX2NfndZxRY4BVD75hE5wkOBKPAfjhfwqcx8+9WCf qa9zJAR7H3BIMli46+spninAPg0QHJN9CQjjGCy46IwcoN0n0C4wgqXF52MD6jXqSMnMOHxiYeum zvobWXqL2h6kZbksnv2hCF9a3ORZxpHYDbQ9aT8Y1RFc4CNXsQykJX2sfSTojf02NbK+XSIiT6q/ AR64t1M0lrY6XR2XvMM6UKfyvuIiQYpae8Nam7QgxTklydRtK6UbwnS26trBb4CWbjNEWalYGAKj E4zEqt9fN0hSM3RJwK1E7oNJ/hc1MBkgXZuKoNq/a5q+gE8KBnB9rAwWw5fq5LHxPE4LH8mGz3tz gLoly/M5CdrJ4Am+epHDgJBPKvFvTsTLbiwRipZ77rwXsTGYl/UZmyg7AP/+xNkbSKCQqIiwvf/m 622Pw2IT9FLasa54n3e0NFqV4X1B/k73e35DLoJ8Hsa1sZ30cChbqPS0v06B2eEajOgc34CZYUuc MdMFxNQLOkKMR+M3mVhF00LyiHvgzW6PbwgDkUd0REKsueFQbWLqOW31V/80Y/CC7TlYzal64TK8 pe3QR7NdSIutMilQPsQSTEWbC1/9qc/Q/Ejtcwv+Nx99NOL9XBcQOiEknBUmq938HG0GqcvJlJAR asFv54dXEwkCFv2kQvaJ3IZnVkjIGqfwGEAz7MLm1xeIxMZ0qyJm0f8cguLKSxW6xmrvSHBqwfj7 cmlZGYPFKTbpGE6JhmT4bAgUutgLN6VhpyiIuV+wm5oOABFfVQUdJ9lC6OH+gYmZnZPFU4mg2+tP k8net/vlA09LEJ2nrSj3QVhitIApvixbMFnqpzRAjctDGaFjR6mhPkLhtHgyd2YgUj5psoMtbQu7 VzNHqebgL/Yr12eG0NJmv8FQwkbnbDnfXD6Ves8ASyp/C+fWHJAabwO2X2n9fUoJSahGSeP7e39G B9s0DuActPOL2tfT2dH8oiDto/XZtyQ35PBglUNkeJirCX44dhVYWahjHk9z1I3buMWoWio2RDPL zBTtfrzvbk19Sgkz1mbjDchSqBn+9hRgX4pd6r+1Wp0cg/pG/Hbq7Das3Ucde1l20Al7OhsMWhHH X+jF/2tX8tg0cTWvHw3g85Hh7zMTeLnt9i7EwgZm1172ePnQ3MMkBVGTL+wwOOjYBo0plUtperRH 3DbdNOo99kEECDXgEZkl4o3L3NgBWKFYFrmqIh/8znz2sSZOenExf0UKhyEvuiRunVanxtbLIP3r 3McSeGwgaiZZM5M9yz2Zv1x7DLXzcg/iJCtUa4LSOvcfg+bt7kU6gDvBCm2wY8ScpQAWlAQangiq B5UDU2Lj8PrFJTVaA0X2webP9NKD4qtYiLOR+lnduBoP9bNZJaxEKAAA+EgfF9ieNYj9/hTapM1L zq5Wqh7R3oTcEnQ8aw06pHAZMRW1iHP8lBv4m6C5IC/+Dr2Ym3RFMTdYCcIhqYiiY+E7Mu/VoqOM sICYa7R3GaTjuu1SQHjhWSbJdn/Wdgb1TekIT9tYcjW/Gpt/UI44AtwK10GYx2EEO+77p5K4E5/Y /J1aEWugfyGh/T8i4A1HHgQ9zn14fmcBblyBZZ03Jg0qUPVMenMPuqdgMeaQTJHe4JAZhJQ5DEHP LoYCQSWMPYWP8u/fBc1gqe1U0q6cYX5zML2An4B1TIT+Ki9nb+UMOsNial+bEmyRtyE8X8NfJO0X w/YPTJlvJAFoANFfrwPiYdMfGxY6D86duDb+OPItGxLR0T3sbNdAv5cFyvxmoY1HOMY9dhYyv+6D MDcEdpXt34cPVjuAF7tN/a2GKvF/fO09oviFO28wEp9jS0YFkyul8EQzqeFXEODkz0JMWaDyA5Rj jeLrb+7bxiwMv+ikgJWuYnhTS/T8S1uXMBPQ1IxX36HGudNdlyMDYWL7p676GkIz+7yJHmBIxsJH IebB5MBNb/3FBeoD9kMWxFpPUbuU8km883Zg0a8Zn1JFlAaOxS944MlB1sfdiBoFdaWn8BKiJ5eG imPI39Nrk+DkB1rvhhgi4x62+Th/Qs19ybl//eSczcXplAlbqJJJ1rCDWev6EsM/lNrSUOUmBfvZ Z1ECAp4gavdGw7M6m0+w24CSXtbFJNynzoKPQuJzVSpyx5WI8BsMLCXvcK4o2jooW2ZYnbyB9cgK gKKhWH6EHcQpNYPEhSbkXkZe4MpD917N+QejwhdWMPUduEuAOZOLwvnhkX/vO0eRMF4DGOyNcz/x GL6fsy07z/epNHrtGkdkNQIjrg1oP87xL22A0MVsMgl/OkWf98TpYuQnnmPL0Xg6ir0v/fEBODJw RmlZJqbGucmyMeTeO84lSO9h5HcE4rO0kPpaevG4WMp9PR6DL5tIFGA8yMxUjNOR9AOzpHR2xC5c vWDo4M1di4iK9U+1z53co2tDTwjQwhfrqVc44jNKdasR8Dyyp21s6hlO7T1uKkrP3rDAT44DBusL kky90XyCnP0rPiqPbggGjCdW7aVNDcke39JUKplgnOdnLobNeFwHFbXc30H2CCMKWSTRJBkaMEXF s/7NyK/23xk4Khwmh0VNSYHxjXFKuVTNpdyCCmkC0lTagYTbvqSyWJMzIgs4/M4I+T9kvZYlyUtg wfGW63LbfWqxO08YY4+McqO0fSf0gpOsYOT/aryUPD97IqfNtqUrzgml6YFlxASQu7G7GvQkL5vR QTsxzrtfNy3GJub9fHM0G9+3L4uBg32lTMoa3rH6fU5y1rzp88mNC7yQfLigQOE4op9Ee+SZjArE KP5Qz/0DIMZpY80/auu7QgGkrmWERQ6hoLqNXUG6Cl+bRJJswPVEPXC1dj3837gzLATsQ5YHChWL uJSUx9o992fyDNloGvT9xdXzT+Nfvh9GZyYgSa8g7yGNertLPyqP40S0II8v1AgVal6Pt0l1+FLq 0oGmr1e8xD346RgGDBlOF1bYPFD9yHDPCh6aLBInHBeVen342eSYwOMONI6E85CIw4ISo0Rnehm2 WqUBQZKtLDuA6yWtq8vdA0eJG0ZzaVeKwt27wcOxGcWSkoHtp4TpnuvgpDOCnHLqCNPwTrlwc+UJ q1EHBMssF2kVb0LM8OdI1oC6cSkCbLBvhy8pKOt3ZmVWTKcs1vd1PxHKOPcEVhetvOtf/5wJrLEY lOexC+JIEE+XvbwhgJ6XhMuf73QorU9bFDo+ci8/b7q3iWs0tbzubuNWKZIHvpJkfilS1lVGo9vC ryKdpvwaeegfKUEZspJGQg4FTVtxdV8MXgckIN/BQ1HneALI+7ZbOis2nwMs0lHaNCQ1vw216Sfp LQe7aYoAZzSNIpu4+SpVbstCV6hoAN7mhFkUSPq4sz7TLBgj2X3IXaDrRvSgowSvQZgPyA9oONIQ Lb7BTrUivQfs0sXrlZuozTTLoiqsTEvRKKjhpvdYpxuMEphDrMoRgVSNUYiRQuUGN9D0WzeTAj9Z /Q8JJUEFwSlqumAcb7lC9QRf4NUxgd+TojS6nB2kYyf3siu+9O4kpPGtDD6ipSiWajY9pceQ7c8S 0oO5fTF8xSwI5ilh6HCGIi6ZpHTrK16/1g8y2LEt4Sjyxr5ZzTaUlPgoeSyBghShRDwo+PBLpoUM FHo0HqpeJf5E0swIDjEl1WTAHFBbx/QDERcwynduWbsKdrsiqAY9YNcZMiHyP0sp4T6uEo+FPVnF G3EEF3vWODeAe3CZVxAyy3Be8DQH3VS9n55VZF7ZHm8Bd2e0iUplsL/yUx2jlilOfNYqzU3VH4Pt vjuUtujwiiNfmIm/o6o24F99cfNIEzFk5splIV4ClKRMsEu1gyx7zXfNx6YT04HiZwfXaRSxrO1V Tq7mMZDU23ON94iRQMAl3Jlnz9Zd81gAaVqlFoOZQd3MaJgs6pGmhLK9mxZNKIYIS+bj+ZTAG0i/ qRb0GLfCJyRL/YESvvq+QWo0rWOl/bQbqL4/GnZuY83H8A2zrwBsYjQHsxbml7P/n4yAKuwXilNA wwhSfB/OyGFs2xQaOwRSX4UyAXulwDOx9bCaRgYaUf0cQr1MjEr28OyougjVzSeCUzttMJ3PxkEI jNBmd+j8EiYImo+xAZVZSrI8cAPIpnysLPIBJ095diFFWHZUYXy5GhojIgM3AUXcHAh0sIf/0zxw KoDcCPCNVxOzw621BsT4taT3QP1LU+HlbTxd+8bX9OmSlA2wVLlRIw/H9DaOCAJdGRGhizH1l1vr backjqH0iEkxolUZtqz9xv5NkwK4u9VNXIGcE8mn2CgMV4KUpzwVywOZxRIbp5evg9B6jh7HcS+Z Rt/wqY0WQZYhwbptSlGHU53UpyLBzdTPK836vOt8vPRLnaRsYZsAoLISKAtvxJSIl8pPe7iAOKVA UfH7QGOoRTHL2cfOClcKTm52YHaVqFVTtitbYClpW41UexIX82tziaoRY21D7q/2+EeFFEIJcc+W 39E6gogVZcRnbONRld1/HJ5Ge0QFdQ7j2BlEnLXH9Caany3gVGQoSetA/DV1b5d0vyJuLru7glHX TYXVpONWA7vuu8JPV6/5FlfQ5nBqdM1qLBqIGEiWn1cu0q+9UuQ6VybSb5heNpv4NhjTkeJsCf0k +EAq8A6GyLwOiyPGyUvUW0K1mH+lYZL8NMQsHU1MdhV7sarQvL0OMW/JKAkKmxH10mWXBuqbO1eF CXRksB6teGjx4EbuHf4P56IwMkZuisYDW+DCbhSoWIz0sl7x6cT7hIHqyUVFohIZ9bjyk4jfxa+I Mt4h+Qg2ymuZKGV5tMF/ExI5kYMwBr3bL5htqMc2HD2b2ZEhVJhb8OnGFy/eOfNAMhHDb/uM1KNq DK2A0BD4Pld9z3DANfCgsctGnAe6qn+xYGqMTUg2LEvABMA8AtFWvkIyzRAeqBpFEK5Mk+rGBpD8 vhAaHw/0Y016bNhvWSA1oEBWXlEm6+jnrB022nhC8Bgj85Vvh+UBN6O3uQqf7gSFeEJRqf7trJVo PIck8xIdQKuDuNKCUW6+ThllBpOTH5AiZbZ9HxspAbJqholQatmETN+/EACHiCA2mRbUaOvwT9vG oJysaUxyavHbbuEOsIJONE0iarB2AHr9j0qnyEqh2M1721iMwrwd8vdJJURjw8r6dnghPa76uW5R WGAQRGDR96rn+srz2ikxHrziuVBrR6tTqC13HPhaWVxJAT7wb+gCPzDOgonEqlqSjRegiuh07hOv CON/e9aUKlKPmnFUsGr6zjco5oM24cFDUyAmdaAIL/noVyZoU/jEgZziYH6dPDhEwS2th/DgEvuv oZOEdaYlLrBIcDrLaaiZacMtnbgoMmAcRtS5U3DIaTN/sSc0towzeGZsKBmS35Ux9fAle8HupLus wfzXsG71dzBI8H5CVD4xN+xcuFBSLm70YhtK6P4cWiSRWbm7oKjq32+lNxL8jn1sPKcjIt6zddv/ f8a4qx0lJyZDtvh+vzmY5WVk9YLxDheMG+B3eNOiNh3E8tkDn8qaTt7wAfwCd25Nl/L6z/AMRzvO a8goU+AJk8RxiTdHYnMEkRuX439ZdxN3DBA9whjfi++W6mwStuI1Kn0S9ZPsOfaBrsSrJb4JV4uH i7QmIv8EFWedrXCWEp71N0PUNOT8alCnjccT7YOG0KJmArCpvWYVgbJaCwdoE79g0NgfbwSU4OsF 459eW9g8x/Jo/mguOEFizFvdOtnhudRvvfRYidxlHY4EV7iQfR5sgF2hUCXhqxaW3ElqSwAZq1hq qvYM/xg9svVPFnJUt7g60VivcwwwTu1s452E5jNk5XXjskAvtuGTYra5TGUvCx1uk4GPrK9bHcFq 0wosTMTjT0+H/pV8QL+z3QqfjQmDnk1LbMPsdyLs/PIOOhm7gtONOekf78K5TFGRXUoQ2kW+rrRn KhDaVR15HJIEDL+++i84V67Ck9vvF/mbQqqjcP4jDoKRrZlEKDKcqKfg+JtBPgUfOn7GVJzajA5C uaoHIDSbiN9g0IgzHymZf6knZZ4l4AmFmcntg8G7AYyAmz7Sq0ugHBTE50vRcDBlCmVKCFQTOXE8 Y3KGsOkG87Ehef2afpQegUWzOFL0Vwe/7qYKuad54zwRlfyIEatg7mqLO4atpGZzytmgAOvEqUyn XuVg28JJxNL7BUAHASyA2bNJIAxF6LBLzxJVgm4TU447tmPoq61KViMrl7a/ZU5hwqM2399gX5dF WK+U6xqLOZszBWtYg4G1WhS0gtMlI+uUDj0ejXQLeBjB6uUpnFOEuZBGYm2ebNMdhwWtkDuBwga7 /7Fo7xo16TCn/SzzN9WEYlspvbBYg3ycPLxM4iDrTnaiTYuU4+rQBMZoEreqCRvFWdGaUZ7HW2M8 HU6h4Zhz0lIGl6J0rdZOdZ8o4o0MNj07VIBH+7V7R3twuCgdRXJjGYoI9X0Jmrlm2UwJQqdFYXiu U0buE5o/CzvgGrDusEcZYB5Si/seC3q3PX4rxW/EfeJ8ghrmaFEo23M7wO4IvE89OTkysfEuC8kS cxbZ1SDNF6S56pjIHFW7B5aG2G2SuSPoz1GmWh/Vgpk80Ovf/jxMSEwJ3TVQP+nHRd7TNHXrqhfw VpLrZ9eu9O1wKrjjzN+37pZfZ/Rl9nrtOXQsXH62cA9xOl3XqV6EGsWGwiUyx8KxrYp1h/JL4bnp GqLsfYE+a67XjQu2gunrn/qkgIR+aFa+wBSp/isWzhf/PlHFfZp3z9hQFY9N9Ly3IhIaXW9vUL2O tZolavQzqN2UcEt48j1/3RdsyEWUTeqDaZ7zNMn/KxHu368vGvYCMxhWykIXzdBsAlcGapnuHUWe rJ4dHzds097DBFiFpu0QswwdBlUJqM6nj8wZrFqC6RpZDetxVRfBj0q4BA+1xHIydXqLtY65tauL +V5tMnJewLrtYuWOaoXeDEQQ6/paqlPkG27FxkZ9+D8hU1MqQodCS8RLFyNKiMprqVhca4uPRl0G bnmai35JUrg2W0UxQAFb2z7P4LGiSIvUi25NA4YiHUjI+uMgdfzKt+T1SHFEKa0nKq6+BMtNcznF YIevuAYvbUKYWlEwCxzrbrSgscFwNaqUCJqxs+/YsVqSfn3/pBJu5cI3GhVD4FgAnwSwfnjaFCWA T66VWBvX3ZAjPgqK14ZZ31cu4sDhWoIJXCIcunXRKypnyjyNzuCqNI7VJiR9nsCPK4xe3Faq7vQD BGdY1hiOLtLHGMAmPfz57UrCGEFL824jek5TMWieCC+9Mj1JZSS2uoYgj5AurUsici8U8C2jnEUj 6ItQXEpumE1kCBpK+97LLDEcK0R6/UeGQFDAuI6SD8cS4L1veKRPbWa9jahvSvjWIA+XLwY1dLg5 Uj7thT5FbjQn3ehJOE6u3p+GVSstZEYMDvO4LmE21jUx2Ggk4C/e6zPSojfQkubVx307d0Mqe0bS Ph1dMrowZVZ+DfcEny/aPassWzffqW3bi876/1tkcQiUEryMv14cxx99y+XeM23rLeyDWjr4hk3+ tBLls/yjEYbdLbEkZx9072fIpR56YSLSbLOI9B4Ojcf3R1ogWyKIrw9EzaBaDX3HGmkPTXf5Nqpa JO1DtO/mhc2KKJuRksobZeWYr75AEGPZNylUNODKT8ctLThAUC9L8dgGkszPKwFWqP6Zt0f3Rqf3 8z8XZrQG5lpSjFsIuyDAik52rIxpJTuENOARAsLQAfMWq61uCHjPmZRQbnOvVCRrY2qnmqwFBeLq ROny1ZeJbk50D5pcsmF/9xeQM7hOcQS2Claa/KACDPNOMc2sUjSdxO/dqc1U7CTu6h/QQa/txyPg JuSkQuglN9o8aeiIcG4/BamG5IIvFFeiQLuIzVXk84f5vK4L3DrgZwKAQviY6eG5l4XAl7Aq2W80 nUJL21N6knr3eLFF5Ps75vq1SKM4WQ0wN0P6WV5Wxaptc7oxylJTJYw0KXYN2JiBeuwpR0Nk/yTz Y0E+Qk0ievrxhEFq3SPl3m2V2DEXRGbLL4DbNgGg0BvOJvt1f0uXuiSEinE7bHDhHYc8TbZo6t/8 4dtJuVqhO1IJsbbQIJ1tzQglM2y33cbBOie+U7byBbSqkwSn/1E5/6/gqtuKFKgdSgaroi4CZKxr dE5eb1DKckB+9ycSkQyoOk0vgIPucLCkI/aW2KKX4BuYU3HLZrgPqR4men3+OXUlGp26xrIg2/JW Pn/ysUC23csNaLC+jyoDaKIj7QG6xQoAJaAKKNtjLPZTCbUTHq5wqdd8JG+u6rN6ea95VAWhzfAw UCch9RFxOAKnA3loWBdplqQTpk1mEt3X7aceMFZ/XssGh7XO0pD2bHmaq+CVCRnBVDBC0zkwKPGO blfi/q/rRUYTiq7AE726iOVa3ZRh/9aUYyYPVMJhUIMrpbebRqVD5cona4AnI2rNiqX3dxSG988l r5TyTpUDkvQgboXZOxMp0E6xAKgcCVl+brWDlg0wT/FdZOBA8htox7ssidCIV6vP6Whu2tKlHjoZ 5edwzqI+PXw7I9ezYouQtWiqKL476elC9OsnEZ8GyJA+S/c+E0YNezsZogsDet/C70LwjzeTkqcH yjgmyX8BGLcXAflzWup2vVsXVFj8AeBMl7cfjMAkyPMT9fKNyTvE82bEXinDu2X0QUoNoN9fgo+6 QZBbQikcmXojpZFP4XlPI21D4Oe+q2UVE3ACNK/DYOKGqDxEpffiFrbmGZgCHscGDIZxgYmvPFd4 I4wiVr0Zsm8Atv/zwZ7V+NZQyNA3zElNkglzF+GL75ecpKQCoNtl4YrDiLdY9GcMozXfnNYFHaxZ XW6VVsXIJr0WkPNz7Ys+wGjWfRiwF//eypRjuw/pxxnQzkCzydK3oIcBdyxAZV8O1MDO4VyAAKTL INQyP+DMy9QNuWc1z669UQF1f28JsLd5RqVtc52AMM0ixQkOTymNt+ks8cTDS8xy37w1/fIenm7O IXtfW+X8SKQpaiXlFpgddus3vBmoh/H7XlPuXqQr939XcvOBgetPIT95xvfFuDkAwr1IJEz53PWd xlsVyARiSucbI/4qkOWEKjEhME55CNQLSwLXENKpUUOXcQ6BFEQVY5MEZ2GVe6KLlBHVSR+8glTK ioHdGzmGCaDETwVwPq2K9LmDuF0BG85wNluwFaHgrWXEd95vJDZE1OPXv/Qk7ioXZdLvJ8cqb0y7 d1ewAlwvPNnCRmFAArU3M3To3Qai6eldTVvNHkurpY38XoZl6PQLpwBN+ydHdCumW8ciMXAHBOZO u/q+vH+d+eXoJ6VCaaYpw5sXzUHyBNEONFgRuK3ifuXjXx1DQ1Zjne6JUALpVsgg3cO/UqugyWOT Q6LgMv8lPti/qRZYi4bQG/C3acNHQo/7VX+ahWHVTx4xZ7mDyu1jHwFPia8nkTxogx3bFvd5Bb+K FCCXSj8kIrBlNBbwXIefK8JvGLSli7BTBl2a3jYPZfH9E8gs8IwA2F+cHBUZDOfgZNqHxB6cGpzJ TMaxoqHX5hql4gMuo7QnkjTFZNrdZRS4P0rnSLLFhgqCkgabrx/jcGI9cIWUEXt3dx99EH83LXs7 hGEr/5vxJqoKqp/PnzF+Jq66xHFAxXfg6X1agCpV4i/NNo7Af/yRXrIWGgqyp2IRWaNLpCceMDWJ iY5ZTr5a/JIGyA0/NlODQaXXK24ykv/nm/YBcCgZyBqedoKqvWovV9GBDImlGQ5r7TbE+QR9+Hg7 qdCgDZ2CQqO4cGIS05oe4HZSWLQHlQrx7wzCvpu82BezRnD6pZxhQm5iTI0tctN+EyVMszeNpAs+ o/VAOTV8kZstWc4EYUDl7Lv5L3TP26LyVrxV+ObESy2ay6fWLbFwcqjdFI1VvWwldfCGZJOmGuo2 7NB2c6pXCzhEB4gjND3nhWftOq8jS3Xw3C69hjoE5vw2xFUwk9bTxpiPAZY9B3pts9WgT6AeNnuV Z8G4htY6QHYA7X1lR0eUWCEAWccRbH5D7tSU1DJdqCxdlvwWT91Dhhc3bV2HOHLfRUI3G6VGuoXD Wje9+IXswAy8gDIEPxTkSJeVe4fdqc0Y1nd3mYJnX0Fbc48Hbwbi+PzbUrbnw7ZfWNdN86ygXLjD 09uApXwuUo1RHWcc0Apei6eaaO6vR3lsi/juTFyEtASs/gjYlllt8r91wfmd/r95hpDdEk40lDA5 3WNDNEB72wz6HQX7d4KSFVmGd3U6KKJONkrF0cfmTYE/10hd5yFvf4iRRXNmp9rm0fRAg3ma4Hkv YfSNrstiT3nFdImaboe24rgDkvGpeql2FckihNgQSU9afqIMhFTGxGB/D6q8jvFiwp4jpTmF3kUF SUG7/uii3xfROpX2F7uFHOdhB2CGjNB4Fy5S+EHqdfJ6Olc2a21MqzbCgehRl46sw7XTW+d72KDi IiZMM49R6/IlfDZ99u+28LtTRLOXDpAoi5iAOobGb0Eo7Sc1S0rBJ6Fhq5G7hs+qsRWYOqud5PeH eXGte2sNMrTEn5EgPnwyUzctvtXs7yV20EAu57mJu/8LNdeCddFyk/EzfOUDWW1s1msmvpR0dZXv U4Yi3E5yfARtguzAKDTSA+fqN0J7lknDMMSH24q832Yecph+Xrjb0Ww+FTJ88VoyBbWjJkj//N6Q JhDw0Ze67H5KQmp7jmNtkvYCocpNgzp6eB8XuwdGR0IQN4QPKFd0CeB09vS9kqcLNke31lJ4PIlq XiC9LA3hd6rroxzOg6vi5LjaobQSWh7D5kCqehG7Csp+ggCPMHAN72p+CeZTkcxnRWnde4kJa8eD amptbVdqKcYoj6uzicbuqIxKq3ytfu+p2AdSYzv6lwNvhtyZ+F715wW2MSMnEJTwMd5S8+hndhbW kzMraS5yxhAPUZWT8JF8nCLWs2fU7Uu/duwfQmnvA8NFXpB1411dh1+inB5+t7nHlvHqjT6BE3aF i9bbiCoYWG7u+M2hQrte5WckRQYMzswAagu8shNJr/ico+29oPZDfa+yTHtbpkDNfNC4EQu1+fY2 Qx4uU3NMJSmjG83yx+q8L0iOwu7UvXTQe1gqi/Q8FNG+zcRVvbEqoosg9fQWOlKVHrHPfdnq2HEY jf90kXIm6O3JLxHOZ8iZU898l0393A8uE2GufXG586XIAP8zT4WAij7t7E7FbKw43VfdIp85LWC6 EG6gXNoVXp6hKcePmai7102Jp1spx4xjVuD+wClySE5BZnpcsA1o28Sxu74DP4d3f12kztUZOjQy AOkTDZZKuhAQUnv4cal8rh7Mib4FqWMkUqZIe4YHdZOFYnmFJtykNvhdQI37a/7MnZrb3eaKu4wM fvVAEvx6fikVpudl6ELgoOwmKWs0VHW+1lBCBxiHHPPGedj/DrWJmYH9gV76Vws459ExfQ2ZPFRc 3QL4xMiZJazS7rQTzBNV9zvdbyqht34pAw+5RzLVNq0zVS3d1Yxe4yy0uw9KNEGrh4Tx0c5DIVxO 2P7bGaPkrUIh9kHvfB55W8j8Thgdr9AZdn0f31LjX4ynQcyrevOog5sQsjqv8CNxpLOu3Qxs3NLd IRA99ZRrXlqyShtyZGCCNQeXt+mdP/Mg3/IeFez+W+O3ck0Sxs9uRVzr5sPKSnyfv4edd1WT7HXQ hF4kwaglZH/0+6tZqbree1UUfPH8gee0rSOx1WU9dz0/xeTyaBNNd0bdWQNqxksH9ZfYxd8J+zXC 2PZrlioEaUvw7pXsLBXq11NjNcYJOC671L9egCx/bOP7d4lx5cnFVk+CXtaWyDIMoIrOzBetDBw7 z/HHJadWMwC4s+6rtqak1IgVaOGEuw3us3IbswGcfTzmKxVxFSBxHQ2OHLgxQ4NGcPDXSYPxtXXr C5iRP+PT3huVf/PN4Sv7tRLQEUSpnDZ2zQCOyOEoYXqJ08tFBVeVWR+iyQpy7NmORnK9FogHxqd2 swXUeVkrPwyq1MPFnObw0D8ywJsGgZ7klLXzfTXTwd9xo3K6nx9U0A2Ps/H34s3Z5QiTAQqZ/EEV aNmshFgKrx1cckpKkuKK8ORdz/x5OKfwMNmVAFqPMJ0QF7sXm5enP0kGKyQDZlGmTWXxe3tJO3ut A6mrl+3fkagstknywu9pk+3305zJtU8yrIa3P/eAZMkCqood79Qr7C4k4HOz/qs9HVKrDIjEaS6H qD4OnlmLCkuEsxSujvLFQgrdm0Q+yVrDQFYQhG3C0O6BUFFyhNgRPYQwWYHqLrMmbKJ4hLxsSdqv KPD5WYEw4KvE+B09A+zIjNHnL+GjnZyEe4TNsPpS/NASrULvxYMQnDo2JTHgix6HcELK/lOW+Rgq JKJU495Yne723pjz4JoWTNKyE3lF7nT3yLuG2qnw6O9SB2BT56VJ34cxFWJ1ZQJzHCXRAj7yaCDr E7IQSIqRCRgG9jO05RnrER3DjUL/E9c1aTmAk5Z0ybS7DAeJhAgmj2jbKxoOr0P0ww53vQIFmGUk nj7TCJ0TB0iANvHrQNRg4+lgD8gg6/ePcSl3jig4H+PunMykQqhaKr1PaJtDbXPf6KWNG9rwslKT m9t/ZWYchWV3cbZSYdb7YYghFmWJm26bVNcoVfoayRjNT9xfDjoem3h6UGKrYH3xiSrXpzBz1Hk/ u0TMnSuNRevlfxdPG1kIXEuK5qQita4XxZjb+k866lUDFu2Ne9Jnjmd6W5s8Vyj0F6xU1hWBg0uU BHnPnszSvsynrr83RQl5xmAk3+FXWq4ln+xdtLtczwsS6Hzg84Ign65ilYyJd3JRJomA7q2zNZep fROQ2fpVOnacbWmfnX45EPMJLVZsqGmnSnha9QVRbdciFm4eLTLJW+668tFiY1DV9YM2/Q72HoLU p7fSxK0hR1Kero3FA5MYzvZmbEycTlmoseQu52alnkfhPBKv/0d2f9abE+7vNzw3eSKO7xWNXmLD oxYLwebgyzQOWM+Z4zN47vhqDDKLrrFIkvs5KQCPiIcyCybXeEpGykT+m9FzyzAKE5IAYJbORUye QkM3arhCz3XgwSnrP/6ZHut//nBZi4Z1p07qRHNOHPf2XMdhE8LBvsoO96EIPP+T3NJqCY7AkOlP QmmGYofKX/BNa0cqFHsceR8c4GxtnvrqtgAIzh0l6hFS2bTKTQgGvtAHrcPM1ff7HyzZGzcmhB7E DjkbkpeZduIx5rgzv+W3hR06igNwtOUuvjX1r8pWPdvMJ7Jt/PqDgCjTnfsI8Z31Jpi5CMj5cw7k kpeGLLv6kGieSew7l9+OKijGTcGi03CowkYvzM/jqi1+GeIhTLj5sndF+fuHOTbe/akI2PMy2Luo kZz6wXNZK+itndZA7q7wmW81TgystMnOExHArvtqoaitiTa/PUDP37OpFLccmYsdIyJscyF9O9nQ IDLjQ8bYlScGPuUyDNT4BaTD3aSzsUwu3Vt4eWle/noaIXwt3wYPpTB3GB3/meAMjmzVXZh5zOWG eRXhHTsEQdG+cdmmFU+CiSPhPGegEz8TKoMO3rG/H7BqoAkiQoWeBTzqu+qL6bxt8p81A7FEHJha I07E+8TJlCt2phzo+x/SE/vwwgr4MMxtn1lkiP3T2iZ2jolNRoxgCxEx6QxK5izURaw0cM7O5MiW e87OIHnr8ckDfSMUXEkK1ohIP7mdntLKtGmU3Rta0dvNhaf1f3sHOubTwkcApfJp2n6rfN0m9h5C mKtQhTh0nDgeOntLZkRxdvxUCxoXspyk0dBq9L47ba/gQaN4mpT7pwPGniQK8Jk8TJO0saQlsutX /KFZs1Ue9blaBrn0T1SKsH5dzjwf0zziSUOE7inOPPtxaEYnOcq6QdUt/vOfAtALTKmlPND4Ziw2 v4lKnVk/hBCHOEdXBwp26hAS6u+rsih7W34zKsTpfdtX9a7c5EnzfM+8QVHSKxvz+Mo/aXRMJPLz Ty9iUblNyz6fDrLMPUwr+9FZbkvcMgOBk2t0FEt/ZCV+CpYAfEFSGORhzJxlOLUjFofw+6KvfADK wr92DahUU7Umx8DamiNWMM8ezXPonUR9xcjH8GqbK10LlIMV5bhzmgo1YPNkiuHykGVOeu52JnXs OJhBOTiUnCCmcF4Rbyfcc/3l/Na/hhScR1gU9ayTy1gf+DxPEpLOi5lF/D+mQxF9oyb+3XYtIS8Y bK4xiXJWfi3pMVuHMnDRWiLKMVU4VxlgR1zSqAKFIHUwnzW4zJT44qYlWSWIP8Aq+jpJkbL01AbO pSA/kA3xGNfYb31N+6f7Ynr7KKhwF9xQLsv6ZZ8lfQtrFdU57Xhe/Q7y3uo34yGO+pa+Qe5Nd+rd 5mmUm7+j2Jl3oue/7DX09RrnoQTcsCvayhyV1JpvCM9rzyFAMZNdTkv3UjfbJh6YhnuY1TyTMLMs tOlK4tAt1fld7A/2ONk2GKcNEPbazHHIFhJfI7Bw/k+j4xHGksAWAo3+g7zEOJD+NI/6D6SqZpmi kNayDCfr8Dyhv7MoCmfPnvtm333+Cj6qcQ8NAuVG2IcMosQ7OHuFRewXS7xyRCdcRYmBruMaa0+Z K6x8oVO1M85ZRoPkJfwUECGvomtg/aqf3sulfP86goEabS9IWelDw7LqrNc+9kvIG5hvkTGmiFto GExhU+3BIF9FRmPcNrrpP7e5ZpEdf0pKM2UjqK39Sf2hifWNaTxZQYkU12bJ5F5cQo+ths4TDCMR ab5AMNzZxHQaZe/vR09Xw7kAAUJyOcB8RGWn/fFgzZurQjYLbMKdC5bPzVf3kr69Qr8upa0B75tv +7aaypDYQBlhZVw6Ji+POA0/7chVBFzCiX4QblRduZgaansfULwu99vTFnYgh3xQ16k9dXi8+nHw UYe8zufHuYYtDuPxZ6xIWuyellu+k+Vzx0ljbP88tgYAn7u5meI58r7aXTNAGLKBAg+fMX9Kczqu x8oOpxNRJbBJqpjJAr5/vBU9lWlGIspZ6vW/lmA56MTgDUjsQ7KnTzdC8dF6+LMI9ABwIsyiFQpo LvbMoAt1gZUfnAlD2TW2r0FCCpVZv758RPurL9V/RaZfN4hYQnXR7VZ+fxjSJQU/S8zbNPIdyMET vAA6+Qj7WXORhJ9sfegZG7tL0U09hYrIkM2mPYsJTpm8HHQ6yUFvY1azVhkzwQjpgGxuuSFtJje+ b1sBJgeY7GJES+rpDqk6fM0j4A/wW0MLZYr7eLffC35otctnbmyy4strW/uAoB3VqGA0BqPnWDQX 1rZxKIJKWPS20if+DRq3tzCLdsI8UXYAbASZg5dQC9gTmxFNHK8qcJaEMzxiavcLU0Yzq1NPIjK4 ymKoP2x6dCeoODfzVW1I6MvLgEVvu/6KY7ICVIyxoIifWaECYzCFHEeU3mXOWQX+njluMGh6GtZh pM6POiFNKnmhaoU314fvrvV5BL31XvDMCeRX/uTuV6ZrxqWYCItUL7Tllhn26dc/aNBv5VriQSYs c0KzzH08XLOrFJqkXh32m5AZZJ46RUaXBK6P/OmEXDk5a/CLyQyiFyF2Krl5lISDvnIdn6QCGTV6 VHSGAcTwu53lYP+EDpj/mg8VO4/BPKnRIiMrjkRHrac0f6yKHa1fUNtKUZTFyE5pK4oSQHrB0R9f Z3qs24Z3oqdzBi9BhTQ0Sdv8iFzoorC3hq+JZ7HPTrjk7YpuAfzZO+r332n+yP3EUi5k8rhTOr5W +YlnBzeF0rD6/H7JqqsRh6vJPU+5R8BMnEylYrvU2L+WxPAM5IytIqIKPI+bHcpoqVPht7XxVU9j GHFJlP0EP60OjSR1FG6mVCHjdbgFxbidk1qi+OFBzhLAkGhpPuByWv58pjM6U9lfDptLCnfDO6/c uabKfRCBW44rtJmCq1HJQcw05z20DLmEaIxYBYGWJxngvMLhOZ+6lwlsg1TVMxlYVjzJlBr9NGg9 90WygrozeCLvDN1ArlBGhS/xZbahsgoul877hLmlOKcjZhcXcsX6dHUyMBQs6YySFQtoBoTjRI98 OwFVLtcNJ+4NCw/Iy8XsfJiVljxWXo/64WwMY25fQsZEM0n8M5YEvQotsb5arRDdyiJfqF8vDGu7 eclfGBb3+s0j6Kcu9aBtgp79M7PrYDFsc7ttEOeZI/oZcg025uB0AUyZKWBh3iSyY0XEhzd6DQdQ qnepNPQtSQE2krqK2uuDaukCELO4Se1/PT7P9Q/n1qEjDLvF7dcqyYj+f4vyFpi+zbyTFQ5oFGD6 6+7OT6qUUlrLDNKU8Rj7ul9MC0xrtN68+abDSkAYEvCzaf0euZ9Q3jotU21uKbPcIt0IoKePBrVA 3MXqbhR4LSSKBz1v6cZwIyuqVZPR0MantpitdtYakUOhobpl6+zoQaubUeQ/CpOLda1fiJVFQDNi HCcs4ygM5FJ3BvjQsk1I02WRUNHY/BnDiwiLFhipOROcKUB84JoyvK9iR+MUE47Bp50Npx5fAgsw knTQPc4uOmrrALUUZ1hAjLiPEh85St3rDnKPIDHCLbvoKxSyLIdpKu5PFEBv/Im6r/sKX4F7sy8k rD5kYd8H/qf1I2fRIY6LfZmcVQeJMlEBcJWfhGzm0KabDYc+a0tFvVXjGGgQuyifmu85e6ucReYv Pul8GtAIc5REPR64xsYB4ZY7J5kvGVVljG5JoIdzBI73eWtZOjpVMjwJ4GTskJ38nMlm4+9a08WZ d9hOn3wq1pny4KTAjYR166S81NPyWd6PiTxEq0SX9/hJAXB++UNH7N5VKy3pWs2S++Zyy7Icnljr /M9/oSdbgLeVA2A5mGwrMe8EO7vos2Mh9vDG4KHpY0UL+8HrWKiXHvmPJ2t9v8pyBpouKwJGK2nF MkczDUDGquB7TgQP+9QDPBR+LHQbncHY00OnjzoWpQWxhWWaQwdBfVybcUNeHWAM+hDWfxi82LAT umPLWoM67eDIAQoT4W2G96PlVScc/k5kfqhhDXCSmrbiEbUQjvRsRYfpa+CHvqdEr7j4E8O4tSjC CxPir6KAmyd2vAqultmLPKgR2ozHpFydSvR7+jeyDtmXA5BT31y0gEbUstDceWoqQ/ygY7NPp0uf uT7ZbJ/i0b9xRCdUMXxQxWIsVT9aTZOS4GWKdg4ZTdGffJIEq0LZuTUBlOoWV1RPy8Sgq+rYUYjR FpLItWTqFpS5p8X/frXVDzTJwXYRVutF3hz0NFbGZQRIyKy5j6CzoR8vZhmhuw3ObJGR8DWnz+Ud 6Og4tF3OItOO2BB4DdoIvCf8zJY1Aj8D+zNCG40f7/yYy7N/QR/kFbUMOijwhdt9X8/NT51Yck7q ejZRgM/wDcEE2ta6tLOeLI9k9URS9elSOKW/UjGxX+81S2T/w/JsbAObIIgLSUEJXHSsm+2TZhFf SWCDEFAqOa/bKOGaJygOE31FWarEwXmtSjgPTPbSYYgku0XrMZOKXJNzt8r4//YT4GdTx6Jwi27G NvoL5009s/MIMqS1AhHfETXyyQrlkZlI5ntNCqtLzNyjxfXw5CwYSRy61VrXCXFTQmzine4FqTpQ oblXFB1/HosOY7VWWFflAprTBpYWvmGTZGWdV7S+Rj23WX8mdavxpFU3LaZpPHgAtawqTno072Lw O6nJViA88Ba883mPNP5bMH8GvKRPhbIBYX6GWjwgYkuLkZ7X+8GXZTNdUSYzeCK327GaulU4/stw OWHq6tZUjYJYuWSpz+BnEd6hS8X+a5dht4U/odENcyTPUvC2X7S0yz7wor9lxtKu6L6d2+upTX2+ oMEAM4GrWEeBJI20ay2gI4pNb9RsixHY+Utc/kT8T57MPjK2ZKePtZ/vIBLMBORq5UTTyrzNk+6Q xA++dNhL/D4vEQyxzgkJwyCATdAFwqDuZPQUc1N13jeNKIZGVe8o/mXOHdUqXEb0dquhtinaCnMI 1NPVpScUv8+Q4mM0pvWKhR5uIQ5gwKRT8yiIhR4skuuo3IW2LX6ifw6Xor2qFfwfPwwxTJmg6h+g +VArnHGU1LlacMsqFoHlszzsChQT7TuT1qZ4/B5p3ZOwGWS3AcZa4h4b72NrD8xvLR257TYbhzh1 AyWsZJQLGs7+EB1JinpoTf3f1sNMxWkn9cijO0iAMyAuR6Wd12moCqYXeG3orYgzpT6Wh4PxHMM2 zzuf1+lMbGiu2y6hiVx2cb5fTjYjYNzxSTTpUYRYJ/IGNEN+xDTnhrVXGfubWxsbwEd916/OQCEp 6mEVLV+blAtPP8CnIP/DqHSI+gLJ7rs5u+7TIcd3jXtVGqSt4fwikbdG29d/rgMC6FglqN6WTeF5 9W1CeVL51D0QuQaUYqn+e1/nYc5gL7XPWmUfpAGW/DoTTllPRpiov2sDyZkUXEjgmDgLNKx2EEvR pv8NGLB7pocEXwduN264WGjvNYOAZynSO6JCPitevS6fKPZVFm3NfoWx0uo35h01OvwDRGJpkDLN CFqFwiZRA1d1ZfI1zae4FTv0YLoywTJAuo0QyDuHVKfGwWDbyAUcqhdyQB3AwT9eJYXM4ymP+dyy IVjmVo1hb3pwigwSbjCrxYFcdUB9xYCDlVvuTMeIpAVbVIzEhH/2ezVX8PYNiDvqheN5dZSLSyA9 gUIRdp4sIO5zvmIilmbK590Bci6RgahF4hTiJt9tkZxU056xSo9rcErc5ZScfktg8AMl0rALdKxj oO3KbmL15eQFbCcl7VTRoxPVXtWTXe9QJsQUb3H3r7OLZSOIyhtfLYVxk/RFtNh1rvl4L2HRYTAY sTrvAXOSyUe3mtr//+MK8j7cCuuvyAdLL9b01v0g4W1DLIJXgnOkaoRrJX0uW6sYlhAUqeRmmuic APuhysqqSr+PMUKU5oPA05rrDNy0MlUGTDF+BRh8EIefAZTjKAV8BgS5BjCosMIgdLsfjQrZJshe 64c0rZEV+tbsYy19f4/OVLagurnvyzX4NrePgkvnfe4O7oVYVPFqorupbrMvQAsCMWxb5uYZH0ZM u1mgE9eMrWyX+KtteHDx7jr/XV2vU1rc6tfreBoNr50NSqkATTw8XfTHFAiRaPZgtRf6sNlsR2vi Ztqm9bv3ylOCRp9v0pDnrIkPvPNUAcenE+A8mM0Znl4vXQ433tJ7mkBB7kQRXlK3tiwH+wbQ4iUp wow2nG+1ijCihlkswDKldZEoRg8ChSvyjdOETZvY7GT/V42VUoIdwb7bocoV87q1oYe3+LZBPsTy 2A4VLALgzpSnhAczvFo9gZj7wWqpRgwMPTqZGX7ySjjvQX5ocOmTLta2CFdZq00K6pMvGatQ4mwo /fgDWLPhEyEouDEcB/fAVXx6tQDqvx+R2alofdE8Cfed13RJ46jLLyHDcsgBackb2LGjTL0DPIe7 U3EeNRCNyT+OxD9iKkv2/zPEbhIUSOQG2f9J018BP597eQMCBMC01xql5zspmj6rnOd1uDR820cv iRQSlzfKHzRhDTuWCkn929OUJWXf+dugQHrMPlMZ3AYGgzfyZ1riDBL8qHRWe8D/4xd2sYfIdW/9 8tMmjYWRBPGyRY6fUv8XOplbgEqsoKLiMtljIELTXmI86ycJrjf7npjkrp73ciYnx3Ng3Lv8REo7 ZYCsfwQ3snEPdioFirV5PmsnkWa9Uujr1m+BGpehoDGmvkAhD7YmV1eTzD3BA5v4KU+AV4psdqgz vgOPCK6/1qG7Vak05CQpVjPLn4m1h//6zUolE3JBBMYFMFxsGSScWpZWIQP5NjLup2goxRPpYDfg MMgzeKyBkH4OqDj/81EVHC9uGbIQVhpvmKYXxYwwxzokUjo/POYyTmnbbaBOrrjzRyDfpHDrnZlF 0LGuDL0IvUFkxJ00RcCFm5Ri66SrfzFnF7MvyqGhNVAWNSeDT1Lso2gFufo1I2aL8585v72RYHRI C1SEFfd+o0AMhe5XdUHSotOY/9xuYxtOZKQE4LUA54ik8IqqPgNDH9ACO7W2tCoFBS+p3jEyw40f 7UAQFh9/FashcvpR9H+hLA7u2Dval7aR4vUWOISitI9iVkBKUZTNgnMFg3kMLphhAoQ2HRtY3/70 6VPEzCkTMIsUZftD9PlKFUWNKfKsl5XHMhm2A0KAdtBMhkTmzXkXTmVjldu8A4L/E8iWtZjT3sOn PgkK6m7Iec2PUdpSEYsD4HFFZGbwThFlwbEQSPxX8pAuE6jebPwGp5jc+eek0tzrH2jjssBX2eAm DzcKXU7s5j7OMUp6bSpXjUTOp4rf9MswGBdKy45RupEuW/3oiaUGJBkQOiczADxnahBYl4sU7G20 cnT9FJ+2JVwalq9QMQ4rErm7xSl2ESbKBpldCe6CdXBsctl0oShIAvlxvmN+FHsFS4ULlnMjNdWB mV9g/DlYoXq/d5/8OBPm2B+mEaXn9YqlEjxW1WhFWAG2eX8aFGntj8xJVM6a1zOdXSY6tGMunSNf qvNVgjORRHnJXUGYm38vs0cNad39mRrGAAR7dKQRn7EKG5qGquAkU3VsYwurib06EikiQWr2lhMJ mlx+g2yHnchC4Rzwilj3D9dFUpvk9jML1JwIY+3e1f8yzuGw29+2zryo0R7jS+YJU7nv4B/XUlDm XA/lVeYkGW7XRfNXYLAfwrph7Hu75g79NrGNSizKGFauPdZn7JXC47U3YkoH3e7Im6McfPo9BEFy AVu8suXoE8kt1M9M7WHeUBkrF5AIVl0hoj1raF+JJSjoHjPLlmfyAdandHGLkqd/OVX6xhOqCehR iiPsx5IFY7par8w6uHc+mFkvM/41NNGKICUdRh87hda4S7cDGFPBIRrwJSO39pgucIdw7ZkN3rFO 8I8inzjfiWOARjNZl+60/kjavVZCx6I+52C8sZjY4xwwGCb+UwmvxaYzJCYc1gYCny7OsFrjSSS8 WgZG0vQ5+RSAIR3Zhw1g3Acvd4zrt3H6jjxZjFDrM6/SI7gF3VqLklJiIJRS+HPEJm+TQ5hSODiu bbZX7Lf9/oEGI1BWO0qTlFsQksH6hkw3QnwIQRPRj8CCTnLpFHpAnwg9RglYCjV0QgpTaTg70k/F TwpgxsH+8hIoAzTEw5mEi2mD7Tn4C9E90LbHeDWh6KAfin3AbZTjGXTfErsjxgvgOkkQ++lgln92 90P3B8lBBUvm3zDToqQ/7oEa2Wy/YDX+T5ExyL9wdZ/JBlzELx4eFhfQe+1Bp7NoAYG5SPtpil28 dCBj1C9Rnz/zPOQKjHGJwKjtciryFW6JtMgJPJW1y+FI7+H2Zkj+eQqAZLUFyN1ZxMdhBrd9ve01 4IaRGiQbDeJBMB0nb7i2WacrGQ6oU4JBcWHT9qXvvMy2AKMPdNSxNZtTbfimcZJho7yrJRQ5QJtL VXra1IF4+643+au4TpRo1W0R0U5q04a1wptCI5mlT4SRix8AMHFkq7isX/PWh7QXA+NpHrzaI1aA lYOm4H89flYoOkYLnR44e2CYIWYy+vY9RngGH56a9MJMwECFj+64fFAlMsnVAHZtBBIIef39ep8K L0kP8BMtxETr0t3gEwH69WkgiLwvZa5xgcxqPGM400/G2+WHUOMGz4IE5P92gRlJgYVF3S6LKpw5 0moyU9pOAn0gOD+/HG9YUfIgBio7BqAp06TyJcUXx9uxIXYvhCUPYTB2+w8P7GbOwD4LyzGpo+he W2ORdwqKOafxDE0NpMFjAs5TkSsV14zJq1CqVx8VUPJL2XR5RmMCTGnle4zCHsCYVyKs8Ps4eoW/ rSMFwh0IJvs/6iuDw5fTFr1WZQFTYSjXS2tZ/wm4i8HvDpdZDVHAtfODiR46vy/AYtXGxlKhe17w /5zAPrBciA7WZ1GB89rk5cHGNFMV7CKanWJ6Elmt9zhJrmlbUSg5vE4uY+lt5yZwR1UPGypGh8zU bjrxIjrzgyaNZyGJG+322TKQTCVo8VhuBekbfCKvWBLdG+C5Nfhlr/mx/nNjxAGx9Y6JJyUXzY3k zSo8iUKNU1VFw1xzmGEFJpk5LLRP5yoiuVJHHqfh+hEccR1gH25KrP4lWDUEPZWhy4/5rZ5YMhZc i8AqPjcuYkfJtkqmCgSqsoDztUUu+FgNvc7lRGM7otyvjWLB28fSUAWYeAsGABxlIU2eIKI3FR9o gaEHLbG/Fn3u6ni+gtD54QomT7CAiWY+3IS2mzMW2eJ0Z3TV3/haSEyjWieb5AgtVpGJEXFMtMO9 fq3jCsb38/0a9wSikzZjUCxd1RQO0uijNBJFursfKydatU4B2mZxTSfD3LK5EHF+lNkX83RdSD+P 9kDUkv+NQ4u4LZnV/XgnvDPj/sEymVQNdRsbFOzbIRwli22NSblP1N6Ht5HQ68AW7a4Th0sDlGVG Q1j6vVEhN51UfRSgu74E5CvethIvbDE1CFiwUC7KUeJmy/DQrgn78aJrtnQswGEuLRVEFLRfCO32 NrZh5mggrRyVAEhLUmydAFpes/aQPBfah9CLQ83STUGqSh4ZNW7POY8G/v/ODgPqtoc50Hx/9D8a /nIJy4E0ozVW2K8JoBV2VMnZBJ20KLIRMnnI1jCMYmqYGOLDB/jJ0XoPIMQaLWtr3QpYJx+Cnq8V e5axrB0PJ4cbBWbXMAw9q9wNcN92nxlthGueG88+gricqaJ+Pz89wCMmb6tFkXzEqq6dM7TiSPdk Vh8lDr9amfKAEVNRk7P+qMrA5pn1LULF0mDALBhf38P2UmSv1svENpkXZAwMiOSlV3zyDkpYCAXJ G0ntz+a4gLP6eLrN+qGqKvuQg7YZp1FgCJcBf5vsMXkfML6xWl0a8no7oD2Za/nv8cxjw6vwlKSa CSf2adRIE6oSV6N2XCzmwtdo4D2LDrLcjwPw9Ce+bIB2vdxKNmLFek98j/HZH3WY+hP6s9r0tH1k JfSNTXwXuR6NT992TI5hb2nrpshZ1Nia7FYK4hgKY3yulFTF7VP0itoBLRde/vDQZR+9QGEtI7yy Gqh2HpiV5XBPd9rbQ60E8nzqkpfSLiAS9JVlpryf52UnPwWhFUsYWr2vZYfo0CmAvbTQYo2x7W/Q /iMLtI67wYfastjItW8uFzPsskD9NeuNC4gcOwYP1qf8I8TpeNhCbunPkd24sIMzuZuAJfX8xTwg M1qmgAjNQ12teW8O6iY8szWyqMsAUqDAxL87HphUNWdyBiUCTRbCZfNLTymakqD6kpuIOIqXfAcq Adm6mXybx4w2jZIJIEsdtmPPxrkOCyeNRksmA2SrmOR7L+M078MhEJwH0NTMSuspFgCBlAWoR30x Dc49zL0+d0UVoiulXzPfx3r2ImiMMxPcA1IzCJneiKlOzGjL/ZPNHj/BokXLE80g3Z/c24lzzkbG M7sZBaaAawXZEPc4GHDc6bftv37ie9YgvbmDjS8GB19sTrYt6r+FD63zkZbI0+8RnOl3aw3Go9Ut BjagEgx2nqDQnKdfgxpEKz75OUOSx2FBMjddJ3iAFqrRCVBX29Y3kE+vZICFMPCTv8hmiQxwuza3 gO5HbESrpGErYzTRQ5HrkUJYjSSN26LPsspLCTzeEb2IaQdjxnkEGL/aPF9n1PXFdbikkm9IdsTX ZUfQM0/rhhVOevqgJ1bdghC2qhFQvxJV7tycnO1xm8KJIk5SIQj5wxrIdaCQ9F18Djuxr09bXOfG u4htIfUc040GusBFAS6FWtYMQ2W6VIcBdB68MuzfPDmNplA07vR/vZCKJXOMplpwHNHmmsj3B2CO u4mLIV4vpzXskQLfWS2cc+PmPkEd5avGUN8h0zw6W54fP6uliqN3DQsG7TeyBbr99o3QoFihgbXx Ox90Jf3yyTL75BGPnDMr4EjkIUR04PUF6pXlXTfQQtltSPl6yhZVI9qF/9b8tItAb6U7xRilcqxk ujhw+Aof0JTAdLNykSMs+NF4oNFcieehJnEi0lwm4dH+roocDA+e9C0uy36u9+vJ+eqY1EFMM7cp /URTsyS+Hf8rB2AOyx6hXsLT+c01LCkLKg0Q7ky3o7Uc3FlAeFbRvuJoArRrplcr8voFE8SBHmOi 36/hbXckkCkI+bVrsM33Qpph1d8t7NOeYXWXlveQcYPLhfdLEab5+pBh9R0+S8SzULPIbdJZzEmv xxrGkpsIZJkcrgi+MLp0I0CN1XXw67MPKxRB0WkrdtquqIhry9DlA17/QUORttm3Tx+1gKZUxdnC x6Hv4aekx5Xd33cg0MiSivy+XVQqkJUNldX/qZ9p+1wcvd7IUijn0eabYfU/TozBrUNYTjQBQo9t OY7cLBt5eqyA/mWlVBVH4Jz78hTGWJl1qETpk7p+1HF/QOKvoqWff6E8ugry/am/24HmVecod7Aa Corb5Fsix1YQqa05YWr/enRZBIEJDHezDUSQ+sEa9uxtB0AgB5jSu+SGRDC3rHLFZk3TzUxJgIMm YnnNhA4HDTN6HJmdV8T56SzNMCcTvGyLjQPMcFx7Vi9AEnCbIsYOZb2KMpWm+NH7cK90VNvkHWPn Jn9tgu8jyjtwlAD3c1rpN+V79/ONVCHkR7cMIrxsHy1aerLqafXFrz0eE2aksuQS1EkYg5CTT0bL 6opDv4gyCfRf4lrAZwEZBnfRIxPKNG6CvlFPQ2dvdAA/IYo3kqxu2Lab9iSQYHFWOLIovb7h1c5V 5fccu5uzn0blLK7BD8a6pPsfb496gbc/teGegVo8TGcHr2riLkcdv+Al3Z2Wg9oiynxmRlgZ58TK 0w+xVNU2K2wvEnsExDwYZvg8H24oNHOk7sCleC6Wp1b4Ch1Q4F25AnguHjhIHEF/dM0M61FmjhTC jEm1jnnoDYP9Uwst0mTMmHmrtcFzhbjpKQmLRH1sBYkNCBUxwvjhUFEBMV+5rpUY5Rj6nD68Ros0 fuu+KUjAuF21BuTlL7FA5JJkLLumNRgMl655ZGCwbcyPcKgQzD8dxO4m0QuR86AzST+DEUfO209k fseV9YRVydv45WzORGM9dmESDudGar0lr0d42tfMdza/yDg4MInE5NZE65Wcxl3cZQzLdcX7IpXe f8aeGWoZEUvFuhzVLhwQWm414qesG5woaunJO1D9lfzmXSWy351hK/5fkYNVMKc3+wdv17LTvLIp YtIEAzhutstc2bXWPDDfj9LUiP1Sa3mIs+TdpUFAFbnJTlyklYlev9WLOpQHRPhCq7HWrXEamyP6 rmBDG4qz7/ZBsPMZFSB29tbv0U6GFfOgHE/UFyBiYlvIrQn8RKJfvQf1rhaZ5QHNggGJY/MgTPxq Z7Ta4IffiGOeNlIhTG7QFM1i9N2ddMlXtix+W/Yzw4WADYj6KF3+mu/siWBEfzcS99+5zG582DCW RMR1o4OBhdfGAWAEok5s/mhO6Xz7l4q1rYhn9LoEf/sSHfERzu64wWhP21BQ9SdpH4vVNl8JwLCb LQCFcXsepPDnUzT6/cBc+HP1qLezdxRWpDszVDYm3/SF/g/LT1HtiwrvyAWWLg5c69cmghoXcb6K A8EK2GqEBomwF/WL4sZZPGWNFJFhvbJXsR7HfyepAiiGqCgld8vdXcmz+FFtpoOuZXruXacUwTfC x33gXNnjk3OPwDkphubFB9lFA8fEGR3rJyLDdrqkzWORuFU4QlVXf6isYF2dgWhyq9fJr3RbTjcr 9AQPBbS39hz0pQIzCGsKXfN9tnRLmmehruhaknobRqByhZ7A1slK/UncT/CJ2HrnVRlljDZfUSUG uD4S1HOV5gna6/0BLvUa/gElg8x2SDDXK4/LcUb2qbU4sj+EWECL7BGrzGNvFN1rKFY/FK9Azuie Je/tFmLKwMffnDBoFj05OJ9VckaTZX9I+WT20Tg57WXVoxGi+qXVnok2WhsH/dAOp4nhSTsV4oAu DHHsBfDXw9NJJLa+e8vdV/1ydHIbsyXEf538VUKR1TskddD5t0vR1Umvl6msW2ARIdAvAcHErlbP XjWMonEckGCimxmT/sRFIJwz6SO88Rs8bNK49Oa/SkXgw8zfT8ATqbbcN7PAcqurTWMOkErvilKL lOylmBtyO/Lw/Aw8tQN8eUmgAxrFQxPG/pwoEh+8Q5e0wyj3gb7YrxhqPSr5+9sp1q7VHLArNZca 3TQ4buDixd7Xy7/BQkwldx0QV0Y+2nRA9RkIfoInWvhfDArt9Q6H8LkV4V4ffgYaG6vJ2JCna3ap 3hnJz67FKHlT0P1tD7YzfRdY1nTQj1GYHlPIVIcSKOHoz01C/CNYAqgNT1IAX3Uh6aIRGHg7vKV4 YrIvM03FHdCR5GCx57tsYF6DV9WNmPZ1AqXRaiEmdLhHYRiS+P5X6VoKLfDM7q2c8OD0wf/ViDnT lV4aye25Inc0rkFo5MpR+ziPzPttYMekRIRt1juDusdLBNJ1KffxuJ+00VPGScVWoSMKk7tB124i L/BpZ5enVPqpIPA7yYVCjp7xNnJifD8t3ugDZV0hDzpYdHAwgaJ5gTLEhK1+zxEjPpWp/3OWZMBh fMhOer18Pd3U7lHJC6HgKFqdZuFvKmm8mK6Gd66AIyt2Oom1bvLTVa9CFePzd7uYaum3oS+72aa5 uoWXRBlEZK9BCdUF4C5j8xMX5lsocJoMwWtxUm+qd2y7dFlw1MgJdwEkYCbe4Tk7DRIJ5u5bjuXu n+AFrxXZUUp066QfxnKXXz2k0SG+LDTKlohunb/OuBCcQI0dIh+PZuUuRwfJTBhIF1b3v71LK/kk vgr5TDB6J5T++Em0kSdIvheYV/YjpBb+ZBU3Gjl8ShEn0P9yiOwWNvDhQgxyglxdb9iB33b3pbh/ cLqdFGqMiPn7mIsMEMit3JONsC9qDY+62jg3VvPTUyfFi3umHZ2l0gfdVJydts6pgm/j49DTotcJ v+UZjZYYM/TM8osUdX2guPkzzZhBT354GNx3SVKTWPnSmwsfZkVOJt2BQ6i8grCEejLmf/1/BYVv kxWfOju+iqXdmVahvGXeZsfejPnqurbbm/nSl28a9De2oXcNM7UfeRcm1PfvILcBs0dRDdOGcfdd w9Nkn8DRA4sN2IN/2ESIDHYbz9nMSbhCCynbqzJwGtpvXI1KTWJ9hI/0iH2Mqxi4tam3kYZBKOgN pgEUiBqziG/BuOHAwYmcjnibseG7t49sqqaaLtw/FwDMYXixkql9EKa4mwePM7LtC0dm6ooSeITn thXMx7OZpnbEz2lJP5JbxJ3dex5E82/EX7t44h8dv/paY50Al5bhuKAqFxueIIhRJOZT50H7sWEu 11ZhZIHqKkwM9b+8F46HUvVbrAepXuIHfcREU3KxCThVtlbIxvvBpFVjv7K8VoyRiBzc4j6Hqwe/ QVF+rGCEGOrLDeBHcWzpjKNCb0LVLGN5oZTveqAqGl7lZ1DuymEJ/YkrxwbstwctwOQ70eYMkefI 2e9G+wEW4sdOQvuDUGJ+VnhM3uk2/TvKpUtI06T0IDeEA/ZtXpG35cCLkszPpl4KjwXK1NI2osSb PYd21y+Vi0JAxKUIquseynBu1UnQsmj5wqx06gu1UDn9zWiyHC33Dqks2dTqghmVkPHNHWv53dDq 2KYmZgW7n20GludTyuTUpWg7ub57YvnmeSTN5mIaiWTGlhZzQWxg9plvwGMhS6W3oF4xN1pQv1ZW XW1Hb7DsYDrbI4yBe31aE2QZRmBg5QCrl6aunIEScajgFS+4f/Q3pL6IgZqo6Pea+qMpydb3nqoT fD0W0R96te/YJkkQMiK1nIOO7m1zp5TlNjDlmFoiHTILVE80SOBTYi+KNpyoaabBiF7fEnJNiE0C PSF54q4BgyO9p7cW1GlkR3h/3eb7t7p/He3IGs4YQZGNTsm4Rilzsy02g7K5CIUUg3yzizP0hCz0 U/xJ/Ps6bCQ51hqoVY+UXwiWK95MtU8RB3VnlFO+vJHmAD1yB0roCgFZ2SkilO1MROTPf7ZilF1J 8Dj3L3ga47i4F6F53vxIhJINgl+d5oNTb1Z6ggrbSWUhhJYUPClb07oPcmqoY4ID7ay1nHx3OE5J RnGeBeHeuNT9oQHuwl6p3+rp34Qx1x1Logn4CBWhLWsPIaHE9oin/wBGIa4ywrpr8V+aiFCIkx0Y AlAXk9wDx9i6zbJjn9pP25QooMDnw8ipsfu1f9khUSw0PtkQO18mqZfNiLQuRKlZNBKmsutWzXyB 5T+FuRZq0bhZHYKTNDKUPRcQO2Hk4QY3vz9uANhInPIj0XkUMgj0i9mC7VBrfUoAtD7oYYB86SLF hMJHyt942NRG3Iz0EYshks/YvjpyY1xeSAJ0zfaiqf96DC/wN7fmqLIJjOeYIjvuWeO9G+mEP+NQ LjqzS7HR2uLUJ2Wpa3myTTiF3NgNuXMlPIHnF9MMiX+smVbtZLqwEU+b7OMp82DWS4AD2rMEgt95 PJsfsmsIREaPmlsv0Wfi0Kc3vtHXVP7vTtcwT1Lb+h7R2oKPf3B7EGfZ1mPLlLVHo0s3WD6dolN3 3a0MpUcHQHbKkqMgRsjHrShU6ib8cIz2VB379o5bsI73lft2SlkGZltiIuXIcheWNA2bjxKOp7Ee CWsY1tk4mKd/xAL0mB0c15QhesAUHfoo7YsTELxEvC/BEeNG4AhXLlSPSlLtzNvgqtW0+JgT/L0u altSYQ94aMhNz3I+6VpaJ38nj/eT0Uou9FeRwyamjX819OVqyxPqA6fLbTOcygHMQrhbRh6SqX9+ 3lST/p7qoEpqjHkiDIOeSwuwX+l4w44QLT08P4BPk54UwOZXnHzdL7yM0wD3M8RKcpePFmA2vZOy Y9tLngujjwu2gdbCvX7na4Lt1AztxkF06Oev1zDoc6f+/s+hgXohlImxoPokUBN9WBX0qlZcrjXy A67pul3P6PuNOSpVz+jCTsvFdDRlVhZ+Jf5wAT1JBdwMesfYGKdoRCoMsmc201HP4DJsJ9wWfDkm hWMrPGkPfa8k0O9kIx8pEx7/3lpwm1tZhNjK00KIoL8jBFLo/GKmlQ81N05JYjlyKYJi2Yu2/buZ q7St7rDp/IUXz2Q2YSzPMcUaNAedTpbwJXcAOwvU2CRsEdYljO2p0vb04prdcQ78321iqPUgvUd1 KP6EMRNYMvw+/uiNz5xRyXW2PZ6TC7Qnxiw5wesuANtRKA1mPHdNXeq9MXty/FNYjT3ZlDyMQXzI nFb1cfiYXSX4nqb4Z1azDj0wXuAfxkwj0H4cHrLL+2Sq5YyrwgpZUxLoOrM9sqk0riDdPg5nuEeF AkoKlLZ+iHFfUKPT2+9/ViMJn9++6XJNITiF0i+sdm+EYY5547Tm6hoe9GNp3dUrfLWKdPzXPu4u dZ15WhsQwI6nto4J1N+4u63LDFOOOqpmcbTjqK5lXhaarH0EYHEl1EGL/hawo1aSnJRXCc7L2RW7 4i4uiatpYLyPgseQArG7YEwM64rkiuj2/mWoFVyE8eRBPkpk98ue+8KBnjFOYd3aNyD8903Myygr f1qaPjLI9XGFZn/bqaVVPPp6MlaEhu02mQgMBEE6NhLNE55g0bYV2jTvFpDc9ijzGy5L357Fu09o Hv79K1R9B5k2gzyAOWO+Oat9xD9FJYii6UFY37yl6/pFmKe6WKBfGxZaepM3UbEIBVVa3Cxae6uz lmgnq32JvcJU7ghdEp5vf1q/GmrgG5Wfab5+KIhUj9X7qrxbiyoRpAm4nkeU5Y6gETtR9gZduoEW Axc3+vufdD0m1RPQod2xwpH8Szt6X9XPIDiu18yZq5fxwzbme+KqRRI6UR8x8AJ/l/+3j+zpB2uL A3HuNziGOlQMCQnEjfFwbndt/oQwK36EY21vH3gYbiCbTAsAMdXdENh22vXmtBL3gArn3lLhs6/v gFl49z91EMjRTbY6KXyYWjQVuxLttShpkKy18XjUJOOlOiOirHoTfz+C47W2RrQIo3NgNfFuMtzQ +nzHw7mKZBlN5yDeJocY/vH363qfwHSySbroFLoJbLhWrVwThjcn23g+JSh8UmHu/iKmBsQ9MWN6 ix96fk3h3OO4BbvZcPEDj8GpiAKdjAlcdpvMq5UkE684C5zJDD54oZ/BcBDZrJNU68I5nUU+5Zzi /lokVLATKdIHziqqH7GY2fHltegCaCBZjmR5EEtqV6LN0AC/rSttasM0j1SBPqesaWm0yUZ9HgCu UlRySDE75Crop0gmALvZkip8m14T+m6dtCS8dN3lA/uo5u+v8E9bmNqTl+03iimJKZ/isiHoU5IE 4VZb1QGNZUVHnOTWzTiKKb0wwajhTFJEA6glBlqtv14qsui6tLN/BJU8wBiYB1q7VdLu9kwDPWZi 9hy0sV20lV4xVQX3g7qq5ePijzpwKVPeW4wL7JOFwB25/ePpGtklowvvBytQQGKQQElQYn4m6V9q NbOm7UhbY0XdEipPYyIrONsZiE0Qqkd/P3OtlmuTab2DN+ChLZ7JbUxf53gXGLR3OdqLrks0wDrm LCehtWSwWxhnqxLMesj9xUgI05CksoI//LIZPdrEt1kstNMPGH1XaZ7S1BWLKbW3l2oy/T687d1M kIA/NgKWGSHgCnEo/SinHz03JNIoPya3nJL1qF14cxi/zz/vEYwjLkrcDoG2eAXGcQCK3EmtOuao ulJZR9sRIYWaWYMW5fJn1c3+GdgtQZBEJ4xc8Q/hqPiL4BPF6Gzu4R5fd/XFYoUUzouy1Np7aKYu /9UbjejuJBwMPkLV2bWpeFTs1RbpLF9eNO0sky6fjIl9SXGnU6lTaRqyAjPX6svhVJYYMLmTStti 0bgO0Oc9QG9yluJUP54HkC6rhPhSABQG9r8wPUtwhok+2LaxQfmvuwamPUgw4GJAqIznREN2Khdu 3+Bvyrb8xBpmqtWYsJb8RtM/x9MDxE/OWyaTdQLsJV8AjakqP6tKWqwSHRggFqq3kcpnB3Tepjk0 OccAX/VUyLuR6zHEWNC/0WgXKbIkkVbsnrAvGpsUfV7HAWYAg1PTFwI4Q6BeveRjipH+PwFFOI6T wVgi8bUmjGjXxRSEp9yXdyQC5Bt4DdTk2Y1PURDGEVtDWsK2V8ISBCqCl7tLR8S6hzkQFvc6smv+ AU0CbPqdwSZLxf0NP2+n8LKNGfNyoUbWy40vGPALGfxJK5wBMqTUpnbY0fB42HShg3F6JMQZQI/B sA5BjjCPuw8eOUGWUj0/rnMHjwzQkrvvasdlEAG985yk8gzam+3VW0E9aauCWMwK9pAY2VgB3Hvw U68r12BmTkHcwVAJhnI2trNcTu/nFNIaSHeq0JpE4ZWrM+kx9p2FFBg7/ugbaFQ9cC7b+vPQotOk jMKpJq5PUARUanOPI5xn1Eb4QkEQbOLlimm3Pv6N1UCJKe1xyJ3DodRjqEM4siLtrrFHq9w9W6cn Xc8tOIBt/qxH1KAqZCrFleFxuu+FqZMs8tLUhtA4EBJ2KZOfjLzx3nUGW2pHnGFiajCG4DC0t+ZI SRfmKUwVM/jyTRkqnl3xA4MOVQaOUnwkDo9ENa/rJXNkeFYHH12Pg1GVaPoyMg+YrQnZHdOq2aTI LGJNYeGLiptS7uimuYLlAU+t7zjsfG32avQccDsc5hqFi/XhTPHR0dyfMhvdBNsKalX9LMRzZAlL tQVkhBaKd09yzNn0kCU0OTzTQz0GyKhWdjTCTCeC5Km+hXblBo8v/SWicz1uOXAFtbftvTTC2lal TIJng+K9vyEiajBkidZS86XX4fF/0SWg/vdwl7WEtm3dSNyu0AiTVIgu8WEsQab2qozOZNLSwVn3 EB25ndgwt7LY2uOZZjGYC8anVHhvPbAsCigimj4PF0cV1R6y95geQ2+wZjfhIpM/Wt40sAnr0xHZ Oox1jovJkShOFHWQ4aMbGASGyVZcbBxkqKfRmE15veScyHli0dU7fKGo62nn1ps7i/OHlZtj63kK l+BFxXDa1xZWeyS560yBVz21eG9GuiB8XWsmumuXmeeHBB1B/rdhWFpjnv+Ct5KDqsie2QC2hAs8 pXDJVXxlDjBPcuS3TXCJGpoJP2VbfSw6y+ewoffJbDwjJbRUnnnPhwCtG2kXnRJhfncmMUqh8gD8 QO5acplGdZjB7gGr9DS8scGWAM3MYR6Na+O++eHgRbGYRF/qv7kWkiCmaO62qmwN1FYuI3NDH4W5 2/F2C0lnDToHnDreUB6stcWIf9U1nia4NiMNNJdaEEclPXjSUATthc193ezN3LnEQ3l28j1H6Z24 j7ruPx2EYIP/3O9nj0hZTpY80Ngxo30jvxi3dPeIsHKi/wDTEbelK/rrTC6AbDvYU5xz3km5kFgj 3W48dZSqU2SABX47hyuJG3kQAQT+IrwrsDo5akcJDwde5dQVE1OxJGFLej+3UUSA9Ao6b/3yYm5T OStfO8MHOJ6bm87hXZZnxPimFD92nhe0AY2YrHlothaimuWm9Oi1t7Au3BL/lw0o8foFJxubkeoD bIARz1PnID4Osv7q5AOl8H26bDlJFkhRC4MWgQx68EsVAeIe4jE/9sLV2pyDpLcO7AUBmjtiocVt 90YOWJV0JwykFdR/GHTVj29Xe6kj5ihrxyG30uSX+IKM+3yU9qh/rvqLiUJrD0X4DMMvFTekZvqq o3ieI+VtExId0ckJtDCe4s8ebJ37wXsP7EiQPYpBdsDJxzqMfp6TsvP0nt8aPsIZyZHg6DrgJR6R fIKnQ9/fL6wLy2McUbHMwIMc6ng4fAbgGWLY48rVHHl5qQ8fNUa6CTOtwe9gZKP4OHSaaF9sAeAL unhVyHKs4kGwp1bsOzuKJs6HCdwznPAHA58v/8dP6w18qeUlhQQdGvcKZXSVivIhg61QNPAi+qX7 cyj32WRK655EUNx9kYkotgEEtuTncqSxXuKHjlsLvNB7UGsYUvRL9eCxlkKttyWXmAjFGFooMyaR XMHZh8X2U3HObOyY16etvQE2w9bsQRhZNPrOZMO/FkYwVP9Yc1BaFRgiy0XHT1hcXD2sVnR1kIwR W8DTtiM9jlCCt+K8NhFBXfSND8THAPn+WAtb4ZGzKHIK1mHbfnf8wzmu5QqlDcLPTs7u4gtK+I6n OAhfDKTiXE+MIPNXBHZhhugf6kxT+9B3VkGWo8nNrA9PiED00g4PQWfUGW7ps8fAfuhOQGX9rtqF 9sO8K0CD4twrh2+LjXqnOieyGb+bLG75Wes/nyd0dJKsTbl8ZKYHncjSWn0Pijtu5DZNl/qs1pbg dIcyA6KpyUQdLihUF6Ju0xhjQO4ljbSjeOy3xXskXrFJrbglR0CAlpYjN2LpeNa2koYUdFKHfy9w BR4lU3GjA+f0YplA90+yRJ8piV8HbL0g6oYlc309OHk/C9/eDu7+WlJccFIyyjf24hcuIuQyYqmW QEjSi87QoV6fenDC4+GLD+4o+3Z6D/QcUBzSvFsXWytDFjhl7Gbch8X6bkwt9wBVbGxXaW9sUvgR b3jjYYHEIy9a18zPu+yPyk7PXNPvstnWzMWxZb2hVjMYQh41o4HVKOlbCL4DXKLw2yX+C41OWHpW avqBl9t7gutQYDX6adAf35pByOvT8TkUvdgq0r/4Maga99UaMpo0DQQfgYa3xdAfUC1Es7GNe5a3 C1ZODN7Sk2ITLDL0a53CHe3x5NJk3ZKApdmFk8vDL6M9WKp2w0/vQ/vsQwqJ4LnDq1fzJSFaPjWY pKzmc5AC0wsyKfJnFs5/pW10FIW8YEb0Bb6p9B4pEp447syFqRIW3Pst65wnCDxssk3NMfgUTJ1V GB1aWJ/gE43iYT59bgH1t5kZszc/36Y/8ijzEaW66XkY+MDI8Epqa0HuRO6lWo2Blc3NE2CNpjLP MTFzjIKRJ0gDIZFSHWu6uiFiEC4m74yPzZXPJDQIXMeCCOIfbJQLityH22Se+BL0bKEYNrzKVGoV YeHo6N4YhY2CkMAGf7PAJcJhNckJ7/pvkqAUL6ApFaq70YZ2fbKmgrtG3G/+EYlhuFmpHsY0VYxP AGCNGRlW1ErJk0NMcWofq9jy1xEB4Pq5wUo8yf0GpJ+NWmAxfPR5j9bMdc+Ipqd3exVB0muq0pOV ywKLmv4xnQOw4Zj+lSnzRvE3X6k8WNvMFiIZBq3w9Z/i1koLle9R1W0C3BxG57FljRiGEDSk7dC3 MJEWezMHIlCf30NQvc1wfi2kJr8F0XFyFNbLdM5FhU0TbspIDJkV5teUkiv/n/rIBXPH7XciVl+Z VMPTkSTBLi8VENI6VbQpJrqh3YslxpS1YB6T8nxD+peV1pDxkDqSuVMrZNOGgzkqnF8fXWbQyaME HwFu/rwUmRdnXU7gNzI5w1XNlR+QzqY5yUaTGcZmedrL06ljB7y3svbrcfZfTcoXB0ZLFZNaQQ6P +qaiV94e6UA5Y3sa0A3TVBqMytWjGlaoBHHMA09j5mxOR5RsoWJLN4qxjsmJIsFXRsu89PjMucZ2 Ja0J1VBZEWCRdmxFKZWIBJX5dT0zisRDTcQWTjEPi5baMLgrH7tFVDfOGxyYmSiD3CowPiM4GIeN iVp/RAQSH8KYbU+sV9OuTxOS9t+dSAavG9kk6DEz3pbLefJjPLand2ZUvf8PW86+hIhdRf+Bpe70 ssyB2j2WNn+aKpcM/NYEEigSNHNLLhDWZYiGIi6kfXK+bgJa7jx3jidKl5WiSR729f/3/4TJya4E YFcGZ774kchn/38vNuL+7zJy++PXgjObTpmf5RWjJKF2IBeex49EBfkoXMbi9A/3YmX12Anbw734 Fb+gwyitLFXXeUkW6WfXkQfwKxAGZnf/Ci8+rwcObOkEVjthwKkDMjWDRSNyEwXQfbY4ASGiJW5b OZFpwpG7LdPfv9A/kc/HVAAGRt88E7V3ZUPIdD59m5AuAv7h+OfUmbOcSj8pl+Wn0tcv2kLwASh/ ywl2uauJb5puT7ygKqzivFpQBmYh82jfyfy4PD9ZhnNBZIegRiFMG8qukJYFusSk7+vu5l1P/l8I vosCVShU/ocHf1cfo9CZjpiRer6qmFMYP8/EZlIGEVL1+ECKH8c9QoxJd8sfonNI5/4CrkMtb+J5 5JLlr64gpHvZX6FZoEHLDjwjEVLLBKALmPKcp+RLGTpFkW/SrGy0Un4I/8fGgtxGBqnyFM9sV6Mb GkHLvFlSkkgMiNAcAmLrm/iPjQmCvuvRzthlSHhkVu8wgOvCQhWufRii8reyaRnXv5Sx6V4fv/BB fZ+Ode/OTmzC8J/EAun7LXh3kvvD8LaTZQ9y1U7avL1bd+3bh5xlige19qziRiB3fk1cQMQjx/AR gxsZ+t6fHvU4ERoK/EOs6D8lYV0VFXD7h8qqKUsk8EUVO3pCF4DK0sIAG3qvsY4rNBtIsHpANEZN tCvMdOZwiddIY//7p7y5aEmjGM/OJAQSJfYTBnSLh4OSLKoVFRhatoqqTDhoXJD4UItd5I7NRm22 KvXaTAy7bhHY6lB0nVn+SvP2ADlSbgxtYisBHayHlBb3W9b1N4HrGkvQhU6UT4yXikS8iRqLyVbt 0tMChNIc+9mZ+WHmx4bFrnN9YebW1rump0yqgOPnR3x+CibMh/Tlg+Js1IgSJcw30+dJRZhK9occ lKyMk0lD9iyrDiFSn4qc3JQLq7RintotUhw/mICFHzF5wPKdMF1VoVrc4r23QDXdTetmr4RRVR9T wss+ofI6XiCcEMyOPGPw6G/IMRI+s2voY49ioLbqbUhsP+Qe4+9B9JDLLoET3EEzwePkbfyLWQOF 9/mquSH4l46SrJCWOwn+ZeiD/O3B+6I18sZtOwDQPAp4v1crjdRmYa+2xcoTIbCxcRJ9W+f/VWjg dWs2j3vla6TJbEwgKTVh4awIXNZK/gMNORepyBUK+pj7xl/H8vfpJ2xwGo8vGfPdQ5Yh2iCgWLm8 R5akfC1mvWAsJGnbw3Fon7HPKkjlxrnmn+i6gg2QimbUxuIbbQX/2s0UdgCOIKztcyuL+peY5hzf WErBCx3tdgmtdFgnagPCLz/o8jqdddOMb5BxEmJplkZG2aJ2IKiuJMZkPg1mv+MkQpi5MBtGu4G1 /H8Kc8zwo+eKykoGgmhDSPhmjpgXYhkhKrCN4ipQPulHH5/SkRGRiv16egZ9nRSqE4PNmUFCSLHO 1UPW0WF7PtHu4lXvuA+Tut2bhZ+HdOZq2GsN2EnGyhMguggPomBkFTHXwQbBfay73deHKD6URF9W ryfV4qbhKHbQvnI3aBfdyC4lQ3U+AHOR0GvZPgryffLIuc/vbgxxSkP9qFOR/K+ONUF1jFk2GyaP Oo4A4r0io8Q37hStRdMy+6yKOL9I9VcutpP+c2VKE6G69mRAop6ETOpJY+D5C+uM+09fKGmdJ5ry MSRQ1xa9suL02mgIr5MQmy+4PqcVJ9N8xxVwzzL/akiv2xqZ8OBXa7SptrOlNnIzQmhq+ytACBqa qE2/py/G1Kb/aXsMV1Vr7EJWhtfGlMrQMqaSyVwvCBZSOkZVjkf5s/hg0H/D0lNYVPX7o6/kaumY w3T58VawSe+dqN3BVpo/9FS4cqzEWEMscOeEqSVcePWo6KkxWDOwi8Zq3ekzSMF3h+q8JJ3bKNru qQA4UvPZzdh+UC3jcgQWJHAAJHYYq4Yo5YuAmnDeXjDHyeBQNloqlLIDNsN0eT6EVVrp6d0IAG8a nZN/s+EjGG2tcN3zneoueE9pawxdCzPDwQr0q9leM0yoAnQnpESPXxgHMZXb+CeMO177plY0lL1n PblZC9IniWpagvOaWX663do7rJtJzAO6HJXiqc6vuMOMiWVrweT2JsavkMKURmIVTOzxt2MLMjT6 4nnQ9DREmDALqbpcQhN1gbEvbeWwy2Pg5wqpL6DWjwA4cZN7y4dvNGi9DGbkDL6Y+EQA1+fzImKO V9fXQTCCrA559fBZmqLbw+eFxOPFbyGxuHXUpoBNoME9TttfjSORd+iP/ZUOuOLq9fkA1LrfXl83 N61jO90fPdy1b5bRevsHV+/iVZZf+KvzedKm/zCEkAl0hcOKkYxhcnhvcoUt136tLjJdEpfeNb3e V6rlQJfWn0Rb9vcJA8LD/KPhloRYC7/zF7VRcEfSFcEwgXYRtnElbFXERHZNEtcexCXa4Tstebz2 vg+i/11tmNaZY2X/ibLOqf80SlOKme9LCRLIwkLtHXt+QbQuOuNl9OY/uGU+TPMFob5kkY0fXvVI ipYuHEWrJrKkhjLbA0uEat780chLO6EBm5tXRWSxeNOIlPWvGowbDQNc85EkrRoNuALULK/dJvGb msE3SmHWmj5pREefyrEd7hQvnJTmqyVDE4Oq3tNbFd+P7lsRD+kNJ33nEdruRGB/B2LNtRhdQYLk 42SmE1BJFZuDi9GCqJNWKs2aHJcoGa4VrkDHKTmsliMGkCL7z8Oery8T0y/RSy9trmxY/STAbwqh 9ChAernQv9SWJF+HHuPRL7dUX57vGBDk4S1wUr2/IBwsWQtzRqmkp3HgxrT4/7D3Dz4e6wcZzGOS FbdsxypWh3wCQUXwYTaZSauxJ94D2YvX0eKUTXQATIXIyx2eKydMnlTB47HsgaVel5UZ+BU90//x Tgqe7SR5/TupExBc8JGGINFT0I2pklKnGfiRMq7pjIFmTNc690eTHvoOlNUbbBIsaq9aFdIqQr6Z 0vZM1nhZwGz/weLMKJaZeynHOanYlc3CBl5wvOXfDBPsZ7OGnRhtDOEkSGXE5HpEogzfNYbKMju8 B+1lvU2CTPx3OtweeSOksA0msroDpj6xtg10MeiZXxNvCJ9+uMaldK7OXbJb/iDQ1RXCZuibtj0H rw1VdrlGTOXkwa6YeJ+oncvejtbksC74Hft2K0hx5AbGjN3TtzEafWV5OzrmcRfdBYAh17Ns1bku pk+/9xGdVmhkxQUyiHzgsRSZrrSODCnc6MCC5hSWRK9cJvOxdi7PTqME2Wo3mbarZJae3QxOUerg MTN/QsOBBuyPbPVmK4Qt+aGTtyfFVgDZO1AD331U1TaykgVKq7bsBmJ5xNwsl9ViwCZ5YDkp9oML xBZueTPRiIk42kLAblWuA9irwDpdj8sz6yZ1bVHI+69ouGh9I8sk/aTel2xA8LC/xC652nx3vTdL tU8yMmrs/QBpFSXFiLyq+YeygnH0ncS5rMlsTQtk3eqd51BKuhw2znCHwLcpaM5FDXKnJry4WbEO h9Vm8v6N59oVDbJfYqU9csUXGSqH2yIzG2bNxBN4lypg/aKA4grqmVWtjLdFqbDSo5G5TSeWWdRp resFRsI+vXIv3rHKhwfB9a9moM5No+YV1KEOuc68AnfPyFmxkfPkboBY09c4TxysJhwWMgx0UGSQ 3pXM0rKUqIkRG+FmF0FB///YxLr9Eycbqa8Ev0HcopJIJk3D7ikrGiQMMdmxonlCEaU348EAh658 ptH/BVWmIPkuNp8NJtWGGrAxmGfwusHtnknCBNdpomDef2jNHtROKLeVom4SvVKkpEg53+tXKKgG KFrlm19mGdRp4SLuLswaCj1gW0x+7m5Wd5dodwE/Zx+SJYkvWnBlEZ+2mKujvVgN9zHQBpJLKV6h AIDO3t9BDGAXHh+g4/cZuwMbM7ytQG+1z6dasGPBfYy47zI7vh7mnc1L6kT3fPL9yLHZklN0n8S6 AxYN2HD/PP7zBHPIgKqB0mBlxelG5HoWbmYbatgucWFsfBB7GNRjgBVGXr7CjFXFTBIijXyFic8I kBaA57S+80SOah0qKlHfu86hiDQmUyAmlJL67Ukn5yuleKxjaX4zPb8GgYIemsP+P6tbUGmdqucH 7ukgoRuDpxCc/1XazAGoUEn+PnLoggL7m28LeqD5ZuUXYQSqLwQcfMyhJB8EoynxFnz0lm9Rhx9e BofcJvo9phHpfycDeXd3ztE8mzK/6bPvogdZ70iGxc5WCFPKl6wmOmEtppt4G9y2g8tSaTXLy7yr vKChiEj/E8wdB3oppTT/YNpjcArf2f5pZGa+nPUfL6EmvLq8U6T/dc9sI1COn8TpY456GtcMn5uN 9fptnhHg8FdEhIONK52N3zUFrRl2PJ2U0Ozcqve53qzk9Bib663JxzTG448vU9u29BRafEFFt5ji 34zCBm+zxif9kej/ZqrJteezr8Su3BC3hhh1pHbachBnN9y2hrKcDxVx+6QLSAmNGuyX+TQdjSN7 3+C8oNVC2uwbvDSZlBqEjm8DAkM55sgYAjl2/gX5TNlkN0NhrSfmTHPah83AzAqIiEk85uUwCE0Z t285Jo/mtSX9MTxekU6ioz65FHF+ju9iSrzxyJQ9RvuTDKsqPaRI5l9qKp75EYNG+aAjD+aWV7Ia ssEhvNYGg9l/AmuXyIWUUXmrBiplI9A6/I+9vJxLqYXTc9zf72Cu/FnuD9g8FfW8act5axw3go1b EiG+GExAuRUG/CfWqkC94fVZLl3vbTRVdSl7r2gCjPJdaUD8eaQypYurfVSgWI3CLonAdECfBrjP pLgqYYeg1XDs7LFK0SA63VegoNwVi/OIBExxQbt3pXOajiRwqdguoCEp/1EcEFQnvEgYkaPDtPsi ztHs1CMnTqpBcaj59fgacl6V5++zW0lTgMGGl2zJOEqdc7cEISlvyUn2YHA/IhpQtXkAw+iYtSrX xIJOIFInm+YAX/ZrTNoSi5fjswhZxtVXKVVELCeyIzk1O4W5FKsLtEK/9WOxznS4b7FWgPKKY8DL JFhHcQ4s9NFdgci9bcdSLNoSv+dQd7LKPtdMQ7i3OGTQfWuEnc0u3nkLu4lM58HvnzgB/jGYtlsc xH3XbRhW1ECqHXZjfiYbBiDTEfnso9WnJ1HWTRHTaQ80zLRJkNylJaQof1Tt9P6+EfXFIBU6Tnsc U1wym2hTxopvTJcBW2uxSWtnjISKsE4Ftq95UC0iDDGsp/d4/Ujdgj3Dcl4C5iT0lFs+9IVQfW1J VOLbUiNzo06vy9Yt97lzBfRMRzmp9M5HGcbOgc1o4+NEP8uPgnDIfq7noHSR7kpKrr+mAJq1bEoF ePmC/3bwr5R0+ckfnWEddUUthysT1bE/BP6zMEmpmpOqvAvCNhJcmL4bQEyE4BSNy5pELzqF6q8i WsB4SRTWfFt+GYVdWEatPvAcTRSEIOWCX2qH80evzPJ/Eg5+NWn0VVtbREcTZzoCS4sflzu+OUFN n3gfRjhQnccFPM2MJnOHKpvTYtD4cLw1zye6y3BhUTMcAwQ5Sb7lMHZL+7SReC7zlpnsspiLcnwI NTPqEALcLsxIonGn0sPoTTsFDB9Wd0e6AQ+ZBjdI63bWBmgxcoynrvqk9q/Be31iuqEwBMs3tsNu FIa6EOtCskdA6sI2Mk+0ChOqLgz8xx40bPV+d2dKF6isrOxMouikqLP5WBcjgZa+w7dMQ98PpThb MBb4cwf31ZyaqeyWwpbUJ5phYrCldBj/nIhj/Zogn3bSe5ATxGD8Vq5FgGkLDIcFe8xB4aoHKBzm /E3uNSbmThBpqxIRd36SwqyCFqidM/tqRWTVTdgF9Z61rZnRs9B2GOoMWcjhj1nWEilwYLJ/ye7J PGylsp3uAYanONTIEX2YMkVvaq3hL1TFG/SMjm6iPLIqfdM1bAeSZNKs0BJKH20rvtKEh2iAsOt5 a/CpzHSBTPpH9VrmC6AYgGhmKBqdjSDWSh4hNhWF2fqdSltq7wjQr4Ln0BHoYxXLSNt3ZmhD+3qY cyxZnHiOBUewVXvRK3Cx8EAzVqiw2vUN6SPMNLaKYIri/0etKN8pMmoJlBttgeCRZhaAr5VqwOIG Ot0A0k2Xq4fVV43hEqnhcuN5KkxbtKVBaO8B3rInvs+7ofTaEYUAnT5ulRUfEw3+bWMr5VPwB9vx 5HwBJFK9NlkvfTrITDoEnDaAu9awiaU1rUXT8zfImvysXsmDFEOUAPierm0F0WVmVMmKMg/c9BtR fcifn7HRuBqjq5IyBiTFNDWKD+XUgIvXqFN7Pgbpp/OvrRdnRHZM8Clsv540ox2oKXfFgR+bfM7y bHybLgig9pidyzg3swguQLWqfDqAjJ1YgvOOI33ajwgX2x73ZD+Wxu3bVM+8t/Z9iEL4F7+VQq8P ftIExBNQ7uR3gAmBpzG+nXz5VNg3SUosuyDiic29OjmLi5ff+RhE/xEgSGBuQgdSc/Bjgue81rIB FaliXhI5RT6GhRbiwDYDVYxeS9nNI3bGvaIUabJiNT51Kmz4uSMqv2QQlEVNfzaHJBUlyyJdvjzU Q9rDTUQgC37OgjB2pOyCWmaROIbn/a5Yqpt099yETWibanrAy4WzrVQqCJeQo0keMhvVSXFAVP8M seYa5TPiDrPMITLYBXJCoYhzi2irBtr5i3EEvEEyF60bwaOopYW02vNSFobNhUnndcSgTo3NoK4c ef4RL+DPA3rhm4fow1p3gGoK3Ir7V67YQOF3b4S1MFyX6Q0CdZGTZjWFAybRnolDWjwS1xKD3rrn CWv6xz90vE5RnV3RKMJ5dbBrY7qP+hVuhMY4ggpngGVYzSAOcKMmePL0xcIcZIoX5joGQkpjp/Uo 24AyT1qzoIFPUHMl5zEWQWc102QZD+H3QI6DD1VU7qdoGdeROC215x85irssA/061lcuT392Fnrq el3wK1NrOBITxGjgTSM3gx4TVTP9K87A8s/ExSeTgkVE2JUs/9U2jcy2SmLW2uGKl55mZVg9qJVh JUAsB1Hhe3ITYLtoeqmw65JgAJABFGf77LcE7cHNXhjK4ly3B7G1MCgIccvaMJfqGt3lQk61CmVB 4sgkf7DoY4QrhvJ774KCH7mjdYC2CSMbrWJKSMAyb6Gjj/YmatIEc9tXcAVsRmUfRW/rcA6eOve4 oqN0Wt+cpmqJ7aAOt1TaC5HG8DsTT/Y/XOVDeKIB2cZM3rR8478Anl69Ds89wTnLXplNDwXM4W+R 3+uksPTR/+rj3KpvyRhwgFcfOs1WVsjvom3gEe97UxNADNWWR4WhadLJECQ+qRRPyBYqPmJeecro ab1F3SL4UgVpocJK/hhPnVCmvuWzbQVKburbmpN8MepGOV73M0ktWNGMyUNHqdtmNm9OFiAbnrKb b8MqHYJq34aCkFCsATnySIYHYIXkB8II+nr+DLqxwAEvrjG5EjQoNq8NzDkLPrDytEjeOWWB/Vhq T5hd0ApwOdaZ+R72s5X0Nzhrq8LN4ZoTvR5DJDoAB6GYsicHRwEUqExsPf2iPCHbIC/84+4RFn/W z4DHt5UKAOa7oYBhoLymszdIYWsNXObF/hRM5nJYqTmIk1XzhTVotOPvq95X2IhJD3Hnxd16zGpG Ij4fL5xgRRrFF6x3v8o29DrqGO7tb9urLEL2nNNBJOdlrCpieu3rdVBWP8HsX065KsY1BTQAOqBA IvqDyHqEdAJ212Xkw7oVZ608ungXL6DHFRzJxzNCSFDrmUSlTtvynf69MgCkZ/zs1XWhmgCeO4qw zxYz4IwWlz3lpSChIwjsrhtWD/jsQhnKjyvjZ+IqATl1wqyEBilToBFhpKPLqwOCmz1OyQFUjBIl orGM3BL+D3eUxFtEFzfd1I+GgX8/mz4/3MiDpy387qQVRFTJMOL6+mmDyOvUr+a1fWMvLdlkfL2x IIVkpo5DSH0iRIqPThEbsbu+C+VlxzFvkE0xK5bbjTpPo8dSts+DqgBiG1FuABA7F2cbdITin0tC 0T/X3E2igTYxkTWynEDCgNTIHe0mr9azBoZqQ211cFyghO+A5NwXAm9EJOofAbcBRmGoSkR8GCmq KVVyQ4DgVO9vfSb6jbCQQ37H3APlGZK/TsvjB9+zEM5u9fxmysQ15BOvUmqIca9wAWE24deSYacD zNFHRRPE4eLuGDKT9EPq4iSMf9g6SBQEfooRWvNp27YloWOWhz6Q4P6Kc510wZvzPla2USwkMMIr YK3fsNGlhPB+VCVZyTvPfDPyZ9ZC/b1/EJBpnDMJnJ53rZ+V5VpRfbzlgL7NLeJSuii7lfpWp6i+ lmQVj2/SkgxjNyeSROaC4X1mMD4thPqseKJeacQt1XqYLo161kPt4Oz1SEsxG2/y/c1lnfBWJkoD Q/mD/eAW9GKOWIf3Al87SPGQJHlvJWkBXjAXZ3WlPWbWPVVtfBBIzcxCTC40+f9lVULznBUujgi5 ronf81zwuSgEaZT7EzOMXv24OqMOJ1ndq+XDE1tHvgN6uEOjxK61v6Y81IwUD9W62BSZqdDCVVNi PMWKmFMVeDH3PwuV2HwAUwS4UYQDNKR9WZIi/Qh9edyILMXe19JfYBIcM5BXgYpa8wTnMnGY30YQ z9wCbgy8I5p0neQbnf0KBxpwGH4wbFzu+pOXj5aeGZSlV4GSSDhGWE8PnWiRuj6919Z3HptKy1lL AvMOTW86/egVnUqBRET4h5ELxRMW+L/dj3CbkcwQ54jDux6GJb2yoRNhwaxwtfIBzBIbLGfRaxGO nIQesB9Tl6hClis5FWYPjWhzH/V3ihqE2Kncwc1WTUmSlhFdievMvoLCfTgacV4ydgG5Nav2rXpl NzXqCGfKS0ejgkhgsP/TKyLodwCFFTC9yuPy0VzsX8vYz8beYrYJM3iRnvnc3NmAxlB9Ei1ClU07 giXYupmtmE3qB77dS+C/ohjOCYuLNeb8G7LkF5oSrY+hqxYOp36Xr0kfjHRyAV8+/bUqU9J6Px2G IT2nOYXs3eYVwiFpEHpgQ4agvbqtUMVgwmoyWTqXypOOrie2U0JKbUfn0lQXtVwB6d/0kAhIF5Po BsqA/YGbExosYDbA4SqXhY2zIAtcR6Rnu1+0583BcncQfhC+5fIWV/AczuXNYBkvJpEK3SUDKRD6 b5bSkZrO3YeaITKrFc9/Tt7biNrU/YoSGlJFB1LpPdIfhXmPO/Qb6Os+qmyKT6B8MAJUse5ZVkS/ EmgflF+6EGX8zeRyW3tZ5Q4pgdas/MoBEqCoT8238HjCLUPUJ+21W2N3sTYVFAaEIa+dOdfVpWQn l+jIcIN6mM7jOqRKM+8olcNi8kjo4HCaLonQHvPIdDskKFLbj+3/o/TxxgMJKKzjb3zcQnH714KB tMCaUIu9sJr4TUQgCh6SjWK2nogmnCzQExJLjPy2cnuoAJt7kxbx2Da3blLT8eTeieHM355TiFBs bPOKFh/xKUc18eOilVPiXw+Dj3q9UFCiRJx35qiIgRDwqeT97GuOaTJoUdsZi3PNHee+jsePZ10N ZFwCwwXvDPpIPt/R4hoLGL4JLqT5FI2+egYIAEh1DhNZkYlQw/eilJP6vSFwVbElyhqf1kDWMsGY 0XwQAPeOEJ+SE404coW39A5XvJvlAEAN9f1kP9J5x9A383J76klFxj/XtLDnGKo4kUl0GxMqa+iS EuMV7J3MQ6E3JR7A0/ESw9AM7rvDNL/Bu9kzbGIXRVI9yx5HnJtXUjC/ZGAa4s9l1XPKzi+BTz9L gRp/jy7UxyJU8URbdZ1FMrU2YyvKl92mw3Pq79tI1f3WdjkphjnqWL8BNVgGsoBZV8wLPeg8Dsb+ xtVZj92ldnKLo6wA72wUvb8PjgmvQ4o5P1ugLreiiyqtNbl9xLTdFregincCwu/IOANiZSqoLfhq vEfhnym7Zalf8duWTWOBo5bQwiYtrsEW2FR1biCrkfqxnx/sA1MXjb1mXKf2dDg+Xzl/kgQ5/OU+ jIwaNTo06SIesBBdLfbksucFdF47SeWMB8opS2uWjOYme3p41dS8K3pcgRXS/FNWjGyr7wpHhpex 9LT2q5/pnyzugoqz77Cyzc11VA+O/kokAhJHerI0BKuyBmDfc0WOUsgSmUUqdJhYqA7Wp7jQ3mvx F84AC/TMzpz5NyNShrhxsyvMJSbVv2YLnpd8dDwrpQ19h6B4YGFgUEvvPp+HCEVIzfuLye9rxWcs ci5hg5aslOKbyOtp+AGuWURqv9LBQaCO3CNuzx71HQAK+W5J0SkKjy7iEan85epoocGW8tS5K1Sx zhOlNekkgf9QDHw2WCGAj3tI4zX288acSzsizJLHSaTmGqGjZ4fdD7g548mUJunoqNiCmnh0nsvZ Mwk5/O6v7wVHZXEFaWJOhEhMfJVLBwm1OkokAiNxKQjI+23OU9xJGgo9TNHqgFeC2w3j+blDplFT bLM+ciEdnqXwn1WQ5zqOr5k2JEYhKq8FRM3m8gwBnYKpoqVIHCVhU/ahrLNSK4JnpnzbghTrP3Fm V1DN7fLQZO2VI07eja/AhuftjRrLI2FBmI7mGsOjQcC5RsDBZcxAd3g4tKmFHXWuTT9gwtjrrg61 EN0B5eaiJEZnJMUiiAOERBqiFZCyUqOG1bgMItR8ARVsdUiLwGGjP3Yq1mHfppqlaTBS6M6Br/7y U6CS6b6IR28oepG5Ix8Nr2kt1GQlFQA4ySTzaCAzmg3R56BhX62Lz1CIZp+dxMQUl0ezlXscYG82 XiVsBWSVW1wptIqyJxDhVi6Z17UQny/GHfbFHP3lrm3p4FAHYXP4SQJr3H8ME5jQJpDnfAS7+rgM voOgCSAmSsccAjCsFswW9RR5Kq8iJi8IC3FyZd5wYac+NZu9joGmD9yimTZ2jtsAHZyMeSHIAX6F trujAcCr4+hdZLdb6CwW6Cbm1FAF8oTv7qpp1LRfO1bHvt85o9DmattIOMkNuf5QcH/4sfEelca4 5otY9FWokiOa+WT2Ls0Vdxme6DkYBBjsrGlj5Gv089uKhsv8iJgDC/gD3tp/Evf9vQFRi7GZeLc7 nnk2V57NtxIlWpV1+SgUPSJ/XwWjEfbtP6CCQ13CwR5rvm4cW2skqTSkpbrroBzHO3yaytN8Jvk9 XqKqKgtDUfVPVeqP8ipmQ4CjfDP9otDyWe0rdlYL26xWg3VbSfxmjTyz5deccykeqXwv1DjNwYCh m2hJmRJnZKhdIXxoA3pqPznMz12udQpZaMIbCPOfBFcmum32/Pjfkll6b05+Wk9Pg8RpDrPqiuro voAO7Lxy2XSQuGjt02oFBVJecboFvd38fkQ/TSniXRPPmB3LDriE2TL5+TVwwY7O8zPSTFzIsoMI pOzbRbgaDlZjQk/UzQW+LbfMNPqD+DT5PRTKCL1yOvmdxO+Z6wQwYlZ4zinUrh+TZ+HsiuEIfxgw GWeY0V8B5KR/E5jRH4XrdbVSv2VlZx/h18SBwLtACcpA04qwg/ukIBNIHpkXfVB3IJ1fSS/pgy14 XYK/ozvoVTRo9Zu7bQKErh0nv50owiqXgZjXkr4nA2Gf9jvm/CwRkZIzmOhkaglSyxQE+s4FOeAP 5EA5aPxDhCOWjBkIeYaDjH8jkHbCfRIF0F3qTjC7Hsc0Ed6P76cQTEX8vf7V+jclUbOS8tinBaob PA7pPFs0nihJfzw1NCXx2Bk+tfR67Hc9DQLCMnosMmB4GPij0ARD4wLbisJzRUZ1GYQxHhThkvmR xkUmL2fRqZqK+KLJ402z04CtaRtqbXHtpD+EzAiSI6CiROb0umvUeIhqg6deH1ae5T066L4+DLP6 /gdzj6Oe77m/E2jW9NrJoKVWVbqWPm+/sv8p09eFhuKfNm7g5pwL86PVtHC3/zRcEcxmOKFbwHLb yb80JG918soAkmi9AU3BrEzJSmgH+sTZlsau+NE0v2bL0+gS2TZBcvIo/lyCDoYE+VoECSuC5nrh YCAPzvjEv9E+NH3Le0hXtbNGPkU9bA9LfFeWBx9YuXdaKgYumu4yYEM2KoDXjup9/4nItW52QAYg Yo24qa4yKQ3UaUN4HmksaVb90p104aZW4LVptLhXk5vwUqSqzD+cc+ZVhxNd4ASvNTCrzdyMBgAV b5ZSBg8AlQw6Z+uW65Ej0Blrqc145WYNlnhD0bHVtwOe9J5IqBEWAqj64vQinaER6isLXAJr/C/U A0wqj00e4XYI8JYTdoqEaciI55ivxc4Geri835qwAyOOQekRsmghm+8S6DOq3WkzyntjXJV4QYyE 2QWKZfAPqoylxLxtImp8kNIyWacVF8RoAsuTZFTeoxMO7kptd520vb7rF3u97EyouehzN+I1/2wh Qnrhi/f0bM4eF/6mO/RqjDnuvW4jH2u3L3bxH7zbUYH3Q5WsXbNGIZ4JQHmpiEOMOTsuD111EXe4 QLf0B4jAkpGulbYsUPjndevEboNdR15AThezQFR8GWQCn/GWydeiJddonLINnfpLvUNGJkZtsjYB wInTAm62RmBighw47tMe2v7FaleIO3yKx8xeJ48RxSoyRAPYe0VEo1tAfIoe6s6ZAW1Ft76f9+h7 BrhTcrTN9HAMJ8UyNNRE8KmXjRV9ierDdSr9TezBLpViKMuI3zkgU8H5UuOHa5aEZ5xFMyM1/Wa3 bHcUo1Mr2wb5Ph43JBMasA4qa152al3uxFZp5LD+RviPssKwjTfwvd3YbGAtuJXmb5PHmegvM9pA B2Rgl8bupFEOF9jjExvS09oWS87jks9eHi9ty8LsnmTCeLykT6W0ZhOhlGHHl1b60bkvQ1PrL6fg kNSbtsYGJXQi5y1iujuH2jWWms413GyQ2V+4lvG6sdtXuhh1DZURtls5CLxPsJPDBd/jXJ0Cdrpp jrdA0r1tDUx3ktOrW4c8rA4metTQ4+PS8u+y2MfWWswPAMgoJnCyxnCN2y92iG6qBFORoFqO1KJj 8p6uJcBB8d4AzY/yJif/QtYwO3Nj7h/2QkmPloeyKfNQjdsS6As2qpbQixN5csR8bFqr0MP2bUkL FJ1BkpLz97/0P0E8wgwtRNrm3erJEsIcoU/RolA6mRX1T/9DXQW1hT+P/V+NaIiQWDerqk2LfWJ/ /4vnhYiJdFfqhks1YC+GrPD+X7pep6k6wZyIFsIGihpl/V41C/USoJkmm95WehFd2RDiJVWVSAEu Ihr8FTlNkKBRaNm2YWWl9KjgnODJJQWvdnXDG45UjEC0MalTQHEsAeKM0HDcCO1GZDDMyaMU7jLY Fbf3Z4+/Wme8n9XoxmGvn2bNy+XRWxukhJC6iRk2peMf+Lq4RYlHihydS82JTmdSSs6yTC56FEOn vTQLah0SQjERmLmyDBwxSQK5ihQKc7wr1YC7P19CQRyCIDLsImacqR3Qv9ONer11fPiNEegYac8e HYZT+oK9WU5FxCe/3193rvpH8XMifRr3Toaa4td3l/JeYjcESMNeQ8OU/cqHwlu9whJNADr05eLB PPkzkchxNKaGMRKqg2Ww29qr+FDB6WBqd9GQQ/8MOtkLLNdyAK37KCTI7riKlIC9FXa71cnexqER tzTKM6wJ7S1EvS5MW7X7RTu1CmMxOeJE2oaRQ2yVgXlaO+Qi0Sk5SbJuzMPPxhMoNvjiJnrquIfP 59Epas/uKRo+lVOn8BjVYlFXT6FOA9f3SH2TvIcLxMQ7m0arn11QZIP5SC7UYp9sJFjklnDP+0jZ o1AIHoYeT3mOTeMJ0wfgEquTJx7fuBZ6UlW2VMap4xbbdjGJUihoIfSEYkEu8vxgSmz23fuXLUhl +eDqZZj52VDaonlgFtKyIMdSf/41vBOuuLafCFz67JkoNEPHPfd+csjeGkzDoN2wvHOeSf6i7HoM NDl084JB/KzpbsM+wvdzfAKqWhkDoXGMOrO/L6LCaymdiYU0gKk/QWKcIM0pXoEObrjfKxspp188 8oUJE05zjXHnKicXcdKAEp2IIjIhJzY0w+T8rOx2iuMEsf9S1tOfVdaCRZeazxzebWcS/kL7QV9B gHCAAfpXs8tP2AyaYASHsBC4UexeoKp58ly4v73/IURoD1e3pFnZ+q4lNR1ZloVnJIcP+CMncazx h3YZPRIWs8UfDVUgZk0c5ylNq3q0r0gdqpelO/4X0cA/Lir7F+qVKgo5UZgEv6PKMP7ges2zO6cI 8yKWvSwMenl29e5YMOEOuKy7Av2Gm56SoumQL22hp6t35w0DJzaYi5CxC9B06lcVAE/rcoT5RxF2 N7q9TJciFkxqmJRVnHcTOQllusrIzwsbeomyJ5jiZHJ+yhu6X6/ZA68MB4YHq3Cz/lY2TBemNdsF SARr7ycJKyj8785FMdVNv18N/HGYDFq6hSB9xolL9Lid+hdL2R/j7dHe2JBpGdHA9j8BwwlwVX9e 9w9B+Z2zNPNBefXmQevxboe9PCietGvX1h5HFIj1NFzDFNhABCviAy1+r7JFVR6nV7/DVj3dwXhj CFHfOZMH8K38vpcTr35FXTG5TlC6Rw/QeEkLSmx+ssdsjGS1LaWF8jkOwgNBNfjtt/Z3Kle2u79K 3Mw6z6iEV64wxgvDQaStf2r4xqZlUAUvumef36FwhU6WaOLKAyJ6Il2fHgY1NilRQ7b0cp0LisG0 gz6zNGxOuufGTZbzPlvtiBGAXpM7mNLT2L4SRM/AH9DRaJu+JldP5tnjW//2XUWqJB3bri87LOcW ZPyVqb/5BqublPUhLfkhkabdNehG6/ykiFH+4Cbmf6KBHWziepmMZcjqBXS4SC9JnBfo9I7eHdyA 8LbCgqpeE1aR0g/0aWQ0VSuOZpahY4QRJ+TeKDAtekwZa2lQWs1A/d3emSvPdTewMukmDxZTxJYn AiE0teE5woJk1e8Fa3Uz+4SVHqzh40MxCYdtJeAfIGkhEB/pwUZMdgFRNRFgL/5qQOcy/Oqo5bIE lkLtj+cUNrEnCKtp4jTgFQcoYQfF2PTu3SI8b99uQSdj9F2hX6KGa5HxDfGFBLnlhxWUNDjU0o1N nNVuwUc8Ini+SseO+8+TUjdLIR/vn31L3DqcdWn4kgH5zlUaO8KITvfU4wFasLwhQ1uieAf7YxYR 6NcIIOb1OPu+4Wo90twf2MZSEQoqk9qJ5TAv9gSJwQSuK24Qu+pdbw9ETVwGixsxpg/Y6umGphLi YmsC/6+BAI1Y3s5X90Hk42cztH65gSHQ0ZS/ymzNKsGUrsGtbmf4RcKp2cTCKWLMiaXFXu7nRvWN U7BsGMyG0TErjBADXOGf+GlnQVd0hYuhMaFQsoO0UE0D2z4F5RdqnQXg1QxAP5J6eYfZ2LGjajVc s7YJ5xez4GnJQpDjJrJlgUmnVjgCQXXZTqFxyeseVUukA6CP3IPuGUlOLZ6Hcm/Nh6QbRKBdnVcP XUwN3yi+yh/ntV1mY3Nitrk+LhnKu3p+ZH77Lj1cMguXqd2IRgyEgju7qS18qlTPTJoP8LENQXUP ZesnNNRRWELHIzrMVXNYrvxqFM1avSrxjY1Ir/hRj5YiGe12v+UTAJBfAhV/buOG9V9UHDxVsG/V fpDjgk+bW49RK4SYIlrZGo1FWWvwWLm6pdelh9pcAkh0a7tXuHkJSzzRm35E4jT7u+DVQMJPDWFn MkgMcJ+bXkydRgtBXx2HuZGiO3EvS56/Zfirk/frkz0OWkuUD6Ix7hPovciW/JCpvevCCcHwDBdV Uk/ZLm87jc4RpjeF/MgHXCKrVrPi0YLC6oiM81K3YfzvUKA5GcjyhhQe/ZyH0S6nLWTG8p6MgMrd TxzSdjV2AiuZMO4APJ7VQFAVsrXp7YzILggKiqlbsD2LEEpRC3LUUlFbbGHaYnCKQDTLzkjCgHQj NUtlB3muI+PYKwCa5seSAV+r0NgEO8x2oL/QqBwIm/TgWv3FMyE0qsEmQUfxzpaPh+CK4o7KtIN5 KKzzUBpAEK1qYJD5NvBRYOC3meGLa35667q5XYtmvHglvwwwb3mPJ9DlC7HA+6jRB5QQ7w4wXVO9 LzGlyIT+B1Xx02S0ntURsDo+WOwDnLeRMciIiV/WF/Ik3BvQMAo/A2pTjuSi4rZqiqK20L6ZkHx1 zBa4yvhsKhMDB4qhkjO90fURRxRZr/wTp7DImM/vOzxULRMkAhY6YCH+1PFJHtplbR8V3A2Net24 2dni4n+smNOyxIa61oyBWgP2kJhRigJIGmqlA/hPtFWm3Sf6ezd/EG+umogkNk0xysZg6j1josth hdVqnvo3LOnmKiG2wVJ6TEVg71sB1UX2I7c7r/Z1Z4FWyXcUKoIL/osln7INtSDiOjqoxaB2gIAL LFNqiCrOVZ6kbYUdptEwiMXLkn7+prH6UpGucaAD6xd+yB/qFQSzlReQjfAjBT6beiCaUyriccqx fYJsGT2+6L8GU1IWT1O4Bh56AUUMCwAMkT+7ZL78XX/mzoAFYMoz/iB3UYJx7nbaSBj1VLmPEU6g I3VQzdj+qntAyGwjFZCRpsBBCZ3gVbnVfrN9yCheSs8YVa2d+JkHVJWuZ9iouFkvX73ShSRysTu/ QsMlwkusNFPkw/hHu3W45HK+n4SnKzaIMZigpkJwPlJOOXqhEQSLfIYkl+ERIcnvT4u0e+d109w9 u+nl5hXQjp0kqERNCJqJvQatn4VfgouVZZ6nHG5sE4f+sVNn3inazujwEebpqwTvfubY0lXeD4oL VRsAW6CrKhDIo5Su/C98qpFYd7PUtdRD52laKv0sGhnkQxXQOc1468b1nnRmWf+qO6z/cgjQmICJ DmDVNHcAAybtIsK4me+JBHULCaeyXAnY8x9SqdRo2LIhqR0mEqfytZ7+fJxgJvvkYO3grO4vbKHd oPz5yr0V0SqnKRwOjLM6zw8DhjKozwNIzzLq6yTSr4YFHRlcM5vQGCT7bsVnmKosgVpkliW9I5bj MfVu6vYpU9IKmGrQkNZtMBxIjSVjYQcXdISWccVZ/ZYHC94WyylKIE1ruppWXav5mr/oLgA7wCmv NqSxGRKy9eKx5D1JPfC/i+VeC2sCjM1BLWN5KFaodtQdXGtLoeAYrAqclDDTBz1r6xCOMAd58inM 4bTk0yP7Bxcm/n8rvEOBtGEt2TxMtn8KI3nJmy625GpnQRoT5ysMMaElv6TTDBcmL4LghAsE9yRZ E6RncXzCriXLNa45qqmKY0K3BDPFegFfombDx3AVuyQeRJE0V4ThWWPrzgGPDJYX2V+GDmLLQhiE Kk8m6snp7DZOSxxXRvo4sqjuxICEkEbwdmg8zKI7RjefC294zozHEP0jSsF7PL4CKTxNMrhETf6r mY3s7jDgq4lJxNIv32W6NKTtbPz/nm0g+Z1Fiq13H3KVzBxMZpGf+e4MeT6aoHJRYNB13QVbuSCz HQdZPagvTNoSvqZqthV4ToirbTMvXHCU5Go3xVJbVmIipxZiR50evoVDD8aCSuiZEDAzo9uF1ehZ ArZ9/lGMHX0SqVWp1HElmS3/zkA0eYAI+jFncssib1X5LQ7MmWByNVVlSjD34h/FPXYs+DZysriB t80zt3fLHjs7GKstJVeOOL3iYgI6pe2B0gB4tXR65knXj3ZzzQbylsAaR/PdAYTj9FfJt7R0GZuP yq+QSG5SGvAbXJ3Ip1be32wBGdx3ErntCYo9L37596J3qWeAJ3N4bRtyC3Nn8a1AcHiY+P8C1hmQ Smw6MwFpS+tFXcO+9beStyK9Sma5irzJlQnSwmHn3bN1wx6YiEg7q6W3z+2cvjlH7zyYwtgamnbO gOtKjX83jly4YRr6p6U1O0YktnLGFMPnkJkAP2nnA0BTScArlM0uGNU+GyS/R36M5ppZfjyVdctn koAHJ0zvZlOA44RynGjbD+r9kZQPj0K+QSzsy62ZU6QLukj4isyE1BFxh0WtyNgtiY9AaiWcbOnZ wPB1D8plTC9+gvEVUk5BJ8ayv74lPmRAOzcdKi/0Re0lebRXaHTHSpDTn1/lCkbylx6TmONO9u0Q NZw42uQBpw15S17WrxwiVJQZvKj7uMs6K6/r3gVn6+j2/hS/9WJ+Rr0JkSLa4fMOorOA9hZtT5YY dhriS7ybnClvZMYsRJuBqLf3ClDMhsHhvzvMiDmyk4bMJeecIBCqv3UyNnLeU3lcqdUGToVhfxev eRrI6UvD8OfX2+CZ4X9sFecAhODWz5DiZaKbxj5x6LW/PKQLetuyeyqi9ngLbPwF8uXuEpH7IVDs Y6xpZi1PI0qRu2VrRoZhJKHKsFkybHJWZCmNj94Q5w7d70WGOCb6r3Opm48RGxCVM3gmmxOmVSIt /yEggQbxJAIsipmX50piHdg2+t7fitRw2D95i6olPSZyylJ1dER7VQTNMbDpqvCYfPi+IfRihxIl bvNNnjFMkoG3hEk5Xq7o1DWDVyZQZfuxQSw09E1B8WOp6IbQJVLJEc3V8vKBXNFj132JowIVkcrq ks1PRNvB5CocQ6zCOn3CHULcd8xhg1kKAW1H0hTv4xNBecPXnzQaVf2EDPgCjYjXgK9/YERrOFK5 QDgJqEFeEs7FOBLF53tlRU/GXm8JmHAsc50rIjTYLOUtUXMYYJHpszcikFvCVE1o6f0MtayoN0aE N6lFXWtK2yv2FCOOfu4poK85cGm8Y2PWhqyWTDehNaLijFdkp0TxQaQB/Jc4W8fYIuASGHFkyh1Y UShgu+2BMNM981ZoQ2TB2lg/MxZaimwt6ORVYPaTredhGb/dWJaz6MSYhj/SJvSArFohyL7ceRfC UaZ+rNR3LoFjpn3gtfOaLC1XrMrFnEr1FD+AsZi0NfUOS0UgUB1eweAArywqFSGW4++B4o3sz2V1 Xx6Kp/rs3ibTNDqeo0bi4JnetwLxH8DstK5sNeFqUKgBSZQa2sno83ra9etR6xNR8dP2CETcfj4w ry9ZQGE8XxicP91aDvn3f+FgfLd/4EKXhqPKgQQJQtTG+XrYuC8A97029OtTNDdHYPRdbzHETO9E lB/Y2uNvuKFJMJSyCi7cHTrwZO+NiaVdbeKZPVZ526Nw05x6kR1lbjw7bY833EX5BqZ/3GurXbSI 36fvL4Ql5QS66pLWGn+kK7TByFrygpB6lZNrWeqbigoUKBuy6q5Sa2j9zNnCsyXyRgCcVH7vmefx H61qf12IS/MUDlZFl+7+ztRZQCqY2yYXdliq8QKH0TGaHDF/sWmxg2HFNFwPG7UX7AG0FypLDNxc xtA71CkbTo9nYiTyB4Oocb4aY7ywiAEzcBydT9gbV/x+9PzDsODiHl4d3tF0D+o0z82IKbIKsnkM azavx68nVvgTpE8LRySslCU2Uimk4vukzGwVrQOL6ZALZQzfp82Mbd6N1PxVI1pU+6hWGdmvEXa/ UsPZCM6BA2S1d1PV64WgpweWP+xsJcLJIrqh1NM2PTBq7/A0RH1QEXCo7upZW64rBltGKDKE2pE2 9bh/xqPodq2jow9/bEW9GQgTDiEI8613O4h1tU47OA6+IpdQl2ORYc/PmZoBpKSI12AkF4eSyXq4 FikKdEt4NDPtMbIW3rXpab0/8S7TgJ8HMqHzeP6e/LWH9ntdCoEKyFFS/yUKZ1ClILNgghLFXMei zj/7FG4423SbZszHLLVPqX6UokTEXQquTQ6N3rf5hzUNA1A07usAQHH2GYvaJgQ8rMlphfShvSvP jDVyAqnyUiSf11hA0ga29QcP6/5GtrO67ub7rAR93JC2f1XbsrwycbCAGnWGBkqovb26/9GUndiL UZ+Pe1GkcLwZmyD8Cf2Jt2CS35kTwsxhvJcCklTNxDmIlPLwf65TBpND0BhIxYe7EHtp8f6Gsll9 Wnm7uKkKEC24fwsQ+6Bxt2PgRRU9jP4NUrC71NuJ/Ifiz85rvgs+EE1sBGjbfLER3uxMvKqLWYkm /KsfdsarSBxleuILd+N+sVh04O5J+5qRqChk5OQamYdu9H6AFm+Rn2i93VeGIVzsyGEhIUSjaiTy Lkx9XcZKa5JuHR62A+qS0smdGdwLpL15LwHTA7gd59OnCTXBnjcXcVLU+kEs35ogJqjJStrTGBY5 MDNiCzqUXGp+5DVDJlnZf2WNPxQbCbdghT8d/TcA2tykMRTwC8+02GCg/fHuuIo9wfSgSlBcjsNN xygJranxeCXLKlgo0pHWHgBnKY7jRvWN5d11uMLWDWIiDvhFOLbxCYNCQA4mpQP5/wunIPcwIVqo O3xpSdczruxHwrG+TtdE0qsvDMhUFXbq77PizYucCbYu4CpOM2t5QusIsTvfclwolWwlEV3DCCiM y6J7Pm5rDooVHNfOAUW5Kc+gjoEcuo1xLAEX4HvqeFZ1I+SM/Gpsu3meMxEt/zv1VNHkxBbSaVOC HOKvnS9aukYMSPuq4IGbrQklx0pVOVzGCr/4/S04EwXshLFCQ7fwXQjnd4ljY2vzAXdF44qhkMOz TiDY8D0bLHwPNOjazRvW7fEBBaoiJfeC54gYT2jiVB2f+c2UClrBdB3cmhmRC9i7/Rn4ncF0nOyC OYXnw6lc4rOgrO5EwvdjQdRhphh2kNUm0mkFvDo8x7fz4l5rX0j/TLSovxJQgr7yRI1A3Amr8Zvi 9iJ1KFe/jWG18jbJcu6fe1l+CYvPcJRqbpDplRvnAE9NmzkhGZMjwgIkrqh43f9Mbk7vsXKzPjrX y1dwRkZv2OokyyK1VnzBq09Y8UkgFHICum4itqXEfRHaJq0SIdHUlbIF5G+4SkqRVqt340lSaxJm /YjQn/RFxFS9TTRyWloiHioEkFbYoSyFkr3mqnUomRipIo7nO/0soHSM9gFpvGqb9uV4bldfkH02 82QMadx7kGKkiVW6V87GpAjffVUkEFxR5EL7t3tapfiExnlh2+R/PsjMUf5KczthzDQOnf7PKxke Ocd8W1Lh5xrYsinCnE4XVkzss0GUbkH5E5S7spINiaEpbZjSXQzFWIt5zxvolp/JYl69nZ6UEpQv 7Xh6O4ToA6q9VSeSjmmcEzUgnHHx+KxXC6ySWp5+kAnBQIHol3y5bd3OPmxjKmcIkh08zGJsohPs v3eS0tOQWyg+sDeC0cQsXTcXRKBPsuKIpykM6J7zbOiH7LNh7W68qYHp4R8BZJ7zhHjpG61cHlXY xiBaRiBFIfo9Lwxa5bhTuhZM0QWieSHRcB1qfhFTNuHuTyMNC4NEp6Vm551d0H28dJy8VSdmISba VoUXuNlAYsSJCJuEL7mpbr66CeAN9TxtgTU+Oc3bPPnJ4a/oQ4LYGmcfxedpys/WI2PaTFCYhxxB jhyPqGHUaiRvU3E6IKvwdnBJ6ZZMbXWvXY8jwrO3fTk5SdywaW56Q45+71eo8pEMFbryg83tTO6X 3D0lsf/sARp5zQgXeVTDO3Q+w1c9yIF/oplOrNO29YE2CcP+MA+DTE5o6QIjYULYAzJ/Xe2P1zw0 Mci5IHvqXsVWSqtXlUV3g73gUS513RBUXFT1A5ZRZ3HZd4Urr5WmUvxv+VqJGqfHhqUOp/mUPTHF yAIMf+xpHDNxjtKpOYv4t8hQIYvtatATNjVjqfNsfcchT3t0+CGZ6vwZl42gv7vruGFJAR5nQDr2 Y+sxR3oOcR/ml1Us1eBXD5HvtcDklsIwQ8Yw5k4CZ5NzfaNp3AT6RKbppi0lg1HxuvCxh6dU++vL luqqFA8B9UCNXnZnxnFdjeVctUxGkKMSsh+h4zmJIu//rsCpiDAXPzntpQ1tyg5+IarbptWDFG1S rx4iz4FmS/K7AuWADa9EGJxMgR8xHZmDZ0AOJotVsxQ5NQtpr9EqWXaJywgdRwNSXAjEgo55ARN4 Z1/kWa13c8wbxN6QgQI0aCmNEyqiM2MzKuNO7fhROkOZ3Chx3k/5GJyvxMZQ1FEUixT0s5s+mh70 DMei3xG3O1EqAAV78pQSkLKWb1o8APLEDINcjGg2QjmRYHz2h3z3reR6R03XvyODGeqWmr13tz0A dG8HcVI10qL2KCw3QWPOqDkXo1V6WSgZfFuPGXXm7pBJlh2vURkLICps3fyLOSeQbf+CGNRLQmHJ rGuVQJirH+irfN9Z45yz/wdTqBerUErXD4ZdaclvnRWThnXFpM2waUViB5exKJQJu4KXPQCrs3Yf 4YpFCEKRZ3hWhJBA2LceQGgoFAIX15y7h6IcwckzG9qEqYAoSFDFEehQRZZ8j0u6iuQJ+YpA+B5h vtGokHQF3UgqgA/SuGezhxhdZZJIzoWtq2txdYVBDzYK3SFKNT4eRNa4Dt6ul66IT5x+emUBL/AR GlodIoecyUcbJ5xJwkWBSiSuCUHntfLNB2FrDpLRBbrhLLWCZz6KDol3QdUjawwMblkzn69Gpl88 QZriDK6Ucpb0qWVndC7ZE+p7hpgmGhwsyXHm+qJig2bSethzX/FnIX+It8TDF7pLWLtiBVAIdfzs pqhedNJRtJsybloqFQKSe6Ibg5iuP1NYrlA3zFmL6IFDTetSccU0OQUa+/g2fIYN+Rm4xwCMEouX oCsAAASexpoUKwC3Qkd1PPxj1/b3m8ULEuz/60j0dRa4amyX9hycq5lZC0F3si7+/pBAan5J/tkR 0v6q7NN7h0HZ0iF8Q7kJBwqFragVs9GYNUXU+NhNOmPgpXfdk9e4ttISGNwT3jBwwyHyqDsJgS0D zotqAqNXTm83pu6TOhcVrPGzveb9HpxYqERmXR0WYqQicek5AASkMpJpn2RftXJRyrF3R8i4LtQt Pj+S3pvYcvNefOzuoVT5oKq1A5BdCDoEl4VBehnfU7D4A8tJ7zwxpYzixe7+Z9WQtWd3TzQYf72q r9HpZJhqZuS2tyxhNhfDvA1piHG4bO5NxNSVlowneYLw7w0cXS4cNeACaBAkRwQ5Mk9L0/YCQyWg W0GCILekvOGLTpf1ekGCrbBXT1kQA3jNv30JDcbkqvDvfGy2hHY4N6iFMQXKxvxxw2yu4vTlhYxr eh6HSs6MLEsC9nAz5ulxhFliYaoE0pbQbWpcspinEeJHU/gXbpiI6EN+ITMxgRIFiNXOB8dQOwSw aYF8rduVJdF3JSBQQsWjPeqsARpiDlaNU4wKeOSnoV2IlirVQ7vY143onO51LpgurXzxV5/IxSF+ bTg0b9jCOqXPR576TUPAYx+GlQyBczBKfEb4Jxl4M39y48bI7oaIiLXvzg/NmtGW+d9TmBx6AQHN iWUra7KKNkKI/lG0MlftI/gJtH4adkOmu1JdFyL5qSHhz8fmmu68w81IF2jEEnBCbkMi8jRBf9b4 D1R5cGlQCDmSh3nHBwWEVjA2INtNfhjJDA/E4iKtSf1BnMxx/wkoP0XU+ILtFM1VuMyhScj3KPDp cSbBhwfbkE/oOMIxJn7d13afd88bwWTuhgc9v90l010PFMU7XYFXRfWT0E5UsY4+XwW1ZqHURaGk JMWT+2vk3nlTk4TXSKZRWNHGozPyOH36WgRfMgkHKKh5SepejhyyeanrwJoYDkbyc80T4mehEU+r rfFwGBBfEwG6DDUsbfdFD8AoZg+GZRLr+jHeNkuWSxQmbbWJvLSFzBoVHoV4cxbYKdGpHXUzbc4d A3Zh8SpMkyK6HYbTR2NNQV8FmrZvOy/ZkpZKU+VdWoj+I1q+jOmc1RBJaIx5zz//jIDcx9ygDkwx lK2TR90I5C9rOQS1+X9OI/nWqpiqz+C/JapVEK5uTHpF2+cujX89LV7egpJgcD3w3Jrsd5UIBqY9 Sn57uZ79tokK/HyiFyQspdIzS9RgDFV4XxIQozUUJa/oVL8tlzP4L6f1u9qwlr8r88Owbg7mQB+4 izOFJ9T87trGXLLHmOlNvFJhIOMF4OWaebJICuT4fvnV1dJrEty56in6aaE/6LqE/K0Y5L3JvuGb vBBGITFnEapubIFDQWuyzYadPXHYvBFdevXBtnPDUlWLh9m9DFI+39ji5K2noXPfkfMOvrKCvVj8 Xv+K187anUBLh88Npd0mR2blssr7kQwwLw10j08k4KWOYqDc9MgsBcicXAzB6mfQM5rOj93Kewfw wpWLtaSw/0GLLQX2K0yQC9oF7H7ZZppZfV0kgge1y0Qh6B8GfOSlNR+HxeAlX89DCwugEEwKoSCb 3dDd4tSHRl22Pa3JuwIQeEbLDVlEavoU/VTewOvh7aVDFsUzl441MVz1+GES14uIknsC7pwI/iKI /5nrv8wtDKGhN30PcK9sco1qk8qoES/uKSuM4xdpTldlc+a7JOLqdJhSk3AKU9dfsUoUoudfBtNd MTNTE348Efq0wr9A/6J4LGtj3ixU3RkRInaQ9bZ2I/Xwo/upxq4xwC0X0nB+cgMiZkXC+h1Iehyg LuEkCFBU1tCiZTtg7qf0qXjXyml7Kf738KqcOdVzeF7D8UC5R+TqNttDYJItnl4tH3nB3bw5v8qA 1wKyLxnUWXntKp51nHcuaFpliyYGAI5dGA9UCEJIfH4kX0DIpffRSnTBQ1OvRE9WGncB4YMAQYJV gFpTxe1qCnUIHc1+Qb0mNM4H6tjjUFql9tt7uXxuA8HoAcnwBlzlMG4i2eOw1dSppeSKydERiwbw 5ucL816QcSP7gIIyVi6r5lv9+KukZ23R9jviioSYwlHCweiQb5Rhp+1CueTwFh/KGj/86M+1IdI1 jcKhrG1nwpFkfPVcKAYbsGy8oW3gZg4IDsLXNBlceczpBRh54R9tQDnUZZKJcfv8qOdpsPi8FK3b tc/n0ox5BZlH/Sc64qTVZMEpFMyNzXhJ3agx23fd6lwLODHIS6gZ8nBqFCvL0YBiWn6z/fm2j++U h+blcXmWmiKTzlQ+TPYov7CH0IxxpX5rH4eg4HJFrZPoK6kO3N3uZVvzFXdWpzTnzufVA/nnq+jq ydNYPf5IRK8Wd//N2ZJxhDhCbN6acpGMZzZFMd+4FcsWuYhnnitHMyW56HK/lqcTl3eQj/jaeMzK 6zYV/HUIEr2QtmecCJc7gsgM55lg3SjXRutFu+tfcttOKpnTepR/TnVGzeyrPPknGYyb7oEMX8mC o+f+BsCFQEF5BukJo12IIlQp3XBpGmlVtz57UbziVEkAlgDpexCMM+LCDCAkiT9iip1APjikSep0 dx3osl7I3aBvi44XsKMejrMG91JiO+XzN7mwElOWmgCpjB/mevEB5rmnAE/y6kA1bss1ikSimlV3 3RtKCciWACVP9tpY4dLYoSfjG249wDn73KQo/2qyEUYbct1Qxyk+SmhlCkTip5fnLObENO2ZVymw kkxE9K8wTcRmF3alCGMsTk38u5WP2vsx2DfbBMptntUdjTcmbmeweYxeut5crMBGHnd3ZbeOX4zZ LhltcFoo+ScPeoBn838NIp+V/06UAA5rW/l5VlOPnKypWQXoncaOL1rLImH2QimaGGC1XZt+7wJ6 61WtzdcgGyFiTbn/8DKoljwaIv3dDrj9X7v+cT5SR6eeuBGQT9yoCXToJ501SowqsF4WyDya02iD 8XnxKeFv3SbCPS/JldaOBOuYp0lkbxIXVYTjcPf+fya2++nBABYRAZM9kxH5hCg9pLHetjX0SmfN VOZoem0eqwHZY1p3RkGxgFIjdwA9kJrCQ8z2S52C87BkwpwArM0/AO+Pn9iE8MYPhgwjepH/63Rq 09HWW0dwaeyQTJwsJoaDnMXq1S2RNKOD2b3BORNmbnnRm2Wkd3ijK4fInO8b+cj4qKsYY26/5FxJ t085RqjbEqKHRWTeqlP4iBH/To4MNrw7lIJqY2MBXOSiFGSdy+QYcrlTqcmrIHiY0ck8OqfPE0fC oE7F56hVL17ViTy4lxbjsPSQikB5gDcHRUItl6x+GTimAolmCVX+tgE2YdU3nD91MTgDOQ/WHtYB HKz+14tSYu+BjogPjghQ009iZI3snGkvGu1OZuQp1eGMQE9kOxVQsJvDb5yS0DjXWLS89rM8KsUi tA6JiA3dT17e5lQGcL4SwA8VJy0JxQRF7XfWyp9MhPcO/5RL3abK4QfHg2UerXLCNLkubLKuug/x EqgWNNtWRfp/1xGSDWEaai1R+m3F9DPkmNystP5agXESYxqUJ8rYBo2sC43STdqNne7W7J/Y0XJL L0VQOMsKo4+hIFgOOOsvXlzIUGE4oYsLam1x1kkr5GoSCCa9dQDS4IF890YOv63AWMbkJxrZIlPF 9E/8mTSXk4TQOSVk9pfhoQu0Gr6Y8PeIu+xaShCu34U6eVJHHLyZO02IyiX5U3otIHZTufHIbCNq f9REgNEsuH8/0rzISs+sfEezjAnq5tHpVKcTcnRJ9FUP6htbdzlsYO18+VQ1MvPLPqjCVHeYlJaq Ulo0AUjp+X2hdl4Bew+VkTniOmHoSBqJn0MmrC9g/pBUuTVA5XciWu2AwQH52yz+MvMfR23oGse0 ucD2RS238O21V9tLORT3TvDqw46FoZvVKv16256bHoXp6HL1gUow3GVjj+eSNS6hjWCnOcCjI3N5 s+VSSIfw7VpwpljOwsOizZinD2KMf+k2N2jSVSUBCVdZBhKQshOL5al8soRFsRflDM03Lb1DjhQJ uFiZMj/krImcXgnYB7pHp8y9PTto1scBzEewe/Xo9pHX7ghij9Sn4Tyg0hzA/ttMzg3Vmj9p22m6 iG1Oi2Bj5Myzsfs4AoQ72L8Y9dJvTeQ/clv6ufUIuB54JjI5CDahS+MufT6ie8DlP3bbUtgO6au/ jaIg0fQumwBNj0bx0FMqWBgiIMT4rwYNCzHFXTziu18SM3a5AqPpxVhYY9kRqRT1OjxFnTx6omEw GBna9/j5/S5mTD9g7POk8zZCu+EDk5MujpoNOGiQZXkRAarQARG+Fguk4tNa2hRD055gHeoncR9u 7APwuOllMof7GifSnHGBwDXTMG9a2/USKNk4SQxtm5eRWAV80YVHzLMn0q0+xOBdFSjC8gtb9299 mVTOGemUthg//rQithbmoAE98B8OYYNHlCsM2XdSUxbldf0LaofNduM3n7NwelnbxGqwFEfyTjq4 IbWm8rSltdgIceXFkptsHYP6nTSUNXAnM1c8p6lX4YWlyYcqfrKbVg5lXIO4ywC6tYmJzFUi7b2A UXOUxnQVfINoWpfNd86FWEa83R4WFoSXgA2aQZEblAJiEjda3hxta2AP0KQdnV9ISPCeiOrOE0Q4 +5YAyMBso5zUDc7a8ESnbZ9XgbanZJauf2Ti1HVB/bGJxMRUxMKpBrWqqVh75VnRBGpV//DacvfW ndGexBdVicyuxPHmXEaV2EZNw38Pj9tOaPWxliR8vz286py+DnHiveW7VeGj8xuThWTE3HQTTwmw UManusw5RgGSnd7e3H/m2HS0/NX+FfI+2ZaLL9bSq5/zy9E60uFthElluznMhg81BpKOohT546kH TgfTt74SNJWJFkKzsXH7aBYHFWtadWZqq+r5GhMAalSbuEbbEMz51qwJq12uQBL8Cg62h+rJgAj7 22B4Z0rapBOdQtW1UIZwHqZ2wN7D9iOw/fQ0tfEXvBWGEvBqki8ai617aAwMrOOD0bzkIr5bbfGH hVHTM4ztbs6/SmalMC6nG6fA7Q90RJ7tLIr7MJUNsrqK1DD9mqjSMYe4dKNp1qvwRtyGLByN/M0+ rcFO/PV0UezbVZ/71NHwKYc0tqs2oaPwhAKrhrGomUkQHQbusxcLMyHoQ1GyRMWHwBE0978ZIfQy /473QIgIUrtqaG8/97Tb8udIVOO7B/7ohjKvJAP8to/EFFBO+LOVsj7ndiNON8TMnCmf7BeQTgHP IdZ0TjRLSC3Of1N3de5EGm7NvB3LNgKse+vFbHR+YZ46jdiCej8EZNpRJjGSp8cICMihBq0gaWBi Qd1aVObOIt+hLkVuEd0L5l8h1qGeekEnX64E1+B4gZRPLyybzX1hkODZudmoGAseIRFoU0lTBsCM v2RH65obYIVtjXvw/JqG3molciOeJCytPC99ZW5m8pLIIS62FQ9X0mv2g2nP+JAg40cLJSeJ1aar cxIRVtw+QsURVAcpm+SXvX+iAs1TiVKlMxoeX7U1fRiXNbAmcfyt0jZqv2YdSrGhN572lyPaYDFV GhmJoLdCAJPNLAQbvLMvHfldnrSblLITys0v8ieMD/1zg29OKStFnzisxR44NfWIEeqvf/zg0OSh TgkcdGWa39n8tIXkWyMmNObzDF3cPkYQqHbhQtXQy852bClOGY1jadq7Msxjb0oiiI8p0zCY4N0s 1ko3AKKWddJSyZKtC0Yvns+2ync1htuaNMHyuinnoxLgi6NwpzZWPppORtSYdP8OE4703WyW9UlV Z9PjBQEkhhUg7Zm2abXyVnS4bhdnC4orAZhhkKBxvT4FFtri/ekxhzjjXwJb4J84D3kJ77ApDj04 3zDmVLGOFtFx8C4UwLrnGs6guOWSgwxURuRLewgdZ5Yg5xMLXeVdQWzscb4lC7S9IZqW6CSa82+f o5iymxX9MizqWzmFICg9dA8PSWa90tz8yzx65I8UTUiOkvKJZTmYoU/1lO0oUETgT72Su5A1tPIa scf7iyW+QRQvVMyZdjKzEevmORx0+bOl816wKKGOpOlgr/B9n211s8qxkUcWcOJstNbsyQdwo581 Jod8Kuxi9zX8w9GrtcCCTIvuFl28T81cBomNo9Wn3g1l3tKrM10wtS4xABANnP3tw4nko544JdfL uK4FLqmf0gbqZR9jD5iKBk3VPDCPb6nDFMBbvplnYPO1Nt8QuzWYpvp2xmi+U+dCiba1r8hnogTj 31w4wfWwewkzz7uDoqf8FM6Ttl/aCQUjD/C9CTKAiQwndHx9pNjHMqwLVD2GiHNoBl/5RZkccJAS vV8bNBK+8EvOYefRO6f0h5sLiwsjsflPfKrg5zkO/aqV1QfYOc6HyPwMogPvQrX3fyBSZq8+ZTkO kQuHzyzVtHOo8z+TeUn5QJsO0cMz+twVaSHzw10jCMZefR1kEVp8oYfyDiKz4Tu/6iXJX4NEbH+Z FF4B3R7NLJ7Z6RG/b2C8rtRPWqu1eTewhRUKfOTg7+miryPDbybEEOtA0qLVzY4xveuqkvK3/AhV qVWYbWvrTObtZGylG7uC0FwZouQv5ZE2+t3/db76amm2r+Aezv7hTFeiFf7GFzf8Z3BhJt0pGJA1 Gd3/0q18tgd6q87610w1ZPVuhAUzTHAsF8EqheHkQFlt+hHsnpkwJ0Bw5ze8WKBtG3RQ9kmtWuwx QskLfq96Y4FjMWYftcLCkEH3IqB0rLnLVAmu/wNPXeDxx5OjIoTK71y7He4sFESNZ9SE94WywENG I9zDTmVUzZ89wgY3DhqMidRD4N08mN9adBRf+3sSeKRnSX9/rkETkAsIUZn6g+Yec7HIG8qV+c3y mLFPrwTOyJikPl0dxs471FDwAepa/I26VXHddPgTIDge8Em+a8uCjMvogrdtxNjrCeq01kNc08fJ 7p93nENG2N9mc/IZgxzH0/FNN6n/Su1jpKOUj3S13iQr+rVDv2aNf/4Zxg1dIrJZbc/LOCsqajS9 mERozxwFeRFLlP5QUtWObh+KxttNic6cP16wFscLnuAyON63p3peg9jVIZ8vry7KpHN734oTHSCw kjVfClq6RI0pSool8dpW66fXhmrHMYz8MtqUbj/uGPUHXH9upQl1pqt3eXf26vQuDY0MQTj/D448 uYYpa090MAdARFMzduVef3sHxaBBApiafkn6q1oSUP5Mc/fesLlqZvRMTE7VNCtZqqjh8EPCRq8A aKCGmfQNx1GbXPDcZ9icPmyXcsbGR855fvU2ZFrFR2w2nXPoJyOMcbN0r98C9mQqnbsKBxHWOcP1 LeXS6pvl6PUVVHAAwRjUyB4IVlkr7sRqe40PDnw2FD5QmheQg5rjTumRJ8WaBc/a418wZHf2blzs egWWVwRTvHatedk1t205PN1OxzrzyNd50HwKHOG1Mf3Mw9NT1Se5zT9RONEHpGvzAG5ZOBGhLFsM X9feWvKYkxtG6NDuJMptzK6HhBQ6Z339a0WPqwSu/40bI+UbGHwod+ii75OXlc75A3oDXzWuCbRO ZhR3WdN1fS7hFf81w6WbV8tMcVVnydyx3y/1LU7DY/0B1keVyszIjerQSa3yVLHcT3h7aBCIbwiP FPji2aIowUWNyT7pcvxeNJ+3a2UthphdGxUEpFv3SPO+DWLeVQxfwp3W+A307ZA/zHIQp8/XzY3V 8rnHmcMgTBXDqDQ4nZwirzwA/9uLheKJXJxcybkMiBGXGsuoSwYm0Y2Zpnz1vCBIHjEF806kkyeC tI9IalP47WEgNnD2hgPyzus2/3NIv+yydaiqFI4kRnpgcl2gr9x1tUZUFvQkdM/yqG6esm1aeJK5 NENIFEjjBpBWt9ONpQph3huA8AT+oIBlb3QRZViHFuic21SNqd9PFTjMcHaHdBrZI+4ciA9O5v7X bsxjLQCzYxCwJu4j5vEUPcZnWJwOyN6zRFkXhjE9U/iAS60mL4VwM1xH6gbuDWXt9fUkudQ5WbDD 6DxSC5vI3x50k9O3dDmo687+GevpEdU3zj9rCuMS754a6WWBDnEKows0+t9ComddnDx4wxIR4KC7 M2D0wgDiqJZKBYZPgmwNd12is8lXABQAdVrHf0t6K5S/jz8+vxD5RrOPVu31EAzQfMdy1tsA5P16 +hTIA5uI8Dh5B0u3v4hmVxUAg30nq9ehcWPtqG42MKfmiBH/yBBrRDAWZXecbrkiDMzZsEeTPuft qNAJDEHy2f8+ePx5a49S+7ryMqraKob78ZM0H7Xrc06T1wAVr2LCUdmJoRd3rouQpkfdyYDW6fv9 qKNL6IVfFR+2jXBATynDVmUycTyO+2nck7ZlrMLRjyuMVvBwPjDso7eXRZ5KEY4kTpj6QSYw2bRm crRvD4Wee+hZw0TvfwX/PMPlNR3S7yfD+dMKoXHPgK71bZXYutvp+PRNitjPk2SZlVuLNoViCgHg TA8uNoVqAZGSiVztWjbxvJD9768BCjBK6wFMZ6HblLeJX1xBpoxxW8C13XPvQJl/pe1V983E3cqq ZAsRgD7shY4wwJwT8mdHPr8BVFi9iPE47Qt8eBHiY+l5tjdWZ7M+S3i+cvellLVJ3YcZki/FkMZj P4H9yEL62om3LgRLzZ0TA+lW14RCvI6fBjjifnFmsApAuhlprgqcuVuIZ7chThdisgGz0vXOTBkA gryTdagqT/x6PMjlWWtWHjJpK5GCCTDeFuPbcAdy1HM9tKw9ZElSB4eyMT49sfU1xzGChEb9fy0L nnHDlXHrfrBx5gmyy2BB18MgKvoLK5ZL82ocAQzzRCayddeXCpCnpnEsxXXlGmIQEMKUoRNb6lFK NuvMAvuGxvCXgK2F/A7qMZYECYcCnKkjmegMskBUDEEKvQW/1eE3t7YGDyCMC5fYj2nX06c8liX4 z0lzlQV5+5X0ufFIOGWqOlWfrJi7IqRdDIiwRh4eSAvLlny2bJQRB5WDVdyVrGaV2Y/ZOhXJ31vP mNsKgVJisYea9SrH4pTfxUYnx6MHQoAsEhf6PZscr6xu59MXOKOiLRRTUl9Ak/DJDqQIuDQNsf4Q IGVBA4zqAQe4zIIc/bTjkC5drxyM3BJukZs1XndSmwCxuX43l9H0Ot8UqE7DifiF/SkAoJ9/n2J4 YNJdSj8WqLFSSP07MH/gMkgEe+4HuPUFDGKZykna8AGamnkOfSQanXygD77XSSbCgEn//PltNBUA 4zKh5RcxeBadA3BTHizf/xH5Bh+icnupTqIGJB5JewYXLrnZYK84AiovMmSyVLtbKr0pFVZFuXL3 3P7yXSIO7eXOS8/fCdp+leTlnluIKW0qTeiNlSs1Fc1pl0/nwERWGbpJv87yayA68mY83SPA0R9i GHLm8NBSvyW0dSq9v8AcGStumpLgeyTLXp4SaX7YNI6tJqOhO62sNWfGWsHL+bA5i2KpWQ3XdJzr 2ihkpCjIsuALUrHGjomH4JtaTKyYOJVOquA/3OQonFZkxR1EQuimGrzRCGmTd2gMSCeoOKvwQfHr 2QuhtACu7VqStjuK9aDkxSgYH5voq8RWebqxKq8BoA+8+7uw0j2PrhCAW4PvgEHtEn+nPWENvv6H Eyj5zpjPtJTCkwASDSyfYd97wq4jZ3otRLKtO+PRbrakphvlxgBorD3QxQA4qtj7H46/kup/xod2 O107WVDJ0fYiHDMEyhuJLioEfi3JuoNIlIunB//YLAswGp6atbej0NO/Jbxb51wzKztQD80Y63zR PgEFXUpDVe8CARNNZ0TvG0WF+mkTCoOKh8pOCvzAj0l3Hchf0BSkqnGfupB0/iZVecBfdrxaP56Z yghHMeLhSlVEgYCGrmSePPypetaNwUFE4k8fGwv6RRUOmxc7K4+3/tiS00PCjYG3vKMKy9mabjgg onHkGtPzjJr03FS6ejUJEgJYfUEe5UOSvH0d0a0TsyULBz06sqNtgJtEliEG6Ipv+GHOI/3hAtBE OK8WIvzcas1xf9CwbqG8tHHe2t4mbbyZel+TslCVgTBzyWbJq5IN6WD02uh7A2S82nTcI7wWdzAI Tly2TrsgbMfnycOz0lp+QhLHmTkDPlW26S4ZsNbGdeTW5N9SBScvNSCMMZUdMmM+5fonnImLIZYS 628a0SWU0YZ3kkXwLPU7/tvQ24VjGFHh+VzkcYBn/iukL5Gr2TeQA0kmpbSHionOs/00pCdtvEGQ wYuDRkIZtPKP1kN3cmSD4Sb5jJaGugsUErllMgMmTX3iqVf/sadtxYgwQ/LC0xOGT0P4XkL97ePS IAoF9bIOfpmEHPvmi8nTyaoH++RaW6Sgp1jlsqmesrugbK7Ab6VE3plgoWDYinNneXShIPulWn8Z hpTD7tbCYoyno/tF7FFGGIRUd8+eejjXKGujv5jy4axSjRrAOgjWEMShLtF/uUAiLCsZkd9gLQVz c3MQjVHlhn08VbVq964RCX/YXR4nDq5JdIisdAXd0/SUnnddJxRA1K3zZRI1h/AIoS0FfFSV0wdL YFUi3F9QdXY8OSA5/mvc35znPnb9bEGzDOfaXOu/QOZqHKrL3qKeeR4f2DN7jB/uKZzGRQ/ByLfZ bRbA3GWkS2OJOk2S/J0L4KgS7cA+e/ZRv49PeOM+rmYYWWr1ycgHIRBT+6iKk8sROsYbkO0LM97h 9EXUat00NeHbSsbJ8dwTitjCGun8mUPCFOddrOGRheH7mHwRm3KTbU7hTffNbJheS7bQW6zWJHa5 7+q7CIrp4ykNdkHEB3IjKmWTyltz399xkQSliUZ0wvwuAidFIsOVCc4s0L+f7jTx+nomk3aesjH1 lOoxTK96DA32EzNW6YFps6bGbIQyTcHg6DVALYjMzTCQILkbGwCbG5MkoxCTbaxbWBZ9AM0qcSf1 2Kv0Fb+aYOoK85NqUPvQjZ4PQoHazNeYwFKrc8lbXhlBlaLuxZ84ZEvr+m+DCyNThF94r7HSrB4j ohvwR9cxZ/05zg5XcvjydUNyD2IWUdecpUSsWEiUKA+kXPTUUbbSCVzMaAQXLt3EO4cuMzjYRWBv BTAq6p7fPwO+fzRfM1C3UYYg7gV9DzAvwGoOOGcbnGLro977upSIrGOOr1DPl7slvImCCgpkdG6n 6qYtKqaw6Bi+mVnYokkJ3GFbrOYJgku5iIlDcmJ7rWHByUjz2+seOFB1g/zBi7k6eyRlZBX856mP 2ES4QXjZzXuxv0Yf2jZqJNF4y/yzvNSsXbnbHekoyK8LGugFiUcfoiL/R8ggau/UsvFD4DcylHNd X51lIIETkPCRk2Tf4W1VwwlZDGQrPNKIwceB5+AeVMd1BhXCMyozE7ngDgpxU96wE+HfPNRqUAaY jTCQW2KYa5R07VX/OUYwG4dZ23ufDG0WSlyp9Mroiw2tXxtwHSRGhZF5VOkr5YMi/oOA1jGJL9iS tkUC2eLUo4u6iqFFXaG78EFZPslNBZeqzFZFiP5cB+J+ajZ5nlrqF/KbqOFRQLXhUQ3X3pALddPI ZFhEUW8v6V6Kc1bmRLNDRyqMRMTdOxJkTfrHnrJ2AkrGjj+yRPzIpwu85SDrg7fnprvyXARYU8qg Vww28AS+PCAkBRTvKvNxZ7lZcCfunHipdKoUXt1GmN2vL3iesd/urKKj2bMFbZaF3wI44an65oic EOjj9GSrMRsucSOO5fxhY5OyUr1F4RK+jd5ftG0xVSMlWGFY9w8iX/qtS8Ftwlk6WzaK/7CXI9EI ZjHCupeBDwqwGq8BPYL755UocbP7rWqoA71mP3wY+Aed2ndxIQv00XdCQxqfWQVslWxNx6DsWueI +WtINMkVeagjEnY4Gr0/EPdyBXidD9C3WF/Bi4VbhXJ5IWb+y/2yRMxkhAoKl8MgCZIrB/FQ1Toj ng0Ahi2PXmgelB59trRG2Suf5xmBLNbTf+lBVQx7s5h98Orm1kJvIOEF2jdJIsAvuUoRUndfOmYB MNWecBYMEN8o/DOeAQLOQP6x3sZ8/tL2FYOTKnO7ArzPNs8DyBLh6yVPBQZQ8QbFzBzXVR0g4Qvo FdlCxTYewqonAJ4RhTDWKuIO66z3h1MBx1sAmi4Hnjix+wRbokBoz+S6VKgYko3STuHw5ePL5+G7 h+rV0UekM1BAohzafwbvDDFffi4miduoJa1B1V0G1mAlRCjjO8PQy+QCtG32zaC2RHdezE/nSYX1 vbvTF9hV35CA4TLoj4dNdJEG7gbJ8NCG5SyUwg2n/43+wPAejw5mp3tbKMXTRDc/t8jGtpKXGp4g +7lHuy3wsdZf30AsOefKnhOst9mp8vpBwKJFVL8QBspy4RkV/PhbKjnvjJSGvt3xcwau8IM2rG9l EWCU7O/wrvjGTkE10sSAC1Ao3bjxsW48d5WJPHg9zsdYqFD4q1uNYZrGCTKWWhizqtlezmb7XAa6 T5ahT5zzykQRoXk8QNWhBCa1BbbebOecNbziOiTVU+1fT38adRW0OopORy/K0J42as5gUYW5Yxjl 4RZ5oKHvCRL44EZj109d0NLpC+0j8PpXOoSUMRp71H1lzAI6YdfBhmUwhUTGM8r/HobU5ovHLcAO UdpkOkO0Fp1RYW/Z6AO7SNOXq8pWLqVXEsKrUq7kvspI+Omdve/TSzn4v5lYtNPkZLg6RD/UGnbM fAtj0ZSOy2PCj1KSZbJPBs5eVNDytO5sCrB3m0v5kReIb1br6yKc2j7mK4Arrb7UAyIXsvhzYEk+ ply0tTgKoPtBeFTOu7LwGPYE1AUbwOQZ0sZOoUAHdZ1TjRKcbBUM8567R1wf5pERtPRCaaTgIasL 1PtNKtSEfL7VZD/C/qml5ebrUG73LKSufqZxNLhjoBIibNNopq7Pt1DCLKoEuMkQbhMJLeANTj7y ny9ccds20ch/2gc6WpLx29HtmFMZ5d5uIVQRULgcqx/neebOqPKY1RnynhoNTUCEIUWto5eSCJsf wsQktPJLVu6T3FXg5b6tS6ttuVBWQyICLAi5qP7oYC4f4cB1H1M+5S1WUynF05nLgJHEiw4eSqDH qd02Soo4Azpkrmj2MCO6RFNRnH8lngQKLP3xM/iHrKC9kLuFfqpl7M7TzDAIwVVu5Qy+OaBt2CMZ DZDXC9wSHso9/dyitFZkC7citw1lyFRyUV1hymKwnC8dIN7dMxx6KvZCpr4K9teie5OYMqKJKgyM 51Q9EHRBD7+9Reqvl05VjmHkSRpJFfPO3nZtGu7tMh76V1LD0/JfpptQ5t5P+aDolquDmJqp0WG1 /h3bu5DMUaKOqAivEAsWL2wz+rsAgSkbTsQzp0yb3MRz7w2a8Rk6EVlhaKfhsuFBHsmWc7icVksG NuYig2efPwoCetWu50yeRyBB6Gj7b19LfSZgit8cygB7fkq2xEFrChkaowH4gosSB7oaE7WLK/uI GaKtFNZ1qrIGo7Gtg9uJ79s5a5tyrv0kXRdhK24GaEDNfMe6mfVjbbbhs/jQx3LENzGS5YSC3VfL 6TNTLPLoMhwPW7NbXHwZlGo4/rBwrB8m9SBga+rAvdjFvZiAIsZdRSYag4siFYSEzcyM5HMIobLG 6ISM+bOkXHEx1hgT8CcnmWcWAEjG2zdbVIqxyD8AF/GVZzoqrOhpsNP9YutMw3cqd852VLcIl30v 9/dJRmKVBT+HkATu6EUNsDne9K4JupkTfJX0nXn/UjFLB/EfeIwSQEsChG+4AwMOTK52zpCQlDwC GynC8sEU+ANfVPWYZwvRHkkzILYsRkrvs5urbdRrbq8lY6nJB1+EgUi6Gkq3yz84VYINoiQDSK63 tl8lQcprO+aO2JYNb9EwkJhcv61Fkfc7picEtf+cN7xG5MxWoQVpXwOljYCq1B5kVcSmcOjF4GEz PWQUTy4MezXvSf+duquLbWgftzDghnvIIMrf9Gk/0/RvHckxOBFqRV3tpYoNy1IWquMaDfE6moq3 LafVcmelN3hyZu5s1QNNNsKb8Yt/jc+G8URnmX2ml0agfWS0HmgtvdczCJbgu2bNDk4+1ATEeO8G n1Zp35Qj0mP8FDcRacoBNmGeW/SVye4r/beJEMK3XAQ+OABXRqbDcWCoiNNdj8ltzf2E51uJSbtT mUAhu+YPp5HwMGG1j0/B5u6groAMll9n4eUBlS1EIrYGSQOo1vBM8LUUWgjSefKUNZvHuQlMaYSc rboIJ7LZoXr21nMQbrA8I+In0J+xVCH0lmMFNGfLBQwIr+X8Z7jPLvpdeZYnmSIQtPJ6sHbs+5rr om1wz9Bc2O2qcU/tsIvuqbeXcu8KrU/iEZRk8YeG2VAABOU1VCCeZxEKhSpTGEmxQu2HpOh4twDO q+88OUw5sewROvfvTGH2cjmFSsNnR+ei+d+9XHrkMEWR0LuzP8Z07PWYIv4axnfn04L+IplVToJ2 jCDNPjv37HDHpfuGk18/GNI8+pNoUYvsacKCkpmfHfM5W1Y2lnMEdwKVlcDu+9w9cHgG6Xad4sJ0 2epz3vGDTfxMnv8s660mxDKdkfkPHCS3+KHgx34olhWO4pI3r0QT35MvELDTQgaWpMhU27SEPcBA bMHXE3dcEgpp1RJLOkpnQzUaqkR0cLxxdetGB/UgyWKDEV4kXBmUuW+LkCWmnkaQfGte7oSB/DvS BRvpLm58wt+uk3ixUk2iKfkz+ekUXIIx+D+jcMLg7hhKqOf1Jwy2f5uFMWkoVSYIIUfuSOVi+0Rr Owo3AqQvtRd/GyuOIxMHf4Zew1D35NoWWmLBEawkKZmNgUn2pkRSIBPYmLl5Euzm5TOabb7bL+Dr 6xGm9CVFrLp51S15kPgcl/fL/l3fPYnTSVTJaoTMfRX2u7gM88RuPQi+1gikEQ1BvKJG2w8mae4C n8EiPPRW7ZuPwWvUofWU/P15U/68LhmVY4fy+zXGuohd61LNloGhOJrmxdmlBv9xLS/DqPlXsENd nMk2VyNG250ogryzptjY8JXR7o3rD55BaPxW95Zg/c2lHgL9u+5mcbWr4EbBSO/9Jy5de+RtijAw T73vEuVP9DQkBnA+hB5jWlvPRHi3M8HA6KswFR5St0py5MmyFbsV3EE200wxEzG7Edj9Luh74YDd 1SPZGif19COyvnEnO8IG4c8Fa7+QZmzW91XkqDsaJk/0LYWOD7/Km8/rZtK8Cdu/836WpGde/RLR EApPiQS3LDXemx6NmY1O1f/rJg1oecK4gMhsMfYY9GC/zq8GqHj7LsiRRmUVkcDWdNRgvPFqmHZ8 JzJZukplKcw9FbdfxbmJxQyZPquhZW+iCQlJ3coD6kK4JB7wInY8O2jj/aXP680ILP2v5JYIQsZt yxmK5HoRNiFrnq5fIewR6EM594SuVnYIBOhnTZ3pi8rRXSWftzPDmoqsy1PP+8UCka+ezuX7onBE BDFgKwezW+cQu5JBmpYQw0I6FbpsZMNDm83Xvnq/KfSaA/AJv/KImdESf2mf/ckBwjGGc8MOX7/Z By68A2ZUE2XAeZlzslmz64f3IPrXraeadUWQb8ERa21FadSdqjV1OFpwDDEy8Qj+IOb9jd7FnmmQ yw+iE0ZaP7ljptFOscpPCTZi4TnVXTp7/xrBlggifOfUu7JDFM5do01ux+Y/mQYaZ3bGFKUevR2K lgrmCy6KbGDnZlLGgEbTwRrAqGY6Y4i80cOOtZ1sgT6ZB7rSDQ7pr/DPWugZh4lCdRQiquRp5cCr nF07JdMi8z/MwP/MyYQ6IuFT2YB2FnQIN0l5UBsfiBdSRzW/txGdeN1lB64v4K9VEzjYw933XiiN XNX/s3beqHE81a/z79oNDud6VsZEunX4tpGCTZCJoif6gmx7ENgrz7zJMX65rGuUSGzrbxy4L0p/ Y+iCa2C1mlqHlKS9uoMWQwJYd9s2lgH44JGzB86GytSR4meszF1c9h6Um0fs/CzQnAFWHYdiPPGj J7OSCbMv/RCkqLBMaW7+NyeLQZyUV5LKalSh4Q3jEPSWxWYpI5JgHsQtMs2gGlETSKxG2mf9gser 80v0ph6b24JsGVgfvyclvLKcsPBY7DaY3fnPQQ22QCzo56WuKNiF5nmV8luaCBmpXxs6I0Han35y UGkP0r5/tuBQfHMx5Vq2yMVUzx4PRdnN46zQz+f5JimQvbzdHlO+wat6MGRXb/nRCp/NiLjpQOIj wNe8URbb/cNKmmBP9tQXsssQHrCI4xsKISZMZ8qT3H9RAjLZItFsM45qO72wg2AlI4iVZZY2ByiE RfbBmS+gysGxYM5QwmRlQvMys3fAtlQGz2dVIA1+BmjWKNznzjMVbpF14NTouxNBYoWZbepbHY48 8C6Zz1pxNiFTYXRxYXVwR/ikSl2p1Kt2Sp/Oa88UEZfnqEZIRwlqjphKt+8P7X0WGoOwjUsdh0Ny W9UcWtkF1XbYp48TktrN9rHK1PRKmBaHst1ieZpyO2tYFTJnInZRu/izoO3L1y/A+v13E6DdkK/I EOFJqURvdrqTFNR/4d7PCjC74wJX6owVdl7zlEE8OAPLvFDpgCsiqTUSaqHSKRssAcnbk+U0hu/7 FHBAgQGAwZTllnVC3QLprvUoxdfrQw6jlpVZ4KgExgS07axQ6DxGUPa2eZsMQvrb3b7pvt40/tpy SJFKycyjrXBs5Xs4Ams1baGaInazArAq84StLPkLcGr1OsaxjdRucl8eAenoMCce5HApLkPpkkMP ckt7Z5hYaH5c4mQK5KiSMGbBM1USJLNEXGPJC5k/TjaOHZB/Br7NvXuFlp9B7Z8CTqxOgIHK3lBa UmLCuiVDxcsbxJ95wQZS1aHq5qBHnCKIDHz5n7xcpDNffOf4FN+hC5lutah30gTB8X3C826lst4D R2e/dEsW3RcpE6qMVxDvVxa3F1mmUAZqi6MbqgcqqJBfcERESeXE3wOGvTlvtdxJc0EcjmlmOzWK 5c5koXssEe7prSahZg6e4cepyZBSvwIworEQTJtIeru83+uKQyPOPUTQniZvsChDeUpI9ooAx79D qLSEVI7wVox26SM3XCK96QOpU1YhTRD91cJI4fLduqw78iIHj+YiDFZKYSBl7+x5GDlajlFbyXLB VVv61LPC+XK/dUQ0x/ROKm4aLnd3x4y5H9+dKF8EvXfqaZh1zmeL/GAwlJ5JaoDFQ7KgNhj+LeXw elaGOz7nEKnxioTie287L+SDV1G6ZKWbKU9uC01NPEG34tbCFXH5qPAyblINPK9b5VAjO8auEeL/ xFK+855d1bpyBEKAJs/A2XSq5+0gFpzIXER7ImV2Eot5v+/lsGMFRzZciNsd1J6jmaId7AY2rho1 ieMbK/In5IYjAX4hRPMcajeqwhof/XvsEzBG9Wx0P5ZNq5UbWP9uG3Gvd1/sbJstfjMx+BjAjTxb 8JRP4JtfD1xNgmtjAGuW2dmBSAuT9XXbphGnLdOC6Sb7axV9EjgODW/TTGOMW8o8Mh2Ps5W9MqQf Iah5vjaz55krX8H/XhqbeDj5NAs7ISN1XuoZ3NBM1BBrvtmPlueVlV46RY0DAkQubzGKHsoGmXXM zHWOQNJKloxh16lLilqyJUdURRsK67vuvb+CoTGVnG0Iq/qE5Msb8/II086j5EDPjiw++Vb8hGdT rCbyRIOAPfclfXpwJYzWOvBjpbfA1AKGLX7q/tjl4CcueFl/FEEUDcjqP59jwC491rvm1PYHxKrx RTB9G1+sZyGSwhpbjQSdmCHoZbvW0iNj+gIAgrgmHKcW4ns2/SsHqK/p/LQqS9ZJXnhqQUm46BKv DjOY0mXt0d4Mti4JzDUZCH1qEJUzOO8shNORHqzXQlIDuiU/L/IDm9utHlh0GqUAOta0j04m3Xah UrEA7v63AHDU+PlfvAGBKtF3EL/vUkHxEQJhrwfaKHKTo4HVImnguOWnX12I1/AgRhsAB4jG74MF 5s1gYX8EaqXJga1wFpEwXdMisyuOchUoJErUidCh/N2Biwp1vpUCFP8+MwXte3IKo3j6OVEaYpzW d7Qkr6kIsZNMMCWq/zt5/67KkB09pNvvzakwIxm9ZddAs70beeqhEvouaOvn1N8NDIFnjYiXFc2w SGwxe4nZUj6/apOzCcvofEwe2R6BN/St6XLxuOo/rllBrsg2x4iY4Lh8EgUU9OKUmC5fkZ1Jqw7E k4Wkt11mlNGoXG5QXKrNYJbg3AZ0VxYvytsM71Nn+G8nvOkX4+YCXcq8XwAWS2h1LXGOBdtmjuL7 sJmQ9le3NuPksciePd95fZIKiw6UqTCbeNT0HjpQznedQfgUpYkQaz6m/TOkVc50WZWDkKmQ6EZo gmZYyBg+J4WhTBeyiGsQbTdPc0d+qXcctDOqEKdyD/1lS1vNTw0sljosGuT3q6Wu06UFXmW6To4g YOlgcDBtxGGcW5CMO+ARVJtTMT49bfO3xPoRmfgHIvrdEJE/JNIa5B6m5Rl/lO3LMf7DEPccwqik o8idpgsAvJgi1RotJxbQw/aHAU7RjefqNXVjKZDf8yt5ei8g5YiPk/lY3SV/5XZAxtlaLcVI+wmv WrisWM2jrZTs1t2uMUm6vcnJco3qt8pb8lcdCZXCS1+tIyFTuufxiboT6K9Ygpz+ODReAru/rcf0 ewUJ92CfcpflIufNgBb30+/R9chhdQDzjfdezBok8mnjEyO7VIy0OGIa7Ofv3uyF18OGGVaM7upt g7S+n7L73tsPed0I9tXn6RSJemgmZ7c+/dhmqD286HyOK6fau/zt9RZDsmnun6ER429OQkJGLKRW LZ5yTYxx0ifuAjc0EiwvnGv5wado60F1hkhpgEldd8LRlWI4g6iCu0RomfkusSwyJ4y8JkJJbOH/ XrpL7fobK2seZH/E2M6t/TCPM4z0xMFsY+VszPjjCwgeMW0SMltUD0VbSZczt37y+WEQuDM6SDIa 1c4XWa/EDXd8QNE+gdtp+tkyoHgjpbsK9/9q3MiVxukOvGscNjA2xfXfhh4d5Ny3V522MXfdVmzp eWl5mNqrGg3lY9Y1FtGI7EV6en6UQb3wl5sQgZSX3+paY+9jBMFx6Ec1gSGk/kOJcWmT6QMehBvR 7PjDHRzFwaXM/nt6vrSkAQYd43iGvcEDy6ZN0doXjO+NlFOrvZ6IU2WREeb2mwPN8GaF94gvEvps AB0wMr1e2uEhDZQUnsHMJbSQpEYF0flOYl1vtLXRmMH9bcC335FSuaYpatV1MmIUU8B9Zq8seEJ/ 514TwVEKLA21SUHloj4c6tgDVQSOFSi/bWgJkwLEF6q8Ut2ZwKebNGIH6wu7wzn3SYJKjHcWoud0 QNvLijtbH84VA6rV+01JWwf/SXP16ZrYW30Ff65OJJUGEh8VLMFvNP66fWGOIKXrtvG9/C9k4496 +O4R7qwI0zMOrcc3pw3UyGkeWe+smV1SmmKfJ9Ba+aAqWM3pIIU8NHfBqTLXq1w2c6eoXhW7mfIZ NobxPK9oCHCCT5Gg2DVSHCiXWNAbc3gQo5JohHyxDcYZQm6gk9fEiO53LJC/RInulEfTEUYk7NXz cvIJlyRMGstboIQ7cUfva33+DWQ5lqNcbMFjFJ424DNnSgQeOmZHK8x+lBoPZqgjRbNZb/oxdfTp mVhuRri1RXXEFXX9b+8RRCXr/aMbPd0Ay9oN8STrjMTaUl0nYUC2cWsuu1aV08VpKUq0jnXyfGMv ECnMuC12MJKfEkPKEAR1gmoBZx3H9W3RI6V6lSV8WF7+qieiK5ro7hsVEHpJkc4AMs5e5IpAbClx 22dg9GZBc4F6xuxw47JLpCs2LWsPs+tTLGTBc2W8/n8PkIbBIS0Uxok3aS8Jl3yW5TeHx03KelmX o08kMLjxGKxUhr34FHjeAMbgEqMGJzoGGjNRuaeR5/WQFLlr1AkZHlvPGzfy/A9i21Rrvfhn6yql d8WP66WY2x9BT7D6KHEvcdQNxCx161ovWjlHkXkuxYtQv/85AnPumXJj4o6KvNdCzFJVE01YzFqP hxYv7GwdG/2szncZAV/Bh/Xs7pE9l+Dd3FgMCrPxh6kPZBmAZkF91s5ApqdrT1ETtuYBLrsEBhFx U97GTed4CHOo7eSi4Z8b5t80LYO7XI6Af+9+fI4FfqLQ05ZRtxpz+DN/5Lfl6KnldDyBLwV/HUOk o+qMx5Xj1XeTZvSNhE+QQNvLcVM+tj1jxGlqgTIYaA8ZDQw4CTGgP8N6qFqFttcPvZhjgpI+VNMJ /lDhkB8Q7lOHKhrhQpJizVzIGq3tj6uQxBxOb07E0vbcLDWwsf+WC8oOnqDVkhueh0Mxc1eYZtMP usxIBjDd2UTT3A8uOc6eVUnaFsQltF10A/TVmwatMDA+gOjs2vWCPlPJAkgC09WO4OA4I3hX4Y6e s2d5iy49qX+6HvuvMQBq4AmjiGSJ8pHXIxG4q/ZZNunn8NeDKO29gyxSL5oVTR+QX0aobGRutG7R 0iSIk9Q3VxncCxqL6ODq25Wj1mjPXivcJZ4Lcme6jJy3oJsRkm552k/w6W0AgluJB74QG15kExz7 wGFbQYAkDIqqE71n4N6qNdFGjLzpBs4soPzyL4T8o7ULjsllqv0x9YNfoNd2CUASECGFuYWAE0np 27e4xyuQVf2y6ElflEJ4Zpj8P01vomOqJYz3ovBFmAiRJJEHo8cmqR2xdtyIeYHorZ6uw5jHdVe4 L1BebfmWksCfc2rNS9grwsLqz7taTvZewMvsOCdxxkqH/SDgtrjOMjX9uSojTOS1YJYYl6qZLDqS rn7kFmiMlFsF17V8Iy/8jIBOU0vccibV0Zr1bTEzj79TCq9vMPYGrZNiQhIe2xBRoRnARFItqIAf Xvgx6Yb7dG3UVfyVEx312TSd2JMhKuGONRV2jenlJmLjzbbtoBvHsOmbugOwTU++6bGxgA60531w a6Ry3qdBMCIR7f6vfCOMIw35Ws32IVA6xae/DoIyY5B4l9DGiYaHTmOZLEkA3bXPXHXvSIGVBRGl kdccnGGwJepuQttW5JIi4t9xVT5x6UdAiVf1R8NSDyjpe9hU+xnyD8yvC5qHD44xhl56Hp5iwLiK H4OO3KxUN21TuYJO/a573DyQj786Xb/0Q/9SpJBdxzJ/qL3vAVMw2E60B0tV6vzQah9qdIqtdexb b1ReAnp5gsbJcRZ5FejjMKAx2f85ZDnx8GJvc/qotCFGgmcUZNe//t1SdIooSmECr5PaYWEXO1On HAiCTiaJsxq8/6CElcPz0oV1izel/X1g8rX2Ha2or9uZX9z1fZSHvg7FKtYFs1MtKWjoCsjn/h3M Tc/Ia2FBp6VkH/jQ5KKgr51VmnSdJjEruOTRHG1VLW4Pw5/G6TDzSwjKf68fy+qQXxG9iU4v/A8e o8/QV7LJ3yPwHNO1P4A8AaISFCYFJi4BuwrCl6mXFf6opTwmBkyrtNdaedwLi7EOkVu5S+W0U+Rq 7L/+qNmWBRzWYEA7mWE4e+gNBrOiIfbQ+J6HNSePlepEA38UcNiMLRItwwoTSCF7Hh6RBNLhuyxL Q4XrTNTK1VYlKdqAAiIiTR7U5Jy7WBKuaHqIGMJeY8TsSCBhW3ktcfwfnd/JEQLWWVsWKpIICVFP ZFOH5EJjYHGrb6VPYgHMoOKKwB+7jbcyTm6psuJK6C/OnO/Yq9PNOnjD/iYjggSmhnxsmjwvyA8Q 7SxXv+zzOd8SkquOXN7/mYKimxBuHrP8asyZIwhnT+ss1ahri+1N7TijzBI3WlJFBH58mDkTG1gr vuGwo37XozFK23BJW3yFEdmYsBJ+EbL0vwSN+/oyZ4GSB8QvwSRA6RQV9Fvhl15WixisED2rWnVe RHauoWnvS9V8nKJKGLWGR06FRBtCcRX2rVsnh5Ud4a40ziAkQegKG7iSTPbofA3NACJU+FePHbk4 NaM1P2PtfNHuGFzoNxVAOKWtv4y8Q4z7PghKfKf7XUdbyUngtEo0lNxAh2bACXx2Rt3T8ojdI3HH PAsDqaiKzB4SL018iCrWy5vuAG7KRY7qrnScjZKeCfMJyKsKpFoCSf82yTfZM8EO6VP1fYI0cQz1 4USF8ShithLOs8oZcfZISjo/oq/DJhDbFxBi2DVAOEf1+okaaflbIuZ/KpZv2FnxP7Kou+/6Di0b OErgxOBeA3Gx6eemAcbCpkyT1BowDGc54lqhHK2ZRq9rtuQYO0UAcQqI8VYy/eVt0cmhy+TnIxHf YEPit0qmRcqYAhZVjBegwei4QAgNsxiMsakri/ISlwbA7HOwxaeU/sLN9VJywYlA1mlPNXS8p+Gc Er0AsvbHvNzgH+HVdg1B5FT8p2EO+XFuCEqVYtjluCanR92BPudCGpPFfLTXwlToB/JMv33/ttYt s8Mw58rx4JZhtQupggz4XyPa5caF6zaQmD8aNVgmiBxcCoNBrDnoGJuBGswjSwf+Yli+jMZ4T0fb F6MPmrZC9C2E7d5XBJjGkhGWDfT7mtEFWgx1wfLuYbN2sMRyhNf54qRB7uzN8lBieWSTRtdam6/Q 7hMbGk6TzQQp7d4evzpMDLNl7KG+nr/BxCvS0081AW74msLHh0wrzytV9WGre5YroadsJyUA4KSy 3ELqPlSJGqNyRT6qGY2i30XECoSBwAzBUplpMpqYEG1fQjfaT62RDFhu2ENlGM8emuVQX+IuNIP1 sh8rR4yjBqV+ZHXA7qncRJ4BGvGd6PUJLLP95SBBkVfd4bgWXgO3d+P9vFz9TV7W4hsQ42TfJ7LC v+FdjfT8Eiu6o4quZ7a08ryGdnW08Qsr2NY+de9xdpBLg+/uCINnSS0gQly27y3TtnTzXcscVKPA pEB+TsnYKoYNPxIdH5hDQPsfl2uP6mRtA93nT2BtZ1CW830tzVuwkfDNX6ZTeWoOX/1l9A+AkudR ha6Xu16b1X1Fx3Hoz7IO8aeHuk9JX7oHTM5zTXCq3SIz5YvJ7Hmp5AyOlgmk1R2q04vbNPUINSCw eH+KyxfCblFyiaKtCbgHno5lsBUH/aUmYpn6xTiWQqFPmy3Q5jn8xTCIlFRDHX/Bn9GOEKm4o4Ii skB8I8OSc9JJb1D+jGmTpNX2I9U5zeKjrI6BngF1PCHIkOBeaVAYcOK/Wm2PcBmQWUeCNRPPSbY0 ctENMklrEpiqff+M3W77igBAqvYzb5lyFq4d+86tuuLU40PAf/AIfRUWjIPk0J7sj44hvwEziS9c BoaYM/18H7CjbtLoYhf99mnydGNXh4Gy/y6Oc7bIbbwcjLs4hIjmqrsi/ZnMTBqeQpdQlsYrHmJW KHYTmrMh+bOc4+l52yq8ZcuzzfCJHDW/9DQ8Pix4XoRDiNYlPVyNkW/sKlzUjVtmKGK1/J3cFiKg A8x1ISrPPSd9N0y2eCejYGPIpMtMAPp6K4Dc6hJPg2BARjKV3RfnXeUPMFxf0sHMNwL1StvAIVK1 oxTlDn5NbvzDflEGaFHpkju5Q3/14dUwDDQErEOnSRJ7nXxsUaFLwjWAa0R/OBGZbKKVk7vCyecK WJXKOdT/9Kf6ZJ++MBkfgs0fHIXRyPMi7cVsvwqt+ED+vJAqnP6ZqPXaVx3MW2P7j1E4m/78oPBT tbFhQXF1XebiayFtfauZM3yCy44oX925F+uWzW4/BVv6X+cB7VM1ualEsKWPmb0YP6k4o5D5f1Wu S/33uIzYKC+8XD8TZ+7h9vFsiZDLPOuEzfdNi8UpcFQQWKDFPVRlzct6cX0UfX1LXqUiP9oDj6ef AMY/ptlao4kK3D8c51Nqkpe9orRQA0VKFoUSY4FF6MeIpl/jHWQMufWxJ/RdoeW5ZWKxZ8T1Shyt yAj6eRBm1DUA3g6AEtKyHiA+y/4GHe4/lcZkwyGWz20mll4bri6oEhPBKb+RrIwpnpeQOnIG9+NC pQQhuKP8vg9Tiqn8q2+7ha8dKNyOKLg4BIcxvaaoUXVfrSGR65ghZEL89UjvuEZipd+FJp6J9xDI WT5oIShpKIIIcK35H4yUXv2DzsocQqlUegbCndMuHlIIKiK1Lziw4TKSia7E13bKkPHK82Y6E+aX 3YGV007rBIdHQhYzMqfM7q12P2QbDoNe9ye7qWO/On4gFGT++gXDeWOJ0GB8Acx8JF0wQ9LZMTdG 6RaoxrBW9OS61zDTa//sKedqLNhLSCPu6dEbMctK2In09jzyeSh7zzPprhuHDIdwjPdc+D7h4RsG buu6RjdBadYrr7jrTJrichrkZSsXggiM5vW5ZK94hM3yQXTdPBztP+nVFe1drdgqc7Y6jkfbLEF7 GQDo9e8DO2mk3Aa/jrG6fL9DIChi3QD7dGNiMVScIsoVCtjLCdDq5W2opzwGIckF9z8tUOZFpBAe +PNPrPHqqjdafKNdnz8htNXNE1LMrts+I+aeXT11gq2ovmmG39EfihnRD3t+858qIlH0Y8Ths62r jtys/BDBXjtFnLJ++gSQ77QU29+lc5pBFY+kYHukkWFx/6K808QuO9XMRK979K2nx++rjKn/YVVm HL86goe33KkK/r1PjlqX5o7IiPXnDobdzjGl5ll/MLYrIb0Mpis1ViEqqMLu5d0FyjCk7s9L8jEb Sx4uJ/khFujpJZWUKi5n/REgE687HrNzQJmxEqSbfBnhr5LgwLfIZb4QSg0P9/O0LaarqyESwSQZ QU20mBEm/HzABp0jLTuUgapGpDUGBax7mjkKbjf/LkzG9S+Ja+q+osx96WgbrF6h9OkPJzlcF9DN UdSqxPA7EvwQf0rCmOsX7EUVKJF57vCg7dSJWHfLOmhkuPenKHQ8zF40h2AraGIB9IOpkP9InJjs E/bZZwLX8wmoUIUcaTPhxPkpQDVgh5a43klPTUfo79AcVmXZU97XVgtV1AzWypbOQOHScjS339uV U/HW06gRl3X5S0SOOM8BTCr7HJ3BO3hv392pBHNa1IRTVhTnzOLqwkLBnAcECGk81bN3+v48qRHE hY3G/JpbNqQWAYaIj3LgVuwf+RUlNupDRmXoWWP3ATmVZQt7QeIfvZL6YsetW7N6rNr4LmSsmg7e fV1aZBnAlmk0MDlH4Q7gO9dcFS3NGoZZ3nVYVE/m5aPbQzdli2i7HWFVwfXxWH02lzDBs3cL5yml vmsxV2Vv6lJb6uro6UT8JPB9yqW6lSfrwd30lYxqEqNEqPX9pQYMoqbmWAi+oknCaCOdsSCCdivO vfGTrCpAvPxdTMNzQIRx07Wj/6zM0PT7PfUw6TyhCSwr+SQLWgcegq4/LoTHpHjIV/qhaDBhrjA8 k/XAxM/mn6XfJHhUHSMDiN1L/klXV95U3a1gt4eqGWdyPazxr5qwkGtEoS3yciFIdUOQgIz+nRbf 1kHWqAzn4R7ghE4sV91gUdK2OIJy1dxsUScQjX6NFW0owuplhiCMlsmOBxjW5taQ0206P/zdv82g sIr5pdJsiZKOWIZQzReV7kWAXhqIL92LB2YMJTs0oqap/MymiQ0Wme69hczB4t+CyDg6TRpDqkho 03XF4erGjUBv8NxkMIJZgVdKjgVU0A4Kgq/AXGU0bCDP6w68P0IPcf6wEcTE8aOtE3gmJMtgVCQA XH8FYQIB5ANt1gb43cORH22Y04cN7tOyARnQO0PkJvDNtUCyyEfPlcLTu5hK2qGEdFX8AXoHEBCj 1tCt9dsxYyd3VLHveK8ndQoVe9ZVvteH6n+5d+KbI6Q51UWNg8tCBn1+7x0GKkjvaL8PfjSt++HU lNBveHoS9nw31xJNxlsUmXu4cg9rSXw8h6hxB4so5T/kwDbZM+LhNkH0s7cdSIeAEidoD6DGFMlD W77b3dheEtoJ6AdXaybg4UMMpS6b9yzkB4UHUV1N8irnkVlCCPVqcS6u4b0ZxEA0BPyMbZuyuMNP c/+ndzoCfK2TaEtR+fw9CTUHliTo0kyPSM3UBL3QJDE8j2tdcrHs6z8pgKeE3FLwdw7zRqlnr87R ZWim6uWe6eXI7upI8iJ/kdx8OFPsX5oyv4EWxcmdJJAMd/Lk0AW4YV5mM22UDGPNodrr+2UF1coa WNP5LHmF+Eu0OGwKr2NbBHZpgxqhIyCocMbUjvdFxJb8B1nvnrh/Z2nNRFxunwROWVdQReYPso3Q eOffDUgrDqCDg7IIwbqkoRzSOLOOm1f2H5jbtb8cRvEXM6ibJldaLNeWGNwxhGxz01kp61k6uAi1 azgqkVE5IKEQ6hmnHnuD5DblRqvetjhzvaL5Bkj88S3M7dJOy+8gJIukqqHGPcV3rVuOUfKC6B0x LjEBaHJB3nDfNEtOi6BYTVmHumpFCGchAJvTDbVFL8IebM3bMYgL0KCPpoUG1n56x733aOp+IH33 WQw/NV6Uj9WzUCL8AfDQied28Wmsxlo6czBEMcgDurDhrnzrb3W29Ai8KHbWG/uk2tEGomoVkJwG sZAlFcdfY3p4VeI28k+79yOGicJBJbavEVb8CyjboDi4O8jwbNAu+ZB2iJ9WK03PG/AuBMGI70Uy XknzmrOUFu4XSguOoNsSyXigQ+KXDvrlCsQKwbGD7E79O8Uy3fZDTkc8bNBOeLbIGo/5jVNA6tD4 F4vLR+gTLIDXY/BPPPVjFh/UsW03dAoBPey3NV3IBpg06Cp3wWn+p5lCG8JaW3EiTJ01fIWB9BSf mZJmZKrSzisBWSS3Rm/HPNemu4bLCTSG/Ou/FKd/EsfMEeR18G+0h9SCP2CJm66Ygbw2LAXE9jUS xDdmeWBVxLdSHC6O3oz074Tf9+t5EmxrkHxuWr/P1b28TaSlj8a4fUtnNeEfMWDksla9jV6syIA8 rpv3hZM5Hf4ApH+M7JMNLGjAA7e0yddG7CEoi/2SYtkaBkoifphZrCmt8y0S2nqss+DHd9uxUgVR kEyNkcmmtO7wIqLjPAZ4Aw5FlzQ1Ya5dc6l3zcYlfANgoyzhrOt3CF12HlE+XlwPNy0JWyKdUeF1 I4vILI1gm9a7Utbsluj2IbI3biq9PeRcBL/E8Ree/hspa4/yAptno/0mbItEcuWr6LJoXwo2HM/7 nW22T/ZtlIlyoR0JtybaHNwt14eMnhigfVLxtRgtGM4f+XKg/JWtT4RXw7PxQkfIupai3Oth9jbq +u6jNagwVJQ6wjBzFLHcLu4Sq8RPUWmA6N065GLGy46SamP8ByO8DT2QSD886sp3UmuoX+fGZKnb +gpS87N0RrQ3IPtq6j2jrjVcC78T2KqOFkoFkA5UnkM0ysiTI7LB0fQFObIgsX1AbEYoQM4rluxp o/AJRa6tGcrMYIPAyrvul8NlsUBRwHVyVgA2pznbqP+OtPEGsXsk15wtrP2TB0U0hK87GC0n2ddW 7ne4PPitOBeRp/qYyCgP8uClhRv99e0y022w+kBW2qtjBG7bkVs5eB3v6nDQk9TWspFvbH2pObB6 uVifgKN2/e43xwmZ7yDUkibUEcnN99r0OUD2iTLa40M3/GWrqzrt+FMPQA8JyINuOp7Jr7In1EPx 80aDX9Ps+jItKP9fK799hHWkcEzBZ/T499s/74l69sxXH0DMS3IaV8W3T7w9xoGe5TUN8xDkwaD/ VkvCThMBvsB6UPuKTRiofFlyNR8OXNS86QDzSjAmFG6gzwTnS3Gu6LV2iWo6xK9psHWJ4DQPDjq/ Ed+OQASdVxSAobH4Hg71wmGXs4bjfLeSJGERwx9GFewzPLnsCn8AgD79rloQZ6mOuzCEdfu8qGvd 8uyB+3+N6Y89GwT/PfzP7QFstsl6PpVbFlwN84CMT6XXb74sUjTdH9gPBhcoHv2GV0mOzVfvX+4j JO63qrd3bsyZbZ9FIEDRNuHnEdU03Q6Wh4ZOZ7cImX58lIvrXg/e5hL28K0Wtm9IbCsHO9LNUqnC TbADpYUzJ9zIW3yFdWvxrQ5gTg0Gnu8cJ64M9e8Z3Ww6Bd+btN9bzkgZDdq6MWOXjIWXtv+SSPcP IQop6CqLQMgl2U8yBymodSJD4VH+F+ilvSQ6VD5W79i8bsL4ie4j8X35sBmWqLh+UXaBFGzBuuwv AYi0w8XtovW3296zokweY2fVzkL3NKkHLWaKuK8rI2HeCqozKaGsQ8h9rwXJ9zntTQxuhW1RsiE4 fnxMokFISkUmCzMiQ6pXd7IJHZYIbufdmUfs++37QpVh7mXccAXwYBZ0+5aKbrhRGlLUTr7ksNRl QwoQPlYKTeMdTSMhnHNWo+ekuDcUm0Yofcpi3/1paoJWegmjCAscPR+54yhpDMzZnKwl1625h2a0 vF2Xtfs5EZMdOryZNY/cDfeZs9FQ/f8qUWOa1FqolOWHUdSTDroFDNrLcybQ1men90VIp8E8uleI WGy0cJqyJNFdWgnp5FQoR3Dzv+v6HgpYDzNGb1bhc28JtUhpllk+ELUwR69F+Ol5596kqpS+yco+ k72aH8jFxgXvgCM7Dnsy0YAgXpW8ZXLrayIYOQx+r0sE2Vw+SXaIb3wOblOCSgzz/03iXXq8yVtD kc6oG4qrP+TMGVmoAG/awTLPw07vISbjXY+dsTSdS2B7xBoyP7h4MYVJGBTuTE3hXKJPMauatp08 3wdibwrYdL6iYavIMAY0XOs96v1uE1FwABA901f1gS5qbmPdVecdC3AEXE8e/4e/thgqhRUtHCoN 8i77DVXpZTbrV7MId8W9jde0dbSkrK2BjvPIN9AX8dmi78rPApi4+SLgYNfxYRvdI6rkf0bxA5TY yFcLTBQ6MYbZrTfcrJ0x6aVp2FJEhwErIFwdC2PSvcDiz6ATcsDyZwiLp7sSMH5DSy7afLmRhL/N V1V3rE1sc1P1hJpinc8K0qvRATrXLkyWt5QO+kL/sp8Yg9RO9S15rOqRR6VYqkf6xQxTbhIA4S4X Y0PYuVHFGLicar+98bpP53F//rY/ChaG3t381BWb1VfIFxYjnYbWtWIRR/9fsMIvFZDKGenItW5H 9u7YXWiYYxs3Wxv+lCplgLY8+dVWCpzsYQTnq4GhzHccysbDFDqVjuB3pHjAG6qw793s8Iij/ODW WlxcYE+Oub2v+UQFjEt55FEHd7McI2cOJzjnfRbUhp2TTtOX7Yux3gbjSp/XAYwuqY/uGEIqW0CA js1KYp0hNPrODFethjxhL203IjVAzRzxOQbcOCK/rMmR3omTU80Z5Opgy0+d8alojTPewBbzgyOd CBu6LLriwxLcmSsxq8ANS96PyIGHOwAVIk0atPtB4kqhRKsg57DxmP6GcgDa1XBsNyBBWsERUcZN ROyFe1NcMGzGaklRu+syCxMTCsIa2yTsDjueE9eOb89HpQOGyzAS+F2x7ed5eD3aSGlOqXkKGiNA Z0dXswQeRphs9g4hkSSdgknkb1/psVOeT6QzGHx6UHk4q7CQUCDd7lx4h1sqyTIFK01BYrk31lct 8aNIUy5CMtPv6g2JDk/0BWaUY4KcEOEq6JMO1TO3DC1UQ94IdvJdCMFq61VWDlWKGeYLs47c557C jWfTy5f2WC9c7lOhzxmPskbVtVlrVA9aQ1Qi/XJtbbLws9oj3mYU1u0K4vx4WAU6AwY2nGtlf436 OO3ZJwdlhiST+Ajm29zpcizAR3B/YO3cXhIGQ/tpD9oaQWW+6kARMQxLuk7k+8dzH038yh8XluZd z73cPrLum2TSag1lt5e32lnpWMP3h8Fr6i5X9O7UidS64jJkfkfhz92v+AOGVJ/eqLpE0J4RD0Re ffHVuX9oy+hRuBndI0iD36vN/lu1K21bp0JCYhknGrIFcMpBv9iQhbo7bRAAQSMAUvqFNuiT0V78 E1jgP/sCm7NoZ/8Ms1++ANrk4vJeuBDjBkMbSVw1nI1xvUxHY9s3b3xQC8Vs705CKvB166Wk0rWM O5RW+YihbtgOFQbngJ0osaik2yYrlEtJQtYbZcVWj0BOj0tL8hcIEXbpixDDdz4EA6doV5Dykw4t rp/eh6tq5NEsxq+kdc9vSABNwsSPeQTN/UysEe9kt8jQfwAkLTu2SiBiayqbu3AQRtUSYSsWEtec jB0b8HV3Mw4PEi0y3oyCVDHexmbDaTPTLM6im9JxNn+5iWVWWdRSX/9EIAwNrmGbIsXw4XYrAvNT 9PIpMuFSir3V6UFZaE/Lk/wgrBvKBDR6tN5sJz1X9W+U3Je1yKJ50U/5JfDC1d8+NMVqpcqSqjhw a652l1qVA97/S5raV2MU3DGdAND7FtsSIbMy3vwsZDM7xBN/ARgDp3uwM5IAyPHtekv56ONglCg+ ZqIxS+8RxIg3kKzlvsKO7leFE5/ZS85wsXWNySOaYUMdsps0yKvsq4ZvcmDfcr3uXYE/cf9SmTO/ nyJ23HjLF9MM675vUw7uwN3/Vm43fdDfKjjM4MHH9tn8tGQdrpc/yqcrBl9Ac3IFobvWQN8Dud7I 8xi/KvlQ1RFtPcBRShwtfr/4uCvx94rDcsQAEjA2Q/3VfR8HxfCu2vnHBKx8OBe4mTSZHduiRmvz QA4fNDhu/Uwz7P3VHJ3atJNn2f51DKzRm11skARfMeQEYHZK4pM1mowzkAq5Ozpqff9QtxXuTQTe A4hkIfr7tu1DnnT4hkeMbanbnh97PpE1oKwKFuZESvYmspk5F6sgDf2MnLhnXYgzy73QPAImT/8t NQAG9shMwrYtHZrA0rnfVXaT8pJfF24TLDfgxYkW7Ck+PzUrmhc8w3ewp4bVBjzZCjgec/BbQJra jOtCH5zghMEqfSV6t9tEp93/+pkHPTiwH6luef+YeR6vBS1cFm2t2vr+6SrAfOYLBlpQ6nPpcTBy VCgu58yRdduADz79tlZMAHkF6hDo6lrT80CEKOib9VEjldOCPwHH9hqDjfeTN7ARRVPVK5D7cwNu uFx5YCKr+R7OMxcu4j9xq7539lt11y444tQw9BzdaZ5iptIWFymtV1QInW7qc0mbCe7tEmXH80gN 7p0Vt1kRmGL6t4jYDHTkzAo8AirRT/dsKt3W0/Xq3qYC9/kjtCvLXX5DO1O4KyybQ5QvENYu4vIL IKhqfXoHYmrzlo7c+Qgf9aWsownlt+LuPUxlMDiJoLsB2HpstEzBeGvDXLIhdyDQd97wEqmc9il9 rO7ClzlTOGWrT7y9UIHfDMwi5/xV2z5ADGS2qEDytQltWWf2oLOrjRwYbgrpN0A45xgOSb0M1/c6 gmZWxN/auoaTirhnLPXEHw11uAIgiswTwqXIhPYuXjRy3kSkRpUhB7TIgDF9nneNpalBbmj5L5rB IjiIEV6kj0JZM6adksRUkDJzFhSVM9gexL6GvlZtJPgvWe0FAB2AoDj8bUfrM4Fieu64dkrUw2yM n6cKjg9TlsTVur00pgpzVA8deMdH4ROb6Wv01RsOT3NY3POZWnzVQ6VwVqV2zLgQ2oIxDU8z4hks mtW4bjS5ztVUgtJhn2tk+D1vJSIfkqxnmJrATTl/pgnqdVn7TLihN95yErd1jNntDc+1vl6Qu9WB x26IkO0r2p1Nn7cH5Grezlk+rvNE2pOXzQ2XIPMS+Lk2BoVO2RCV4EbHVwsK9Rxj0crzL2dXa5XO Fy8kCaAcwUMZYH8nksOq7JfKovMXZcpn97L8Vec+r23PptHl7ZVGLlKDBggKZ1LWePjxiuqYB6I9 It97eDYT0CcRSBlzWgwmCwkpTaoF8YCLwvo4ARc5CeeW1eWal7uq7kSz35ZGwtpPr9eIEE6iA85G LMW/anN+dtlfWZlopEzRll8LjoK23BZfs5B0V4uuNDw8Z7JJS9OsatWws/h+pdhmj80fTzfBZxlX oiyNk80CKKV4iPudSo3umpKEPs4k6svw7142t0VGfH502rguqCdnsCoXP/qLLYIDMXZX/FOJ7Dbk V6WPlWXbOGfX45Ge9gV3d9A8AmavzCSLw+gomNrdCWVytxOPKxgYEEjWJoxb7QpZSexwRebGhyK3 5Hw/hfCqUGhWlDBGqop0tU43XoFnfH5j8I+2lQ2lpzHamm+P7ps9zU/4H/XZCfCCTJjS0xW0V9YQ 0rLS2ZFip3XQQp0drhUDhW+59SsG9kKgoFrTlybMltEbV6RKKp17PY0TQBx8wXaQuD2/A/GKtoDt axNe0MoPxh+rViGASgjVKnOkJw1W0aYCaAPUa6ZMFub/r/q1A9P/LMO3ttkt/zRuKGhB94HMFYZ0 0VNe4dHvLC6CMg6WBYEe33Bhe5auMlLGgRX+Co91EL4uDkmOHxyUhtz0oEHCkYO36thbMBUF9uMg tNPUGwRBvFqMh9R4VIg6ekaVRgFo7+FZB6yJyj31rqLqB11MknPcYqJhHDIYJdowaxNq9uV6Q1Lf cUYtlOJjR7ZOuWI9Q7ob6Qk6RU3xwN+W0gBcP2hDEbEno7i7+ScpjQeyfY3zzQHPB6hyqBbxQSxe 0p+bazSBjhHhzDQf4NvAXdRwtNWdFCVIM1dgt/QuGa8pXf+P0zemfgZPaYexiOmXMeMjQmayAfNt 2v4hhAeUDo98OcS3Z82Etb5UIkqVbpR5Do/B34LEja86oC9sS4WNAKMMlV0Vl80qZYn2rmg5/Rs1 u7kajizwWBdIf1uoZrAT0DxV9vKgHUHh1GH3ZjtvV7riUXjlwQs0hHnJnCfD2dGXx/gadOQFAjmE Wq0b+P1Opd6lrGShmFk2JL5yGoIe/yXXsxT8vCJ9zX8KvD+N4EoZzp0qNnXvHvTi/eAniGoN+5gU s8htqmRhrqot89NLE+qvAROkdSbV9W6+k26Sl2HRtRyz5s+ImeTCS8F6yzarZxIaoKM7kKd3QzP3 cF0NcOoJ89o7cwMTzYYWD+gHUFADk4SAK0RSdupUa205rARelfr5VXv6J1Cw5yZcJfQUK/YLM2S+ 9ClWn4xmps04kzumGZdpxDN042V7XK69pdXf2jnidviQbPDH1r7M0tRmdyt4RFoxbTPNKl0fAWih Wk50N2V4rO580DfEO356DhlybNae7E4CvozZ5wv4dy/unvQxgcKy8v3xG98jRL+CXxbsq2aEuMqZ hBsdUK8GzC+pDyiANkjPA2MVcc8ZbGu43jTJtFLDT2+9m0tD78B4gZJ/6qt0SFohMPO89or9ZJg2 SwuwZUkOPPHYBLpw+dLGWlFMvGObCP/SuqLkCvU4yFC2HBJAfNjINr8qdKMmCZ1LNfGtChehwoGY yQnHl0Uxf9gi+eznmhByLJyjY/wcfC6r9R6mOL7FHizChKZo3h4CWpu4nLjUpyLYoEAxZ2SaLVLO YhSnwHrYvP+l4zkHA7tSO+m1jaeOvSkbXl4k8hIv1i3Kb3OhfnMNetMMaVB8XOiwh3Z1Z4QJGd4/ 5yF8cr6m8/M9Z5vNu4hgYCEnN7jod2iP1+og5bGLJDxybyA5h6lNDxecrdgo6CAvPodAxUcS+076 5Y04K0BXN/ndGd9cQny8kEvoZsbAeslKQPiP50PQ5nkiH3BnKmFJ94vwqdYWTCQw8m12Zn3+EGBx REPhOj04mVeOHQeccjJLSE9ZN64A2CYh9qpKHKUg0CVUt8xvm1yoTFs40AV+Q3K/NI41qloAmzUD pKGFc5+PUk+UJ/FSgy8Zc6lmyCZ8oKpfb2iCAqDelwmADqky15puLDfblPuxOMpF7M6tWQaUINvE CLaULUdYSvWE/rKq/xueqNFkN6ab2m69oNe32K+QHOB2/gRW+GXL72pmN6ZxMH3eVYwAI3V3or9I YjKA6cvnwY7wRXoBDIBvLlsKtAWSmoy6MbG7sHl/4gFcQGmfQVmvRt7aS164VAkj11SHmSTJIQq5 98fsLybj/86o4ugGB4L4HWrSNS5M4VDEQq0yCrZZ1sgGmBi+KZP+K9YXCjuVghejsb3sMijzXXGc +5JSo4iYwfiTo4gl4MvJ5YNBfiwqX+C45AWNxT1cWwM0VjfrskJ/8xOCCWNGiBN4XGh/TJO5acj4 TFzuaCY6ySYng1vLBHFRfrECugrxLzfYs4A3yzKKm6up+xRLWu+tq+Qq7gbTgQbpCKLSq3W0CS2p jNyBKeht2WYZ1x1umSugpiyiRZnRcyi1IGGgRMCqvFOxVmVL7sjb9lWgsIhRXefsXUt3wAq1CYbD JOGMLd14RvuEvESih2XZYHec5/8wA+WzGLEXmF001TxCopjnFQDO2geqRTuwc5WL66X5gBeEAozE na0gCEWvlaLeAJ0dfYBx44WiupVhcrvmdRWUorjzIn/z4E7fOui1vaNut4OtAQJXncmpXHYBQliV 0LJPj6jvsJqmtzqqX4bdKH/kM+cysKsSuCNmcHQ0gWzaUIvtrfcWorWDxpTWil5hFvUO4q5O3bB9 3+Pa1i0W2tPftv+SVG/3zqcNbncY5bvi7YokIUWe/33eH1ITghfcVCfPsJF4NKcDnSwQMZpAjcur RJrfEWxToBkOI6YxdIc5fYA1uz7aZe2qoon0WfqOQ/UwBMCbifH0KhVerb1WiQ8ZPWDxgFRU7NgU o9OEOOWYn5cJAIrNoez17PDvyRSl40xKhrD3bY98sPHE8j5MC/caaspORSDLVRwUEtEiroBCunos tjkX5lW2fgO2LQWMSVMSsVMjN2sHWBFQUunW8qnVuClKkiLMv58G/JpiyDpAy1Vq4h8jrxc4hrn4 dI9XEfxTEmTpB+ycXBveysPuRq6m5jmzS6hgGKeaaIhtI40IS0fShg6/9BS62xxvrnk9MdLN/sw5 NdwdKu7lUJivWiEDgOUv4nwT1RB+Xnr9nkOG6vxnFsB9aFM5nUd/U00X11O7jkYCfOIlhWzkT1sB rnq4m5P05AlwI+pjnVB7Uho//NgwRshSPV/dT12KMi+v2NjIB/R5TV+ZYfa9xxDNMrGsuv0VPi8t oGlB8Jnz8zCqOyq3sRCZd+STIrwnSOHptkeFb+QCQOrrG0Bm2LCeniMDIBX4byWCEg7gL/+rS+th qmopp5lZd0Gg+XgezKRPEkvX85r9wQiY9o5MIvpslQtBYofQ4v//tMen0Tvtx++wyepGQR0MQ8Gc 6dernS5BWYptKurAO+px6APaJ9eNHXQzlP3RMcXCJwaQzo+vKcSasDUuK8+/2XNWKB/wp2pwffQq +iCW3JqLs21mc9QEoOije2Ti5N9rhV/ZUqrxGC+YaAB0k8V4VBTnvvEZDmtR8rFY9miC3QnPD+wG 7KjkaMVsee2CuGEFn7NX4oVZTmj9ekVhhpg6dJPj4YGDZIDZ+npwJZkJcjY8nPYY/udNHSluBB4E FVMYgzOwDJKYiRolKmnAcfSPimQvo1iZKT5rXXCcjnXa3f5uVpMlyKkz0Gv8tcvkHOTghSXi/a9N FG3ZcM/FpAGdSoFJ2cEmtp/kNMudqCFi7Wt5vQ9z9pY+IvzZZzof8m2w0kI2wX+lJmPGkN6o0zfG BfOClpVu/LDCNA2KWnIjuWQLXa5V2AAJFUKPLLJUCaCKYw7tCZWND0/723v5ZwyODgprtTjdh9UJ CS36O5gST5ZJIeoY2eUDsljilVcvxZOZfoVBd+WAg/vnMh9wJ09niitX/EcN9SM1z7VM8norTmyz 0xd5C6FX3TT7I1Pt3y2qxdwCf8+/OQowQWeOFBy76IiKh9IXFeDbGuv+hl+OsWzEoFzA8ifGUubC BHCFqIerYUM24Mo+EsDZQR41673Bi/fwWeEEKYRNEOua1jwcRmD9gXjPZzNQ77Q5T0ZF3V4/GD/P JBEB390NuP+ZfXVLjvRfEoB7cxz80jMJKBMOChQvNcfYbxUrM6SP9644HlnbaHzibzZAFp9rWWcn PkE9+dNWJiOKc3W4l0IjFfYGS53fJ6o+gSPV4Mti+CLHowV+Nk2Nwoq4m4/QMZLrl1WNItFZJqpJ DlEySfApkshtQ4atTN0UGMTWqsxlTDvRcBn1ibyNIIz9jOjqpkLkiaijeZFwvq0Vzez4s1etWnH3 Jo8Yja7HsiO+YOzNi0nl0nvQpBZTYu8rLBNZELm5otUC4IEk+7pva9RcjJiYfYuWixpX0Qfawmz/ XcthH+lgyc7FkBXTCYCOwHUzUKDqmKI2oCgN1GU5ebPaVwmhZHz5gagF3T6ef/3F3eM1ckop8S05 JjkaIfo21C0lZ6g8f5LPKujdszYsm4YX9nO0YdDav7i/FDepivRaOB52f3yBLVsCruUCFxGH4TIA AafufmRc6m++RNsci/IyTE2kD2qRcMuP4U/n9pUktLwtdYptfK0lzHSW9sxzP+XbAG3sovpmzr7Q CnvUSTfwE9dtwVs2fuJrbm6fV+pjnrEiB0NfRmZGEGSUkf1eaqckJQ2vuSIQ4k4EBQQ++Z5Mg/2I kBCD7YpVciCunUr7fvhlSrqZSmLzB3evNyCi9kDRiELnOeXLiZXtYowIkgEhv7PJ0lfmjwq1UtST 9zPGDPm0qycc/i9Rh7q5/XfhzbeTWr/YQxwwPDwUVDU8/cSi711xPmSw5o09BETGfcwYi0BWT9sh k8Is8DmfhIhTzvJLXjES0kb3Rqcc4inE5n21fSeWwJmGiGVDPdBIc5yleOb3B9XEuixXNLewA2tO 5zWPdVdfA8tAIWL+6AGlGSybqVZEfbWkK8/buc6l14kYKl0ZmWgMSBXfdYAopQyo4EjRIum7el8B fv1fytE7J328MZ+f4xcb71yjoEKJGkzI2xc0nvvV+J0PAAf/rYSxxBLI2IeS4idUiJfPuEo5xgq2 2VMPqK8VC0o+l5unFtEt0RY0jsmh7LBl20SLdsaQCb81dpPRFAZddjT+9E2IYuEX4IgXcWN0H8uH qYtk7I46XwYE6x30IxJSE12GD2i5Mon75YRUBy5E1aCYtl6ExKTWcwZLengLIteFdpAmXihkPwrg ItmtUlNebsTWAbqiBVEKm3BJTuk9p3re+wmdC0fp7aJVqFyhlQ5nzjLSPZwvfrjZJF60U04VCPGY QN41uDyRFKGVrhz4vBzjKV+PwtUKBKGPNaG8HZjIet8ZBOuK4npoUJxYasMI02npj5yy7uU6WO60 uVvBKo/6FOnhNc56funVkOyV/B5W4saKZ4S0bsWKJNt56bORgLP19JjkHNZJlLYfGrI69kfGN/4W wyhlLpHGRyZchJ+IIaEV/YCPycSblz73dlNELG1baOxB1Wxlide7Nvm3a1+IgaaV6f6+2fgsKpS1 aYKvgdDlmgUTe0cchdR8S5XP0gBrwoUBgZEpkHds5e9sS5vyozwyXehEziFoDVhrnzmaqRultomP e8x8jSP4YB7mi098aTW5K37dYZXgzy5v7XshsmBZHd286b6F4diBqf28CmlZMGdPb7zPvTZVw0Y7 7M008O52ZWOWXLN6iasLOCeqJJHXwDHIEP0H/bk6EMikkLU4Koe9iLt0mMfyifELFPyE0euvqPEQ xbdpxuy3KJzhNPigt0CaTEgSBKWhOUExOVtGLatUczDIWNrarSfBNKxqFkaxaWGRjRQQF1m+JFoH UvjBLcqqWgznjTMk+xpbs7c61vSXd63mcDAafiW/Lr/hDCbcEM1wg0TT9KkvwqFmle0gQEnn4FJJ c7mLRx3xolcMRN0I65YNE1qyAnDFGYF/bQcJCRIIntkMSCEqtxxdKrMdrGPvr0l/sSjO96gTidke QrsCBHsUeKEaXEISsEtAu1amni8z42m7ECRAd5YefJiaN/zL+Ovklk1XDiCzkSWa91jlJYvN8kHb CAmkW28MQwOK9y/1KRNSqCwcE2q8Jyi6T4tL1ibZ8NNooo8epO/VATfiucEpgd4r+QLoagq/0/AA pOInx1t7wWTQ8CNjjIdspFqzJLsPObArTfSviUZliwaHvQCd5nTdJN0iNyWWd9hmtswTmCJgYHts r7iadfeCsgH1MhZTrx3kIuYP03o8dsNcVCm3XNhNKpTsXQToar3brIY9VaZcufdQzOaDhwbrTgPV d2MvpiDjz4wtTETP/4wtN8+PINRj3gz3EcmNu/qw8o55nRFvuqdT2btQhzRnRyEd20Wt03qv1ZzF J5uE6pa9bXI3V+FwC/RjzqkpTct2R3Mf6p3XVhU3LCfsGVjoQYQUbAT6FfhVZ13JBXMrh/TucmM+ fdKJdB9qTaGZBmbdifVOtez+SB7Vj39dwzbur7CH0m9xffTdFYCVydBqpXq+oVWsTF+PnpxcQ8tV hN+PaNTugtnPQSCl2B2Zabq5P3TsVsNoAUO1zB8bgGJx/B1y500fD5k32AT+hdgkn4yB6GeIPojL KYj+rIXn+Av3nPKQgF4gk1fnJrUGeksZyw38PR6qwVfCiJkZi8FMSeA5Yd44vLms+soVCXQOmajH eaYBXLfaUBis+F1/QSI4o1yvOSYNHPAhz6XiV2HvQYDSHR78HGzfNLOnzXHFIDgCTsXXJvzeXMyF 8Gm5LnwBy1pH3n9MXrnrF+gJGd2H0/iaT35EtxmeDNYxg/oQjBMMUiOjt7NlwDm8nCuIzAZdY03B 1gHf4fqHD6+J0hKYTbl54vSI0MFszsjNKn/3Z2W5Z9BZhVkEqDZN5TPOGX/2S1CYQyqtWLqzuaLR PjYrdlufz1c3iD2DP1bK9t8Uz3+xsZ0ThiRW6F5ZZiUWZZWHX4IstwRp/lj5pcyoWoCs4lXhGCLo O6NAlOuSiRFs8mK8i40o46Ec+i+OTGXKbYItHOJedE4fmFNyf/LjUdYEG0OYLP4xGxcDe7dMTpP3 KDnqa2vj6OGsv7LMH1jZWKeCPX60HiWJPc7tna3mGQKc1Xq/Oj2NUtGpiUPYIxOi4AyNJp2ff+XX 2pCSVcLvLfGPEXlE7yCJPdxXZQhzEw1zDBssXw2qS7qFJhpWj9zvnFdi3LkEbMS7q0EVV+DY7x+b yEZj1N66z6v1geOzR5mdD6b6O8QJZdcbvvmx87mUpD/SeyGh2JHbLmnnjePBwJGyOOOw+yp3UKZa IKgbMCokrQ02rP5UYogq/sSGcWeJpmNwtO4iU/HXf0j9JAVyoqEaMqQkBlWlG+aZgqmaduhz/7Cb y42x8jJj3iOHs20fK90iB7QXoXCCA9EidqWQx80XStJ+1xgPgYxSIMv7BNDVYRIQM65j+9rG/gSc K6t74ojJ+4oOxLFKuNY5tjiYW0+YoO4XMT8re8EksVaEkZyP32+kkXs2GAnlUsTEe2XfLvVRjyeA wxhwBkFnebQXl7hBhK9DQsPUDgIllm3ZT9GzupaAUKYltQQ5dj56qbl/lQa7VJWGsFoWloK0GJ0j d8trXn6hcGkWggt6CiJA1wCBvyyljMa2Q+T32vTdM+L0X0QhZrlsUW1NYoA4qPWSmFn+cYVPZbEW HZ7+EZL2xRh+lhrTgq9K6WuhN7uphNhAgyJh+WQq0bC5gLnAKmv28XicInvS3cjnoaUvmEFjolTl x5w1YVUDRvJeqA0/9tSlvFdcw5eVh1C5jgvKa4FC4WlXc81bu0iG1zkvchGeef00JJ8I5sNBxyX6 Nzfl7g4eaH1kK1sNemeaqsOo8rM82iIY9Qucft73+f6Zm+r2CpT0KNuz0PRWj74rq+gu/TcsaxLM nOJWBDkbhhBuCw7WX5gTvutYY1xKmjdJS+6OFw7IJDwDdGTIMtxAbo1D4siM3uP1ry6lBJsr+jm4 6QRTyOsFCCj4v/ovoXAWuprm2hhtfjxX2tinpOwnFM2XDZDZdFCs0Jq41oynRshAHCJhrOV8GmqK GziJS3fEzM6DPMNoRIP+bOXVHjkX2MQX6J5tMNX7vjItBqmpU1ELKmhwVX4wLwbhPVIeIQsChkI4 N9YV0pDCtDbTOxzAmw5sxYaYN2U0eX9Iw0inSly666VYvh9HN335Ghx2jU7UiIyGnQdxAOcMYslT ncYBiDWsbXpnixF/PNos10aNm+GzAXcBy5MtuYFThAa7ZD19JM+Wrzzb5BdKdifpUrtn6St4blXI Kk1L6IgKKMCXrsuUeiN0WPX7uSxCoz7coIlIjW+54DQJbn8Pl/NShraGCSSC+NvD5i/okPSoQrEV +PsZT1RsBb46GPuNN0/glu58xZjgZ9YPZMnBnkJh84veT6cGqDkSaGtyAC9+7tUXMeysakerHn+4 tF4ATlYEaxzsje/bYs7LA4RYojvU/CV1l7Rvsapd278LFb8v/soHsmaLOx/d1642in6onm1rzmYN HxPz1aRgnlrzOat/lAYpBmA1lQJnzZXs2xzz0ffwluxyx+h9Fmb/0UPUQpodYwHDBetH8b4EKRUD DP0B2zNM1tflKS2t5gHaNG3Ieyv1QjGitwe6vkWsKThiQhTZvtPF70OgQTGOq2i4wJjGRjbzd/jZ 2TG6WtG3yLHdNk5SgorARPWdp5yR6DGfF1gwbsggs9jN3w6vU2pfpm+XeMO7ERK8PxxNk3SV1Htm pUqvdN5LyJ7PQk5TH4eR5PnYSxCJX/Hp1xTLTpH5BxogdkwvFgr7dWWBuAPdDgMYSh4KrNd8xvIr IRXfzkHSQZzQ2fciUeI6zf6iWUz1iqwSTv0rEmMgqF6cRyrDTlDBtWYiHp9SSgFwRWXCjcDZ/LaE auBdFS7CebifK/zXWxAbMQLldXK2l7wkotd/1wHY/svz/x0WjUMRFO9bObeVEZdVmD7U5EyJ/4FT 8qvu95Hp9NzsHYef+Dbz0q52XB2tH0T9eS7HevnaLRwMkckjXcCB5D1LQY4dIKi0Ja1qUxpzSkbh XT1avMk0jl8nAgsyKjXhW4mP4u4mjnvPjex+OVnBYSAmUJTeNrHmZBzjXmlhiyub0m7V2NWyMJu2 CwKgZ0srzJBi37q7A/qm9iD5lEQMYdQ048z0dq125GPmbSXgH9UdfQk6fD0SUbTuQLpn70VUYZlj jY95xx7x7tdkQSDYVlEQcPB8xqz/fWLMsVqAmkE1i9bE/2Fs1F8yjdRFKM4eKYS/3q5JIdGdjrM3 3E4m8oz2fuI9x/C4xs3khgrBr1gzCCH0SIth9cgF17XmSQx5OeGxLFeBvAY/3fiGCwqgkpdMmlsI ALpb1ZSeTaW8hdE5ol5YpIhOA8dslHdSmRFZNGhEYn82+GovfJW8DeoUvft0aE3ZebbmDrjA3lBK ICkD8X5W/e067Jf4JMNWw32ubBTvJBWHZ3Y/dTD014F6ETPqlPkbmpf152RGhUHPOtUxUcWxrVQO Pr2D/TP6hxzZtrofxn9o7XHbw1UtTrdDPkPbhWHRtIviW0wveygwaBJ6/ZF+8wdQo4sAfYlm4F2A s4HYDfOk0Wo2agRsbC8xbcX6N32C9A0wRV4U8Eb5WkL4hOhCgdM8G8m72xp/yD+mN2CmGJceVuDJ 2EXnhFnJ+z2OnL/ZNzEk/3HKDHLWjQmuJEGdWrlEg62LfhESOsvqznttifHk9MDD2XOp+ws49TbG 1jfYkB1Se4VZ3w2k/gy52wJxwY1fdlSL/+oBS5y65vQUnrZiuynJxIq/ruXUzd5c/eInpAihIgHB QSdAm7TIQK18p4VCso14mwSoUfwJqEWLLhsioJzATvI4+YpDJoLRDsLPAoo27CrXd6PRSxg42l+/ cSUNyBuNmJvUhpLfP6j8uBq1z56sX8WViXWVLOV7deQ3xeBFW+JxcPlE0wpG56/oxg6r5XTTc75q PKVVoDqhybsWZ8l4vjDBCNeIncDI2TywVEhwGbL0fWDSoDwLWZVQCqJi/wSLAWelHFB21s4oy/po IIY+RRFk/RRNhSz9TED2nVwbDbaLauusxRqVcw62xWcHDD4/6WVOExkv9zT5Ipq3UlgRsuDOTvhB QqCCilUI0VQV3GR8R/erEMl7BvJQm4AxvKQbWmM4UtMkFkr3QV3xeVVa6ONMlkN+PUTpvprYr8Rx Ko+8cpms0WjNSzDQ+OWjL0eNeglh0J2AmvX1fr+FQeYpuvpKJpVXMd4a0Mme+EjPsoFT33ANH77p ewnHA9E0FuP4Mz8VghKsLvqyY1qQYOPJiowZzsZMH4lDbLFM5hu6Uq9HcuFbibuYB2D92oltLyif wwGtEiojmkmeHVchixC3D+K1ojwsFMtd6wGuPSQpKLI0t2AAhyJbDEw0NDd1NV0uCqGXX0FcCrvF O70NIauc3QS1f4IEaXTQOtqSOdxalrP0KyGHIe64wsWPn8iOBxbDpjc/7dEpJ2Mc4xcEKA5utYCg BKluEuz4sCElxurgksAab5pQd9uAov08y7KYiTmFWiouGhVei3iqWkKLcFimNTnuR29fRuFLGr/I 7l9WXHHUtC8eIGW2hOaiqW/6fDf/MjrC8U3qtLslH7AYaoGNJwjVy6TvyKEg64SvcJt4qLnXs0Kh XjOptcU75TaLC5WXK6Ej38VFpIEr3t/16wNs3D+BKE0bBngpi5gBs1wOESGipNOJ1HryTf7USSNu hrmmDVQhdmeKyBOy0eI7LikTkYckdKPbVq+7SlF7PojpkX5RlKyN2ql7F31mtHuKCLaFHOn60S4L 3hzryvB9X8JHFewWVcdkmBHhBuRMXqNwbaaN9eDFTvBADDGkcTmQj8tSvE/adAS8wsHNRYYB52yx cPCaIAr574Bhc0iapCAnr6SSYTuYbPAH3nv5ny16GNIj/oWNcIEZ4Z5CZa0FAPaleeNkYflf8GBn zaPtAmuC05kmDo/oBETAbk2tKFA9rqqYKL8iJNIhWBeKH2lVo7c1WP4p1fz9skpDMzgOrvi7BNg3 WYwSN5dH9i1+bZJOYWJB+7LwIb6yJ4Rqp4NRQoNIYFk9kd+lJABGitLWvEcMiq8R+ko92YqxWV8f heXO6f4sv/AMIOJiSRZylggrcm6seQ/EgnEfqWNtM4Vk8DtSmQKh5tTdRWVLGgcRiSgM48ViP8HI VIJvZZ4lG2xTQQCjjf9g8G50dqD3LWn8UEKdKzEnfVGuKuX88/WRj5GXOpYiIsBWN1wSeis6D4zM Ed8DvwdLngDPp8GaGFmIl3Vw66mqGN4PonBGrJ/jVM/3H/X/slRfBWWGRTCEwDl6LCUAr/SUhVql 0K9KzFuY1oK+beFIrUOluHjtCm/Yn9RRLgD3i3LxttrO2MoB7s42vl5pDkXheRKheQ2obdzCVYpw W6w3hQS+OEn23EqxKYMADIkH1Wyi7fH8SnqYe3NBil+otsAtNN7His7/Kd/SfdP1b9dQaYoD8HrH HKmQUHTn4rkJzTd8H1i2DJgat/FICXDoIzaqag+SzMWzdIy01V4Kwj+QexyCysj19hsfeJH6pvri izFJLr6R1P3klqytzaYOyyfGJXfBThdsBX/nz1BKIPXpcheXOGbnAlEhHGP0hl4brFAr2jkHN9Tk 6l+O06lzeJsjjHRLqQKnN72x1PKDbGohxALSkjrhzLy6aICAzlAPMSwfsKVYzKtCuiJrXyvSdaRD M63eTrof78X4SrkADyrbniQW2Pb3ojoNv6s36uc5HeXYWc5BS0fftjF7G0Y3MR2NzaMrFYdMmljL JsTgEqDxu+wrwea1ZbDQ5W2z9Cn8T6I/7Ma90qiKaPdWvfIcZh2zt5VGAQps61vnNJTxEHLxTA9S Y3AD9Tct2CvWwnLu6m4WiVlgZ92YzQy8cECV1NpS0MRKihf3z7MfS8g+5sR3545qRkQehoIaA9yO VR6MXUw2Y+jJjH6TzCEUDQT+Rn1ie/AkBThc16EX01wiaYHuVVxgO5nZcKbQMMJYg0nm1nAXFAdu gx8MahKC9kHuRk0yKh91iTp5MvQpsZ4h+Dqa8uGlMOsdh1yLv2zZl44qVNjq4v1Ue9Ym1XquSHwx xaLmABNqz8fwu9h3wmw1QI3DBiE3qdyqh8CAXsr6uNb4ygZ69xnDuAlBSVMd+zUSiziqOP7VJsJa M5xfXRQ7150KnWhm//EsiSS5WrFKMxsgXiNG5aSAAwIfuRb/2sEKoEHtG/qQCFUikvrkAWQcjy2C Aep+TxtRaj9Hhu2RZUBH/wICPATC/kl4ZjtVHAhtQKCoCy+VyuC3vu9v3+4wIPAPVfi9CufdDiib Py5AwDOyEZEjbUmEAW7ypAIkfzZv2CXXMHTJCyGx2AeYK5TZSHrKku9rRvqkUJPjD2+0cjlFGgZD SEIYbILfbJhWT5aGZvQg0N/7QfdL1AG0l3Rm/dRrVT8aSasq8d+4Tg6nly8kxqa7Il7kcnOUbL/V 5mE5gW6/Dyyc2HFL82toFmuXt3XCxrdRvC9DvmjkQTTsfV1TMZMOEoCNaJmUgK0he49YiQAOLkxv YCAIjTnCxZ4YZfdldV5YXSGodqzAVVn8CrmfZJ5d2mzUe4DpsFY4Twj6iFfLKA+2RekVzLyJxeMS LCAkwvxJ42mtx9vIe8ZDdnR0/R4pWiKvpS5eZ7nH7DMY4GMWO1keq7tQpMpQGUVjMFzavzB/yvyP KLU1b+E9CLGuBgEsF1ylaImWVnwJQR9KEEuH/GJ/rf3kbx7ZPLPJU7GqzM2HI8VDxHu5weCE60JS ZF5sb08blj/1FoTn9D1YHTy5Qj7SOjGgiTkHf5gjHHfGyY64VrB4RAl/fJOjGSlIjrO9Z1ojRFEg Zs8NBcP63GKSLOW06a/KAqZZQSQrq79i0eWZQXvpIR0guzlweK9fd2MV3ZX5fnvpBLWsJ6EUd69Q i5s8HM/UPWxFiy1AA7brT7fyBlCgEyBpNmPAYIO8XGTgr7DHLuujuAaMC5VzdD3R0Va3D/VUygyv gtTiotAFwOLmmDjAekrTBS4w0Xy8cgdtt9sXhtttpKBY2zF4kakVuOM+W0WlZOTIfiNNI8E55oYj zeDve1CCoVvmteybBGLJRzDP76D9680cEJfXQj8SIgKQEu323Le01zNi+tt6S52KiABptBtYaM5i o+TJoG4JAutnbFsmpudzskpr9YtQ4l+D5XaWGsqnDYUKaxujyp1hhoNL9hRtroZgGdxDOXaUY4NI MzKeC9h0jnG/XBromj0oYBg9ZKJOYYip9KPYYdOu+i7bSgD+wZPU9Dv4/Cr4ue2C6xYDV/9vBV4Q MgsAqm9LkThfbKXbAu7G1JGI26pozFRYvnXnV64buOvRjtIJP/95UfENW8/Wd3RrCqxru7+ciQ8e N4L3mUdz+hTDMqcv3Ul1mM9zZcbNHM52Qfkv+vX0yWJ0EB0xEshIhABX/HTAH2yhrPJNoNEZEYIX 0vQLKXQ6XlPWUER+xTUOLNIsXoUQP0dgZT4ZkoH6mmMYJtfirfMOohFuR1ncihn3dke630dUAlmZ hgUwEOtNiHsTvYlgqHSFsO+AWZdZ9Hrx1QHeC8/6lPeeTXuc/0O9rSl/nK08oYUbqlSx3kLa0p/Y oachTri5uyNI26Q9x5mj8BniGMVKBk8fx4fjISeSzgCLf/bEZF8nnCf6jFKk5K2X0L34WMKWQk33 rUJPXthqagxyfpUXMe0aCUI990fuHNcipdZ+y0VxGwChufjYBuvGHCKaXi1DNQGlW2j48Y6lnViq nAatzINmTdWTm2RFec/9V/6w7hodzMe/tF7PxNBRAnhous4ZHK2VUT9NCzAhLRtrlzwhga4K5yYp JHM5C4EEq0YxF97IMceu/U8msy6jbbe2kgeyIyfD1MqbTbRoI+IPgbQtLvFNeGbJzMdrXeoWVMCu BFZIflsrSncmRN3ka7uPSkh4dkg5+Iy2hrOp1h7zEKR97cF2xTh71cvQkX6BYHHGDzY2/fqFSxUY +1NsMdOo9k/EGmp+6qdE8z3c3a6Tuh0zsvcx+PhJcb4gHkyEDjLrBFB3dV60EGs2VBBV5W9+c8Tf o5CCX8Ahrm5oS6Cs3DRnkxmS44qsXR2pFhw7dJBh7BVO6qdZgfs9+0QMBS9IiNlybxuE5FOZc++o MrDieMa8sJ6Mgi0hHA+Ax0zedQBBYEqx+JBIZJEVrsrz2beQW4HkjcbBPmZaWrX9z1/eTJrd3N59 tBhO0tPnBYClaiQ7XgCv5nyU6h01VvHOS4ni/fZDva4ykv50QJ5+hRapqFPl7KxvkEVH7CCZyQPX fWwQCCjBs7uc/K3/Ycb3PS027pKKiRKdz5j/GUfK0iB1jXqTGsJI67TWHUIAX72s5oUv/dKPPSdy c8f+IFfH9NeSXo7jZcs0J4qjsWQgP9HhrNdKi71QmXcRYOx+ptnj9/xktgoVhOkbKRpqtxZR8zfX Cg/ZY1KWaQqb87A9aLjT+FzJewtb1GkbWEXQFtEFr/2cxY5Jiwa79Ampp/I8FWJOdTgFh4rAU+9d weVTFIrteLwtgx89n7es5lXWJL1LZSnihFBOzlwHtMivls0NH1Pj85bKsl7nZlJS2gJ6sd9eAwFH R+xLaH9Jt6H4iPNVRBQV5DOYNFq/qSF5ftqfTBwt9pC4IY/m6wuyG5wJ25yu5lRdQ0oLhcKPWoeb 2wZEZxG3OOa+kQDz6PRm7XTneARK1t0svB5V5mj1ep/DUsFCy2PPWqTF9Kkblprf5VH2ZvEFV1Yd ieKZFeSyezDXmq08EQnX0uxLym2eqoZvoPO1Dht8VtY936FtjDRR98exlsZcqGn3Oi6yF3NiNeRf cfYjbHaxi9lGopPfsBT08ArwXkccBuAEGz1QSLKylH9SfNOIStfOmzrVi4EJ4XVK0vXmKfGu0IUa tt48R51VF0nQ0lbdK+xGupiP7j4JeUOCM1x+Raytwhy4qNSbZgraIozy9FzSuTkp/9pceXiKZ/jS DcJ9s1uaHha2UVnTBV1HJEiFH4A+8uLluEODD2KRYumaX3nOkynfyv447JEDxhxsro4CU78/3QIC glYgywJRy1DANozLBz3GDcd2G2NT6+PTHej76h3xzzQynh8VSJvuHNNy0FsOvA3pPXzjl1nGs+Vh A/NoOaMKUQcDUPQSpz8yPL2p9WpnPnaEMy809/NhLa1JHULTYMLibl/wJrADiSbX4C1tlvRScLEr lfMCK2oqAYJndgDvTlrXbI+OSmaRxgRT3tlpU+jv70AVGfbzVOTC0PXqgbZZsPPZWXDFMr8OND69 kTYefkZWb/fvD3knDO6kraYn4c2dFgOXSWP/4ZKQh6VqiO+eor9ldFCJA3D/Yy+7Ewo9yCbZSl8w nh0TJnYkTLI7JTcXW3RJlKQkRhjry5cYzKxo+ZGDoPyn5bNl4VQiIolUUfI39YNBe5yhEhCC2Zgw quLTjhkJqJAUw5b5j9tl7M5/f2qGeSsbigkVeF+Kkf6siuiwC2EKdAbWtF8Bk9pM5Uw8YSUAHHks vxK32rhOY21P+YRouj3Q4BbrbfIFoa98y/bW8PdQ8UoZIO0Wz1rTgruQFwzpje8NakNoveR4InaY O38xQct1+g//V/tzaLEHOTTzq5sd2y6zBnNlHnhGUPTr3cfFaIpOZRkWyBrB0+JNItjapInQaoMd 0NEvHZ4ymoD0KgMrhWiAeveFEgM+FmxMKI2uk5TRlsqjKTrC5u0mlVldCedRmt7O9DGpGQ4Pla6u qKEaGm8Z1955EnhLAK74V2RQNxjCnBSFJv+W8xt6FM7c0YRlN8knoQSGjjiBzuMxsUsFsHF5eKQf 1ko2nSjHQ1S6vic3sqCReIXarKyUep/7KN0xrI0H26WG5wCNfqHLrKW9scJIq1EcdV2AwW7p1jv/ rsVD1Wgv4XUMRfdAOzE3svBJIe32pREDGP6lps14Jw9SGIhophRg/JCy9i1mhDoJX5UI4cdci7J2 IpiiUiPBZwvUf7fRYaBKvoGDQrZ7vuPY7/CKY9JV96ZCmvTqkLUjn3qZYFJ6RFiQ44vKyUDF6XDf ooF4AA6UUDtgrK+yFtRACPw1YUQIWpbrmOa+7FDs3KTH0WldQUWDvO8gE3BFzvZJ4JXqe6daVGdv P4O7yKSaDKU8exSESw2kassXRFoQK1aecK5KhFnMX1PDubOVS02apa7UhHQheWbB1B5W9/V7NO5z LWcAKZEn1MJOq8SWoxSdapCV/RkYU6UQahKazsbX/kCbPZGDvbs8UhlAPOmsKOqZxAko8yWvyaIe OLhi75Lhz7DeHRhp6h/rKrhXe6S4eNGuQDxk7lTDZCXyrawP/2Kgtgzy2UPNT39DtNKAb78BGq+k JPauOgAD9Mi6xySFCFxxrrTlQ0b6cvLESP8msC5fFsM1k7PQXH9XIBNgYvKEzZl6x+z77w0zKVjl FQqNDzUVfS+/9zPBziTLA9ZWC5tF8VlMAWLHESpd4U8l4m4dOxiZuHSRKYWcftfSEkgdZZQ7ZcxR VBGOpvzYyygdTO3pcjlaodM72Y+dPBjjVj1jPrsGRg3jGPaF1N9KYqCeHLeRTDZ/Nr7qWgGkI3WA 1KAPpdR7svZJ4Uuh7u9b92DglkbGypst0Jir9k4KapqziGaBHaCPLWZrTkU9SC8xaKJ+bUu5h0RS dwUSRuLV/GKu4icuyYoOiNLrKjCkWTuYl52eZzkBPtbFUdMR8YVK7Ss6EXjUpbqln6sa5GVUZGah f/vDa9kktd/eO98IojWtsxVwD3SXhoutIay027NcPRycOpJT0z7JDekw399okmvJw49mPIgFut5+ oPusJe9ShVRFupMZ4mZyBy6YD8Ze4u3BvoQMSr5xUCs6XtPEEf44AB0o6NwbDhZgL1peFPSgNPkB Zi8/wpv0kRI07zxdY/GsnHnoIrLKFeWaBb+Fh3r1+QdHLhTex87QAvynhUArFGdyYd/9b8f5RNNd sFaEFBj2pHueuF9yvFr6Ut7PefNN6DkggNU7YF2mevc16Msqoju0ffqwAKHylTW12RWmfG3NC4tY mLXHPea5A2JmoTPT9d2ktbxFXLsd4oQyM/w+vHfc7JZAsgVF9mt6tRVzB7hjvjF8H44Ub369i4qc FpLBUZVg+g/NxgFRmsp1LZvKbpR4/JqN7BsP1XNpo2GP4Uea6EMu4X6SkMyZxfsQEedL1b0PHRAj cvE9lDySgmR02ztZcJgGz6eQezH/8Mv1vjUFt5UF+n1/Y2/OdvMozb/xwIxCYer5yEQBrbR12tF7 xDiVCyzmei8uqnYXDSTODGhr7sXxfsuyEUM3AY3uFILN7SBvmCiE/oNTquoFTYyiscEf29qxudVN igXp0A5Zg9FOu1UHschXQi4LQbf/naqaPbXnhZHcS8XldCF7ntNv/11FLVQTyv7h4QkrWLXrBMRq y9Fvh8hn2SP9XaOJKiiFiNImirAE6lNEElOU3+RkwrIIVsYS9GLkzvKUnJAkgNovJWCbV/obuIn8 1l5nyOMEe3NWc1/DR/VxGdeU2fevYaDwK/KgkBnrDhNeN2Tj/ZQQPgZ7GfZYhLE/QRbTQx7d6WeG T9s2Sy8iHa6sI4WXhfdamU05VkRH8MTP4pUJ7g1llUpPo2+eD2OayoEeGn0ApQN/YULb82yA4Fim DREMnR+9EKureZUHkN0OSoARwfI5Yr/PVE6Aj6fLWFw7+iIJjQtWEfIH9UPJvCO+1kAYtZr+VvE6 39w3m+HyXtI56ySyWdVeuqe9EvuBJZodWFFxYfZdANY16nsTOyDcuKBSq0mL5JIQWDJZSdtROEgw e/Pbs6Dp0jSu4GGFKqdabQPiAuerBqj/N04Rc7BBwc7W+pHMbzAzTd65NbWzqp8tVy7TdVNpaMNE PwlBVzLfS8kAAUdKZz4KcudptTEM791FiOw0z8xuRSSItzKBCxiWU+EPrgf94SEh/rX+rArSOMcY gwAQg7cc7k7QcPxKxWKEYz1/XejDoctP7gOBBT2zwUsRfd6v9txTE3pv26VJfWFAc+uIKNe3Czj8 NMAsDZhCzXHg0hak/ucGH8+rvtsG9i/25dEBDvkuJ5k3d8OZDBJT/RyNo7gTJ1HLR2R1UBhsr2Cz CCD/UqwOkwBFNOXklgePBocjgpV7gRbA7LatkR9QQNBWfhIgLl6/lxM84cLDrIobsR2vtj89ZNCY 7M/XCjmMM6raO1A/GYMuGiKuNxnpje+eHBeKt878lwU+FKGbZ2O212kKAWVU57AR/SagB3WoeyyU ocCRZEzq+yCywjM/XjtnnY32GunnC7Tp/C7uiyU1yuOF6kgBiOAmQ39tK6sajRfHC/uZGvAIRU3j 8lV9i8HlKTdyhDwTz1QNqiqdx2o5pdnjeaWL3qiMlg4hb1f59VtXwzjHYCeFRu2NIW5JuvrlvwXQ OThdd4gS8GRdwuIpvBQ93Z/7c2BFREioDdVwBUA3rJpXWvuUZ3aSHXaXj68Tn257/lJXQ6r9lJsI UMw/wbqAFdsGBBUYCOOak7/jgFx7bhsGVnbeey9AMhsMuDJcIWpE0jGFN9ibcLsj/jbbyc7J+4wq KY2r9SYtG36FZXXg5Q9COlAHrqCL875KcTK0eTsgoPq9BBg0ZZQ6E0K+dB11Om+RreTCqUsCuOAn s7B1ocGAnR/Tthpz+zYGC0+K4LOLX1G959rdg3fwiEpYY9hDm9eDo3abeE0kOxighvGnwA7Nciqo gjCAsr/rZ+8VPJB2QnxVhYpqAFQOsQ7ZycsZ1cEOVCvK1LuX2nopjAYF2XbVHxhYSlu6Rs05UllD +xrb/oYU51plzOQnZHpmGkoo09o0N7TQpzfNkut2KP21Z0hvfR3QGV4Hkzd8N3zS+CGDIo18VmbJ L+l1wkNH7uCgx7mg+CsVr4CC7QELlPtd5STURhdaY41kMVESqfuw4YEnAefiXDHcgfQ8BkeqX5Yi y61F1ZQh8EZzEYCtmz0h5iaZjNYVuARv/MUYq2vpxgSJ1zy018BNxCkKMggmj1kdauutU8MMlECQ qFBumK4VQF3a29llwZdfKKAI2WiNU8SojDQqENZ2ttNAbrmm9OZCpJvgLCKPvFbacyVSne2s7xWL OuEAHWjjzRIo6eIZ/o3CqWz3HvsYt8ENIw9aC5c95woGhoZgZKP8sreJ52qxo41YtsqaUF0z9tfq M19JuP1l8oJeG72s3qmbzCbZUya2TjKOTcLNPznL7wH2UAiOqUPILOsPch8EyBD/7NEEQMyxVXZI XiieuUsKb7f4U/6X6b8kKL/zw9qQ2ovSWzPlN7NEvmnUQASfD8SkPQdhBLOraxOG7xUDAzhQ1VJF niuTZvmRD5Bq8y0rh/5JEQB/9Vv3Fz9RGfLqZ00F2SYZmKYQnJHUTu+o9j4r74GCnpztGVOFnbdo DzoN4XbG0VlK0khVVsSNmyu+8YiaxvhDwSYlcTF4VRwT/zOeTmQlk/pedi2qYGxag574RVPIonZE oshEwcXiKiMNAscVvLzqaThxSP6ewBIwJP6hFTQoWUK67WIF3hHfb6lVO9BFnGYgWF6RexWIp0i4 wjUKXUvSU2wtYI1HGdPuec3Lv5drMW6P6o49X4Y9JYheX8IQ54FHAWQi6zoOQ10ZBt4yq6ks5Y1m AxxKMtNuaHE09WnurMEt/zALhxYLfpUgR2Hdy9LTf+jUDJmWHOcVLgn4SMQVQvHJ/ocIfzYuFk46 NptZuVlyBXZhu3ciy5iZdTPBXx2rCOObIg/a7uihVRO/7719idRL6SMOgyeh6I1mhrLVjzNbGiJl KDaw73BWezzHFYaqNSIO6w+J8k/j+KDL9MeSOVSEaHdzKkDIWzAPBETiySvhXMBrP9xBHF33do8Z gkHdZaUF5sLxIVjc6aBJ/zusqUMI2kiwm38xJPDHM0uDZ/yeVnoBNHffgQrl3cE8eR0BkprRCko9 wR505eNI76m0j5j/f/6wykR5LZDUHSQV5RPj3C5iBIJkAfxmRvx1LkBatnsPSmhBJHfVgv/oHlV7 8QZ2mKUvV6eQVabObe1ryGJEy/RJKndfHWZ9aZTOnVuKdSoT93oiF7OZACnPpi5wPMD2cdVrDZXV biPjDHC4LGwQOUS+oPaHev+9Z+wlc/OQp1eNrH4b+aclLCg6n99WQsmjAG2tlUOJXAf7uzJsMPz4 l8O54Di1WXNdcnWZaXy8C90sWKu0PuJOObXzkGDFSrkslaicz8FWvS/JMz130G2AqH/hFd4aauIk RZG/QOgU+9R5mkB2+af6/ws/DxFhijZrqrFDkOzC5+2C6kUgGtb6x/bkagoXUXgGUeqrv4VGkPXt 5yy/Am/t/NbCZp4bRstl5bWRwoRoVZGjsG0Pp2levMVCwG5tt0BxnPVVcTKu+cf0z3B8RUxSCeBJ Zp+tqwmbjAV+uhiASarwkOoSI/47fEQMc1x3Trbp1V66+4AlOf4wmRjpvIJQ8D/3Pf1JO48MsNvN LDqbdqc+cx86jNTKHPAj9+WJqzCNHz+ZR/iOE2zG+OlQYoZxQt/E7iDj/j5fZixKP3o7uJmcwsiI dbss1Vtmh401olDvGMTxFCu6udMGAybi3VG/KYqs9CWIfD9eSDjqKC0X9UY6LXBzlDTL60LQ6EBz LZY6tqJxQ/IOnRWD0ctZjvWwHC+iMFTK9HUEInjtC2Dnj2JnX4G8cR8DmRTOewopO8nwFRN8gG9h p+l9wyxTg+SDRnD+A3UXCi930hOu+aVMNpR06dM7pkfxclDsyrBz9AOhUTpYpsARZDdC1bO/Z4O7 h9d4bV21ZD6veHC7xltmAspgS0eKyOPemxIXoFdJkuYNwQIUh/NWMIT8EWZSS5o+7dJZwXr+FKoO +n8DZ5R7pb633pBUG+ebERcYxmO1tkdcizzNj2VCUg8a3p8NxVkG1ZHWFAWZzs3ec8NbFUMTGTY7 VH3VqXp+7cbYIETfYBpiViu0y6tWT5WkNveSLipwh+Ezdu4yn982a0mqf/m2nNtzT2MNo4OyVsX9 ZPsa+LF/ZFTDuu/yAiRvvV8UGeAey+US6S6mS2I+nCy8JUcFCRhblmwZJByqF6Skp5WMhNu6992k IVn9W/XSlQPpXO6RRGvJ4N8rWZwS1LQI0IFujzQmUxTCXgn1NmlxSrT3fnN9b0WE+R5kZSSdptoy 75x7MD4s++NmgAQTZILhC9gxy1lu4qEVG2gJAFkF2Rgt3YOawtfAG26QG+eskvVvxqRGqvK9w5do 8d1cXtuTtYGBpAKLhAJaYfqLWDJPmVSm706HewRpx2A8R+7BhkZSx2p6sXk2OwmI5LZSXaVlnP4w bBJhR5PXevMoerHaOMxJLGGG5BWPpdDz+xomMQOSqum1Z/Q/DsaSvDSvDKpeVQt3hEO0co8Tv7Eq yDNQOzrjClPf9qEa+aUNBh/gQRNxLlq8WGvI+f+TCAuVBTTl9CFbqOJyIIiZ7SFnRbuuDREjJZG8 RqZvFtzWraQIhKx/eSrW/KektHZ2ZxrTFU6FZ5V8ET2axxc6Zg2CtGnqWDH3luXy60xGyFCJeV6U UJursmP+U/jkPX98xv0t7ZId9XSnHqy4ExrO1HiKnYjBCIRlbBHdLHlymTCOKrlSR2DdIEhbpXf7 1H2mjJWiSZZJdYMVzKEPNk+ejQAHKs9eJvEkjBzvl5LUCpWIN90wt/Z0zMxi93YjgDAIjTi8bahm emD7ByRWmKRKc/OFRN5P6oGxoIlE4Ytb7ZGP2c/CXZmP/0g1gl+HpItpXREsqwHZV1rsjRDcdStv bcbZQi2SyzInF2ZO4uBuJ2kebUN8sN1ZsCbZE8PRI6FIItLfgDeZMR61ppUlcRx8mWuQBdL8CUGo YuZ73aE4ZEkLldTOnlDGubG18r4AmI61wNDYJZ6tRkrla8x/LTxrTQPGTRPkXMVpp2K6H2oVjzM+ mX67eYUE+7sMPErXEESkVd5/tcxzzqNbIdLTsG2EeVqHMXLFizV+siH6UES9HovOK9C5xtdc2Ee+ G+pqiuzzzkw6AU9idrrMc3w8ELmC01cpvW6ax4GXis05zuGa1ndhmLTyvv/fXQHS9a0Ku1AN5Ubx ZKNn9kbONb/EKZmJIcPwRhefQODnHScO/9yOqxhdEQALsZ3gpP7t1GuATxdCjl03wtlmUUs+IfLS nV9Sgj4kqFJe3SGecpZJS+on1SkNbwO+efvyJwRFzqzSj/ljkLB68K5Wu8MxUCbNU/VL7nXRkPpn F+x/DOpAppdxQfVb1+n0WzLbi8+i7H3zeIS7ZeUPcB0EDt8yb1PM8DtTXhWkVJ/b8OP7tgaV7pY6 2syL8LHPSMCBuJy7/2emAHo+pdWJDX+G4SIAMYjJBTkamW7q2Abr0ocv386fgl+SQr8g0MPHv/XV zUewL1f9NNMmXex5pwTk5xHuRCbOVI0hgXLx8FzZGg8emJM0XGPnziWBxlPzdGuC2vP3v05Gbo7D iYaVvmZU0QPn3lHCXifVEGHSXpL6+GajoBPYuVdSSUtJ6TsznMcZn7pLzdTXHNj/GZyc3KCqf1pH e/YHtLwMzC9+uIXrmmMJTbxrQMZj5mkCG3qH3fvQ7D0fcVhRhc++PeajXiGjWJnscFCaEt7XbPYC Zvp8DeiUSu55l3QIK7UKXfi7Uusu9AdYlAyUX0JqBRsCh3mnxIj8BAvVX7UtrC+RzyppfZiprQHp VkxNbplyp248iN1mYdPnp0ZNwBFI0AEHK8YtgtV/VbdOktiAhzmgv3tr/MFy8tUGuW5w8Prve4od ChSuJ//rcpuZsJIOxZv2pXlqjUuEw9Eg7sqJ/PZSSrdlDQ4IzbsHccQa8CcptNUXUT9DO7ojkkb4 Miv55PxAyJcjXBFg5MvEYB7lRMMkGheOsswyspc29SbA9FfqMcQsfc8w9K1MO7J6lYX44KIavIBg rqNduG23OaXnQO4RgbRqKeq1jBosh3DmAiZ4CR8eWzIbloSaV3eCFG/+B1g/hykmJd8KM1jWt6bN qtFhekZPv5oMetLgjHSTjhLRe3vvtIsd9Y2/vKGsRSQhDCns+xH3hZZju1feGgZMEhMjUR/hVpqb v2cKqCKTEaF/ZMHbramBiSy8tz620xFlEy0wIFI990OK5HuCU5ilUwrrNx2SuRQ1KzKjUtD37FZo IYxQHblforateuWA3c2ZY28XT7yLyI57F9wBoxj7sdrgTZcr6aE31mWo6xHfhHbIaENZJmMAOJEn LDCa1oZJE7IEwRljdZWvQmEKzxkO56K7OFXB4Vn3EUjI7XLEkm11yB7j+e9xCy5oMbVkANb+G2Vo bglphrp+U5y2d+EudA9SzmMCwCsesmmHPOeWND6R892e1fbARl9EagC7ng+8K3vHfRrNa0+Xbqvt NjiEhMlAid/bv5VcZP+oxAmsNo+yr5Qi13COXWah/aHbvUvf4vKmHo52ZrJxLVgduuGECUS62A/b cPxzy6PhoY5xjXhChIWVP2W6u7rsy60I7rhHd2LbFBl3EtxSNcSWkLOEwGx+jWkCILHhPPxkcp7C 2DNbjTBYMS4dNa4ZGtIK3y0HPlHhkC9KMtqNX7hlXc19JcUchcBCwiIHAfQdjTA5uvILID0BCS3q nhkLR3jmiwEtuWFkM6CBMLef+naYijH3iwt48T1/YTBjb6T48bRqYVCgDgEMZHys2GY4jiut6Ao0 sfghE9K25RHKQpyApy3Sun/2k+/9EP9f0arunocKIdQqSSDR+60Nz6Se97O8baFbgpBAGtwzQ7SR W8x8Af1/6ESZ+XZffsjISTnLtlZK8eKu0wi6tvA5DiBxjPLFVoDE9UPGGkBYVL2LikNSJErfFow/ tiO6yS4v1i0KGORLgO1PBflovGWYcepREhejXPy7IRC2W0m2yOvskb5qDlmcvjzspZAhge4rGWmQ mOFCyWZ6zdPuhBiBxeWKGDln1cByGvfw2xZJ5Kx6FsfyG4dAArpgCs63q7LarI6Qu2sLUdGpjy1U aDgjXxNoscduVLiRbpiGAiWiYigqxcRL6X6s+kTFWFxxt3lEK7d+Tx4ZTm+D6aAkOAhQefilgh5w wBzghOS0dezqOEHfmerw/xS9le33JvcE7TBfx0wVkjnp0DKrh1BDHZT8El6bsS/qnNTV1HwTSPeU oRFpRQ+XxKlL4ZSuBJgjlsWahs7n86hplq69wUalU0tiT3noE82t0XHtTjl/6f8PnVJqLEXGeh4d q34+TitqklNO6aSEciav6Z8X2FPvPcWbBkasTiQylx86tYECvcXVBQLEdAbg8+ugunnoW77/OtK9 jBgO1s2Qtsv4q9wuIQwegACI+AR/8e9fhzpe3rWcNt9fT9g0Mf0OgnZDirZM+ZSRBpdwpElCf4zl afBaGhz7pMNyRsh/Q5vP4pFuWx0VrqZpgn4IpvwkE5e18vBAIU5ev0jbwt42/Da+4OULAqqzwhvD bnz+7kYd+PYNoS0mHsj0vnVQ4yRQKUVOkDMQY6bmn3PIgWJ0wYIIXN78EFh2QcAE1C8b6wkgZwJO fGOu+0CnL7lryanWZco1FeKxd98PKPB9EdVUER/xNljZ7PQkVv/VB5qJChAsmpf3XN4sjZetH0t/ 8qdV91OXsMCKRB46oxZ/k5TjYOqmBMDY1lCoVxPk9Te0nVxuXAO4BgaD8HCEIxnCu1zMFw+cQJQj loCtneAOW7THEGSR8tUXoIKqy7zyQLo5DHVnt/iwDyx1nRNKUjr3V/uLHrNFpAZTkCT14KDlujI8 A/fgpVTik1GRyQvItx1rscDTsOH9P04lNtuizOECqUPZgDiB0vYszPGtiMQayxAVpgZGThn5D55I Mg5u+VFridJJRRmGKKLN9AcVHtCEth0iKcD92ZvAD5UbWgSbbPK7CILBl2WQ0JyLoWF0Y5sVt1np 5kyud2IjYP6eMPRvjofQ8O/WMpi/h+c1ojk2ZKRkb32v9gw9f/H5S4qznw+z6FGKyuIB2ToyjvPd XoMFvMC8E3zPcyt/b5IegUqTse6dzBcvSn/GP742Ox7/IGbsn9yVbqfFJnZsUlWGDhQrJd2aQawx pGiCaiJsyh9dcuLuHVNmg0xMXAeiAFEw8cTqtFMdg/ET0esHCMcorKkwavLkj6TH2gkLBdHxfVl+ dCGhvlIOjjg3sv0fovErdVeMQjl7mrPBoAl7LYmsWKgqzUjWx5Xnk5HBwsGJvcUvV0ob51lB8CI6 FPebY2Ud6GsnftsHAyi6nUj2XUghZ35Cj0xnL69EsrBY9AlzBIc2VXJQ1vsl5f+tEgwAB7xgMxch inHNrYA75DntRcztHV64PpNBz7X+0l5oPjfXWMB7vFKqvfRk76/UBRySdtVZjij/LyI5QQTcSjzX 7BlNr2oFv0EYhV2OvI5Y7NWcNzxTvXE0l4g/G/2w6GQ0yIE8HXPNkpUAuBmzbn11JzZJaPbuMS22 mYxhGOVDVvvkcBJLSiXi99VGqJUwcC/nr/IurumHu7tKcUpvYhNt3/8429b/pittS8KZlQzzoxKF FFo/yFdE/3hbofCx4L22KAercP+XGa6Z6QPqTG7zLS9uZXnwIzhCcHUOX3jR3gGeul10TtBsJ+tA GJyqoeahYFoG6p/XK+uJsGZxpKwztg+vI50GyvqGmTZ4Etp54vhXYxZSiv0YP3ImTPhMizEBKZFl nZRjh2EjLVS+EAUXW3kDoxHXMzsv/h8TOukpUGyd5PlfHPDt0UnlK2qmdbcVjekyL4qyiyPud+Ns PkfktuvlhrCbFk26ylTxJOPHCJRtMJdo9Y1ljmUPotkBUGhNvY5GTb8O0eCt3x769ppNSMEkI0n0 OwS504yN19JnSe+W6O0XDc06jfRUweEQY/i3vnJATBqMas4p7mL5PPioQKxrpg5hoS1RjIWPZe1T mC3jeGxlttpEzUKqhMoUmkb1tkwQ7h8CD40XozX4Fadx+kaud6GKDfrz7UYDXlo0nqnVth7vv15M 9EzQDSQep4l/4MDQTgexIfUzgrNrJDx0F4WS8go3JH6scbnpB4wPWcfy5q8DzFKoUq+qMNzDjG8v dnyqQ5KZlT3mnahpKjyxOrx7O/Mthab7u800xlGChTwbQ2Y1vplCRPzGrLl/3m6OJlphoTe6DVMS wWXXLwDhXTpig64Z4g5aS/LKZTAesuNrt3lcyjz0ahJVqYrIhq3AKAei1F24W6uTXPwY4O3NqbGz k0vrHO5dIXnzTamcp7iobbB6XttzppHzN7zNt9e5vEYt2fTvp8/CbVxIpvrHx9i/b1RQg/YxHSJT K7NQuiVVz00RgYSpU0GK5H5dv3y/Fjxkfx6K3YTbwEKhKQl0i6KKDV5hUFmAdSyzGwrgYGIqKF/G v3yhkrV+xHVMbpWfE01WVmF7LkzeqqqlSsNEn85TRrAZo4zkwxpI79EW9emncekb+oOHSqEG8dtz h/nFD3tKiPODIdSFRw2K7M1Go/FpvJA/+H0Ci5W+okycWv69VbcqTq3F8g5L1JzYfceaKuH1TAl0 atJKa1haDBR8SWJ+FhYhtBRBAKydLiX3Sz/rpQyY7VnxWJ50i3ZX6h0EbfMPjHCLf4HkJsry9uNu qo11KVP3FnNhKm+0wvfwbXWUryGax596p3n2WNfieAAU3va7H5OK5V9foxW8VBUU+xRijf/HSoJ7 lBTBvX55Kra8ooLrY1310ztIWbekb5Zj0tED9gn4ROz064GhiDLHk6yk1+4XZzqaPgxo9ax4Nzpu 9a1ilYxCpK3sfZgKxXsE1psUUpnZ38LzerI7sQU3LNPdlD067QC0tpSmwBPM1EifKT5PK9KLlqY2 iapPH8ybATZtCuL7CbpQxYyKJ35vps/KHvapHb67krVo+eFsjcdx6wLjlqs6ZuCHBns/bBK0VI5Q c9kMkh0cXkhQkn8krSG/5HKB7q7U+OmSEa1D++vZNfzB2v21AU6yZr3Pwcse+FLR9pdn7X0HkPrp mnS1E9lvn0dfHQZrooi8qVFG4CqO5Pxd2dXi7SNUiF0zDHmefbvobSw/AyedkgH5YQgXyhaHgi3E zkbOjqBRkTxOEX/IkTYHjgFp28GI87rZ2+b/ZJGNGPb0ywJ8VV4BTWBwpTLA9dCBA0JJCs4tsUX7 GMX/j/zkql1JLP1lM4J9vvIn1+1Nh1gxUH5GpK4Em7udmB4x/1zySQFk4dgVZztVw9/UwSIlp+7j QzY8NhqKKa7xy4bNBnDPHSftfdzAYoTU4PMrhOrlPVf4fm1eN/Uhm5F2CqWuRahk8lm/LRz8wHTc nq1PA2Kjp5Ptms2Fky0hH809WGMqDx8ZpN1I04HUgbL5zAAp98Jxd1cdxdp5R8i2VRIPtHQtshuC 2szRMxcKf3tresZYOnwZIuMyojSiZFMbyUj7qXgRIFWigWnbcM+YSVliEqXLTLfK1xvmOfGXJ7LZ rF4BvFxlzMGSGXPj8EkdeRs4iW/UjjX7NjmWd9s+FqbpMvy4TptLOBzoF4uJHs0ImSr2akbS+Pec 2R5MRJujP0KuWVta4D2MUJbX9elo2HnmrC2mkKOfyTZxuRi/WQbKrZheNo3z43h9AvcwoImjtwnB rU8s1X3/fcY2EM/ZqSc6Mpi8JSrzj1ed2SjXj+toguLE9DE7OQHKHho5XcD1NDUWzYe19SGQKvho i3FrOYIDiRbK/ileNLIsjenWLMeBUmxywTBgRWpSj8akvm9gTb9uDX05gKLV8dG2VRv/eciAd0Jn b1t19eHMtWR5B2xzDT1jYzrHC56ccv6WCDzKRUC/BZ1nk/TH3ZIZsXF3ukJVup0Th7tKvxZFvwBA R115smbYzwrSywUcZEcm3wlyfBmv1JKvaYnEkhbmedLV7oxdjATrOHYOBFpSGwhqjPvTiUmhmgt4 0rtH3+oZado+ZZsQuOYdjaHynPfMTHNjQjG9yMCTTNPJg/jdJGy1B2jwhmVyUCX/KcQeWQ0yp3X2 M0J3L8JLFN6G0sxkZIEZ5f40RYku0vmyoxMcNrI3uqo+qvlA/yDQb8VAhW/ITtuxNcVxOEqzUPC7 9SdQqb2IPe/Yya7P5LHSw2y95HvfkUCigRQEqcg3NZFLj69Y5RLYtX1KhadX3VW1zRT1l5o65Cnt 8zTDpATD/l4haobRZMJnHkzqrTewhY/HTrHgjNCvk2a8vrtKg+xKTx+LNaWi72YlhVdWxWLSkGiy npoLpGtWgS0FR4vnhOO4/mnAZ22DPS3a5NYyWMks/ss19yG6bKeEb80QJ4z0A9iGclputVZSAvcj g5CHfdaSpChNyPhkwF2bIR3aB8kDWS8bXxE8lDcY4aUeBy949vOTixEjLy9ygF87IZ3/khi98pqP SyGgZSgaAV3gpS99fPbVO3QqeYLqGBeu50vtBMH+Ws992pQjnN9V3WT0Pd7rZZU46mBywmEphKWa midC3iZm3KlRf1j+IBisbx1Guq+++y+DOSJ1iRqL45rl5hCH6FY1Zg0RMl2w+DC3+TenPCWbaxOB /1ikplHGYUCUdPXI3LGprcXBPGiyy+8ssRyMCI/uRnfV/iWz2Xqvbv+6HI4ULbgDcJLq0OqU3wOi 3y92rTR+6fsHhI8MIFltEF6SGBpzrPtSrnDdsHNzpbyDI53jU/SjsmrilwclWk7qCAuxi7eTqL2D CiTlMIZHY9VWkOW1+U1In/bWwmaDcZLAm1SdBOTO8c3J04ujFn/jLt8Qx8vE8PwM0tbaJptCd9ig 666doB+w6Uz1FjwmceZuzq5BUCl9xHnzPg3JupX0TtpmrF8KW7+5cyKv/yk6Y4ieJghQTa6cD+fT YivKBpdro5bFMOhJFMDZpvxwIUDL1aXgZyyRoDCrOVC1gXMYu33R+fMqX+gAoqFnaGwGikmEoogA IU7jil35tY825Xp1IhxFOhpOTClPZvsedVHL8eB3MStL5/n73N24sj2padjTI7Hv1ZXPjqa8jMP3 XJqMaipPSmsn3ZLwW/gEjBV9zD+uIyXndwVKADKDzXhK2j68P1QZG5vNt/fUq+XYQDZjFwFhWHuy hos/1T4/oGjE/yx32ltRQDRwGrV36KzkZ5O+PkLlR63iTMrXHBUWfKVZub7jbBHNtaT7m7j1XJST Rm+B1gQRwDw4fga3ZXvCvR0jw0/Ee3GNrpSc2oqnXAqbHkSnTasZK4ac2S1naG735G/Fcg7Cq/0r oVG2PDY/yL9T+wr9/25vCv6giCeqEgSQ5Jp6GgUGgRIx7JGPN58opEE5ipLlsLNOy+m4w84eZx8h bbRgfvbpQt6VeOS+cJYlZpNhcPqfrBYt4y58t7e5Xh5TshyPIAq8oPed0nceoteIe7cGq/BWBR3z g5vblO6XcZ3+yxGt3b4GnC8qjwpckM1TKXfKr3cJb4CQdHFc/lRkpEpdXyELRSH/1kBBoXHSsfxa RiVOtpmBJEjK4vwIRY9PoUWAdXSyICnN0CVLJLZa/sl/erbjwRgG3pBKn0K2N6L1mvCcecBVTWAy 7IgUelkktEOpHWPhKhyMsLVbEV3UhwjlgcogGAZfNykHenJCvvKd3SGDthU1Z4Jtv3TAzgDdM5vO cj/Tq0ipAdS8IFMBFMGM8jrrQBUgvL0i8ZJE4BGy5gSRX4wwx/wxGnxtFyv5WbSKDf8UHgVsCzS6 AHgEXg2qpew/xOmAhnvY0rmzToG9c+dD3kwrEmdfRu4HBLDEDxn9uZtvHVr6En1r8j8iEgm0jtrb Fh/yTnf4BouQVVxJuwrV6rL7SPKE8zL+kGa7sA7UvT5m3xdOncO4/dgOOonfZP1XyKCzLIAgB5yX 7IMD/zR/4DTd3H+8klVL8yUuCK3dhmK2pbRa6ALaRt+OfEZ/d3Z7EQOZoiyohvYnmM1nvZywUFDy ZfGifacYdTIESYWNZA6HYq1heZH/T1pH/0srgFgplLTncg8iVJgyr5fQzjgCghg5dMYe8fTDFj03 jaaIazEEqGvcPuWZK9y3XI99MAESeABusLzo1ZmWd6PYkTtnheVVTupHk9L8Z1STsDfRZyVRdn0l TH12Lnwl29pRCUmxDP/10Q9s6eyowyVujGnsBI09c+Hs0TnwB00WEBY3yemyR3A3DqKy55gJrr80 OpxU7TnaElV0H+zDzeapAAXDjE/ytPMeurZ1Q8REvGHBEbz96ov39YFMw/flEUfrUmlD7lmhSrO5 CJ6+82h8Eysjgpk5veDC3halPR0bH6oPmcBdb42bgvib2LpolWyYwjPymlbY5o9uEuhYox6s7xIm F0+NO9DDKHRV7dFHq1lpk3edWmEi7/y8qGoAlkYqxLvazHxxc7OJY4gOxsV/udugIHMFWJikbZKw bmeQ6sRSjuamapCxu7/ERktordqE+5wxHf3Ur16S1MY1D0x7lejJguIZ+3C6wdJz7IW8lCbvIKk2 J9liAZLGHab9xwJ9Lqsf79nM8Vwxcvo7F/qLA+Hqm+Uym2CXnL1B6RYvUi4SpydvhDAMnkJ2hAkL PJxforiQBVFovXtr5d+8S8e3zgkp3SLEZaH+m0gf9/6OzS81aiGFvbPSU1vtBoyWvZ+Gl2Hy0qUA IJJvqRFSQBZGrsDvxxRnx2AcyvQNexhtcevmS5cBb5DIH18/Nxo8i4CHUUdY+3spXXTMSDc/Dfc6 UUjMc/rcmFFgkpjp69aqemNkh1bmiDkCUCoJHeU6p+uf0WHGo0qtGDdAanDOeIoDuVqxZ/vqQEer BIwaNrWVFgvtnol5chIpcgRj418G36gS6vyoTDPtF6YLxdS6+5FowyJ8A8JAezFf9LvUYDyR+qUn 3jq5/8TMOuLn1MWqWW1YOFbRMSLEqLYTESVEZEoghGAPNWwnWWRNkwiIJcbNG++F0trqsFQW4myR Wpm01W7Y5boHK0UqDyNyGumHq8/lkbAURpvyyzCk2LUT4oE8Vi/pRyZaX1WV2StpnhdQZMjuFvoT xXckgjXKCN5TElk+yjuqKWkJVhdX3ogMfKEo6Wq7jZF2stXgJhYYviTdKljSh+kWTPV8fm4YFlnQ JIeKLpKQAOGxIWOigKuJSxTQgIVLFU7NxN24w7HfTBGoOP2z/E0t1UKwP71z0Jf8HRkBVVC688e8 QNq0Wg0aVRp4dh9PfJU45TuGy/FYfE9lgCburF/B++U4H9ULBHfMjPoQntNiDOMgjqedEPDrsq2W EhxluKYSn1TbTgX7l0To8OCOTdQxxDOEmn2n49fdhG5gau6LRuukW8HPfUh5KmHdlJt4K7sZGX8n dgw6zzGiGZb6MW1lFNYGKjgKn7o2lb/K8k+29tv4gT3/cP1d6EvuO56tqTxgMIhTodKJP1s3yqoG CPqmRKY0QTrAr3niKiuzEl1qFPrRY/HrCbvo565dvDnrdRih/Es1QlwB0ESiQUzbxYasZNMOIdb7 0FlUweUfpdAlSZ6EBhaFo8ZPJgVhUmh2vGfTbQ5okJUttUJAEczaSfO9jylOWp6lWPjgQH2nCu2v Vg4g+OE63ZhWkXWH3US6i6FEHYKyNEVScGuZpi76AjpI9II58YEtUwBnLrM6LjgFa2NfBXvtokME oPkwIhD/4PAdq/HN2BLjUrcacIvkOCL5aJQfwE6R0C8JpUxLKWq+J5960MUJXkqDvbMiJDzgE1WT Iih2tmL6++DxoLqtZg+tvekGuvEuKwTCkYecES5jImIvViHBp/pMpGH3rmfQdcjjwvxkjTMV/NON mSlNdNUqfcRfpQw607pDjw/Sa27x2CMIG9FMB15q3SReU0Pppka0YZyhBKbo2DpmXXcbcE9h2g1g E9pAOBdZ5SY6fT8+aKrrW90Z6RdR0JFpHNonKL37ZNYbsSvYtxsfMiusgbi/CUcqlAkNd+q2DTDC fl6XflniBYH+mda+jOkXxZTiELl/Pj/4+P08AFKI4OtZ5IZ8nNdw45bJqyFfWBk68RkqbWjIZEc+ eQ39/TNEmxZo35ft/mue1Eu1ZRvvyJhMG3xF2opDwK0eeKwPUPBeulelXFFEtmcu6qc80bmOxl2r dJPyRKtB/1s9pIJSbafAWTz60ulswcN7lmMeMu0Fq3Bc/M8Af0ahTB55DyX3MfF9zHRsYlRSlgjE w48frAUWsG+Kl7e4jxjBD73wWL0ednpQLpMCLJDdaPkNVT6sjLdHTsy+gKAZalTc5CHhnihM2ewe FGUpAQVpTQHU+94ogZngQRLi+mgCAM69fw7PPWR+KJRLNLfqL0hNxRqAEVwRRrYSA3wdoE/oUz9H ofaPZt4NMo9RcCt7FxUziVRgxFkk/xV1XqG61ouDO3QcJl5IIzWwbvu5ctOaOgKwOaqM6avZ5X3e KwJFKv17q8cndMTHGzoGn61jp1lHO00g8HeYvOECVFG0cdH5qNmnbHvhHXo8TSvRFo9E6U/vm6U+ oaxaJKRa+jLPwvnb+VyHec59gziBUZ4T6uok+FpZh8Njjzeg351CnKBib4bKcHYPdPrjE9V89Can 8iBMDRWYb3aTl3B+1a8l2c7hpQxD0KOWBZBlQGH4q3/7vJpOIjmB02D7MLn2WESAFw+iBURHcet8 m7ASsvqT6vsAs0K86Tns84D7PqSXTT6odnAA4zoBUQ6Az8E5LawiCWYP68W0T+KcAcHx9mDJ2V6A fEps30clablnuy34TCgho4j/UrRmnLWZ/7v6bqAdSCUcC6eJU9J2uov6vCTg9mzbxG66ocQzkwm9 NBlDayoFk1dIlQ7OGYDJURx6nz3HHMWwqlmWLxvZ1YBbb68kYP0gt3Jfs0EZ/YRcD6/A3fq/Gfam JM9arILpDLSqPCDNpKJg2vcvFt8YitcNdPX6cCWTIhG/zM1b9cAx80tq05Ctee/aw+q8uV/HNQrp jgSBpDdfPfAyUpgdwMaaGXZBo9WipNt0joVOs/VyBdAaay1Y/jfaEr6/1Sd+t2GJq2pOai0A8E62 FcW7SvDoS1ZGuJX0K1sqI4zKHDAVPFBHQPBVI7vv8Wjna9G9Jy66UAhWT1TyO8ygoiQBz/j86EL4 GRQHE8ORD84G8WKBMaBD12ybqnSB4vNm9+c4ZwCv1S2dJ6lm4AcAm+2LvJJcEZ0KKjQ68hv37aa+ 3Nu7eaRtc0oLi42XvRzCPkQjT+PsgG/6Ej5GfF1quskjgbjOrvLrZ1t+zV1rEnYqJ5D7uCy3m3DR DtLfYZFNqeh3sSNdBDrgSqoBY0DOFDUegETWBw/R4T3T+vFXJwjXZlywgfCpQJTItGrSkW9ZYBpd CuXfX4KR544dwz0uw7xDt93XGQviso2PYgY6OoOpyG1RCgvfDuFsaYKuhVkwqgvHBT9u8FEb1T2+ JoUWBoBLY+54EdP7pVeHR9DTpbZQSNo7DWRxYV5H0QWwsTwRlhYiq0XpoPmBOfKod9Qyt+bJZKj4 XDolZVNyzAlgk3rsz5xGm4yIHDrxdZ3ZKPfMN0hhk7WYEwDy9PLhX4uJUFEkiM0M6iP2Sub5mFdj i1XdbyMwzx5AB2dxBD4BJaM6m/9S2wQGhpd4jI+v79ekgRs67L5yCxKKwrpQme4q0XdNA75sq3UF 8uhMAWPNoE4B9z31QGeix0Dx1tnvWJ+RnWADP9Fqe4XzLpDhs1wW4RIO/sX8rHjdAlob043951QG vaGeTGuP4bqWLxXWf3T/B4tJ/FdP63QpgfQ2c8bdg+ncH/2vIigwy874pXDZ6BwHgK1ZHkEc9PMw dCj5UGolPZsxIfa1jtu0j6jpJr86mRznuIm3/elLNkjxb78vDEtQnLoh174x804AxtAMPKuGodYY rqANnrmXDJ/VzOf97xfDmvCXGIYAsaW1m7f4RSZPoROQ0QU1Rxa9xFxvx2Fh78crftQ4oDBkGVQx rou6S7yuLfeRBSFpCa4TWW2WWvPO2ytG77nf8HSrh/VffPEP9dPLlQmLBpIlCZMnds3bz0vU8hvc vCR9SxRBHQLq5K2VhcP+TUh4y9Ikx6sQaq6kmA+34sFrby3lQrJHDOu6EPeLRPEzkxbg6zqYPrH2 efTO1lXWWyVhh+bLULBa0twNfSr+hPDiHAeQH7cjOBauRM42nRp3n0URLXIAKknY3mSg3InnaOj4 zqqb0ifaHRDEzjPtpMLjfmVv0LhJ916orPWZs8nEqyx5Qv75/wnaM/HXLt25VN+RGj+YwEAM671P GcQG+SKmOQCcjKN8WaL90KlV+wkb6A6hZPUtKSbfedFc+RtpTXJqp1X+uqYB3GUqUonnQGF39NJ9 /MHfDiB5IADfb7mGfW6MQUuxRRPyqFnrJkzpcS/i7cFAENU9GRj39eDsTtgyG40weG73BjMBW3La 1c/a1eE26z5t5g0+uPYmroFu/aVloZ5akOa1skc8Y8+YBZ4L5X0OsGN0FfiCs1h6XAE68auZd4d1 yJtIq6ZLx5TXl34exEoTDC/oQhydqzhSE/h9wJWWWyZ4GuuVq97Sj28tZLR9hXvdelabNbVAzMQW zfXN9wke6+5Xfb+9qkXrSc0KxYLk14X+vIoo9/BXD+TPajM2SgUxleu3N07kgWru/8BO6w65FrYS S0GT3pJGigK3YixBkHw/afYq040omX7GvR0xejt7iR/0c9gehd7e2Gvic+vEI4q3zk0nOX0c0oo9 Nnl7oadLRiwNQAblcZk8gzEmIkpgrQgcn/F9+LtfV3sAOl3/FuV0MWhLojcNbivB1Lyzy3YzNkq4 YHYu5/2gmUt3WPeMxynIjDxrQe+H+235+KrRo0qXzNIvgITqClLHtay1B1rne/bhdRXZCh7tCZwO K9FTnvGobZG9A3znmDY7PUbLyG8YjJldRmGx58J/+UHKbsGABm01+RNYI7aW76HcOwGi2k4GIJnn /PrYYJGjLd+3sGeKdDs/uSX9HhWsH3Uga40d0lo4NWL32+kVzEz8DEK8Wff+7K0/skHSko4qqd+r Ue9nr0mBFRi4iYvGhgkFvyddHzgReNU31iKosFrUvBmw0ucBPv7Vp4+jeqAoUqtb/DkVIHi07IhG C4JUW7ISlg+hjSiwCLt59BuwFlRdX9MLeNR2Zf5yOfs0X34uZM5vjYCMEVHfwPX9NgnAD2U8Q6wX VvDIV1EbRMk5N4Cq8Kcd2gE6YlEMrSh0dOqJTBUGhlu4I3NttvwyJd1dDWMMEJuBoyJFHAOm4i2E AM3ccztsAXWCjUukgk4OYZLV6CSvyL9F4ZHgtf5HBE/jTnMfVv2sPcpjjSymLNXNBkgMxIfxtLMq AbB9W27wYl4lK4LVmTOzh37KlMZmPQ1GDbsytDz7Nxoktcs9jk4/yZyF0Kfr/3yWXwWaPbA4y/mm 7PCVfPgm566YqdD8docPoMIopkx/3ovV4KDzi2UV8S5kchOMJcMfYnyAhTtX61YwZsxv2AE4/yMU 2y0mEq5HDNb0UKKKOlobp4THsa/k0pKUBYZf5qAt+pmW2zQfgy/fSgkrLS3dGTEyf3ZTVv0K8qTM aIMc3aHwC1+4x1wYxVs5mYLY3yFblQy3R4rRYKWMyXD2WH0s6DNv2BbipLpwSNNnJe0saVexUAbK N9VxhEtxh4WT5iVj65eba1BvXbvoJOc2GT7JtSFCM0Wg7YMqIY1M5q742XRC89nqHI1T54gKnfLX 6aVU8jm4Ceh0m/1YYMbA0GiUIIOExZrnLAP0wL2a/y2S/9MJDtaDd31Q/Rztn0TE0aFA5o4+cRbR WLmM+JspL2NAVrxxKeGo303Wx5XV0DEPhCIb5Lc5yUJ6I2DWX48o3bTzHubHW2yuDtKJ/fSqPc9v rgOVFJLpy3hrdg/DOE/F++d19wHn838E1S4jxmQ+3RPt7CLGd+D85WhFF75Zp8cBuq1n6WxRBaTf AA7nm1ZzlfKrb1WON9TeflPUIWfMUcH1jbTJd6kPCDsRgYLY27rH1rgYPCkiMt9wP9CT6VNeElBy gTAUvX84l9vvaj+zxgbs1K73EomRhHtiLzQl4gPz4g5R10QE+EkDvP+NJ1YJKvGqktBwqg+yhmO0 XMUj6XaMfmZ2UhRbDmn1g7GYt5C4RiA1m/S0VlVOtZTkO+lG4MsdVS8UpMOjDFBFHwvCLUYszeG5 SOTO/uRHbTa+kzkrLdPLFbift2n4zaBkTa3HFj/BBjxpV8q0cBSxLRmX8x5ehQQCeN6vCo05XQ88 HRMvTsm9ekQkbGsbXRB4K5wS5pxfD9NIC776bhAswHSck/PV6nsoK/OF2R6pfX9Ndc+9RJ9cVyW2 A9GCpkCgIBDR6iffUHIBWvY7xHqYe0SrnxP9dqtT84xBCqtlG/6HpbqakF8aXRLLqHN9voCNkA4W UoMGr+OTrb8u5Rg5WJOXcBUsQYa/QUAZYarBiQREeUI66W/t+mhqLdib9MtlDZuTnWaKZ6NbaLAm BcMUZTgyjpwMcPxuv3UbXK4K6jwLFs1a6K/JaJ8P/t79zLySoRY+chXogssBnGlcARqfcgV6S/Jr 8L1hRNQd3zNAesKFqJT74lFSJxwW/77o6Qe79H4LfuGM3wM8r2Yyku7RdEw7hcqk+6TCONfKOQVp M/S3WBVDyx6veqPArXKMhHg2Rt0k7NGx0nbCbjUk0SPuMM7YYvqGu1Z1HRxm6iNYswopgxgDU7tN XpbTmZSjlPCsakiMkBJ+/Lb7wJpJ9UboPtyohgVithYUuDcUqxTpmZ42lxUT7fSw1AzD6iUkOXvy 3lLbhPA1veVkfWCUQzQdJJOuyytha6h2B3Cn0fADXRtOvA77sOVwxLglshCGKiIdn7lpTg8jTNo3 twrS/+IgcbWbDGM6+1bg1u0NdovXGeMRhyhtMt2UnHq2qRsHGDuOrWxQ4ZKsmpixKHDZLHRL9p60 sgBzFyT7XUayJqCk8LZ8oKYmr2dFdkxkq+zHiqALaY0J/wBDiB53aBu3HJG8Uct6tVSJ7Uy4Pwvk kUTc/y1txPJIcHv5U264bgwmWFIfLhWFFDLPk4BJGHgQfscyujtyiClqWSnWXjuUZiJQm81DiEpp 9+0dF7jp2pib5UPx3MBlSeMjQE0OdFf239lp8672MGTrmnEk36MeY68StXZI71H0xtZYzGFL77KV e/pT7x/Emp5ZlsKPbkSjOJAxgKnpfwRWg7yM80o86uBTmMSzd8LjlOjgpCd0gcF8aGgYMQSiOF9q 8jFGlj8pS7HMjFq2ocKhVfntsha5l0Lbonwa17Bfw11QiCKnRyPkfQFqgUJHYqSlQ3EDJtxdbSRL Rz3uyqixNQdpCWJrZ6j8WiDd3O9W3VHnwB/rf5+kQ2zsrR5nWM5CcF5fx4ujVmnMygfbtIbTuJT6 ySFM0dv4YNpxUS2O4NUOxcGpqwO1FqaPZP2icV7NGdd6N3pWM9Ve7+0EoPoX/VRRDUnKcntp3o3h 2jgX3jFpJQNPromrQgperaKePHnbStjUPA3rn96Ne5vq8kbQ5U3+d661vSX55MpImfgcD05nA5ez +cfsYnjRHvypH7qH8JVhF7xY9jZE2ALyrH0mJVSOORKeDcBw+CBwPTTGCaFjhA0yJ8oSTxJTnJNP FP/74Bq7te6Mh7nVMqAcTKDTEuR51gusVPptwjyofQvbxvjbbjivXLIEM4N4XFN84Ex9COvDEBGi AkEEe8COafJYM39HOQXp73FSxbBHikY5VO6twOOO5haB+J2MQ6tpLJ1rYG3JJSiTGBK7EJmr57Er /Wcb2udyVBe1XZ3brhkiTw3yxj1TLNBJLQkuMOSI5Anf5yaczHrESyzLDUBHP50bB8NrTlbk0+FS kM3AFaCQbrKuYJxYMH86/i6dlXU3E8eYvTZC4L2nnL4FnZxtLWBDPjaCSU8jJ/rEzUvhuMtqeLxF Q0s0b2DVMebSW9lj93rW8GWVKL7hQOEGBpsATt3fiVOYd6Itb08O9tQ/aKQwpN06zhEsO85TTHZD GRlfF++LCYr7HhG7hVKLPy/dB//MjDy9rup4pw8tjgp5fHFgFj8f0ALVkGgGQPv09fmfXci41f2h Hws1PBlxxHclhGLlw6u3jLeHD2uRH0N92zyLT7fARCpbVqLcvGvJBt/5qkAl8ozFvDPEYrky+7G1 v5hprSnSZrLcA1MX3jcASvHuEZUcMTbo5j2mu8joyNGO4gvr4bY2hJ9+ryBzj78Fe54Y76zzcUuM rSAST7bEkHA7/HvDvPh1PnsurFjIqxTVSK+fTP+IRnxCTSdAmiFRHCL69SugY65ACPQ7Ump41sTT 5VGFzguvKsr7N5Z1L7NYUtN9j/4jOdCtWyfoQ9LwyCOkmx8sqLT6CAI7DcmHxccqrBf+94KZ2LKh kM0JVZFxOa1uYE5YtHmUvjTQkGVmZOPdnGTVV186SSVqrCJYs6N7TBvU3rI4g9ek2SuRkgseCwcH 9FRlZnTwlTiOuJyArTfY/irpo/TWgFxTNfQU0tPiqkigAKtRn6lMEIFPzT5xIUEwLyKsZZ/teuw/ LimOC8vLt/j6ehMe2dh5Eqd4+NyWUzB9b7knD9iUXcQn9BIJfaGURxI2vWeQPjHTRC38H6aC8jbH UQfQYLscNgdr/7pHw56ZQMXjYQAkkbEkzXaHed6A4LTX2sZwxhW5HQDWDGD1gFBCBltVwl4Zmirg Y1KcWzIf8vkmr9aQuTIUpAabf2jqsOWgFFE91IYYmrbz3A15Vygl8VaH54bOZj4BdhuYH6v64txm j4pROqpHYOW0SIN4gjWYl3pFpoS9xPiAenv90f2dXY4OED9n5tq8vawKNGpxJcmH5iz95z+si5k+ mzsTiAa+5C7OzjOAHjwYgnFPnUhNXM/jNVXhjO5fJ8HorIhilCJuJQ18VLTYm+abO2WqtP57zQna 4cNgTQ6MQJh1z7a7YGcs7MqbkdIaNuI677h1NtVaXx592As8Vtd7nfkZKUW+lZij159IARLXqhgh bt42da+20VgNUfm7HgqtJytcBFsZ/lTEDiVOryL5SUBQMcIOdc+uioErxpWZZtpuW3QSRQCZREWR esbSv8BN8mykzwz5qVIPAUGWaMLy3YXlVNczV+H/Vys4g6qVy+qpWnpv1PRXfzI+MBsnfbBnF9Iv 0FhjQC8JQKk3b5jPVTjyI23oSmWwtxxb7H6l6m3XK5oizeBWuNSRC4P/oZwkn3nMSR4xBync3j4S 9QVwu0fZhUoBLUXVWzszzfx30kWiLbpH5TvpfRUtQD7jxc3DLlKwU8OlfLfjcWr+8qSCHWS2IBB/ wSd0he+/lmNGY4Awy/e1bDRkZgp+9YTMvtaJInv9z47umIcEH0oHfUohun2nfVfJs4haIpuh3h4w Z/iMAyTDMyDXOGxS2eEFYhdPevpsgsZlgavw+H2ZbXSlxUv9Oog17wU0L44xCWtVfhVzEHDoE0Xu W55Eum2X7HtduRKrb1RCFm6OQgX1I3gwo4UuhrwTLrZogfrKLLbU6dGYEPU71GjhB5lpetDbvReg auPS4VTEuzAf2XNGYdmxGDXBf/T2jejxfQTVyHImPel2Tgm20t+Sz5bxYlikoFhUakNR1WWJC/55 LaBuhJTezmu1QjDNKqgciziwA8D08cBz88L8n7yXcMMMFP7EApQUac0AxVqZtFb3hFmLN4w7fOAQ ZvBQ+PXz0lJuU37wmGKwiMWw+7gJGBlqtC4jA7Ix5eWroYb64cotN2b/kKRFCuCb1Fv9PQFJe4CN lWMMJBjE2dmdW47OkwjDltKadhIj7VOyW3vK40sAN2DsD2thbYllIIKPGGiA1y6pRPG+OF19ROMJ Oh877bunSL6TOXX1u1xTSj6gS6W8r0Ezk5XiLPfA8c93OEUcqLlKEl1mz1P3C6ZKsGlZ+xLkEnFu p99UCnJd5fexIQiGvRy7PBD3IEGCkFt7xO2yoDe9qLo+/I1QW6gM7ZxEO9+ZdfL4Qzb44MndJx+i zmv9861WPs5scI9BfLX5ajUArovaqA2KXFokl1BTzbfDoZpbOruGFtyPWh38Ys67X1b/fjflX2Yd gapt6OErX8opoqpBtRmOhkEfyyEp5pJ420284oq0t4D10veGE8tY+CtAlgtFYryflfymQ0KmH7Ac VVpqlCA16ChKEDjDW6HACDB1aqqHeLVXruH/qv9pT3LdN5jx//L8lPn4QNGa8VLLJT/J9hFURbF5 9WC7/1qRIyRNxDg/PXirlfZDkQqnxGip4pguMAL/W7BX4M8NQlvnB9n23Jg/PEo9ndyrDL3ATUAm v5pb+VTBw+uBBMEmBDVIQov+DmfV/BfUBN8TmZ7THW1fcP5Rxse783pMNy+t+MtWXjo0fnhw8bch 06g+fJvfOEnL2hlufZdtICrg+61KbRfwOy4FnkC8zd05IW/bYCkGEV8vE84Rnzf2wZKJaI/5Kioo THM1EwKEORAcpI0VeBcrEVH0wdzdXhlVsovvAjpFSbV+Ut8GtxVgG3xtV4HUaAvDj1+vmkT/9kYG 5hTAG5Hgm8Am9dBcFUv/1qEMToMTY9kCV/kTfzxopz7WDbJW+ZrUj+So8hZq8724nCqSad0SmM3F bJD9jY+kiGPXImsHZZG0zg1kdbMYzOMnFimE/QAD+KlmOSOAXWzKZDQxcrGi2n0QYH2RIRLuDX8f 8KRi4Uf4rbOnreLrDuNmjjsirdVW02SHlwtSq8btIbJA7Vj+qzdsoZQdFEyhVbfxrJyQvtn/cdmY AN+6VY7lT4rR2toQBU/2HhMtesS5fVtZGzkwWSxe3arwGqKTPW3qaGszobqvmDF5rHG7kjlB1W9G 2CAto3I+7Pb/dO1yJBXRKxh2284xvY7hlThkAGQIijpuHhufRbiX5k3ThoznEqScnwBTCo+aFHX1 lF6Lue6GX5VvJkh1GC0ifJeEFr7ix3WjphepyJSI+utuB7Idr0KdbhVE8JDaYB+uSNeA9RoHPyXZ 6KM5/NMn+aTCafYkwMfmZ+wk5kKIGQuPC0UxH3IHVOkSCtTn1XGgH3k1G6FT6QISodhqylySu1Gi l8R1V+u+n0hzIbW3hRT3c2BgUXvMrJxh5XEOMwWU9eG+PhKG+s5B0269FzqQNk/0PPsQjJqJKAw8 PAsnya1wZbY+2fHN4u5LBE+89VIcJacMMwWXfecgYCPeejYVrrygbIVbOJkU9Gs8VyvC7SpGxvdy uDVqnVPjarA9eW/aD6LJFiQHaKDcDhc+fMwZLkyGWb2/EH+S8+vG13VqaLmZl9UvGOjDk1UBFMxW IHN2+tmg97ADhKb2IhSuZZG8M3QTNx8j3+Ex/oJQz/I01jgyR/HyPstLS0Ngk/5faH3RSIjHk73q VLDqt55wxpBTrPGpCvLpl732gO7cgxMOoWG+ztFhCaJScJCSAvDic6nqfyAoptWDtwYX/b42wNIb 03SBToxL6cC+2nrWDQISt81EDuRKAUIVP+YNba5lqhQtKhB8H11qqFvEf0Jjq4SDk3rMamB6VXdn A6RDmThS9wCpIqVfmzkj62SpaaPPFmfKix+51zqiUlupjT7j/ITofFibP5oxD+x1PhNbPt3RiEA3 N0bU//ELQtPFUSQUjX/eRZz9J17y5awqWjl/ybtxW6yREc3DusYkHLJBCl+qi45uAfJEm+TEv2I5 qryKW6BoPLlgPsXe4IK0A9kS6quLy4Dk12NLKIH65Kn3IDr+gil4ZL7LZIbOH3ne9T9gK3wnlgh4 WwJgwbOvPj634RJpr6IMHYz/zZWrnT5iTX54BNY/8TG/Psd8NqNs2h7RfK0b2qRxNeBnUugvINiY 1q+jijMyVm7r/jel4ddII9DNrp9hdpXGYjaVrdequsGlMuxZqUz/egC915ACA7TtLdDAX0k6kS4a sY4taG1rNFCBkCTAWnp6hioeq05WDWYypaSKRExsGgub0zTSi0lnjYq15njYawZolXb/4ipS3jKO 0u+5TNIrU/9qgLNwBaAF2m4aqRTcKEKVvGhNyJf8BXXUidErJ29UVQqyY/EoEaF+OTRVOQvu9Js5 Cn5FVBivB+U20zk4rfil7BNY38xnj9zv5SIwVJaOij8D/9GCSu6ajzdsJqI1ewis0QG9iZnMnky4 zI4KUmeSB0sbt7weuu1joHIuKIh8VdFyDSTEMJEBKvd5Bfp0lT1/rw8xoZxlTi4oxoM6k/jdwKa2 FWuTB8vo5CwXrsJ6cf6kUmCPQ/8HROzHRioJizVBasd9SI7vttqFDagTSuZFobs7KXGY8uXynqml CeNUcpCz8gid7vl+SfifMh34pW31XpkfF8gJgcoqk4WK0HUIan25uahKNjsb6AeIShD+ZuGcnG3f FjevsHSsyjRxlFIwn6vqV/EewI+6NIlSuzBMctxHy+U1U8jqp7nNbD/2oYtBulM9TSpDZSg1WAjt 3fVwGpxQ5ce6nTEjrvZj+xoVVyN8/x4skcVB5AgjO3rKtrxZng9J4JkcqsQqvy/dj3iswpp+JvEy lVCCy36mMbxKRoQxfrMrwYtyBbcqH5EQkmnqrOu6TVm4/L+LwxC3KZKihZfjattzDAeeEzHhoV33 FTXqWU5nXGdL8aDQPuj6eObCjohPF2DYAhLEQV17VOej53Xb3Ir1i12faARD/zf6kTjO2IFDBdur MS8qKq2+E7A60v6t9kl0Cn+k00UM5uxjKYTvAdoyk4XLCBdH1O8FlMaRfcFMfhDPee1zGUJeKaMT jOJfnbZ8eG++mn86PiF/X5z01RZx3BYU3jkPDe+GbdKXC1C/a5PUllPDdO+JdLfFeSEf9gqeF2h5 JDVloM13HE6xuOaW0oznFB25qkYO65zcxkKv/w2l+bGVdDVXF0tbzz3nB+E7HL8KxoLpszbOsdSH jvQe43oL8rIymI50HD+lvtlcqgEtBNMFl81dHJ3AZkD5W/ALBeLa+TpEb6zySccZx/hY0bHDvqQT 3sqV9YTGBAcBg56l4hebsHj7SJ8mR9Sp1eJ+2F8MVu8AEE8KOEMrdxPL3OkzwcbE+OgMeudKQnjR Ug75BLQ605lIiEi2tjBSRAlCEGRaYLE9bsDbH60uRzCY7WQYK0WA7S8Gi972XxFc6YukWDvFObgr JSuNRTPQJvCHXelJpQuUBaa/7y7aOq9bwoFrIQfGLmBM6W85X+NmiENBfnEF72v3W5Xfxrrr4VMH UXyYwJppurNVIbB/0NXGCksuUTYz3LyjTFubjXCDDA1jLqoNGi/P08bZM+rluw+tNukMw3F3p8cF kdaAa8goakicVb2sJM9su/hmvhx0mnXmNSLTNF0Go0OpWUpvH61HxHLx4n6+JlogeqAXZhX3qUqP A+hMhxLosulLLfyds6N9tyz84I7qAMSQG/KGb96IfnDrGYD7JeknXnppGMeyqUtwyz793Bx9t1Yy kkPBcKLar869wqaldUlXQqmIYRDEN2SDSCLoyggySipZOUtd16BViIKwXp/df5eGaZeZcIg+8+Vg jXrXsNSDQQxNCkz7yi7P4Ye48+qlR/o4FmeA7NuPsYoP1jwfWrmD5HHOw+EzJkqR0jfB9KWWBan2 7IHHn3gi2ly7RJuu6uGUZN06JPxtO6reKvt2kzySXiHt2pgh3PAczJfILbpkVkXsQU6YtDmGQHgq LeubNBezdwlhJkU0kcFY3vHSq30UEq6XGgvLtfnmuLZNLPmWcIgM6fN/espBYaESDBWx9PMWVg2s mXdfQiDFhezxmVvyhdJyivE3oDEsd8T9az+Heuz0vBm9VGYCSoolG1BQL4RuQXaTP5MCtwUsKFsi 6G0v/u0hBoAS6kYMwLoFBj87G5d1X3KvxDbwSkfEdFn/WHj/4uCxxG8YMASkk0yxEb+JTTqNZFW+ 5aHwp3xPu07UgH5pmftzorNZZCTWAlSAfJ3qhiPEavor+GydNvXE7laTX0jpwY1LhiS9aFSIdyd4 oHdc3ulr14OkwJbBogGOjBl5DFJjKUrA3UjdIlq0EM/32bTp99Z7u8QkGAaMKm4SL+3TJxDO1f+7 fWoze648aMZn+PAQtVHBJtO+Xns2nbF4V671gUg2Cog+IVwtmqQBU2QXZD6Kwi1SnrAqLHGnznKK u/KJ06/8HcXm7RP/sb2+ut0VkiFr7RRGzehzFsNiOgQ0HkWk9v9JQpBZHRHXuNZ0xmnBCELPVlIa g81kc7zjrBg3CB7lh5RTN/kGRBGoD6YuOJKk5iBIMoLeekJalcsCVLt3Tbs7yvpVJaE9FSmfVxiz wRZ5n0ZIFupELqRFLIQit+SlfoyjoSuaII+KInS1Pj9cEzcs0myr5XgavpbojTA/SAbqeMIRYmwM Ok5+5Im3CM9yQhYIlu52Qwux6iKDa7jNwfR7d4OrAnkPCBkNJRqfq21dsqYSJbAkepm89IOxJqlQ aW8JY/q52T4PsjMPWCNDwVNsE+7GfY8qCfLOZSv++CMmkR25OdrmdI4kZJJFAdp51Pok9KY9l/LN bqEyRn3S9KfBLKsv438kG6XKQKfGRT1M0fCRlLdVhgBRgHkHK07sBpchS0iWeKrTgro60QQlCVSb MPj1QTVtQpQ9qHP8aSBcxO6lN20z4W4XtYpBm0ipADO5/aXaktyW0TOH8hNVY+8qhpWvt7yvYXgJ zjFVllbDYxDwcIE//Ldu5xJBIX1rLQL/S23W9GE8gQDo3U7K/QEAlKb/snB6a7YPkicJLdBP2ANG gM7166T6an5KVdVSmnpyL1edBR7NzO+OPVeEkUc5TbrQVFyXO0S2Umod8zQJrFC4SjUpuy8UZCZw Q2sofDk+HcF+sJVNP+SiyJ0QxZ7HRQpaMzgAcniJ+XXE83IVc68SWWESodN5OKxghn8vgiN68XPD MIYVZFAJxXORaOFmDbKqrIqO7vYpmh4+EL+5C7pGcn/RxVmKICl3EnkIv7RVNvh/NDmQXgnNC0FT 4lydEuN370161gZT+e0C6HdIkTQcLklCX++NzM/NXWZF9h1wWmlOQeKDl17Sc+akHAL06AR9v9Rn Iwihg5OcBW0MWeBGNdfsppd7z8PDWaG6vZ1RbZUPGVVkFo6VgHsH0ZB1iFN8TtGl9vyBPt9G+jas GgmOHX5Q+b9CU6bw4ZaCJEwjvePovy52Vd4Q1VKYgqA9dFDsh0oYKDKrXmB8Pga1iG+Xq4pnnkGC NIV2GASZqapWecUi4//x7GJPiy5kps2KfxLbJBrrXH1baxzVBl7AVT+udPvGEc3r0LdZUhYAawJt XPw/5nIAgM60hmlzeysd4QjiGAL3tGCvMFogO7nbAgB16OSxOviq4wj9sRQlVVoXMfYqZq39wNEB 2IZ2snY+HCvScBp2SjBacfLa/jy9oprJYyFrTa69t/fzUkc77O65ud9pBIsZpkXNdJhyeuVqYlwL nQBRfyHzItsODPntF3qFYIvpIDOXak5Zr0uyT14tZxga3f45u5fFs8lXiWpfD12itd12LyU3Vo+L JOAR1mUB8D5Sdi/TnN8z+kPp2l1RfLD7uywFHqpYSv4v7DaDjnWdJ1jwN5jMkECEG4dJK1Yh7Z0+ DDA6C2x9kBpbDrrwZyRmarDZmXy2sWkxrGWrm4Osk5Y1357p+xKpkBI7+A2ngshxu/qiUtfYKw0j RhhlbEBtvDKwvDKmH04OrERJBO0Kyo0sjaYUa9027X1rWc0vTNfic34isfxrgXJbGspkfC8YbfbC 0OqKsCysvFJqnb49TBTtp1HWBK8yhGF729SPDKsJPiQJaMLUjbc2KY7jEmjH3aAlhCLc+GgFrqaE WvBeHXj1eOInJ89+u4R76jtZRb8l8Q5uejpsv3mA/xIQ7ZysFM3ylfKUHXx7OU+ecQ5UTE/828yl 0kb+vMCYx7xly759mwvXqwvm3y22r+qxRVaegf+U/bk/VQseP5cJKCebcTj7D4yLjlthEOaF/fLB /ydk2M7G4tpSn/UACPCHuXevrlZGdRbb0Qf5QhXV7VI9t1rRNC+szI6BP/N50AKU40E+8LPE5xIc rsS61Tx2TlTaRogNETU7zOmBBFlB/Y3sPI8bAEAZJOHhV9xZSU5eANAT6E9Gb+yhBbZTbNIpOhls 0xGze0k92YwukcypnBEbceCk8p/8RKfaTYZF9Hn4py9cImzQ1G7Kp9tvTRwZneKX/K7UT1Gvn2ax EmRxOQVIROidkARYBx0ELEjP40DieNjfTP6qHIoLn44+IOTt/jUqzDwNvB0Z7QaJS35BZOjh4gj0 ZIghywNSBKrwE8DXAwKU/WsHeMkt0qSBPyo30MG2Gc7SnSnpxPQ2p1asMEdJdJhX6EOMQEEHaiB3 i0F63aWgOCUG++KkMjsxLO2rqTHc3nVqIbn2w5eAh2chDSoufLCIZAlhEyBjTYyFZ9nwmZyUqYhB 8inh8jml9UZUkMlfCeJqFyeQD0ChAlBvHbGT9hyqFC9aG1Oza8aKlAb7wcKjtUHH6i38QORts7z8 oyRpOajshBPUmcVGNMma3v78VrfvxBKqpUhteirGzBAZ/NbZUxxJjBW+V1I1MEbgFjYeY31H6wfF EVODbP9ptVxeF+fGgdVfmI02ZuBNUCGxW2cGMy0Nfs2oi3N1Azhsvxcnr4t+D2sVEfx9xTy/7xpL 9x0YT+T7I8+v9XK7nteOSfLIjV/qRcK5zV3Q1syKWt/94WOXiwjhZI9Jxz+NjVJdroTvYc8GCwi5 lwintyxgjWhAgXhosFGadzIqi3rKGdZAkzuDN8z2tktvuIkBYgP+mzs9rqnCR3bWGpgHFyQpIsr2 zchJI/9LukaXmBGvcIQN8Iy6/d3iZ8bJ1vFadajolatTSo4KTVJMKx6Ip7yrCYw2Z/XnXebV/Jap F5qSSGD7lTdDPhC6vUay3Dr89cf2IHAnGvVI4HHIL3tb3FZ+p1ncXkKN8hrA6xmirOIJckEj4j7T BOiYzA/ba4dcHp5ImnSO4LTI9vTbKpF5ghuuDFRgFl4WuFvRrLK5FN9D+GfBeTVNdw7EUD+5Ba6q a8pAezSAp1CD+rL8EOqZNB23/v21atJGF21In3QBZwwWIQLilGT7hHAbuAFeoM3MBcFkgxTJ/srG F6Z/SPv3oP2um9DTSIfFpP1OCLQk+RobaLHRqt4hfG66N8As/Wqq7mUXJ7z9RFQfyCIxiBJUyk+U RMjp9YNkBGnNAi/kWXLNjc+exeN8lZWyRbZ5RAl6rrct/cAILjJegKRpb8LqXpu32cenAFaeqhPS s+QKI/hh8KaKQGMl/KKMYEhf3RUZV6IBJs/q1cJW5lR2ixyTQvT/2bVR9B37DnuDXe8cqEO4dZA8 R93PLwC5vJDbfU+nerFJfHYI664xaX/uzh4DO8BZFAvUQxLUUT1U6qE4QA5GJk84ds9IKpnAIoKa JDPTLsJy/aA54hk7DxxGD9D8Xv6uOOnPhF005CXRjOsleT/Q+NppAX8RLLSTuYvZzhpyQBJF61fu K9KIYjz1oFRcDTbfY3fDAwVTuvuS7HTN2OSXhR9wA7MJvKprQMKLbJRaPoVvIgesK+bmEniv+wTQ +iGpM+vK4sAnfCqI00G0F6vSx5bkCuLuA5eqrdtj5FRUUjXyP0jpf3X3IzcWAonzBU1H325yRA8U UrHZC8fRQYSgyaGuEBfjcEo0S+9hi4Gi7OWafU6SAudfGCifkSJXSr2RgHo3j8IrZBZPV8VKJ6QX PhaTGVhg+wpzW8ozBvh0SQLqBRh3Qv3IF7L9TN9Wbzy+qMJmxAoDwSmviVKgM93vOnOoYblHYZwi fX+0e/DqG7sJM3VZYyDEvCsh2PjrW8DJHeAoOLO2p6yj5SPG8Vi/Sogk2zjkOCHQoKqFD8q6HQm1 PChI060t7TtqfGGgdlsj0r82Yy3dNCcaVD4LaPSk/eIxbcluR/GknQ6yYlw0dkwyYjBoHWJKikwW Jsu1KQA3Erxm3uHFooLfesgxaAmyKMiu/8kgHEQyzQ4kcd0NXeKrhNqL6FpRbwcF0iXbDUJ2iyQ+ HDUKVTr4TVw521o0Z2hMI+SeBhYTQr3HO/e3sPa7ue94U0a7iK2Hl6AnfDPXkuxxaShG77w8o9WO p0yRNh2bnid4M44jarMdyV6p/yLYcqZl2yMgvUuZ91HiLoDYJmknTArBwE+F61lbmkchH1fOK7O2 nZrkZwn43K3MmlN7HH9DuOq/4zzk5/D5wm25Nmb/yGq+QcjmJ0NzSmcioRsjB2Ht9hX0NeiVFa3S FsaZYn9ENHYEHQHVclzRUXkS5os4QUlh93pv3BZqoRmjTe5ZjBhgfW3V2JB5/vBSz2U8Soy5Axa+ kXjm0WWbXSxvtqqVx4MKnGDQwfPR4epkoAxT1f7g8muF1rhDkSX5b8zUqy9E3pu8xrmKICS7iueU /qHAZu2hukU3HAS3eleVKOYR33wUjTmZT/2LLAGv7eFDgnQdgaNXyRBkDFQbUzaxoM1nkDwSVmuQ 0oQqAXhyhF2vNNJrw15WZcK/4bxa01iDC93Ri2eLeWtwbNFnOTJ/s6VPausbnrCiwsFZVpCcyQ2J rRx+Y8uzg5f4YyOcrttXXKTGPWCTuI1LsIK00XjNZ7u6W+qIzWhXSe7R2OviIUAm7yAgzzP+JNkb W2fr3bEVuNYiS7sIm9nPAOMNL3RY6BatUOupsBPVoeQ8TMxUCJkNSQRVC4PL3GzpywNS7/h4sS0F x/hgpcwktfsMcIRBxEQDq29DAimybwvyibp/r9cdD2PvydK8ygHgMfojpEdtgqG5CZjXft8CMPjN aa6JqaXBJ4W7OgMw6kgrpEw8kj6CFekQIB356n2szcXkE+LiXqqD5qdzjP4F/ufNZO7wLsXPQww8 Oe1/rLshgLqv1k2rRqRsh7fKg2hLJ2aCjLjO/7OZbXhJq34G+MB9sknLq4qE1zv7ZSi0gssMIAFk wSY5wU2HESVwf91ZG6M2MxncxnIvFxLDq6EJwSng7ilQTONKv9+udJSfxYgQ85Q8zanmDES47b/O pp1OVGlgiK1H/B46JHO9BXtcKWOrdU+bYmxZapSu/cDLKnNGQbfmKcLOuEFQ8xRAdWo+lg8swXLY O7xvE+AJ8JAda0c0W11h42lsB7JtQA1K7uwaaWvY0owDjXIgo0QTwaYIt80zPmIKiv5CCxRMkgXv eL779pXlKEgHhkiGpbljfVPIKPQQL3UTJZzZtNAPcP3NkD+YtohtzKKtzYsQfX82JXQ+G12LyLn/ s4DN1iQfMNaXmqGXhdYMrQnRMHQn4DpfTsqrR2fzq5F1e/gBP49Mbt/n+MCyMMo7ywuTbDtZF1R/ TI4Emdqsf7Cidnld0fgfkb7F4DVOAkbUTFWWv9qBKbtFl4kemPfVtyyfK4Cgh69qzMmcpZwgDbNo mSGKn4daFYeqZ5aR9cB4oraqsIys82a2zBydF8la2sIaobVHfR1qxt/SSK2StgYB9utFI9auY/QR JmMUEKyNjxHvjgYXOqEolbC3od2r+hGHXT6XQOJRAqVyJDr0HcueouvAgb/xAmJHnioIHhzfKr4u ith4SiUnsGO9wCCea63nDcIP4NjRQoITeuO+RADteyhjSn+DY7mJjjlpNJOLaPFlcV78G+NBP41t P0snuSbxhRedkOtTmTiX4TWZ3uZ3kFawGYKGBPWib0RDyXOHWNGzAWfLbKt+Bo9FApQ0X7c5d1O4 0qmA11+OKcMjfoAtW34mF83sJ6jVLxaEi0uEv7e51hMslIwCQ7dTJuPLlSG6BGfhNUED1rLIdWK3 OLXDkNGS4wDuE3AyVaxttpejQvwymYlmatw7QqQDY3eDoTmKmqUC3g4i62djx25dq+jrQ0oWW6pm rLYB9qbm+1tNXa+aabT7/ncWyYfsQzopN9Bv1zQ6CCtwg4Cqohmn/bjy9NgXHfQTXlbALVxzEfY6 EdtQwul8aXtPQCJSyUEytbo+vhGwHCfsM6zJA6qFJm8YmfF/UGHRuWFYzIszyOyh2BzDFcKhmmBe WNwftX9n10m4zViBHu9st8tkHwukwDozR10Zl4uQVj4euAtka1N81Z5mn3XAwuRVZfgETukapMbI Szf+z3BoF9bEd0tNYZ8LeDVSYKU5hvSWYhA3e8c6zeSV5uwIi4BGdDsZq849qLwDO+38sO9044oz IQ+EEXTcUsTGLm1Pe8JxDPxBV+rHaU6vuVVs2M1qVI7GC5+9z6BicvuK5dydIYlJKOKYyvwTdmqv 1tqq4PeWnK4p44PcVnp7mUqeBEoiTPwBazKxbhU/qYpkvlSrRe7PAGwOBrX4fD/GhpaoD1LkD2MU 98jcbeIP/FgeDNGcyaS7mZtb908hVq7heiBRvB+gmrI4sonFeNlnBDXEEFVKbWp+xIDQHjQAHpgW u22JyVHTeyZG8e1xq6ceBmBzM+ISjH5USguPJAeyGPrCMbF7/DPaNSsp80Dz8smQhMvkqMAJH3zj kj56W/w2jw3f6Zkfx73dqy9OMeZS8N8lyt+Fn9vbcBjGvF7MxCsjTjXQ3N0pH8ZN8WhP6rR62NnC nB74Q3Tz0gE+J4JNT6jdkz3ORf+mOX4Wkm/F2hcPQJNzay4zp0bdYyP7E/LIbJ2e7iwmDFeOJx+9 NMIjs9DRIW5IHk+H2CQ+FDrJ2HnTJddZ0CUFPRMYb1t303k2SQlzCbfVZw30lN9RhiDdZz8f3SFU aKvpaQCa3Tc6KQH1kdO6hXzJFRJC5ADD9OyVRWklZscczNcIz4K+twkZHDYODM2oOYmQNUt+JhHV gir++7sO2hSC0DXvMkuDO8obEM83/YL+NdAM84mxPvPDYPIGsq6c7GPCxgsf+nymonOSCtzQ2j6o 8U5LVp1QJa1qGPaNN8jFi4nyfiHaWPuD/2dwKvwIBOc5GUIwaCt38Qgqj8bB6gunvJ+UHRWoTvYc rdKT94rolcN4iqxGv7pWFUPPQ8brn0w+QUoZGuaKA/vHHFB3vmtb+iCUjy0q+kGGqPkqt0SPe6uH VaMbk4s2aKwIUZVK5KV23/AOeMCeyO+HfkI+pzVaXeRONlcgypFqiaQRC4PR+lqFc9d7Z4TDUUkM zOtkNHG3wcZFPyd17dM6kXF4OKtEj3CgkyoPXohyg6qiob74C1HUX9aD1Y4wuz//VgYWfDrQGPmn PryiuaH94e/syWMpAXTEUZVs8hROOqjzU1mBdf6GVDy1awEeysp0BfX62kmzOVbqml8gi2ziWW19 2Cr7zJa9aSNClCzDUeqZAlnjy5Ww2mp0PkSATrEvAq3d/XJDvmrT7eUFRqsn2W4/BFlgW0TYrDTW V92AEFHUYe1CL26EsSsGGLGGG94HTNFogAIGpoTbhu0xlNsJjEVmKMeRpM78KK1TG45DqhIrruoN rlsslrOSgDK8oDH93CXhBiYjXC0ZMgZ7YS9XRvN1ivD9aD7ujcIpt164LijdQ8rYFumpqT/l02eR qkuEdrec2takjtXNQ3K0hsxzkgGtMbJeEZkCSS9KMNAFnwJlltm62iK/ijxOdeuCFXmZ5ZHcXlCT 4Cg8JNcM6bvCKga1rgmMAZNQRCMpFItzZ18ovkhrQCmJ+pZ+FAHW/uecuGsLk2ndHifGTwJgbZNB jJMygZlC/a8ErljlLyVpYVDP9ha8v19FavKyDUslnyjjanKahfRzO9t7U+vwHTNMJoltCZGLEGPj tGzMiMMts6ycxjX2ncFDl3Cih32p4QrBxBrFG0OnUwamwxx4jHRjF0lMQiLrnDPb6U4Td8KqrRV4 CeOAh+nclZYkhDpN42BMRwc3VgYajOFYYqNGyb/VEc76kD0PoT0SBcHWeVJwreNE6AzlpVVzrDOS WogXJaivPXR8QGunmeGWkNc0HY2WKtLhGyYDXnbrYX0za2h4s/x+cBQlrpk4A03ZdV0YLK0sTXjz pJTc8VG/ZneMCLU5aI1NvVCdETFm6rsdqERKLfooN0zr+MenJKqaD7fHOy+EfZ9r0aIUFsXL7LgT hcG3+eHQAVSW6LilCMXlZedKy0CNPP3Gtsi4UZylftpH1D2YjR1X34Fs/Z75/vBYH5LPe7uAP75h PUbEca37Gk8NEqkUJbZM4A/asb2Zz1WBm6Da4kl7Nu+JOru66w4mhkCqa8/PyblHzfVX+Y6xPoqG BOZfbq30UmBmwKPyG97pgpzcVBXvM1AD/j56hfXKB4SzcO6SFmdAf9360lW6CJrV6Fp6y17YIH4C RGuS27cOAhSf7IZkSArmn35siGiSTw8EylZJ+7TxA96iGiJdkyYKveLIlkTBHaRi2fOvmMQ7cmcq AsjovL7DZBl+M9z1sGwZjrLghQPGWJKJj5kzK7Ephspe7rhEBtiE+KcHx+qqR5IKhuPfup3P4wU3 U1ouPn64Bs740/7LAKfcZ1UQBIm8E9hz28CryXetiGLu2yqdHOpB0L6/j1r8vUI6blIL0ObUDQAB EtorihBGKVeoutdOszljvYO4Upkv4RGpePTkb01HMfzjdeFfiwaVArSPo4oCqr3kWlEfabianOC1 zB7AK6pW9xMcg+duDLkCZscyknytq9RFUXFAcWiE40myMLnkVNRxm9uFeg9isoksdLOykO94V4h7 kg82LPv5rG6oCv+QrLyHWPturg7j1NxrCZRZLeV7aG70c5+qy5OdAJh2bZdBXQXPMdIZanv1HS8m 3QhNHpBBgMuit/ukDG7GqUpWUwnIOUWM66bhkhZzhIo8rj7uOWVS3SIzjHobCyj0KBOZsbZo5Gau aWDtTtG+dCxP2JGOXJEUOCyt0ZOFq0BsonPXt/exzH8RCugp6OkGIBaFBM8hkuzvgTY5pV5Ol5z5 cfdHInAPKkQbxlKqcxq85Ao1J2ZmtBupofMUpOo/llywmbCIQDz+UggoGkdut6GAHBBkt/h8G0Kr LMWFXs/YJddScAzocwTTRBxcZuysliUbp91b668cU+GRhbvCE/07nKDECr0qE0eIb1q3N9ohM0NN WoDechkkbaUwuR66lO86OsVXwdAc3w5RkUlqvQPs2KIBMuGLzu8b/4RvVcWvgZIRFjZX+tctKI/L BguRsfgJsl3g4U1xWCitahD5XNCu3SUS12oFHVgbrHC/wJTPCFM9NewlqdwK6OzLQDOuwlHTqqUu nMRxbPpFmy5rstX+hySDG+5N1jOx29ZynJw/MyiHPWPPpT9w00GUqnCv3jwpQXDjm9zbPFGZGJSl gRPjFm98DDc7ZGMXqBe5y6o7e+8ziiG3FvGHV2FxFY6hd0rHJLYEqjiB+wR+a+0M3EcMT7MVH+wH OuZnzbCVcyY4aDqwErUDTHFr/jHDP+wty2R8Tu60nq8Z0SJkX7ryKkJ9aFlyoQDzsYVjFrRXL5jK TGv2JvLE1f2rePMkxCuZGdrU8f05whCI2n9r/gduIahE80GcoNQjA/vtx9+yPTUwi768kXDq8wPP CfWmhrcSN+JLLY8BuiIl5LCTCoaC8KBfed8n08XLIaLWD2/E27hf7D5F6JphPXUIDnwOTNQxJLMl X9nP/CqMSiNIRR9sbzUKkHgd1ue5TAFGs1K9QV6vbowbpu0qWnT311ZYa/28ibLoVgT85V6Ixa5O +muEbT4znnKyUaVy2R2MtomkuhZYN889KpWv7/c8OnBPjOy3ZoSKlRgqLNoFWna787glnVmxKOJ0 jzDBdBJmKTbbYWRNps6dNXm2Jg1G5Q6CoaLBJc+5eWbC2wCsLWURyX/yaEg0DVbaAUbsVXoootZE IdhKWW1FqJUaE/2BEU6YfxWWAAyDf29ddprxudoKdS2fNA76i0RrYyxFcWrEXcLDS4fTdDa6X2JV SVIfuXqUUJOAf0+XYHiiQPn6fBG3VIDrX2D/+4a0llAcoRruMlO22jCvFDkmNVcHu5AAXh+6B3kp SZ7vQlzLjaRhTRMJsf+6L+FRL85xohoQjbbtl6TmoQ/cD0lWvkgHzk0wFbqynljpSpCA6yzNKA0M Ykx6tUqPSEYWGHK+bGrZxWw/cKaoKN+wp6NcuuwMeevvsJQIJKfg0wIrj619oKXqkMaLRp8iw9Yo UAO5urR6pIHyNdHzxhGqQMOBmOsFk6OLmJZ+rWufHOworR5V4xROvMN0q9NnStDopV43Lz2LQXEA voaUu0ejdxU7cDRFcfyuD5eF+7+8eYEehWUzvt1/Urn9aBjE4FRNyzeu9BZOLnwzG84y7qLsZwxO +IyufLH/p6rmQvEQp+6mmMTw3L2pMjDDioInAtxtcpX5VbLBvE50V+OUacCJJMdfi+lKI5ycj0gO eqiNEqQgqBaD/twdf8EqA8X5ZN7TZg3rD2M7npEBCmpz3wYdjnivc6eTK/J091Y60YtEOn1Udom3 FRbZ0A9RekN8qOFscvekq9sIX6XMbauvcqg1rENGoRTWjTZUb4vEGfo2S7abkPDCHwUOzhafPCYp LdXGieEJ6kMYdHwOudxhi87E5S+Exfb7k9JZVO7X2gk4xTAWT84sFrY2jaqOCL8kM0gboQWXtPk5 lpy8CckNeqLzVK33Z3zQLwN6311qA8NWjxI/Ger50h1Z2Oi0u4IPm8KaIV4r4EEtnsArQ5OTwyjG tq7Tl0d5STF+TDWQyibp8kufA1C5I0WmHcI0otQvneWNLVPS1lr66lC+7Mm1B5g9JNCllGDjZ30Y 2c9x91l+zWf1gBUS7+vy9PPHnMNbbZGeqJbSGFhBsgtP7MGdvYo47K3DsVB+3XAiFLE8lKTCbfdU iso4YpKVWKA3O27QZ/ZU3cPR+nDX9fLjQWBD+kEdH3LElXdRcteLa5hQbDWCRvJHHgBWt4tMn2/A NpC4Z2nu8mzonwmgBXAT2JdZlquhYfwxz7c2WAA+pbb2/ZvqWDCahY9YhMIjFSfcZs9YFzWqc8RW znzsgJbzg1bgyXjayv46VkyE/hYUnSkQq1TUIYXA3uii8R8i+Efoxtfdv/BMF5g3tSQRQP+xZJFo PUIfksz4KHdZpsfNXOYP356ZwHffDjUT6Gmei3rjFSxJd68h0QVk3pHHMotvBO+sNqvQEmnXpYSR omAnJVDg+MWIZJu6OZsqYHsQN1j3ttat+vcSs/koUVMZ9Bhhpx0GX5qS3pfpT0GZ8sT6YJysv+ZW gVMcyTt9iDbOaDkV68GRhU5ALqY9uYsM63m1X5UAu4rYd7FCi7VjJlJQOUUS/Xgs0noL7NsWnCAK 1RPv0iTRIckWy74D4gnIgc/yKPrVn0bTHAEqPc9EFm2A+8pe0VynDMIXh3b5uFKLFTa3Nn5+U90j j0od0BmBicSKmjl3LJ414IFf5wxxUCjJwUHLvGlH+VHYSspvhVb1lcZQ/IInqbKevhvxf/LS04pL csZz6Nkp3FYBuH3hH55nhgP2auwaYnzbH0+K9TKTkLVONgS7G8YpC1NWDk65/9I/AZlwlLcTH1fc StFbOvSATinlRruOsawYL3TLYCq7osSzrSyMwWUHwvwMdrjV4Vqz0AkG+C2erd7d2HWFils0o9jd mEhIqhnPVsDbiTpQOrt9Y7LS6MpZhHgAo0K7ivq6sEriilLB1telYGEtyqe/3qrFjvJoDWQtiEyr H6BgcIqaOoYus/kHjFn8JpitBMPb5rlQyqJAQseHNtdRMDIOfHqFtlqvMphlhuQ8b3v+1TcyNuxN A4rj/a3k5ypn2TU/5dFZjlMQun2QuJe0p8BSLqnqHkggcvh6RBVGH8Ub1Za9FtNI22Uu+L+O86Xm qTrM58uhf4hUDHqiffBX5mG3Y/j+ItFJKyfBfvR+TECCelnG618F19uvfwBA9CeVPVCO4CID2Avc Ve49DoHWUI86eeZOi1ROWpexiamMzwubYjqPcYyFiBFKesV7mBosZFoFAlRel1U/KEz3Qn507k74 LdhA2vslHxlkNJhw4gvJS+MpWghcIYlhlE6auJBhR6hdeJnFXZIzNt01ueO6zItH3mfDGS/oTw4y q02XnoEJu0zyC67x3J9HTmwv064P7sdQD+6g8rMYLoSkilwKOFt2orWcaMK8azSc/9PjlbtTSXAv a5gyfnl23bKEIlIHj5G7cPODiYidPHc6Pp1c9SrC4d9V3zEx4uRNyw6BPAcyYLFXz3YqzmpgEEiH TCpDpB4SHvFthOjPRa9lsrQn4g6Zj8oIZe9EeygWUpAOffXUTjT6gHucQ2kYjb+U9ZZ1EGFRtcCy mI+4rU8tFCFm1DoLWlL5TnCiMg1EWGnGx+J/5pRm/fi0Zw4ELlDhpvGYrEY0Vq48HxynckgTtzr5 RagiQcteBeXXeu2p8TqOXHn2O/4v5Ij5C5/uuDxRsmbJrWTKGLa2mqPC8E1M9NJgpRuRJZqpddGA XPManpxnPZsVglwux3ePyvHHXgPgRy/RH4UeklyFiSLWT7wRNj3NeIv9tPCrGdTVAoS4UTVo+s2/ 2BVFYcLpEDMfGedtRij09BOqScUaQbIOz167Bs1dIHE2nsHcDGrQFXPTNm4LaicixVaL+It3Rn2D wnPqgJpsNagtlv1IsIY5nos+LQd+3z+0RyM1vCrqEnBx1bSn6V0UseTUYTzJFJaaAnoO2+OCBVJx 6uYa3jA5X3Bj2msX7JnGfGNCeL71GwBUiyBN5DCWOMH92w/QA/qNkExPue3HqvzfPf3QCZRm+/p9 uYphoKWXbTaLSL+K4XkzLgGPvX6mNKbMWH5qQDsGByfZHMSr9DuyZWNCZDWy3A0K1Ram3hc3B/eJ iI/hkbEIK1soMogSqJHKMo7USN5iGFGXF/INq/3rZtoVkOirSBGCEXlvJ75bi2Jrp0wc0rj+tR4e RTewMJP/WLiSVgvSf990EXhF3RdyT6oGGYa9YaLjPcOQ43sneaf0zPXr1BFKwSIdxt3Hv3BGKVho NO+7Bfp//bML8l4ElSWSB21PID598vgRyXOE5bdIa8WGylsZxRK3YiLXbz2xpGuYITpMZMsX7h2F iFIYkyX0uEzEWFRCRImkL3mju+b0V5PgaV3WW5VHXOWSohQ0xv2G8V/wNMtdH3AAfQBi3LmeE/qx 5eWTqX2bx0iMAq5cUr9HvHY+7D+vqW6TYivd7ieI4OqE0hWydpVDBZItzQYxWKqhN3il49Up+/eD Je1cFAYTx+t41r9Mi63mM9e2xe6CrC/tZ95hnUPQcO/5ppQ9Bdb9N6IjQ4f+WtFeNHRX4fBCgLfE C0DymrkcJaQSrSRNN71rIJuFOKOIlRLodoPQLp+J7/QuZ+NHUjlkjG2tlXLz+Y0AC8dO9oxX60EK R+yqCUHf+4snUQdVDAzqGqb0VgqpdVGjY5yl7LkHoSmNwpFCpHssGOxSdEJuE8eR2wjlCmpEmMkY DG6dSuFLOvBLtgZJOfG3Jzo8/qIoD6QZQ7JLSYFpKK5BV5j0jnxDFmrStvM50kT//1scWlfoBkNe roNqgZoLjIxMiDJjipbqdbijTzBHiOYOdxnmn2HF4noYbwUQ5+2abjjKyjOZUdI+RQMPsagrcCS3 zuwAVQOkljTAz3anq0QwsRXtZNFoV8YfmoimqOO71QS9bmdOpb8LgtQRgy++sy8cJNwx9/OT7lu3 f6qVjTZZFek+csx8LIhRsouZuaIlwIDJSkdt9LJLLH+pnJQaf2ObJY+O1F6lOEuvk7cjf5Od/c3z zg5Apdd/3qeXOGWw3sY3x4bdUzq7Jx0SYuACsBuuMsYCh5EYRmMdvxeNpmwvfxgP/Pmg/meh4H9l tDtnk/Mr5gG49bJED641R4E1wM7hqLGxvmRyQoEIrf4DrEvJHivUGlca5+QyqMu3KFe7kmNSgpjb s2XGRGKVNE7EiIs/krzP92cPlsTi6LDi9liUkxCRulB9CF282b+SSXUhQ5olXT0Cxe0dU2Tl/X5D CARrrsOJhsvPvxBwefgPnjXi5lpGCNN8n1xKqtFGeJjILlf2fljIm0VRTS2uwMtT+hpI1+oIUIxh P1ZE2ZWT71eJez2MueZQwI2emeiNeTg6E69QT0O7SMSr1GlU9Nbem0esnrOe/8TMFDKx4TwS+wCw muYuhiGZLDjF9uzpOqsBWXJvPVNi7DehZM7jLeGg197mtw8xIa3x1FSF83g57YAuV5SU8uUwHghg prgV5Lpo9WkoyzmCY0orQ1yVKnZMtWlRp6waIUfYUWzg/HwfSm/vHPyQj5Fkcmydl4EU5hQtSjSN 72B4F4c1fV3CBmPt29M09zaP60gthgPshPU4iHqLPam9aH42xBR4WpL6NreOJx8+AzQKR4Y8NmRO LAEZGWIlXaLhODOrfKs9yuIg4y6DhMMduXhuXROLJB5Dg6VLDrTrJdmqq+zwB8vLlHeoXL4YbeEJ wKf2hkcFgnQKOJ3bMmr1/rvfTrxArW9vTd17dFrdnDllUZTxgau1o+WYzrvhEEzxP4GKG+dzZqto pLtaoD60V1lgXqTCLD4kYxZGY+Xx7HH86NezBzQ0sin4iCvW7q0JcnSMzISm1duvz97s9ApgCDsT uuKXKDfGLwn/CuEJLjp6eB1C9a5KwvK6KcClMlMlIdDHDPVxD5Xy3vvqhZSQYxnJyhLhgqaa0wvL sPieFRBBfPyOW8vlwG8RjuKdeh/Dxf/AnLEzJS2wftX5sFbm7P33NZzSu0a19lSycntsQWKFDKnu V9zQABshtrWqCU2lQ7O7JflndUB40pklUT0G1cgx+CS2vtiW8ZpBvl/NU4W/UcKwAS1lndiY3qBz m38hFtXhzOeuHmMquy1L5m0a6WPsqfQTwLl1W5TlUmb8fa4/VV9EjkfsP+oI08+eE9AHcbXzoEds oPOoKxa2GRWe5gfybm6ZrDKH7Ehg0KG+qwu/ol2WzBZ3Z7lEkrY5wXaShjUWN8TvlcdP+98OcUTn 9P0nHGAX2Udh1SwXzcw9Sw88d6diQQ1d8XXXlFSuh+tIqGmd3QefZxz8iwMxf5s+5p8Kc+LWsAJo 4cQJKAaI5O/XWgQcMliun6SSiiaTLHjN7aVAzHtmP0st0u8wlLOVhOwJtoRSBihKzoBFtnWhSAwI Gik4UMkw+cKQPymzRNhXrKE6vIIqknF/8xTRcRSodqaw9QGZRnbPQG5S2NsppkVEeVaiUP5LlFAZ Rnfd1w/Pf+Wt1bSMZKXRo7UBQFif20XORc43N4cjdMtxb1GfzUYH1mQzDl+6yCMEJrMtCZj0UmpT Rrwt63U1WS87tKZFVVIRcncvV4+JA9YVNXHfYlykb9xyvXRkYG7HUypOHEyC2ERL5LmW8hXdGrBo HmWRCw0MicC7ym/YYyw0lPcG2OvozOpiw7RGoxtrbI8ZUkrMVBSN+lkA94o94uTBpHkHOJRYR2yo heNtUljYX8Jyg1upp1mlnFZSV0V8Dm7DKnhxOoP7g6BG56F7imx0ggs/daAS0jSW02rPfDw8uiX8 QA5AuW/IfiX6RgkaWEUKddJqFVnbkzmYkmzprvIQU+z5qWJKiGDlr0K8mmqLXP0hWVh8f9li/snq QJNVx3T7y3Cy2zEjU3Z5gVrqzqIV/8mRw+tFIpESG0+bhKfFR9E3GpuIgdCgH8Y16lu7b4eXwqXf eEJitXz57OTVdEDlhReyXGuU0Tj3pv5DNG78navIZhbruDABJpsMu8DWSR617qCl5ZKbPes3Cir+ /mjtH2OtnBaGacDvdWMDy8qhEhYG8YBSgKNGAsmQm+hIxFpaaXRkQtPgSi8sZHEbUCn+brAPjdZF aktcpUCZocdyzp3oPVac58HcnKp6S8tkPR3+guijXttt3L9FxVIehVitDM69bzljtEiV9AkIuF1x ikE/JzEpvL1X6DZKZryTaSiKDF1dPAdYrCc4piIbNK1i735X0kp0eEK5DZ2fAyE1KkPGF1QExeHS +1zCKq4dBh62xSc0i2H1B7BNwgWo9BESdIVfiObOxdf7Y1aNzf41MgoPqZXYSA5iwHWFJhppoSzc a75iaRP01Jqt27ssRkfLl3F489SsqGofqUH88IajOuPZxiKBxMZbJMaDBBqpPVWL9EveEkDO7QKe 0U7fuZYZoWlPpBr9JOdmNyT/1au2t01ysc70ztd9zfYACh3NznGBS6CXHrLb4e/IbHQJOlwkNNZU 0QeUtW7bziFvgCtAM2ivXatfLP3AoL3IHg8dmZY5XTK8OcWYe8xxxfTvqOZ8/aiGkYCUuvbfov6b D7MpSjL82JS4fdfwuhXbLxtOEZ9r6AUn0xjnBb5Hw0uj+TVmpS5ES28+1g0RQcGAEmZrgJzS+BiT JwlOsEZfA7qddzy/dcwGRirN1adzs3L7D5488jfZP1IXYhp8uTQaDBy+DbQLTv2OTmGseKIjVZTC +diIiNh1ickJGw+Ql8y5KHOVQWlCt8pcxogA8S6a0B3XLYZxmJ2t/im+i2sUDuVzs6URmTE2JhYt NaMSIjztz4b46S0jNDFQDKGSUzaB9Dqqsfq9lav3M99rPKFHXi3Li7FszHQRHbH5G4QWtgMZHLa0 pSMXZsjMI+LxbnnReecVW7SQtuAnnhnFSEmP+VVzxy1nNW3yr4Y7rtoyn78DLWaHvvcQzta0+6Tu 5vBUQ6QFMQdHIzWYiOePDg6Xxrr6Q/y2/sqhrQb8SsNtC4D2m38j32pX8MLDfDjSDKN7nlAmCbN9 Ry5CGVFoc2RUhlBLtRKsDukTHAk4xgyBV6SsmkuxA+bhTcMGP996PvZJ9hGtx+hKT+UJPze1W9LJ k5vWxMZtzrCZlVgAHhE5jEABzZR0GIwgylUT+6bhFuwtIfY8IkBWZEjTBbhwaiuccO90wlRSxPv4 x8aVcwI+NqoMrwLbKdTKdX8Pfr/ogdKrr+zfFr3xjCcYniVljL4Xt6jPA5D+3DMOHoxnRLgdIXFA zb8q6hVmb3DKeAaCAEtipshoazH3qhotLSxJ5VGulBNTGbZNTgEfgvOP6pEMtHU39NUL3Ron0Usb vwdYivGECfz4yxSM2su978FX611T9hjiI8IXNP/rRxWElZ19tBusLBUSD8ki3YMq3YxwzPZKjbcd 4ROrFFeQyMbuUqp8bmH0DhrbToUu9bqZgUxeHFke2MCMLkOrnlAlr7BAWwH/6Sl+YMXabc7RA9sO pQRC8MFYZexhngUQPoF0P6D8d8n2A8xVFnhTJxwrtoba6tN+aP8VOTtexFiC41sJqj1M/FL2T7as eKTZhpHhJjfbe3evmj6iCYftb57hvHQfb+9KeH1hzxgy9ZGj33+fsm3J7rDimnAezK1ICQ/OYE95 GPmv4udQ2uy2RTO0Nf70+droI0K5DpzqTqTvif6raN6R8LcrGBmXEUc6m/iNCZ6WCn6iLys2YBsM F7RzVQYE5fyU113Uc2rqQ6RjY4dI0GsdUiZ0ZXn679+GebErheYXkFB7kydH7dux/lSrAkF/Ek+M 8DIfpS0C0w39bs+7qcHzex9sv7tU97+tG3ymfqPNZoC/q2BJfLAlN40XqY34hGHJwyZA+ZJDH8qc p0eiZMfu7DNgPIWYGwvWLKfbFbQnEWaDy4tj4F/3CpQwu/W6ER3apw6NpdwCpGpWtmpUgemce7bq S5TCT928azEL0KuC9NjniP4h7Uwb66dzn56V7cNxS9Mki2yTDmKCrw4g+cIMIAw4/SPK926v8djc ngHcAQJVg3iOnEsS7SFgPrm7V8E+F4+sz5ttVoLypLBg/g3D9BF2p5j59B52GNCqIVMSjNF4cC0r 0igBn+mWJptCj9Jv56Vkiv58ZUsxokcIphMzhWZguQziqhcLuhDRsAvG8zYSLAEUcLZx5OqSdJ+K 8/JAhtWUUQVCHFxLD3plSQibDPSqu62ijv/0iIxxVPpzfXHKQ90/gIDI8WT/nulbY97yjyQY5vRJ Ei5vVWLDJ3vUPMGVa1tVTRQcm6TWboXBq8wy3ytY0gS4gVucXRJaZ9GHcay3/VSsb+Yv9MBNl2Ys WfxZa3NZ9PFl/coY449ccG0NK35kUzm58TVgSPdKiD7x2wzpKGL412UZDJLC5OKpkbuREpdPqxm3 Qw8zApfRs0y3D/zT68axsAJy4lb6+c/2r16kIeZGeoKRURsd5sPQTNkEs2oMCYaD3UrNbk9To/QR +qkyzaNx01U76lPWjHxVtldaSFSw8uNUwspLR3XlpT2+yKvWyscVdW4eCwUhpVE2xjKhVsh7C7kE x5cHYI9TSeie/itNbgo0VlWI4l4nl2HYhiNLGuXpXmHRKSiRimH/uOU0gMKs94sz77ZurcmlHAeg hlFq+aDkm1Cu4kAxkVy59hBWQN48pnejtdAxSbtGPcrqEMc7ehJkMT7TYd3dt7p0lRaHCjswuO+B ad7ZZ6rcdH5hRtv4JqIeoxIzAHDnN0iXOonuIlkeRkYHB1+XAP9uh5TIu0DdVU5nKMUGx9O+saC6 ZbfObsCqPfNvLtSI/XekYX8MGF0dUG1pRcPSHiWGSQk/OFmG47XC+sA7cOVu6u+qAS4wU3aNJSeH B+9ftp3sztDZOdcMo7B4m52rMzujuJlMdAcf+SGeoc2WeBlYaZTvCedAwq8lPmPOIUBw0xiOiiE3 lawiXn79JASobR/wQeLEzJ/hHNoSXMMvM0a1ERjimhUBXqEyKHED92eEVFnu0enR/2T5X/zSVxml DhsEjGS+KwRBXYjqwC8R43kwsREYA/qOA5Z1+yGEFf+W3J33qp9zhvUUbtmES3IhlP0KW6AtGPOi jF1njXBopfHSsfQ//2HQZ2ml9Ti070k58AclGWli2Ps4w1AXF6RnYPuCfrfxUvU/VFg++Vc1CDcv MhStlD/50bvucnozvoUDohivrwF84lUXcyzbJDMLq1hpGeqrr1dmGQZwn7tU0K8oew1qn+LKUL4t /MHfNa9fDZHT/rTjzr2Hv8CpRzFZURJf3c2zeBOEtqhPsFYg49WpubSBe/Y21h+C+EZwC9U+vFdQ YgBpoIwQ2Ihu3sgu1kYp9ASFs/z2nPXnpGlgpgTI4xPulVj8KsCjgH3lOan+tS7zvFzbKWQTPKu3 k5KFtGwkp61Pi/FCAAOKwg+mUlvfLidw+CuEHkulXCtq0WLpWsLRzVptXAPcvTxaZ12fUiiTVcE1 fBwDDXSIfeowg3VlJ8qQiGc9wmk+LRP3HO9Bsg5nrxjdp4ypnP1RCW4bStVpVpqEbvLF4lY6iPF1 lgq6cy6YcqYoIsuR/TIH1pq3QVRTPg+q8un7le7s/c/jgEg/zR3g4YzdjqoI5eVcTJ7PqOLNxVJm lQ0VPKkiCdDZvK//bToWzN2DwUJbpSKroIQ5DE0gWa1ZC4oxIgKUHNt9iEbz1vOiDMB+EbxCll73 AicDsLlGP3wAA4TK6b7uUO02nOPqTJGNRuDXepBNPeltHrcYuStPQirZPsP1b3yBKDKAcqkSdP6k QpXERewxuOhHjYolZ5SXkVltLHc4Xe8eKLX8F/Sz+7T2p9f/FEw/DhO9hgMMAVLSQJLSZY2o84Lf ERgp9vYEfs1nns5ojQ0a9dUZd7lJcWX/Affdr5IoFM+YLGXn9Cm1YtSroMRAx/E6H4h5bAq087p8 45rSeIKnAiZVYaImN7LqSz7jwhL1I1kqwnqAVoiOLbAoG3bt/QjSanmF5BcrJN2rfUGjekk3RLT0 5F9kkOL7cQNtDmGtiFgFthIVa7cHWp757HEvTa6jt1J63xOF3KHy6Ng53S6HPlT2ODuzw/AHsuMY t3AyaQpDpr4neKgCk7y1m2j/WIjw4/IzGKMGZHkDCGkp8pCCi85GVb8gJN/3qwZvVPdb2/Gv6Smd CcJ7evjj5omJOOa+vzma7Xi6lesYgCz5n+WpV0tgb7NtBpFcKEooo9VOm5Obz5RVsb9tPU0z/8te Yfvm+f+LsHoe/3o3MgT81cv5PD1FjWq6yC6pC8LsP3Ml5zQTDZkBBgefvP1j8IMrA272nkuG1ea8 tXGdzZC8OXRP0XVAGrO/EsPnU8EQV64Thyl/1kBLR2yVY9hTrHjzNIWn/z1EfiMfVUUKGXFn4cSq ozvLgSZB04boVMAxGeffhx1KFhb6T45QX625hHRUZ7IflPbKOSKROjXg614Dn0mXw3Q3YFanZ+a+ VGFOGUrnxo5jsGJGDCnTSQoFqm0TbGAZQCWb8yk3hCRdDZAwrUWOtk4TPexiRewWOc0r06UEGNSs EOUQENpR2tP2hNy4nHkn1gYjc5GpuVJbQirowLHopMJsOPXLbi6cR+DWFILo18pOdinG3qKFHoet HvSVihzZUtyDFnLgsrPHj2yuNeNt24oYJeBao8ES55HuipH4mQIE90WIZiGnapXoj5tDQXeGuAP6 95XBHPtOl4SWS//ASS+Lyh29K6FqYu0v/njWRod6QLGZyPS5rgHfKd+LhRmcv98qoqLQGdNwPbsZ bGkW7FGl7A0+cncLuNCzcd3xir+MCUY6hfuGJjBv9XlYSrQsPFMkfjTe76zzeJmF0JLkewc9HqRx wxj+SRihUFEfl/XQW/qNwk9zP8f8WiQD+/ZBi2KzQlgJsWeP94q2QOiBgd5YnR8nO1mtK2BOqgr8 yT5wTMauptnBKGMKM+fb/7SsBAHJZpUYmBrbThi+/7rDfZdr+bmEf2ObGkivERVj+lSE6BevVPUq yNC3q5h2ir8CVE0xg+bDXbSrjAMGPKdqFlePCdSnJ2CC5Saiut3xn2zBfFYfMLerRlafZmwGnDGa uZHM6D6JYRUZPzLW3+OE4QolOnR0ZcgOZxkLlxMmtlmPNgynPk7DOAeKWDe39v3Chj12WG2Q7yCo 8EPMg/iZ8puldpP3I27lDqDH1Q0REvHdcVtxED5el+AIQZHMXfWHgQq4B5eHwoinyQQtAC0X8suV rSJJ2YIWN3YEl5SSfS341u3GjYh4RPJOpBa83NZgDMaxdk+CkoMSTBQxV63ltjwjpbNVUMMve2rb 9BXXiL3dlCMQkK1QED9sJFyr0gopG98xLzBeKrekYVDziPk5S2g9ua4EiEI1SbY25DUSjxh4ZHnm sPHEIsr81qX3pK5oN6vWs2eKfo6Ley6c5mqSARdxvCAiOFUgFPEF2v/7gICor1lkNvjQEAiKry6g V2wg7H7cMX33tC+R70YKfnjb7y0r0jwO1uhq+Ng+ApTm8q0+51UaErK6BfCrlxhfUWgyGNlZMqoE jH6aByl6fA1BlDiJOU/KNOG9vDpbhPDbS8FjymDDFvCU7pydLdTPM2VV7n1rIkgVGmHorsp5q3P6 2NhhYPbMsZ4Bb1dwyhOqCz14cuNPzwKxZY6h+QWUkbTwk8WI15yH+Gn4WNBaVX6Ww7r39tpES2Ez xhgpAJpxvmT6ndXKSqqqUm+igDy6geDbkEWq/UJynTCnN+8yVga39xQFDiDwYP4t2zbAOLci+9pt RxkK4KswJuchpTtyGjRUxiDHcL8VgbZVztuuagYJnbhhJsGHjKxkWba0lGoA8OfMm5H/L05rwBOF 8QPXPXGg+5yIatrYgXx2mYQmOIkkMqIj4HsxfMQh76fsXBEEkmNH+nlTDV7UP4CAg8Q0HPYHXEiM zI1wW9JPrZZC65HedO0awLw/6HIAbJDl30yOGfN2EuP8ZLpQvB/uxXg10/oXFqEcvuvIl/6ekNCZ RkFFQEhBU85DB2Lztz0jdf8YUwN94e2mo74CEEC8GFHeC5Iuz7bSFgv44gN9gbgZ1+zzzzGymEtz 53pHFn+oFC8RDX/yajQz3hcDUXG/iq1XiPbXuzzWNrMDqNE9FSznizp3tMv22miiZ8TK6o/UjsxS 1+/84GQFQ9GwfirJAo5q+TIsOVwnLQF/Hwjj8aYdcChLEkTDysv6xkdXdM0vgoo9zP9btM9Lx++a CIQ0yPlZeJUyFyIIbWNjwVRvTMWJvArBdAtzmEUmNUMa1sbLTZQpEtwcoBnGIP6fepYuLlJCouWf tyI8qPhm1dOldKmt9FZcSCSk5J5Uw6b5+orPyiEHNGtp5de8L0wbJuvIivd83I21OIyIubMi0qXn 0589S+TfHDL5K3YVPTQWNMl9d2zU7PeESx+Q9Y8eO+/YaQJjY0KQ7V7PpcoR6R6mcXWhgM2ONNoG a9K7r3srkcLz6KZE/GjJbZqS7P2lDwMbvngcyaLGzWqZH+F/gj6xDTA96xxk3H30FG7i6QpiYr83 FcxhtDShxportcBf/aorMYa64zgFnJKs0FGlqXakVExkl+DN48gRCXx4JAOYRABUYUMtw2CV3R41 u6/vsRZq2GkiT0Sggt+QnOSw0LP8CSgEf2ZWo2c8wJduG1Q5mvIi358vf5XnA2BtGQEUatEbvu2D HuersUtNkXqUmHcoNFrYEhwVh5mqdPRnVW+MNkPfEdvJWBCb3XQLItCwrnkAMbpPLEALHKbqbWVL WAGYPs0vdY810MbCMc0ATBYcz8z27hrc1Jz/0WbQJJ0jQgXZjNwE5DKDZI4SDrihWesk3Z9LARbi 7enyZ5aCyVTY+T41kyO8EOtAlKcFCSCWc6/wePl5CtcFRws1rWU2xXqAwRcqvUBU6xUtFITpIizG XX9FEWnHDIbOneaQulr3nWE3rPz1R7U6D2D+XT533oXCHI8blYftmf03o0oYnTLM/Yk8f13Q82PY XvSOzQUtQLLc9xKBBBfpZbdHv5tuMjNmF2iMM513qPXigqeoikoQgMzxaTr2UAgfHwPwNq8SMlq7 B/bHfMG3du/GfO21bXeqzvZmX7WpFkhNLPaQMb/bp0yaiR11YQ7ZkzLBkGAijBZaZkl+xGDk3ite nsPjCOxlOmCgF7YM+moyYvC43Zp25aM0CW9sH3kHcAZ6bGvwkAPKCUlU719v//5/4RGy/YKfugo8 l4ixR/Ss25pGhSzx+sMfJugDTWwdXr/v4rRHzSf4k/2ZhQPLp1W9+YoPR8XSGryDFUDG/+Wx2ABS EFYA6Z9rOgYYDAD2l+3uvs6vDnmHIrvSN7A/WMeFYEYlRmNkL2Z07JBLX2zcOlgnHs2OEq0jjgmT sYjDkzb+SOMBR6MKl0ArsYYp5iNJAFn+OURe34PFop1mejhQS+Ajwwxf6IrGaHFtMLAv9hNcfJ3D 6tE++u76h5Al3/OzR+3dpQhS3tBaANqzOqjXdWrqbxLVGUJxlKjOV+o7b27bB+PoUVuWY1tj/7je MaXXmSot/QWQzsPs++Ehl9eZ3YxKzKWiRvzQZ0eltOStG3CuqSzIjYs3LAbjKhygHJ2jP7AhEeOY 3icnu0CNgYV/rlqQzRgkJ7BEOYvbYBPTclAWldm5a+7rQClpqjvguDYQDeDCTKlOQlKCiWfug22L BhPS7AuvvPCekshAjqOVaGUf9YApBKsV2Y+4D1ANL6nHArtH9D/u+8XldBhs/1uMPdnvhb5qjhcT V2s32859Y4HMcO4MSvYngnEmbXJqZo4S7Fw6c+YUkE4k0Y7/2pv9eqbHOi9oIXOElEkkU7tiCqxk I/sqqQcxkQ5IZ6SibmHPT4P0zBy2vkynZ3JDfBEmpe9YKAJz280I9OVaEjhU0XPkwMmY+UDiP36M B//CcUWvg7bwgdaHUkZbdy4XmYDhjtFpCbVJ/glTLypX2FyEXuFzWRuhFGU8kKD1BDOL0HJIDRMi RFjlO0paWoAtBUoScMfaeQdjDf8YVbSjir8roRpzoFUIO011oFSiT2R0D+Qo5K5DoJ4siLjc0a8t 6b+qOxUJbPYTs6hCt6l7XvF4SudFo3cvVQ0CQ13IRKGoJ4zzF27vGcYBRgkz/8nVGviw/wk7X3Ah DkJxAAy5xftKBO1/1l6Mk9FJULGU6gWywt2GDF99B/t8n8QHaBtQUS8tJtWw3cOz3bDqf0q1/ALC ++RZqy1vkM9Ko61Bd8hgbTlhbDO/7pF4CSWoubwNyxV5NW/xOaI4eL6145Oh0a0sDoKLrc0pGGaR LWAe/5b7KbbRmOM9mxlskZCX6aDILGqsX3PTWpxrUW0l8bH+GDHbs9rQxdmwFAfRRtm8xh++4GsY Uh4UV/ny5QjEMbwN2pxU4y8e8ffvv8O+zg24t6Kj3SCtHKRGRgt384DTVgDQ2Hn5zyktlPOjlhZJ 6g4/CbMnRj1QrVti8yJSeyJ0m1f/V2EX9Nq1XqBA9PgRCYlHNtpx0hCVozhsvgGZuTSqX/7TSRzR oHCheL0raxuCplcvqqv/zeZwjUrcFqTr9HfU5tB+k9jPnnr721X7mmuGfwJsRUstLwIDh62XBWQe H0S7E7JeYBHDUl9M6Gu9hTHuWHGeqPDUte3DyA0DLTc2Tt32AAdsa7fbGPwuttGVWdP9RoKgXl3z +X6B5suSprrzfvvWtlXeifQvrwXGITkBo1eNvWuTGdymAEGO90JTLmAIp/PgHg8W962xZbh4XmcE a6fq+vBu782bHUfhToCAXu87veGQIioGPndRaSBaJH0X677IziKvOiOIkmi1mh/X+B+mZgxzFgJt CIW+VXHaXXk5f4OVFH5PtIBlCocK9Yw/uTA9O0w70LYxNr4q7zK9jT51enfDErpw9uVqdCJ2L6lG pBjxfbbAGg51DYexwoq731ui36qm2Ue4ZDxqwfWVOXI42O7Sj7xl8qWNZu+ygG4a9Y802CG3m+B/ +pUdGzkbuACp56T8KxHdUbMbBvuLda+f/N8zO0ce+u2KoaGUgpuz9mewNPJsRfGP2bs4nlkrti6+ RC3HWZijWTdoi0j0YzEj5cBkjYNZe0CV+bykCoG8SRgL10kMICZ+htptgV62mDli0+27g0YUtbFk K9J+azwDWI8eaIuwFjRaBDdmUBEH1/hu9cKJd9YB66uiPOhkjoDU4jhhR9LGNHpsJtSQ9Jm+xJPG sWR2mqIUtZOLYhT2QH+x4Wdytdw+VymzwVmvbk1MGzjxMBMgHCwFvYAXftUtDq03RIyrTrfMl54F /+GfSPdSpCiywKf+nnKqCnP9mp2RWlF4IY6HJ5obznR0/ZLfBxnH/2110e7c1ddqRotCtZblKQCT OqUzXoeMPmBHv5R/Erp0CTHaaIyxx84d9QrpumdbV18Wqy9/z2sI+Xt+sWU5phsza1Y1ApiHBk9q fwbWnTdX7bslKXwH3EdAG4p4QVMP/2mODhvv0jHeYm89deiAavtdbGfJlbj6oivgSzkJRJ8ohnbP j+HfCkibXm0E59ToyHs74znjZTKGDMbHh64JLuqesoe83oK8aWi3mIxjeH5ykE8tEAFYBouFDOqT d6JVaxlpxfuDeLzmBrvbgzhmnhyoMJVc0zU1rNxIeQVNGfQCz1xk2qSzMlggM7FmP7REOfZIgEJn 4Bmb+Y34YF+YNBLl2sDQU5hTjT4ByM2GXjiXho7YT8mH0MREtATzBQRmvGOCbO4SBoeeZw/DDCTc VUjW1RFPTUxTs+/3wIEzyttASXVQap7Ya3jfDQ6SrsSKxRDRI42WePH1gsxDfr7Yc7DJOiXzXFUI +CoeGN2IhaOrzE7sW226PZt53UzoGzWMYsQACoaAoRMnQuRtn7vudhgDhZRDgWgIP5fBPw28cBdK bLU0+k7W206Ysd6THyNFLrVyol6LLqxTWZTKsyM+EtdFQeKQjPeOddU9rZ3h3zbf6o+4FQiKIV7/ fCoI9Q9O0oVjAx45nzHNh7F71y0c/mfjJ6HxZxKObq3vPNRD6EnyIAeTivowoUkgNy2A/GEYvdve ey92gYzWyf16cLmtjd4aIa5ZYyMO4Wnal1LHk9CzDe+oswDGd+doNd+1c52JjjkJ1nivVwNPR9R8 k5ykgLQYvGkwhOtpeqsa8A8hjfclcCzZ+v8bOEDTwZw+I1AHKfprJ+aw3LgLID2a8jZktxwR8jHp SbOFbyZkLKTpZt2Ow8ZPMyvDN3IUmCUeLOOJRag4mfaq0bZ19YDLdkpvojdmWUeMp1W0Jy6sc/th GpaqOqQhRpr7Td1N741pppskFrMxLRP/GPaI5qgllDHRF+DJYkRoekSLwao6eqS7QQDmcpR1qj8V GpbYJcoPOL1om36XjeS8YT33jPw+ufnWGpL7WSgWHM6Uf3+sCEnBZEfAUcGREABSs2Avy39FHO4s lnwE3kaj/E5H2HrJWCm6aPnJP1a89GOD1mw7T86H0q2xh4YYGgmldcfjcxquN8Z+I+pTElI3lS63 ES599VoFueXL4cXKfHFvnjWBsfpRIsYms1HTbgbeQ9ajsMbrlAfKKQy6Po8Uq+uAbjfUOzbe2WA4 X2YqEowPfYytK4P8inlY2I81NF5/Db3NPRjnebCmoH1lX++a4YShhokjcSkmz+rbGqXH0vFZ8XA4 qADqX9JhWKEf+kHRUOf/9QmR9281AfCyeIB84sp1TLFFcYm8gTsKSDLwTBW78BlO9Ymd9Pn8hucW VXA6aIAHmxPUnViG3iGnQgDTVvyDuR3ETB9p2lDN/AZgZMr957A44uHYPHdebJwo7XKvYYZO9anE C5zCzkAegyVbfOxQz1Rh5f/vCPTDaOMnm87NjLAKEy1TRJ+0mBgXsRDfSOe2YuDCxBVKs8qf0FVC prcqNhqNoALtLbpcjgDbbCqyZYveJ57yWQbb9y2Yeo2ba6LB5dvQ3Bg3W3AtQENhQwQ9cYLslAP3 V1NRiS0ft6RPvVK/TPBVGCpkeoMlevCZnYNVWNfqlH/nfcYMsW7+oHCmsmPqKN4FyDiiZ/Yexmxt bRU4NZXchnj9S9rYZyYS/L2l/+Ie6EmJoQREbe1MIJZHQXjwmeDzOSKGNOFju0DgXnWKop88Hco1 8AnMqdLeEsk5NjMOXq9K8zBBy4lzlJDqJeTQDpGkTFV1Xhv1OfOxpbo3elB8UoNxeOpD1O5bdfN8 eTjF5VRwG8Khc4ESXjNOwm6iHVrQZyKRCbKhRqPakUxmdgvxR1DcE4SqWOt0FjeLmXYzWRTK6PEp yYgiGGw44QTEhe5Z7pm2R6ExYh1ChixtWfSQtVIWTcuCkn8zCOZ2/ZbdVAdXW3LMo2DmwKi4w4mc 0rc0InRmuwv3+wQ8hptaJK6nr58sjzj5Ez5r2G+JJhhjoWdPNq2DJ16BE7xGQx0Cuk4ICdJQPwqJ xLQ35FDqSFYutRNFoqqrYTDgB8RE2UVtFNZiJqbtPnsexipjE1SXAHyI16aPErg2OB+YKkCfOfHQ RO+imHEKTtoqi7t9RTtzLHBcRkK5C3cKxudPlZlTph4Z/Hk8cnzHztNUIrn1/c81LvbqbbBxwisu xPYs2dwTTq2expKgABEHPZc/SIjlDPsrW3aV3vPFjobKOtM7N0l2+vV9W9lxiKOJlwNXyZokmPQc 7cPvC/l0G2MM7Rl6QZZzoyyiHS+K4ceLp3RKnx7FeMHtdxY8Ieump4kRIpv0isld2nhEsQ9YfGh8 p66u5B5uFS2mFSUnu1DpgHS6vBvjKVZGk5Odyh2a7WOj46TXxqdlG2NjKDrkE3q4VRSRlzfwuXep zwk+K3FM2/8LtjRyydoJvxv6qyTN0dbru5/Ldvutb7MM4gc0IRDjyIC48TbBwJdirIwfun+CJrHg xPTD0YhoI4D6U5fxRvnmmEC3T32u8TNhaDiPUlZa/wtyYRWjC6RhyOQqaNINfxqDQ4ReuBoAlo/x 3A/NNtSCqQwGe6H48acIwCYaw3+h0CGOPLjFbnLkU42ULcXg5hPEDDNBLmujvDwjrnFAkWtCS0w5 3NXMxEmSREIgOik5wixFOyHcc9cDquD51bnhTEJ/zW1tKFq6Jc8DSt/NeyOBbKejbEF+zrz2MOBC IsgQmGgDXxg1LvYbA2WvgAvHmd+kXIPa5OYAZtTcbFcMNRwEfUyfLwo+nDs/gwn0PszUKFF7z0VM iCBRb1Y7/5Z9HZLPFX585BF19i0JrkOsvy5ftOfz44e13dtaOuXxNt40uuZz9euDtiPCBqMJBkcS u2W97DlPfq6DGt5rqvyFiw4i3HomG+Oc2LPyf4IUhpLUHLvUllJILPRZG3PIjD3xg++sYx1Txxw6 Yi9lV+6iG1G/DN2r4W4gb7lhofHAZzzfCuG7DJBPbn4FPwQvByPJ3MLk+1yn1dvRDY9Brcgz/eW8 AEWsV884zkHMb9xvz6Apt9rewS5oLKeXKBmv9yrZFThX8FvW/jNihFlwoacvH6IRSVEATbM4VYpi iZK8hthcQqp/LHq8kS9XmPgZjVuKxRxqLndxj3AYnv9Cll99nBcIOpHDhZdAlcWCqQPAjeIEDTmK vgjhWVTwKPw53sU+RaPgEk+76wh7pAMfa0eiR8LKwuuPFO/Pl7qDu1T3DS8uezFzbmJhfUGhVyc9 juH+Kmu5f8qUogYknPLQ0+1hIZ0Wpt/yBysGTQR7Ekc1yRxSo6l4PxrrWC2ocoNfOwfXktWu/Rln 8gHV8Qe4dvhE7VLPGHVoq2NmmX5h1wlVG5FnRxyK0M1UgpD4QTaJIZS1T7pMFfy6l20m6cYRsPvC rhjd2lxkAs3+8F5hXtuPuEOI+382xU68e/8YB0/1CqjDS/18WxJWotNgoCOB5XH1J8RNUvJbgfW+ Rmxxegzlm7eOYUU703v0Yy3ZHmENf/eI25KMDJucf7VI3gTjwPQG4jLJd5ycFDYvW/iBwh+ZAI81 KdjRXFyCpQbywRZryoA00LX7Er8AF1AunEUqbfyiQq+buZo1UbW8vJp9OA1cJ7n8gedbGu/HY+V3 eMXsPiK+jxiAw1Dd+A1cn/euKScyHwKmRiORPBO8oVhNi6yxKkhjanJ/yX1LDezVOQZyqV1TgKAy i70R1/WzwwtCUF08bCnPkQb+cjcKOj5YAxqLWSxVlBkSzVcJHsQ0BXNEHbSQPUdZo9b/sHSQlxqN LwxUfUuum+bCb0hDiCCATA6VmlM2Jh8WroFTNEA3A6s8lxdWhB9HwyOURx8O6Pb2nmiggylitN/K HYVCiB14Ysdpo+IUhjk4y+dHd9xipvhZpFP7MEhHPuHA4FYGAHO3oMnd6s+tedlB1Q7nbW+infZd 1ABxvN4ydHlmEq/wzvwpwcpZUHC9Kj01uQULD5gbp8vU5kBQqF7BaH2XAM1r+/5H6+vEsRrCktdP JMWlCXBaQVSTD7uoCJPGA8b7sc0/6UqN0Dn60d1lZvisk8ysTTqQJ+mTPVYDp95HX33hPl0L97uz oun3aZ1JnuCX8XwrD3LelAO3Gsk4Z7OxCIsyn7MoYdI27nUAKHw3QXtZVq+l1LvUU8S2UztR1gc0 OhB69EQxHzfvxyfbyddU1oZA2mGIZF7QiT2v2HX4OX6HDmUglYn1wmVtIFf12CIN138OaVJByDsZ fmkiD1xzopsI+9VPV198afaufIVESmUcFXxhusJSN+i7gENcnmjuDwX1Kxvx/k96OCWBFOb2OpqU t+EsZ2OEIIFFS5NKtdtCe9f3QN1CGZhSrabt6e2G/hz6VvxNRE1HnkQtzHvSgsPU8LxNqxc/7kGZ gKd2w4jk90qAgD2dN8nwAuxBHXzuVSq2byGgduMaF1XfRcn9NNuB2IxNGIkh7lJh9ITcmLIeGSYV 6CxfaksLa/hrEY1j5VJzOT4/O5O/hWe9qm5oEBPXK55jdOhgNrn4Q6RIquW9d1WmEMDA6rOlmZ6u 9PJ1gCX6OHU2c1gYPKzj1z0PdEJrlCcyCfOo1kqKXYk8YPLyLKfNyMkxfBuAWHqCtNeJNCI2XFja BisCgseRu9l98QWYJSwxjfJyepiUeSzlA6aQtomPf6ZtIktnEe09gZ8qwsJE7yk2gwby9VThZ6/z GXvQNIO+8sSh7v5A873COOZ8rWheZXellpn7sgtoh7pGcwzMVlcaNnqWVVMSfnvdlO4XXxEvqMY1 NhxW0Y0XA4lM4r4+l+9pZS8oJyngtnJOll8r2A8LlYn6oPsaUfmI2gh4S+VluFywWM9zIt+mA1Ar y0mVT6c9qFDWBLCSTmLzBpVAFOEi8d0tMyujTXSxvpgOJdwWuuy5ziCfAwbk+cCQvcb6fPjVcdmq IaGnT+BGLy//Cg9Gphkteb43KG8nWNb3QWmYWy4s2gWrqe9gNMkpZJoOjW7MNscQx1q6ou7APW/6 9Q1HmC/rK1JIaXt36S8u6p7OHHf4yMx9V6OjvxvIdWFH+Wn2lzdV+XhOFgLWSHCSes6ADI15t9Oo a9460H8N9osy9fjhUZZXicTOdQED/P+3MI+nxDURa7D3NKaNZlVYhE+J4BPS8w6mIhr+3NjrnckN lCYWn7Ja19BMJ6t6neujONHurpwcLLLFsgv6XiUv865eYcxMJ0RTCXV5yrbneuEuXjcPsvRTc9Hu ecLrCME8c1vwhi+ScTo0tUdU7CDrVomykh6Y9qu0S+oD+XZMYwzIsFnfMP/l0JMe+bN9eazZmsjG hEWH91oH6gkOwAyXB2mr74VxyFo9evDV6Z5+I9cG2NJfC7SHhkti3PxEvNk2PlydalbdlL1slIZ9 YdQUhV9IbLGAGpVlBOQyq+B4LMDlDGtmkW9/uB/xZNB4HKadctV5FIWD3/uu7ZlqTQsioOr1kCRQ P3IwvyF/vX8sBjI6MlR5+7wPVUooirIrO+3+598UdQtHehQpefOVdyze8iUTi6dhY7z7w3v0KrE3 Vzwh/E2nTZDTrs3ru2sRVDESLY1TUJ61zN/cB84cu0MQbt/eZA4bk8Mk1F/xONvvRbieT0IWotys zByyEVDTuFqVpqvRs9zpDUUck9m8tJXld6shA0QpEScrRm3s3fGgDIPEd3UCwPH78xdomv6z2O/Q hkW3CT/FjC3jt3N8SDr9QGcYPUaeFaC323Hsfxhjk1aSpde7S+QYMUcgc1dTSSfDsR47rJVa08Av sxKLuPuLDzy2uB9H1pQprzpZg7ZtJx03or4GJybFst+0IdZWGEyfxnYHNbbkNGWZ8h+sjJz51psI mIUTUcbN6caymOSAfsFy5ajddtioLLjSBwwXWPPHdUH3/poIUIwl5xZJjXjyQrLC7eBbDeop3yDR Ju4CabqhlKghc6wRvbkMX7Nw8pY8oUv1LWHvLvcq4xFXJa7UEpEMad5sy0faUCkUBjBBzOizEMAu u8HK+uTd3evwflrc8mnXbXUhIleh2+OT3E7JiO1rTXwAn2tWsPrHPKND0kxTK2OkbgteXjqnOn8d SL53LH7oUqjcB5PP8pQ2bzaCx7W4RfXgp8f2jH6wCNNC+xiwSTy5thM06grXBS013OMWwqmzwkgw Ig78mO3GSrJgfNwmPzkr9HswZC7XKBBg/9mTAieF62gZPOGiZY8akHs1lKAaXHg8/54ZgqNmVCy3 ZTFPPAhTaDCXXt2IZpr6S0b2Hm1RhyVgP2O/6W50caayeeNpxPJ1v+t+UjoQQuet7KppYsUTYEUq eKd6DlkRBh7HNWzCTqq1zRE9VYeVHwWms4uKdAgrC0OioyW2DQH76wSsDLziQSu/gPLGsUuvYZeK 63jSiha9sTbmCwYMsFifBrMHyeFrwnotVcm5avD72Usdki+vxDP8bJ7x9gCg5Wthfvc8QnsC1WH9 GT0Q3sByzgHybGX+SN1HUdIzIFwKDazfJqXdZJnp4TLuv3OXPk0U9d8e9wPWZ4tOTJaf0E4JZeau h4FzFluGMGIyld65KmAixcKH+WWvb0yM+z63Ew3y2ga0m+G1DiXHYX1ZLEQMukn8V0k7KlvniTwS r/Mt/QnGdfatnZTYuel6A2EAtBvOx+IB7DnLzOXyctXErM9Z23b4eqMxSBHb6GLN2HF2s6WjB43V C3fm9MgcBdRrUU09ra/wLwR3Teku+F35x4QvIlJqqZpna1UYaTdPdcJvc2wUnc73IWMg+l2B8RRd PhjbJ+aHMXHTcbvCUG0Mrz56iultL9TojuM7eWfnkeVD8zpvojvU/2YHMkBjBYP5fHgMxp9t/xqZ 4YEj5jv90bXkZVh8b4aB+uxphEpmFBVZr04Jzltkuc8VMBNOd/aWpPWkUIUvtTzR3xfcAEkXxtAp XUs1obdqaxWcwC1pPM5k5RdoGnoiXtLnd425IXx2nkAEmKU1SLh2KthHQ4hkXuxZf3JpUuH5HXrL scZL2xVPFBpw8ctqzEv7gYCEodJUMJVMvCQ9vo05+oH9DBx0se5NTj5PNt0rUZcLp07GiRA9LiPV A67+BM2vqr91swxCnPjLUMOTU4osFCrP6zlGOZ5c7KmBZPvvG9WD/SFH9z8u819BTl23ZPMWG90G 1EqtXu868EODjoMVmqgnNsSPWjvj+K9Qaxge3cB1RXXeajsuTRlqFjuOTmKYcOhS1+++p2nba36s hqhyeeomlp/17K6b7E2cNYb2rijzccptRP3bZMnF47UMTBNcchfTuiskg0hOXVTqMW+sWdfBzt86 /bSkDnQajNOyn5uTj4ObfhM7HylH3TsUcJBS+PUMui6ws7ysnaYJaZZ+L7sG633RkrkifZ3ghMHX xZD00DkIniBmWhun9jAMou4Xy2zz2aXor1YPrSFxfepHuWHkUPNsqO814ahZyXUsbhT0Fx4YmSds gmLLvXUDTkgaQaGAPZrx1IuMioF98WGJTUOnQKrP62bZOYgWIe+qTcQicuJz8qJMX3zBVC0IzP1g x3eojIGE6UJ45folQnbNVetF2YKf4eAnrYuHrtOBtQ0kK+E2kZ6r38E0sVkfEAfJTEdRdrBx/W0M WM7Rb4jboYtSonXajNxR2X4ApTniEWmbXJlMyvz2X5x5mCVlFdeC904XMKJHMsk78s3Q48pEFcgH PuvRto3XSCLav9x3VnQr5pT2tq0G+HlAnnhQk1qCWA2qcPDPTdAb5aCqn6GZKXezwiAMEYiT2hlO i4t6qWm6i4WFpwl28ArBhHvL2A9lrWwn2kpxkORIKduWA8/irpvQbGyZ7hUbQIZju+Z+iS9X6PSw i+AIJgYH5zt9LY4jH89mGMKTjfDkFv+lkdrOCNZD3XbeecoR2rpmYImniOJLqAg2rE1+jpySn4Iw o6MnahbSXCLZ9G4cJfW/1SAvtiR7ILoeIqPw2m3IU5NDGCBfXXL8pzMox8KJ0O3NVaLnfRsU6cfz aSHsoCCXyjydODN2ILXP6AIpYr1bdpenGl92V5V2KBiP8VXXzcgC2R6JbCMGpkxQfEvBM/6DZCjr 3DUuI5GBQDiT62bk+RLP0Y5fsF6RgR9TTfZmvB7Q5kL3XhWl44n/ohAzz2Dv9L/l85teL/dR6cXl 36J6qRgLkjI4vXRCcKOSrZPAUR6M2Oe1Fsuq2yflBSDmocXISDowU2pSPmjipwvUfvEIOEc7GYzx 7cYcViQXQ3dKqRZJdAx4YCp1EC6ykNwsP3v5RFsYyy01Q4x1AyIlz8m3N04zerXKx46C2M+UG5ZI gx6EsRreu6uj8ha4efwKB1KBnFYEXU8LpvLt+qBsz6PpSGowqOojuH7OOfq4KfRlp94vnHxUSCB/ 8itQv8dj/sNNjqGKLCiDFMPWylMeO9pv9YMFcDuiBWfSiBu6nqO6qx8Vl7bgt2WnaUBKvIJlY3SF 7m2QGwnQgLwTqUsH6l2hlH91l5eAZfH4fbxmfwBFhpH0Bbdhjfk3Xt4EHl3fViBCNQZKb5+cHHfR frJ1N9QKXJTU5Jd2SOxSskc1TMlAFGEJPgxwKvhVZok3D2hxq82Moj8xao4deixt2wPphaB/a3yE GjcQiOA19Qblm8j+Uk2OHI5CVS5FmWXhx6I/Slic9bxMzcvHrDoWqU9MzdP4pUQ4+WppqpIHUF1N BbIbKVnSns6xwt2pSgYhzyghbGINe9iZszjfQsG1M6X40eIbNhLcQd54/w6CQJdrNffiNOVd0w3Y GwLumwYAJQ7HQkrNVgl6cAzSv6A6ieZ0mZ9jj0GsBWfPW4EgVXiTd8zcVkLhIOV8Iwpw55Qka5QV pbypnUHnuWzk4QedbvZYiUrCaxooYZ2KxCdTyM/4Ymt7r10Bd15plVK+YyFX2ZH1eBNpv0z27NQq gbqihSaD9JGDSZXqPgdkNdL1HsF0FEqDDraQpjYfcA+tzLVD2IpvUqvnvovkW8x1JfRn6/7mHIgg bUPb3hb/MT3lz1YrXf7m/MkAmd37poZ8O08y9I6Le90lQ0kYv84+fLrKs48wMPMrtB47xwnu8eYb mjtAV6ONiXIZyZ+lMnYXYRgmGg6kNmZ8h/p5F7NU5ddIjG6GhXgz9qTVgUzRX8YQRXOHUQTJjMf5 hTr8r43wzt1b5go2IOtatJCqXblbAobjpTlTZpSEihi61ZsPsoFosm8NI2utPwDUQD3YWpMk7mjv vQYhY3G74D2nBcYs1s/E1AtpWC01msBv9PtRKu2vuhcaTwROiPv2jADKYYYRKGD4Qe+mMNnzAlvN WXX1LUcsM7N5ktOYVGdqyb0mUFchAMbN2EgLKOqVfmobQCtNkbCK01FJq1dSHCvGKUKU/zwJtQIk /Id6lNMgpD9GhyuVujRQAKJoyph0styHXIc31xIS2bQS+tN0+ztUAfBPfSVnaraswfOJQ6bbCBZw hdOY77xZRsQCyxVlzuctLrIwSYS6STJRwsyau4nBYjag4wtvm/nfENvGhIRp0NUV2s0Fd3t98qjM BXrlWjplhrdV8k1NMS8hlXp5L/tPeC+FWoD31agqZCivGGHCj5OVxdvUt/QdM+khJMmN8NbTnLKW zfBlmd+SntdW6mRlNGhQ2zKMiU8iE0zBvyzHSWQFJ7mVjF7PR4FHZuU2QM0kdBdLUADZTZCGDu9u cg7aCd7CBSskUpXyYeV4d0sYkQs1bnTIYIvNvZTV6mfD/ituu/5E5XhmbyCIfrpWsQ6iXjovNYMc +4iOXBR2OnID4ZTOf83wJ8sZCl5IjEw8ATarmqnuLih17oKhSkN2znAUqI2VNlAnEuz20eH53dxu 3F2sslB30aOkbe2RS2BM4mbUQPjSde0Bsh4sJfKd/FVX7HiCATZ4Ct6cdT50q17W614L/QPWieMi yeBBv2doG2/vbFefmR3/XEIoTzi0U3Iq9SSRSxmxFCFnlNBPgFlBjo7QdkQZC2VpRFBPTApYkQnq KBpPD7kG9K43R+qLQGpzCrS2HjSV0vi7zT7OEVHDxhB30oBJpj2NQRj5oTcwvvd08oUkQTGZpmlR sO/pnJD4GFuFdMZnJO0ewkcmeLryydPtiwT+b8qCcn58OFV9n1ykFFpfDBYYLyU70P6ZnQ1xmi2I EC7o7+IKBtWHZksU6qMNOO1e5xnBh2Zt6zgYKfDS0f1nXXyiO8KcgI/q7s4a5EUWRYN/ingxBH0l +eWgxa9Eg6lN0pQ0dxOjX/e+poTXxdmBpbjtkNg+5nmlT6klxNBx0QQ/cG2bEHkjgFnBsqdGD2Po ZdiV3elqb7V/tx/2nPyONczZmoh5wUmFJz/UY5Il7LhOcY2SWAHVH7HIVSsbx2kAkfZSIPRtaZ2x Wl0isxfEA4QD5Zv1lWlqMeYcVdrIfv5I479S9cIS/cmhbQMD2bu1N0kX7APkUVmUgY4bQdYp/xYf pqxbJRi6gt9PNthHtnzfYD2MD3nsEI9VhLTRmSQkVCSjPzjvXTGW8JwEfTCYBDYlEldAldJ4rMMg Zux/pss8dGfBPq/Jg42PD7ihQ1+VxoDRIiPNKxNv3R8AvF2GeujFccmAnKmHzuVjEiyQ2j1bFCLn jvKdyOKoXzla2j4CrmlJge8hrKsle2Uq1056LWpII7hUqE80wXLEE6MWRsW6RN6USOD+66SG1k1b +tPx4gSGmx7PTTf3q4ututUUcR/FQObE+2U31exRF1ebqv/8v7KrZE5nCVuCMODqBvtoQDaR6Dy4 MKwq6wA0rUhwR05kuVRVhMuthQJxe2wTpFocJHHP/ufd/yQQyfmWJRu01VuPNulDJMWw4eVGg87S oW8XjEs3gQFFpTAnWhACAWcqG2tRMVR/9mMLnbXlrMHLFs5ZXdxtNzA6q+pcsWbAL9VEGNm1uu44 pQKhcwgfdmENdlgncDt+mbDHt3odLrC4UArqFMSVhKF8b6F53+nSigqxn/J6txTp2ERqh6eG/S+R OU/k/AxZgfBVDIsST7Y/Jlc9LqvrzhWrDxyXsCPvqytUtNt2qjnnBDqESpumgHCa20TyCUqqJChO +OYl1qH6Au2melAgVBgSolSje6ffwn/PQjtsHsIkXCSE4QqKFCXFTIDI66bWaDt/VFLCMWVWdWrV fkd62doaZB+6gCU0wGA3rgjTNnALpTzDqsC6KJXU3OCFzgL9n0ladLBsYtpNQaOjs6MFXvXgpmHn IpeNeQ1XNLe0ZqX6lxtb129QjkftSwxXBu0gEHTx66aZHO5WZUr7YGYQUsdrsQRhj1urJTz+6lwR l8D1TL1BO6dF1m/2MLOZvLxxfoEunAVcOyU78GHqZyp7bxwc/JVv83BYuO2vuPGvPxKb5HEpZILU qE+XPEHGf0JuwLZT9byA1T8xvgv1lwZZ7v5yiq8FIJu/sd5CxlxWWRDq53THiwO5yO2wlO+ZhrMV fllB3sXA8YA7osgy8+InyxXTBE0ZwG2RzAgBg/UYPsCmxVNC5g7H7Qt042d6SrsEYR+wr4bW3Fdf MYWQxLdGLQgmD05qTryYdH2N1ZIp/RGyJvk1J/qVi53qwJvChvCWC5I2YsSXxh2H1L2dYCWgZdOq F54JuVxhx4lrNtj61sJAt5t+f0ImszF1eCru7IxSJy2NbULwOxQeY73H4orA4yAoIIGpykn3pRu6 PMJWVBz526M14VMy+NrmYIn1pdKmh98scsPWgHpNrR9x/sLJB5qHnca5EWbgH/WC1cXB8gAOfz0M Nbol0gnx/Nz8q08g8y5EPxOY++3e0LJn8gCTOA1Ni8LqbAtwHJ57kDJade3deXEoFNJ5RPFUDaFk pe5T6JFbmodOSZZ8K+VGsqQnVxfd/J48H9/h4LS5ryHr0Ui/QbEsCBvC0Bi34bh41+NS6x3fQ87a aW1zOAKkSemzx9eyUdYo+bCsVqOsTRv7JoQVd7DEL7sqITX+4lh0e8hCWu8eSLTZBpSihP4pDdlY GcFcQThh0tGQ6Hl5x9ijTxqSUzQEddxqdw5eC1GiTLCc+cqRQbTZAaBi4sWcpqWnqpPSkTMv1i4+ f1Uv6tohcE/U/zQFpD9Lk8UF9sBAqcLt3wkeNc46v3pHo3chrRBo9GEpVyvyv8TE0Fhxv8wRCFOn ubyKFVjgqRyOvuaoyUfvTnNT40ITp0bJvey66T9CHea/2ZgI92qRnvhcSYuTqhyKUJ3hmJW+SW8M m30DeUAv5oYoNEykkmeZGqYPgt9ZCyKwRDWYUtmX8uikga/2MTXQSKfUCADkbsejYA+T6RJjTf+C giUPTzkMjm374kalQAwi+fh60vRa/r25iexOYQlXHrmjoZLibwpkk9C3fRWQSNhPlV1PVz0gz8CX rKy+4Mmpp9uRX+C3GMB+ZcTcMMERM9OChUSPlTvQBxUM+QV4OhE7JEzba0MeExiqJWS3SJoBSBNK 5+uo3KvbZqfT0OwaJCtI+T5vo/U5prPViYFVPCSPPXApM8zmPV9MVD4ESjOBKTJfYLWCh8ooFzrX M47RqlxiBwTsrHONyayyU85YSRNzTRxZV7lddwGqdiGuuma1iReZyGAR5HnQp//tG1pmWi5A0B7Q SAK2XEGD2an51HJud4qEHzdaJEUGjdO8xGkrJ6iRbuUAFYd+PIJuJaWVMfH+MOgsOPX/xZVXHxZc JNDv5Hk0e2QIazZitUFMPmaCUw4xrp9Z0rsBw44eTHRNA+rOkvnB03OwIMhxzdv2odZpyB75ojvs HEh6ogvfvTDiYWJtGlUPq7jYEs31yat7pQErV4GW52EooJQVrYgv5NtoBFQQTqBgti40BknZ0F2l p5jJk2cNqvnMuQ3dnD6q7RLBVB5CX6/iBxH5l3Q038e+CQShR1/DFm0sD5cVcoK8CW5cPiuTsclo yxtRD0WTyoVdNX6EAIl8++ZDnLpl2/LJqjwT200p2LzHh9fv2yGOfowlU4yTs4NmGYjR4xY0OwR2 tnO5FvgdJKM08MOgOf4cRBsuPmfSRP4zkBBRBi229FlBFOrI4mXwcm+Z7NQXNwJwpRRm+zi1er8r jv4gnm80SBG16/ijFevhx4cdItErvmyTpBej8npEACqfTXnSxI/4jIqX0bmYM3L0+KOrJZgZw+SM QEpCm3RafAEOfPna+VCCBQLYk1tijT/KOGDCW7CDWqaGp3YA9cbnfDNOl9r+yUn12kWJXrGfqNlh 85+hIFAwTWFdld6JnRKl6Kh0wmJut1QCb1+GYz730qU7l024jlRIrpxSTMLluH0PlwL4rq3p7iUh ZEed4RzzuX2Ytpbvqpq11O/pSnNvl/sFOUdspnldKMqzNiVZdUw1Jlz79XuNmoYEILM0fMfW04Bb w1AMBspmf1dMwnHwbuG6tPiscPTs0I3RhhXYVHAiQ4Ydlzcb/fZzpMCmxlAC2IYqZAIVujMwYpNq SgU2F1c0ijDZ6oMuxS3FF1emod3nhtqf3H+jDAtIgyEiCkRZD+i1OGd9Nvv9bI0I/QVitKWFD1sC Ddk9C7CyAFWbbJVecWGctRBsI2we4K4Kg2Q3KBMy5TfYb3BIVaAWD8eurP25O2yTbkN6Yaqp6kHi Y+6mzyat/WwL7MLRY7QTK4M6f6wSnhgOsqHSHwofai3fEYTTrRdbFOStAQQnUpafWuR7i23mUkDe eayQQ+9HehfKt4gufKFe3GjoOTHZSYGSVuq7WfomPufARfukSBHvFB1MfggmIpWnVqKKXOkQ1xBI ldRarUUquQrHNka9Vv7XawKxI3DRNpZjTwtWuCblOWTtizVyR5J2vSnaoog8uImNUbnfnA1UY1Gb QAElPSlO8KbFH894R/lHoOMxBZAHzcq86pBsblKoPsL3cc+DMReBCP6/XaPFqmBbmmxMlaS1T9oy y8aGySO/xzcsHDm2HA0+4jOVO/pQLOU2B2tcws4cGzYMjBZ8cQdbCQzVsClCK1fduIeNbnSsq6hx yg+037ciSe3ThwQWK9AOONGd/sQrAvbp9I/8VmkfC1MvPYzwPOLvELUyDr5IRA73Kx5hdhvAxz4r 5lMKe4Hr5+RdaCuISDtZcc9L2uenhxO7p1gFJfT8HfamvGTU1ogcYc6DS2XiaYWLGHKizv5Hwrns Her/WQarXCJn0JOlCOQqVghg3UQCU3Vvstf8IQ+4muFAP/UZLipEo7vK/lEzhETr/KlNMfXzS79K chNRckSMCAOFtTgO/SQW1sd/RJhC6FSmRipjgZ/61TPSn8it2+zpaK4wsukxmcN9zaXkfie+IfYs XX1iKLSZ+NGUozT1nYWSyhan80dJiVFpLYUKfoBvie6vVc/7ulMOdamOTZAAl0vNrlE2TQofczc2 7yg3f7DZMCXK0pz0320AmGMSdkMwOZFcyeYNrMPAwPUB1ltrN1iVm5kmv0PZg5kE2shE1uEyoLp6 LsekOpC41t0UOKQZJZhgrZvFpIa1r2383jJPR/T+yWNiocTjkRWotYgn+iHITqW5O1yDUOG01GJO dQ2GqES+xhZZbCUtd39DfrygLjrCKZAlaeOGI6sj992alkYkorTfFgFLleBvwrv15/hBTUzWfFEv 3ivEjXIP9kEtM1dzhswT1nNBB4nwsHquGX0eks2tBDGjwf5Xmefn6vazWK114e6M+iy+lEnL8tiQ tKSqUDYZ10ppQKXgAeiEKjeolzfXhc/aivVIXZ+tK4aBuhHh8BrAJsof5DsoOkIINLLj25NSv1U+ HFnVRxcQz7dhd5WLJcMMjJP+jl+hFQ8mB+HFYWw6AJUWQ+x7Khek1PfpsG/TUFMmkeL+IOSqlhix 4j9E2cVSD8CXDQdjyiK3qRfdgKHfNUKVdCvf99mShVqYu9PEeQV+uHaKN5ND74gKgefxdJFQmEiu a5P1acIBgnamk6XKzvMh6YZ0KrjwAQcuoPknsRoHGTXC32+EopHNr5+G7AjCDbNEZZ9TsYEfi2QO EWxXZg6s7AsNk3gkeD/t7skFensbQRvZOPkjWda6lbNNMiLD6IZu2Qb77QJ0zCcH3WK+Q0q0LIk4 8ylaPRjzT74BjKHZ6pDXVp0dH1nUi8SuICNP/xB6FDP+luZv3E5JSWk0OhCxrcjmb78eKcWusV9s 2+PBE+vqHF7YH7gzdHvttCD5NBKKLIjIfJeRmPiRiq+ScjW4Q/rxBXRN3/hYxBuN1e5m7kjyMOAj WYY+3wenC7q5jTFJfSibzF+RhDoluSFklIB4Asf1Cva5cyt59LM/JVy0SJl9kWllTvbPkOql9mmh 0nUMQEFVXhzXjPeTsIfRInJFdJrtGOq+cUnH+j1drYihTyl6xom47z7kvxCuFxiluunCpfe1W8oh 2TX88dv3tpKrxSXgQ1Fjp4QQhiSycIJ39if+vNtkvjVxTV1rSgdUZ/ZluvBk71jnY3N+B3HeDMdi 3W6hJTPcPblO56nZUcosLcilcyX6zXES4soFRZHASgET4m2E63gAjQOsmEnrvIywgw6vgkHjdl4F oPQiXAaErx12HV2Eyoku1zGVwjyPCwaZSvz+SM7zThBtWPJr3yDSMeV2Eehzn7pkQuE9rgAIEVxI +WePdq+dT3/qVqih7yQHrcMiJ1txU1OIXMYA9l8d6lawwR/g9oa7ZkFQPRPFflIYrhZqYEB+Zl4X FjD7gp2Q/2VsWEGcGOQbhyDR7f3MdLZ5MkPeo7ndhov7pSH2z7p9Y2rFjnX//7GLMA599ORLaWQp lXiIgMwEQ0UfuGWgirQA1QDutstqYeVd7I+CZemtLqZ9/aV1xGwxQK4b+u8SRvH8JQLKGb6VAkSH ACPzG73K6jS2d2Qft6zG9TLdTH491y6cBS+23VZgpmWYlDlxvepcgmBBNtrxkFq8YyuvlZtBmmGh g7tMtLHJrnfIwMl28Z4ZfyTUgO+JH7WaoQ3MOLJLMW9BXssetdTDG2o4IETAEjKZkPRGbCmqhciA H2jV0sZcwVswsFJHw/0RaZ8LqwWWQdv1PbJ83IApnW+G1950dQycAtx9dpOlAQoDX49ueuxX0CQx hBqxtgaF0/YTtumKpEr43Ua5wYMEfX4J3juWiRE3ma0YVqirY9FKuHolbmL++Hddc2DasDEPXXGw 8YBcPgPz0zGMvcGFx30MsH2wF1P/iTFkgKGlov85+A5+cSdCHOxXCQJ6SYNH/EsYDyDvO71yFX2t IsYp3HzxPOHYGP+6UGQ4g+z3EBxQuHNqrJ0vE656fsjpB7QM7Lw/GSv/NPp3hlBjlvQT/sH8jJpN 9GUb/UoJTfu3eAJMONm/TkRSo4U2k65XtXvU+8aX0/y+A68p0EqT/4zvlyWkebUqkLXP/n022BeL sb+fVp9NRvFi3psQbi1V/7vr6HY8fKd/8GauzGjdcVUccAp2KkrlV2zSJlb5/G4wa/wsyMDllq+7 cDzwV46dEfAar7gEmWs8XbeOtdrHe/AOruCrzDB+etEFzXImRSeM7O7EiQy3xLcp2cTX/Hm0ljNr oWfKH6PuzJowQNwKzAZswh5Au3HOblOObtjvO/cG3+mcg7M3b5/ShDwGWlbkL4bSLTpxOXKJ/pIG a50PPAD03DDJrrd6hvjkqoPmfLybqTS+9PQCMhcLbbiAlD8hpBvIP024/Qj5OcEMxQflmKx3VVxL By4M6oy3Yho+m1B8e/wlVP0PDpTT0cMqOHWSAVBdiEnZvecUgrICBxoyb2w+3hHp5l/T9XqZLuas QRRERmLiKPu638/vh+UXNR0t9kjZt5Ts1KtM5j8rfWVF/pUjmuoarP7WfhxIcu0GfEZx/vbM3v+o P+AvkOy1d5ui2U/j2g7Pych4rKOWVt8o4YWTE6fMTorjYZwitx09QraYI0gxtrbxF7ZgtNV/eUFm oon3s4PRej8OHY3JovOBsvEbXoOXynzxHHvakRIuXb2kO+IvZhT3QBmw15t+EFAe+IJ34wgC8JsI 0vAB49i2g//X+LqwkDpgAhJ/oy+8ZNSpBSKlBy/Fv93nkN2QaE7SW7uBtoDNaCvE0J7uvTQbip7x 80dmWX0uwTWpFsXoGEuLX1PN13dU4i7GQaj3ii9Qk1l1ZaosaCdYWgdPVreiFgMdX5GDQPlY1bSq AjeQTOMPzuTsriVewv4htdIgY/ttzW5q50GcSV1VrIE82edI9o2lofdUI4o0gnPTuj5LeIBWtZa5 6smAkFUyf5n64jq9UpqOFy9liWVomjxxK9mFJ4Gl3l6iiuW22WDL7UKg0gY3BSHsGtWZ/nPVBvJl qJa6pS6R7O9VZ9YJ89QvWE4hQuYq0qoePUD3N8IRECluoxfR4ZOWoBhGgd4vnr4WLBrFrSOTtpC3 AG9jNaacN4ibCXvvrolfmz9okD6KyVHydWE1i8qAi1YWX140YJuBkZEFPwYimjYw+bTUfPH0l5zR 0Z2WRvpcoP0FpyAIhtnVBM3Pj6ABxofZUplHFMRj2+P+RokBF5u/LWeKThZN4F7IoN+l2BSN0qDz sYt61Vpa8g6LKkYBkaNqC+ywq7JwaTkOB/PpUUrVh8rfOROFr23dC5x1YQmoAfSkBNS0Nb7SuI9k KXXG0e62ifaYMiSL0kDXYnM9oAu1FcHfliBIw8lvrMI7+7UNlNMSv43frbcbHpUxGfDgzs5Iml0Y nFxZ0WvbbYxudflK/6KIvuJrYxFZ+Z/FfB2gQWZNkfYvB2CI5cYHX93+s7CXDNTFQf0d6nO6T/j1 Mau6mU7Kw29SuZuxWfmiVR20tBZ7K3Lfz6MXyBZxQFzzA1SLu5Fq3XXTwjOV2fw6jzmFWZRLCFEC gL7gUr4fqlREawePPnnhelIlCthWjUTpVrENTf8KlOL40AWr3/Uk2h4OqBu3QCAmlkzUrOIb3Hvi toQu1BDn2ZeyDLYhq76jqfk1WDhOGiWgUND1okibHKDmFySN5o3kfvfSNgXEZfZvdHKoofDP1Jfi /lMjKHOvlvwZ6ZfNshjvUopSCBxQCBRAM6nm4/D2psaxh+qqpd6mLADJ/BFJ8M/+c03RrHDFhMQ2 qP4qsQqQoZ2o3aJ8MxCFujF46jeBOmQX3tTaHF4IHGSpG4SAA0/Td6bWOYswNY8auDj0A7UfioM2 I30N2tX3QfMawrWYDY034AxoUkdOKyaBSaB05ppq6bGjErzEZD9+8F0zJ+jcjTeZMWzgcmLXPFZK yGv+fA1weXbnuXe9cQCcXalYBWXjv+7XoGMehBuKkvEP9UsBZf38/oIg3KpDh9yRV22TZql7z+Og WxUSNh6vc0bpZ6xj2a1VJP9sD7yNN8wHWQve2uhjzmxMppM9LyLGEZpv0fHRpzb9AR2G3YqKsRvN qAx1zkfvhofNutmJth5UrR/9QFC1S7ST+FMLGZCKokyNeLRLGlEvAKNiTklsqBhpRIYGyfay+2gs xH22CRpRzLWl0OOmpHjBxZwuZdTp/aRuzZksZwbAGskGBXBDJ+DCVgd1uKRXpHNf4q3dM+MtEn5S uxjF396JiyLrcI+PSf8MAUldjQ5P60LY3vQuEcH5LlGtOJwwu8WPDJTrdmnG6gkZhl6EGxgZohTF Y8cR7J+3Jj8Y6tfX/Acm2kHnwEsyFGQqa80NDspwi+ov7pwgwMiOHQobxKr9C2qS6ETDeRrlBd9T XsokSR2Ah9dFjHkPVLhRN993OeprjEjxp0gRKD6k9keYPte9hemoE0VyZ3SJmhCPmL00crdN0Ybk oFH/ZugIaYdIhrKhG4oABbCwEKwwiHuIPa19Ddj9kerJFftQZMTGjKVxie9qhWIKuknkW1dsNT1E GVkM7QTEXLTivV4XKRWqkxLGsE97PFdSOoFeYTAaX9Xj436kCDfrWPYjX1kKYc/2l0ZCBj81OSLx p6kRlukHMl0b1J97TkcBcA8Q/btmTVjRmg+27t/IHyHQp2sli4P4wHSXJmkY+WdD8kyZYlceHaLv v+bt+Sd+cEhq4GUKH/WHlDBfAoPQPLq3Q32qGS/sHI80sQnINZlTpkE6lvTHqePHL2sqCj2gO/ss Kffu3JqlrCGFgt7IKxO9P/8WNMFcUiTSTbVXVOZ8OO+iX9F52x0UtHbuAZvswl6Lcw0fA8AH0Ctk cbT60h+wPquFbPhu5phEP5Kdr8OxqeEh3nrPa9IXHpHWDc2K6t/5H/FW1EMeFgMcugRchMBfZofc t/+zJqz/KJ+36+NxEGsBaJREj58PkZazZf8mOsH/4gisAL0dAL3LIwBGju7TqpqNhyS4bguQLFOA /Ocz8Q60Ce3BVxDjU0cHRFXVvqHwXWtHgsOJE8QjNNvW8HwUpZZQjTwvHdbNj6FL3BKL4e5+6cE4 3BMCtNiByUXFPql52fHL1tfJfrYHALfTUj/I94TRrA0zxBL/HtJr4PaqJcFLhSVk+h8KpONIBkA+ 6nM9BjtBing3GeiPi85WYh+u3Ckk6VsB4cfkF9S6+i2l1Sv4T87NBUAgxzuASQ5ISSRTDvI2chiD BDJHmSYNLtJPuRXlS8ywT/VF4XqVpelLjvCbC45V/K3TcHzHQZuh2/Na6f7wSUVpvTx4HmP+nSo8 fzMa8uyvJrkvR9NRjv+R5AYegMC77bAE1WexfQrjjlaWt3XbnWGsCuBpsD391DBNTeDm85KaTuDf F1dLwKFgkN6EZiFxRW7LH80clVj5VKZH2bYDOwajgHm5qraeC8aQBoanFwPSpNaKB1rXIreKEvI6 wLqmzf38mwmmLe+cvtYCEjc8LBfGdskl731X7LQrQMzdlpwA2ohXWgEAmo1l58raruOuhojmZ+qp ylAKLi+5WAvw50hRPHQvgYFMvOgtmP6CKy4biby5A6fArncWY8q4K4pLHudz9gAJLZxlEITBVXnq V45dAjm/l5qPSBQpp5mFrEdJKs8IMiGlSc1WS3BVCU611ZB+PqZb3RLNWF+BHAgImoWf4PIxzUit o8pQBRrG5n3kNrvfOevewEB9aMBXp2Jg6DuDL89U34zbyptXq6PjbTv9bl6GLcF08AcZ0j6KNUq2 hXtGDNrkNexaxNDDoi3V7a+kBpC5cY9VopzGC1TCihHtFh5ljVAiE/qkv6vNNP9muJ4zEIHzQRh/ kIhcHNe8sAeVAtwCsqTzDdO2wnakktfLzPu7jYabadpTEMO2grztmctssXI62qvLQ2na710rB3gs nNGggWjZ/5nkoeIZThmfU6X6TvW9t6P/fklTiA65BGp8qPZdC0ris5p5gLGte3VAGVSRFi2eI3Ve 9Ab9MO5gjNERcy0+2sLXdbKpa37vXe4wQ8jcEA+eMPLIwyb8Vps6OckikJdp0mCXeFX1KETWimRU HlsZmvPRi+HTXYMsoz3PJdOQYc1v+1X0oF6rKyy6alipFSnTVgybh/WzjEnvl/tWbTSadXDoc1A1 Ake3T9RiZ8sAp9p/kupmwnkdFXW5EuQWOaDb4Y7v1G807HIZ9nvRvdyAH9cSYFu7pa5QSxutoHoY fpo/sktNZITk4cgS0DHa0rLQZtN7PcO7WF0iflqFjisg8BE4LZ+8g87bDx9HxJD1O6WvO8iqXFF4 wSkAD/pLy/KeyC+YHC2F9dv4g0XahgWDDYu42UrUi+zoiREUjduULOVb/zqUIrDb2jEH5uXRo4hF SIUXz1hRUUNQXBEZPls29pX/CdCKyHv/AsWn0ADiCZUXAJcCaJQpjWY76ViT9HKOtalcG0H27GN6 SopWUjRKve2rxOmK1JnK7B22MgdCvL7OP4e5Ssb9CALG7QgvltxeSA8bes4WuarxvZJiOJhg5n0Y FMy+UZ6iKPsp9Rf0iXdTBzwufSjEJwnNOUxOqIO96hebb5qGbKI/1hDnv/Dp869qqL68vK9GWffi QKSLX9C5DViX4VJzda7qTTbRg9q/WPWLOj5Y57VO3Z529TIm1JvvXxzh1Ub1DDDKQRnEcegdSpFE +DMwGruLZ8+BhluG03OQGOPVii+iLXNHlokxz2YLSOM6B+f8o6e2Q6T+GLmR42nyhBDfOzEZtzoM dhXGK/P46QWwwdQ8ZyMKAYqFV5Klv9sKIAcs7p9W5nJYjc/H8lBubsVYKG7cA+gHCPqkweIEthPf cAtz0I07mnIDX0yVZOSuRj3kD2Lhz7SX/Fqqxe9H6PTFnJJRiNbp/FxH/4wMD83FwLflxqMApmrp sfcm5eYufv359zCV5TF7BZC0EhXsxyoGXs6ITnEYIGYXlRVYD03WaMR4tz2i14csUyQvQuCu6FKP qYZaCz9fLNSHDhLlhrwVYZ0zwzL7Ko0CIy1kzFPleJgtDr/WRFuruu5PwEzkQ71jVs/HANhQcdov i0mNBmWqC2Mlq/HpccljHNmDOKPlTgudzYepuTYp4cxopCubzvqTPTpGOLrUuWJ7rD40Gs37elWC ff92auR1+0gThldJyD2vCjrJr4FdIXLhqN2+wKOtZVMA3pjVSGKB6aC/ooSdIylybb6pN3vC9/SL qXick0hqi94fWb+kWo/HqAEGZejwXCddoq1XRIqcosQrcIvAX8AfJ78kCRlckfyBZBszTtvEM/HO RslQCz0cLufs7Vkzsij4FOJJNkakmC/V2JKMT2d/l/9QuXoq9A4SNsEf8iPWxpd4tyotB9bAATB2 rg4MmkNLOsU3uGUaNQUlMEkkh6RRiM+opWsmC34I+WvBNaK9xjdOhBA6lgManaQ7gywYp/8K5kPE gxeGLyxNmzZUolIIhRclzVRQ6Cz30LYEghW8qiepDIgqv2tfyad8BrJTcHREfgcf5KNL6i7XEDMY ytg3ZSBtfAuog0owYqQlxQ3Ow8lTZzuJIt4xSlBOuUfM65QYKGpoo+YlTesJTZHvjKnOlgVfI9IX 0J9LISrlWpk5l9pBtQhVrzj0Kf8HDFFUHtoMBY7phzWSctplBGuPhivRhY5BRjbz9muhS1RloMfP zG9BBZnaI2T1+S9c2H+h9CqL2q5MrXH1dyTsKoPAlCc548G24V5XMZjjUinh/bMZsvQDcDEo9GY/ 0zluNtmYPOeI5xD5ByVbUKg3XpY0omJ9ew4Eh9B89DsU9zVQlOpLlOP/VV7gOLQmaXjEMd27AxYA lsttVGK1jNbmgyh3cELGxzFRh0s41qcaGcI4v+X/eVpyVgztSgaJb9yVnOBd222A2MLx2QXWtad8 qWu7KmfkBP9kEzkhZg6Shs/EhxLonW6cuIxZikXmq7eXP6r/YgSLRIfXr7Dka80HHILnrLIaL+tw gwYJtKgvur5mAfEf04GV2tNQLYvP/lKN688Bgu7EcHkBBMGnTpWVPqvTb1tjtJguSiIeK3QR2V/s RErt0yKjGvAbr4pUPvzPx79QS0ybed8uxBKdhEL/nYC3aDhyl/b33P21w1L2YB2eMJ8VOlmoj4Rn Nv75S68yOCfmclySW1gS6NVsrra4gCaCsLJ0btJL0iKLZfPrWXHZyt1iRIts+HLF9nC5CqsGGhW0 61k/wFoNY8XF8fVmiA8mrgQpRdTxB5ZpFZOR8i0Z+AuwvR7g0jCtXjG6AsD/pbnjatgzpOK4a5iz s4fV/y3TNTx+aYgN1qNca+Pi4BuW0UQRRH01hH9KKuar75igRGeR49zRi1UOClEvQF+PYkJJzfRl mrq6/Jvut+dRotcyqRK9S/6hOmucYj4kZ6pLiQcUCNOxfzKlkfsaNs1IugMP5mGEB58FgdvJCV2J 2WU2FZiTW8KCijAgO4FFKtxkhlOda37jsTZCTHM1Dzqnu3FfwY7zeNMw16/jV0AvUz4VuMFgL6et osO5fVhREcacagHsrGYpdkcQoTad2wLnEh/LSYWZ8gnGl2j2rCvYPFqWaX8XnNyyGoQRuGk00xPN fwQDqQCeOURwxNzWQSqpJl5nxy5Ke6J0eIfme7iMOua4Bq1cXImHIOtFUymJxMouMaYP30KQld0w /oOKtZ53CuwwAtjDuW+NLsPaZPuaxHoDHBGh0Bk2qoG0fxZ2jyFurN9uqEY1L5OiDwjbfAuT7E7u Q+o8j+spHG3Dj5IU9AEO74joRtne5Gs2HAiyNIqzJ4U0DckxQKwa0CcvV6JmEJRm7ePd/1GTp/DI H62xgx13ij9s3NUAgOLkpYqLE+VD+RkxqL5LflBB+SI+Xwv0UfgzsINYMgSS4pqu1mNOdq1Tc2eE Vjo7+UIWvio4sPAjpcag9xXng4YaQyWJPMdmgpy2O93Wqo4mSmmFSKVCLRLef44Z3SQTUOwFvIRI bng3/t8JuZzwio/TvKVV6Cx7WsqUWn4X4OYPqlCLorIuRLiHeJyainJsO/lvRe7jk54ACjyYIX4u m6gcJLoCc3u9KWcb8FRWv40uOW1F55eAPurQSbUmb7edn7Ott6cQAZ6wcTYEWUcmkrcIy9RT1Wnp XxNgKCVzIOFmroveKiu7LtaWu8BskvuiHAdCveKYwVS3Ys6Uoxj+YTjBGpNMj0GlPhBhy5ReMNqU U2oFi4kucGAg/lffbg2gRJpBdbooYSIs9fOy1nS0jPgUyfeTwQ76e9Py/RDs7oUvQN0zbPOc7cOR 5qmQehDikC6dgNRg+2K6wEkDqKE2mtl15YyRPEC4aPiODexi/uVKBIuwGFjLJuZS8uO2/KcjIQak 1IUamV7Mki0RBbetg2bci5S7WQzXnUsthlUxF3PXmqQd9aoBj/Z4h9pjqZHlswLUScG8lk6g2lbn 0FiyMkQ5eaBKh+hOVFUtxDvIX92BFpchdHnWZ0/1B1yTaLMXeKTwcs38tPdqAaVWbn+YQGTfXjHT 8XlQ+8XqefsqaDixhjFm7FPP8r1dZAg7/+LRvKYw7qY7uz1612JCbuNr3ojwIMRS28S1eKz27RT0 Vb98Q3Y9VbUYqYvC+BriFRxdSFf0DMGbVfiK+bufcX0i7ypIstUlsRI6jrXs600pag+5LIL/4aVH JeDL69AUgoUWEX0FqnS3A87yBfvuknYBKSIuGIoMmpRnCwaxRIObPxd56e9URqvdfLf5WWeSlZTG E6WzA0A9T0ZXocxCpJ1PwJZk4sXD8z6POTnQkGi7JpSq/RNpBVVVxvxiDOuL1mfivbzSS30GdEBu JCmwUqoEyr9xzdzJSA/oWD/0qK0Hif+SQRJQDE9VCDH9Xd7re8cweZhUq668J3MEyhuNAo7sxoLU gzMsw7T3sBQpse1B99vxLwjf0LrYxyWRkMJOb9m73lJxLrdT7D08OaS4LygmNstfGcJVfJBKzJeF Pu7rSk9BWKqWomd/ASZgqLHSqPTOkQJMQicpdU2btwp153jWMhIZIVYQyr7kqN9urYfCUBmGIUDD Bzo7ZX+wrEzIqvIH1Hikk727NRxSrs+SAktLCYhP0qI2Wl4I6VATEs+MLE+a+yHi4SOZQ0iQikfP j+jS4/jj5DqMWgwVc/AFm5Mi8nWADK5lgf+xtvTycF0DhTprrt2sv5+p/ZlX2N9lBNVnS04CNvcO KsrYoprtWLCmo9M27ZVbpvDtDHhksiM565G3BzR2apnKlVG36Mer9+Qi4NvGjQ2DFDoKHatL2EYY 7wJ2D9A+07ovjrCpafQygrv3pQj4YdsH0P/RNAqqMWvKv+paekYH3DEUUf4mK5h2MkXPyIgZjq9F um+UBBwAcTrK1WpPjmseZvIOpzLU/pxK30isCySmppCNyPTlzAnvQRcFWoAOVeH9QARIJ8hqj0kS 7bDX1Hymx/0VlNHOuDidIeSWcG2GTbtFseN4ATp/c7cXfS72ScGxW2TESr2dvPnGImgSFevS4e78 bI+AnTMYT97Lr8OgFkFnLPuDLqkz2eAUeHRqNrR8wQjz2ScrrjH619znxL/rgIN24G0B7Zn2bsRy vysWYoajPqKOHzsrZm1/9y6uRfcC/Tg7ehQ2+WeqAsPQxWRjg1f1DQbiocCK5PJqkE53z/kI2yOG YVKAqarfk5sSOl5Ly9pN2vjcotIY2YkVLUV0419uh0iZMlxkyAhZKWlVLXggLzUsCL2+c+WsKYnK fTYUVN96MALGGmDm0pQ2P2Lb5zvsGETW0RxbWWUjNKjPztpziHcZ493p+kWax7K3GXll+DDANzqc 2GTRZjQzk0lHaXHGNMyyZ6MqJXUjPmNbDVca7Yjy9vD3ZILAJDcFMBe4r12/+csMl6xrgSoTd+/d NR9rcqPpUAqgDJjOe1K+lLq19mPXVVthZxdTzoryZdNyz0xMaDwmYN4rGMoM1XArqoF/xgKxaTha jT7RqgCmbrEWnRhLY+bJ49rYwRNiLx5+3yP62Z0cAoIJ2VRQlG2f2IC3Ng7IwbYZXZd0IN1wRAlI KLqA3o7SC1+alcMMDOSY+94i1l4YmkW9FyrU/y+oaj5Jhkp6eP6sfnukufbQ52vnbgNyA8ePUeGc TLk9YX6L8Zy38ZVV2B5T31CNfep5suyueoK8QImZlkX5LqVXapXCgYnuEaYRvOEoJhlx3+3XBIOx RxstxxqMcBrPSRj4B4EH8EyrYf4L4+ZmVAgEsvBTjycj16anx05LP/H+KeakolYF2qkkx3hymuzG wlgbbnvat2yWurSnk/w3KPKivVna6+x8DZ2WKEM06Ftbw2hexfh8BoFrlyqHDPHJOBuKJqKn7tQn tRExZS6PNjqKwW+31KDZt5bKqmgEgNSnVDQ/dEVj8dItEQyMyZB8wCLbQ2fuVClbu0C4MfvRr5S/ v0DRQsBKQVYNE+sWhZqrbPa7qRg0cxL4ln69pz3qz3iWeoxEtT0AZyPqZiM0/g0Vb7Jyu/QT4S6P ZGAywzhU/QHSZ3PgwfEretDr2tvtsxN+WYJsd0f4KgEsITLVREoCTbRWPHUh4DhS6CY/W1jBm0L/ Yhy4s90SDHgL5xFE42HeQGPA6PY+aUaBOofaqa06IbiJ6AHGxMedUZPOTajsnIpsjYTsrYZvtUuj KexMJ3o1nud0rhy4vtHGkX8j330EFxx8AWBCvGw0Vc8CkJQ9Iz7PSxZP/9qB73LqhIh5yP0qYsB5 4m4SWDTyA0FeGbdgHVhMZhIr0tJt3VZyYleAwghDOBOksWIypV0fO8hLfA91OkUQb33taRpm2KH0 LsNWE+/7o/GtM8V5rjjrc8LUqNovq8Hm9VYv5xKkU6MZ68CG8OivdE9tZriqX8YDkkrAzHVkmrxP vKR9eWFIoQIHe8C2G9LiA80dJcOliQhQw4vmhfrVGHSPqDC72ZhNUC2IS3xNjdvAQ4ytB8Q6aKe7 Okdjupa0vaK9s6hq7Gtl8VwzHk8dd872SBkJ+smL72eAVnkpCrIgvBpoIIW2/yuG2LoLapgxGD4e q1gWoWPmjQV8pr2oGxGtmqHgD2uDOYuYxrMrA1YDqFvMZAvhQj1J5MRfvESODr5EqByvkLls2KmN g+IPEKeTucpD33F5jLGY+/B429HlbL6KxNwmVJTNwTlaH6eu4F/oiLT2LimqHzD3FLTcOeSGebDq p9z5Mi9j7J3r3hVErOTY7lMoQfrCNfnZC+46JEZ9/UbGWmMLoWu4ursBB33btAlNbtiin1KbhBCe CqRkBVAQ8uyi7uXivpIjcSZC0OXqqLuKv+Z6Xh18/I/PqG2pZiRAFOwKSwwDhL+4dZMO63vD6e/I iikyhRuOncqcGhK14DuOuSA9Zs0oR7bAcVvgIj0C15O6lg1z2bykQUic0xRh71XdIsGNEaYN4R6e Eb7Jp8lg0tvit7g8xh5bEN6cEtSG5rud7aip2kuJK+9VvqtMr5IjjDhr0SednF1DiyfKo0VZZYDP tHiQFzhhaRyPmkl48vVUfP05NF9gI1IJo5+l7K/703qFxHrYJWXaGkE3YGSPXixrFf9DHHmB6LEW jqGD549EzlPVCBzciCSWgditgG8zR0QXOydBf+H4cC22Fc1MXpBUAZjxPDLZT2kewzBMWHAePcZa YjFPJ2H88kpGCRCmtXbumegxIxk9QDxgc8DvGdX8iVM+TvaPw1tizeLZILhLwjHrDLFIaZCkE0TD CQtATCCKHX5SPN5i6S41nI640q3aSnbiMFIrHOOTaBQMQZRYqvYL1JWkY3z+/mALP8/VNOi3QBZE n/WiJ9Zd4mnGpi2RAaYarAYyi1vav+EwwN6nwJddG8KXaj6C6qS7DvJVehfjGiK0TQb/wzJCDy2K 80hTrzFdbXYsdMobO1noCVYJt5xTg/RTmcaHJmWpR4GDYca2rBpunZh9QM5tORD2b8OHvqqGp8nq Yj/t9mUXQORHG+I98So39zpSID0jly/6dw9yupCLJPanqDgwdMaA9OfodJKgZi4w6ECSjmOycw53 WUfBeGBs1uEcJ+uGp7kUpSBWZr/ItYqJhNvsYc+OXPDmKd5B1kR3he2JbnWnvMwMQhmE39pCQqC0 XHSadY1GuWrQopqWl7rB3StMsxzhb7738/UoadzYUdpINIYdr2/Bu+oUBrxmb61sspKRv31DV41H O0dNkeHs6wSKWi5EBG8TZUUhb4xUnac88jIRGG2KnfX3eEKoXVGKwpmlMYeD81l6fEw1o0e/i0C0 2lFqpasgF1teJBWDmiQic2EeXibhbwYhlNtF9+H5RZRGBUdJRLjykHj6a5xm+GYjRtXoe2MiZ5oh ynM3mbDLrCpWpR5c3ZhhVXA4f5WuCcyFM7SZqE1c3imPTm3ojQ1hel8hrIX/bp9EKrbwkDt3v3Sn 6WgY6fOYjKtCceIUBOny0ca15BRSlUthfFuGw9t6P4Xnn4ryadqCj7MISp2Nekewf8Skbs1+yhJZ v9R5VMvuduQb+QuN8q6JJ0tvsrFbB7QsBOGqYjctSMMI5X0aIbYuW/6ClDfpAlsQYZvd6kXGhcOe LfW19/0uylGdW+wG5wfIGJ4hAijrVJcbS73CvzXg16mDSURuXucF6JoPXsobmxdFKQRYofYBdqbj V9ICcqXf2stnUdcHD1mlzprfuOl+Or78FksVPWFhRznKP51r209YdPH6G/DvXLcfdvx/srCPevcl qGc9qVyGCakpq93PjFpZCI25Nchfucn3nkzh6tivG+13j5Ry53R2hIaUM8ncCftkmzdj3HA2k4Un pAy4prKygn8dYO3wUSurKVVHQI2A1VDPd8M+CHq24LtIXWxNuuJ5l2UzwqZfrDNJYj2isnJ2vfun brjOziFhpMOmASa7+1/n2M8fOmH19kqOd7hu2iufzX2BPq9edjCurVPJGL7y6EWCPcwD46YuzZdu Tl2aJ4rqeMkmAT7F3zplilq6E2nFA5m50ll5oQbVDGNvBlSnqUcUczEpa5L/oS4ltX3jHRQ6KA+x oWPQoucwnvQIbSMJL4C/ATXQQMUHFm0Gq84D8if0F1nDIurg/fuDAfvyJuqmk6BRp1yio/F7XMXG JEQQubNwgMzckZreR6skrTemX0zv0bmLzb59Yo+jzqFxwb7ye9ekxtT+PJ0CM9Clzp1FAKVXUrTV kMyE05AowaxECPnFOCoVoUV/WYrKTxV6LvYfaS9mXfBKe4gr/lcsDxTJl8Q03SpvIx6ROdAOPa8v HVC/XXGsRu5m4vo1smtHUJoqLgVfSsCRUq95QM4m8DE1h0PYCoP97BGyzTvFPcGGQY+cZ5XG5CzB yfnT5tBc9ioXGipALN9u55Oo3n/hXROKS4vlX5auFQnNfdMYLys3QDzDwgY1lw8JAan7FPW+ncif OKrdkUIEVtsqc6gCYlvhvUGmKrgfxfsiVFtYxPJVjLBO7QcBIHnlgrNfizFBPsvwVmextlFev+3t 57dW0eRi34Rj//uO7s08q406G8EdGHLiHGfPgcpi5KgmMeSWpX5HMDnvo1QnVJMh6zCna7o/iE0N Zkgau7mCLTReKaSLN5TBiTRWqdMazLR2dEvjEDE5vtfMsWU4IVyAR1SobPuPcPEVSPXcpxRoXiqg +9hrbED1BmVy6DS/MxZOpbOTPunfwU+F3bIIDRqxGeZGdPF37IFooPXr8B903BuahBVt2PmUsF5K aUcXZnEyJ30+Nqr3YZbdgVtRZX98B/A+8QeGyWf1GKDuoBhRsUPBpoAiH+gQAWNE/Gh9BwlsFkt7 xZ2OB8YFRtuLBa06Cfs6li16LfTmi0XXE+BdDyBF8liyvLArWNy2k/QyaVI/6YUxjmU8ow3MqVvL yG1cY20MUMPnKmIZFzW5mBtAWyweZw6tQHL8rCeIcL+ZubE+AVXlTCQJX4YQ5YUs1ayJK+0axeOg HLf0pk26kXdEAqBQ66axAcyx5wr9BX+lrrtghGwsCQbduF32Au5IW8UgAONu5X4nu9kvBFuq/1OP 81gbtEtVvzz8Q3QSXOcuZzFKdFzgn6XLW+K/jiKsmP0JaA6w4+g1t/xLI6qPYNbTTu2gtjRpWBck +hEN1vhHk0cycPdmzritYWWe5gdz5UhgXB3oTY7KcTIHWAVfkdOeA8euehVK+W3BB98qEtWGwXil 93ULJpDL8pKzn3/WLqTeI39Qxl4CCDTXvKmr/Yoe0zSEC+m1AhQV1wl6B8woSC/Hfd39GYXzDZ6K l0MmHFI1B8mWouDG5dNe7KHLWQ2lPnfYPxXK2n5cM6Y4JM5SYCy1a7LwNYSo6QQ7yWqiL0ARJOAl aEfoB2wCYB0qvxecqij2xIN3pPHT3otM+1/cydJMwuWv/bSyC4AlajXT6tEarTL5Cqd5t/S+oGGd U0fhbacyWmDzTMeJHYDRx2S5rn8rALWjesXV2Q+DBndd9/MJc3cptYGe+K7vTraW3dVQzhqsEL3w 8z1ZY1c+02IldrnfXl4BQr1gkdl+UjZ4p3QC0u71b2OlOfppqcfAMuasTWuMiCtdAgDO204JQNEt otoWHA/nnzOMTsEbj2q6ssYtngzoYgHcBM6SqTm7ISKKVv6T92dziMjrwn5ZdH0Ph7p0M4g7N87Q s6aAwEv/Xi/zO1ax6RwXRXtisI2LGP5KqwfQkqYjRMWoKK4SGMQmtYKyUvLz1M6SU7twMuKH30kP sXqPF5T6PMDEweOEUXHOLCMsSP56mh2AahZFMuL8wSJi73bKbL3ASiscmp4R0bJazljvc3QqI22p ttt3KinelISLX/pEmPotP6RUcSuHJOAOvWE3Ufqy0X9kMrtT3yNWOgZGXDeCQMFCIlh8WMDBr/rF 7XormNXx9T7uTs7hrsWWOPW6JwDxN+ZcX3kyfyHgJDtUq5HAaLBvHXYlt93vfPZLvWLAONku6/rr Tx8Si34rMC/m/dnXGaahVaNLBkVKYbY6XdY7bbNx7zQHuW21mpF2tGsXar1EtqZHFjAC+bg1ZXbo xGhZKJiAR5IlSHIjaLlKKr4dQqeiFlupq9XCuRxdK6XOfVmwo9s1xvxV8EaOxXOEcuFs5ttswmb/ 9hcq7Hlzw8yB7g/RNimdHqhw+KyrcH+BVQUq4d0o8Svj3Ygk6LNE+7gqtAanfn129zxvRn2opE3P lCmgpyZ8cXcgmC9pGenusRyBr4HD/yJhtLBn8INcjGKcnO6EzkZVAfzzkA8jI4aPKDukoNKcV5ge u/xdAebVHOhB6UCaDOGTVHj2vqS6Sb6omR8rmWopa1AX7DQDuXXvbqsUZpi86D05/4whSMA9idyI RpEgdhhJy7sMnk+TX7tEWSjaX2z27iJPKgivKyBvE67m+Y72/diX5HyHZTPezFrD8nXruTSM56pL jXqGII0Ry/VMDzQs70eRKOr24SL8K54C16oD6VakOa668gjSR56QQIPVX4T0gX1IybLWn1SL4k+2 bmgJLTTk2rqJu9/0Z98k+H3FoYknKpvlX2bNI3x+NXsfoOHDjNHNHAqDa7Kwy5IXk85c1Mp5jJsn KZU5U20g9oUZ+fI/7Teh0FgbjzY2obsa6z2YcGjhKlnqlKWpR0X/faMYltwwpQAbjNJf5MkMGMkQ Mb2iUftXP1czlH6nX1zMDwZ3U2QRh/xNEja18OBOCieek8YmOSFMG/i3zNWxs1CFCrvTzaM/3X0c VzuaFPCAYOku8n/AmgkvVVTgGyUC8ZE0ZGjwXg7/t92amxeAREOqmLlfMD3PueDbVoUTCXBbYxic s67JxaD1LQsHl79pwuYKqUv3SyUA3ILOEUt3P///z5QeX79h7xY/7MyjOcejKYmVKf7YZMXpU1V1 llxuVJy9D5yE2/FNUEH7snHwkM6RZ0wAb2z90+rBUBlxkQzMZ1gNgDifXBMxahtmKdHwYBqU3mo7 q3Wfw83epQjDHgwLMuGXdp7BCjJo55/KibWpfCSe3FnygdKtcFpqRYVmA5QeOOdD4Rp1h7SJDqZM vtOn4/sRAHJRsrDe5h0GT4DMiDsw3GEKxxvYze9fF41go1dftAGhFW2a8aZIF2Zp/k7wIUJYy3bl 4V20kk4svegCPOGQMkpTF3sG8c6ps7wC6Hncg0FBJe43NIDOpP1LddVgDAH1iwYMQ2CKW2nkvIm2 J25nkNzDTywQ4USdTUBUy5b4P2IiecAyrGoB28Vm2RjLFKwvrL+MhBAqDBZjWQbZZfTiDvK6gzM7 EzZQ/rLiqX6nwXaK6tSbamQi/Qi9MQ9Nx9vccAu1P73suXY4csn17T7JKQwqArP9cpRhs5EhnO3W XVkTwD1tsMH9DcISVLfAQpE9X82GPHEWSA1iJF7Qtwl7s+RsPcePnMOrkqS9YW+skAkAdNSAwHq/ iYDSmXmSDeYQYyfuGWQWcLruZyQe4r+w/pTPLDtFH0jH80VueWBCA+nbjIACXEMulcVGpSaCs3xj E06mW3IRqhHO2kT+2KbJeVD+hYlfFtRPYlplqzIdAyDiRlste/vu5GfqVWchJUuzF/XB6iR9NysI POYNQ42zueFfvFQhz6/eW/HmtBuO0SJyhiKWnn4XcXxH8J4Z3FjOa+4Y8bum0ntce1CYpluWjG0x cnf+B0aIiQqMR1SSR8CThXQ9y8hBcdFK/rKdlYXxzk84rWmn86B3D3UwTiIxh2JkUk6IWRVFqu2i Nqx59D2FXdJ6OFbjH1g1iP+TDc9QsORGNVgQBTCDOljS5I+20CNfTAviEvMmSLvgTGZqNxYvNKef QwQd7uOHGsRnGeBqB1UICEuY0YUywLcIPfbPCP2Gzk7uI3c25N3gSXnyc0n3xjsihQf28odh66WB xhgAwpzQBgJmzJ2w5jD5GIYaW4t90mdqFvFmZ8SNU1Puy/vhKr7Cmn1v0+zo7ds0HejlMer5KRCw YzlPwPdrHZqdicZoLobq1aUEiwl/sDGwcOboB66q9SsScj5DrJJz2LeM+WjNvBeHpeKxsoJBKQq1 2ZU2eqtSQcuXrxIy5l/sHlrlQx5rui0bPySpKJ33I3tJBXGb69/QIvEQOE0viXADDAuo1KPF5b1j 3eAFRxyUTiDzM46uRb8aaZBcDhYYisdE65i3q0XzfoilFeIPKmu6dbCpyDMO0VFALNtqedwsGHB2 YUWULuztcUCGFUfdFzfBT8d9/Iah6an+3vmu4voYicp2oWzh7rBxxJQal/+t+DJGHm/7kHoj9aIL 3rWaFICpOz11wZ1i3Raa7OCa2IPGhfC96P4y1iCs1f7OIWihhs7lnhcYFmdIo3RFQsj5wMOwX9xi 66MJpDVsiJxPMcTrPRfYM0396lM5JHHidNM3V0k2/G7DR6299Xy0S+JWaxR5tO6vluMwDP9LnINx da8UjL459EbTO9h+S1uoMZ2qyVXDCbzacSapusPGycG0M+VIpSUI/AS58Ho4EvNNA7Xaz6XmEmdI bz/IRv7iTN6U+BKtLi7QNYl9nlucbU9WFX2RbjBau/ICWqyqRAZqDBH5vBlH9CVn3NtaQboURrf+ qRri8B498qnJY6nJmG2j+Wfkl4A66GqjfEYSj6Gvv90bk2BVALrnRtzyv05CcG+CkItju4xP9w2T VMKh1qPgviofYnbt3vyxQRobCt9YpZNj6fGQ+LyW1mc/4l4BD+xpl4ejndQQ71qB8jljA+AX+n+e EGWsl7eJC67g6HkmG2tyRXw5s82AWlqAB4Pn1Wee4YNppoB0KRWl9IZA7Kg8UJ3VT1HHg/QZC4fH U2IV4fBsHU3/2vWpMiwBPZ70pYvTRm2FNfHqMiXZ2vFzTOJGyo0oCfcjm1ClKWKUBrMBwCqiTdbc sTFaa46Flr1O+6JbCR1DoVlILqTfrIzY+YhONS9p2DyqpwV7aShesds9Z52925zK6v4IkpuG5Vsg PHXaFXyUjYCEE0V2aSJU7ml02qzGqePXIq13XxoS0rRi9l9vtsfsyCinhReFajZY36XYau8tXVzn d1Fpf0M7k1wnyV48Yp5RgyXDa+zOKl3JAQhnnEPt6E8bBjdqGZ/GXFBpZyDhbUsS1o/kUNJs8X7R a0CktC+6GMztgc/fdCpvxpc0dCvZgT0AJo/pxtOrRNECdB3Ur2n0fD/GvW3m7HfWYkjxSJEyWPvd 43LUX0UziIfEKNaP0cXxYQAPzAVJhrnAhYwI5Oh5+MeXm67B4ehhboTCGoomOrDvE1m3DzWyJ6WU PdLxabAh1MOqaBPAFD60wJ7BsWfrBMRg2fvlBB8kUxMaNRhXxam/fqxKsrSuM7+LKL+oEZMOYem/ bpdDYRio533ibDwncKJu6mzTjo3KDZOCt+3Mn2J71N3Ary+zRTH2Ki7PF/d8D4Uv7HjWDF+sY0l4 BuWYTEwlS3yMMCFf9o32IyzFph33u12TIIK35+cgEjncekaJzPutFS0iH4SdnqcGS6IEc/yOzvGF lkQEBe7Rr9GigePT75wDNINIrD3ZJmQT7qkZ8i1b2kkA5j+1dkD4hvUiRqUJYTi7Kt9exaIUEqJ5 xxEXStRgW9mARj1FNnmjDbPAp/zmY22A18kZoL07AffyzevROBgUZBM5360+EVsmrqLQC1dg3BBz Wo3PM8Gcxsm60h+Fg4LzFET0B/0qiuyyMTTm2HyGYBJH/pbrV9TkWf0w/WTj1mRkxFRVdUi5o2V9 FooNnbr+5cYYke6ytzHKMak2rEp1BC/PG7SaTq679BMdIjXaS3P+ZiORPiPXniyKIewUWmY/tuSM x4/3wJ7bcGMp5FjXdDCvz148appx9badqP5SfBf4peR2yJyl2Kz38e0AVAPGccBJxEL4tNOb7DLv PQMmdZWIu+ZeFUr6i2Qyza7h7TMQVNoGI+uszhuL8lnVnyZreCsVX9VRFEcHBShL0hTXy+DTtoCA Qp/SauglD68k3M0YsQ3HgZjxubsbyx9stLO7tyvt6wOs2QK69TYUUMy7G2rZOyeOx+g9asaEjluY hS6Bt5pPFhYZXJ/Yt6xbfI77gOJL+xLmwlaT9zz2FYCqG97KEn17AIkDJHy2YH28l1Knhf1CJqaF JAyF+akS2BeuEXRUjFsw9VQGk1hnY3tb3L53OXJMlQwAeLhBSmRwxOgxzcdg6UNwwgwQYEhKMZxn anHP0EO5zvO2CvQ0Iy4Sf+hGaX2e/rTyIfoGJHK5huC4P89XyQAb7dqF9eAv1FgDNlVX/HdbdS6v NrtPK+c11DjVBgCya94Myzz6zKANvxsniNvmhuek1+ywb1b7Hx47BD4qo1tY5UFRbJHqTgK33s69 adlVcXE55p1lEn+BLnjgNppzl/DcxxyDUD2s9u3RItQKt/4dkGMqY2P2CF+m+Juf87TybM02Wy8q 4/LunXwI7jlEDagNjP1mD0c1u8kNJwODtgrrBcOf0mpWHru08c1AbT6yorvJaGpLfSsXq1A1y495 /8hzJRSJjY3xQfrpL04NxHao/7942VCqhkUpalSEC0y/QmzDF7HUPewyzwBz8/PvrSmBi86QqW7V 0ADPlcjo86fUNX50+GkZXOAVJZ1y5quX5vSmLq5HmDfH0uMq4OBzZrARwYqUHYWXUIShNHKedGN6 fnK7czhzbmE30Y7ItDia4vjEKS2KxmmYTdhCQinOEaNkN8BHal99YkzvJTXUHKQ3G1X6/Ql7x+cE VGCUGgwgM4YtNpCNGaj6f6xtX0XjJkZMav9INW4j6nRm+SHe7V5y77GwOHJ81KOvMAYdD/J7VIve mcdjb2N0cIEqmFPmNbVi1Dcm40dDpYFASnPhbdfNMU1IdHFZkBajl8dYwg6+WbiA8jR5nVOyFdQX 3y8LMGM+DCgTUqUZWj4jzbDkLPIBfKgGGJ7luUwvXdCrWlT12vFn+yonHQRMA7PR9iPb2e1E8GOr h60D129/fQAtUdgzqvxz8qdUP8v+ewBNTs5jZElEeEVZ+GlBmVDaP2vkht7ncN49lB306v53qh+N WRmTL3gFsm1jBqkDociM61FzTLRWkZUSVzc+Hp2cu4HkiT1vIUq9Z6PttxF34HXLnu3v6nu6uSAK c7it03JjzwDKP37tjCG8jW40Bukw+q7+bCWKTGC/9PKgZQ+z/sjyCZI0jz75hqPdz91YaqHf8hxk mEXEau3VUSQH0KnbTiFeWUNWcLy3Xcjvg5HXvZmdNz61NtO6FJyJZIJE1pDV7M9qp+hc2dyYNxXo zo5t9fSjjiFjOYpS8MnYSGzEorD3Mj575jHba1PpCXSAu2T4A5luHXrOhMt3q2pcht25XclNe4Sp NK141X+/4Irns08dTrhc3035XzsPGFajRTjxPl+rTLV8FoqE2Nn3SHhfeF4uoIo1fy7SmHlHu+8N C0u6a2nnMciz5pBZwsuaOazgmkSQtHEHxDtFLG3NEi2Qd1oFsspssY1sTdGKKqz7sT7Q6dCidPRT NcQhBEpb/3hTZpTvtvHPevrTM34j9Uakiddj1GOli8jg/VtbmVjiW1lNcwYRs4pa4I/CCazQo6VH QHVbokLwotSufaF/iAb77G8IdQ6dR7aucSLOW4CgEu5pVM4doN+RBEAqgwuU8Sy71h2xL8QIil4q FJQdYxOXYSp65+tqR5qOxKvnpbf1e+ncFAXK4LA2nMz9RRhgPpVvqXG0jGmD/Xzj8rjdWZ+vYVLj X7+1M4CRnqOLE1abx5CrIT72rSH7nYBr7z4++KMZj7XyP3OqJwl26t2VKW5gdqiANmiTPkdg97Ll BPBrCXYrnRc2aZ6RpW3QXZdPjGkfAjviHT1P90KAKVpkNGxpm3+eOcZNYFE07vAx3PaGjCIctY/b ilswTpLHX3XhDOHoWltfM6rUNpORQktaATI4a+G12l0k6AvVxoC1/RWkr7RbUeCpI5NElHKWbZPy xh/my23e/WyYJdhxbecfbe9JaX2Ue/gJivP2DrjjeoXqBCoO7MWToi39mw2od/yH90XEyYDkzOIa 5feXP41TPC+rNQL21hJSOoesNq/ns4bQbDbnhb/qgUU6ynS8ef/sybjs1qLE+P5IvQZd+26UEgwC 95+du0fd0gCUeW4V0TLBLabWhLIJ2iFlXQeHzvftflMjPH6DPcmaYE+LsNCQ5Tka6WsVjuGUyLkU oQvKW+jTCBzVmIYAtvoEoK/7wH4CJkAzNaGx8ZqUAtjmtPew+TAGL8VdnZa8z64ejauY70z/Og1x 1HlJo+dkz1vsXKa41IKsNzlvB81mv45zBG6uL1Xi8qjjNT9o/+gBQ4mGyf5LQ3hM+Vh+p5F9HOrN SsGo00/IoeULHMa7yVpd6lNK9ZmgFWO+XDg5TMNAh3hHKwiSNbluymT10K9pW6t6a1/81zbhsWoI EoPDttuYXrNPpsY81/MRTyUL3ivTk2bF2UOtUgSIR49p2loKucko1oT2u7J/IYNVJ8fdEG0ugmIM x8xMtyRbtw6MfcTjHnFEMLNjev9s+BhyabJVcMnuP5JeKj9zjABSF96kHXi33v3dnkX1+EjdJ4Po gXsdZ5AOUgxppwjmNURI97JTgDkc2i2ZPFv5rqOO+gZpY1eencAl17CJT33Skavk4OmDcGyXHdwy Z7skRsHmoElM4vzlOu+pm7ggl5aZaERsCOYJHxfzNK/5fG9aSDmvhiosr+NH/yzmJ3WXc3bEWQPX EoewTacMR8OCD+S+G/p05noAqpGS3eCSzs2GG0KluLILL/zH8JUcrfts4AgAgGA/XsQ9EK9Twl6K RU0URRGaQqehgR2YhXhp6oR6eEmRiEwe7/UE/95eQcxGDqQqG7GAZTcW2L5bPFig92qWt4RTa13S so89NPqPO6dCZVFaVWNs6xEJ043iPgKTO63y6lFU88G+trnszNhISL+JA8P9jSPNcwg2uz5KF90I h1tQJlTPjNs1CEb80+OZH9VJiNX4lxzmXscsUn8XcAEpmvx0DVzh1dRaJ0vyqR+abtwpP/wSQEe1 b9I4lHXhu/mUqJ4TheJTd+hzFCGe8c4RRydSqqM/gVMFKbciWfwQ5l4eY7nSG6m/yN+W847d+XH9 Sclj3nZfu5d6tAexZ5qTxA0KrfLFhgxHuPGEG8IRoiSHvNmhzmhl1r2WkyMyIoodkUWZj2buMyZa l8JHBvY2uBKbn4qRRcSAS26jhJLNgez/fXwd9LtiyBEkp9lxeojS/9as2pU/pHdffbzK1hIMas7P XBNP1aJV5aeK6pBpogfTlPPLbeL5CC9t5Bt3ORRw9kY79ldTw8teDbEpQinpEy8NESRtJg7c+eZe mpq6CXAWR+h5JeVgEWBv46V5C+D4CwyUmOqniIdf2DoFsubkqCtH+JAB1qO4YRms0wDjRj4THUmZ 9AI1Jn1OpONG5TqujOSSVlw0Y6DlNrWoU6YJtlOTPC4GFvxvgl5kRixkPUppIeAxyMZgmCUYtjVm BX7/O/uUY8qoWuX3kaWmP30wwcRULC9Oidv8duO4rU2lC8S04u8+wr+7FqgpDmrA8AHyB5pZEF6n Zj4+CZ0zKPF2ebitT5xNFZdmPh+Ol67OSnZfrrEJ//sjNkCh+Zuk74wujxgV+yzuH3/4q4BTE4pn 1zD7TeXUtQN3+0RB+gd3BbgrL5qdiHtr92Dze/0v6Wj3JtRPrhs5UlNuzsf8GH90CTN9yDQ+SqyE nTorHwb/tPFy98X/Nofa0ZfkY505Jwzp9XGcw+aXsHnoAT5o8AjcsQ2OpEsV2NpEelCznT42kFEd hK9N0O7ga9RN9HdDWGJ69kwb3q9VC2cLQP9JRWLpMQbun1ZiaUl20Ekge/WuSbYxx2TnciDd1j7C ZILwdlOfz1P/8WWKVoClj1Zusm5hItmYFo9W+qU9zgrrx7YtGiVPCnof6w7CBozbEMdqSUvgclrA 4O/eTajFRfHNpGVGszND9xn4Kx7EiFFvX+Ht1WBkjFzKjdc0b0M9V2Au7BGjudMpWy9rKbqSaafP Rj2EKqvahU/kED9cSVKUqJlqZeS4QT3xbLZowjbrNOWLS0MNBUBdXWrlQzwLIPSp1pbNdPfO1Z1/ lJxtFZSj24uIoazB1wZWzz//MikjMkvmBQ9BQ+cR6HTI2DAQN0N12otAr+UUM7bQIGbORDQJgqYs 2p1wC5F5+x+JV/JrHceny1/KmYQJyUJgc4aRU8cu1WTtrakvQ9ZUa34+iFqCnGVkCogL/DfIVs1a G5g2LMRMG7mWIj7Vegdzlg44mQ8x33M2+sxKGDHZh24YQwXY21KXmey+DdSi/bD6+3Gbj5R1Xekb Wdb5UDV75nvKfXyTVAbXCZnTOWm2lNw5xYwN1d0pLXdMn9FyHZrWOdX3kf3p/q39gyAo+aat8JvP T6j5z98S5oYx6Y5TcFh7T63rdNgZBS2kZNQA89LHtc/hgBqFDaIxeXR+xll4jVzlEjywAeIc+hEP 7TeQYqfiErLllFv1cnK0PUJQ2Xxudbx2PNV61KQUzjT9E+F7RGp1jN0Z91CoJa8xs3lE0U4LklsF Nyzjxj43iGv4nJ7SySVEzX65GYABtyOQaZUydxLuXt9LKypyvn+hvrzmJqeQalECZpYNNXKwEmDd llmgk/EsPe8YYHLTIa6J7GAxPHdfr9Tq6vJCxLFE0XvwTSU+FGMSLvJ0I06+avgb1bkHWRiu+fzm r0IfEiGgeZa22Embhq9tIhP8QPK3O5F0EZHuaD61qbZq/jTo/YOPCW2kaWF73YFsYpBOsm74TAh7 unYeXdCfAi/iQjZKdDljFhneCvVLsu7dqZWE1hUoRRtwMPOKjxcdClxYj6/1rCvSRCC+jKDgOJV9 v1NqWBrV91OEx0B42/GeA1uq4UC8mzMOG2bDAQhM7MwA6TQDjn4x4U/N50LQ+zV5vM9Mop0z7C7X jzk5AAhqeS8ZIDS15D+TGI9gP+MGoYrb5XVuk+pYZQfP4Op8kKBVxpS4GCepfUli2Cbfz1KcEk84 5dd96N+9vUckde0QdKYr9SjwZJXoTnz4HMSGVvkN4/4iL4PtDUeRWKiDO1r3x7SZPbsSmNfPtqet KXeu171PMT8EX2dj775OjMb3eKo07g3RHnuyljqZo4RW89yfFk2FamVm+pl4XIM+Q/IYHfZBaQFX IUfRw4dyDOfZO7N5lkzr4LB8n2R5rccTM04Nz/q05PjbHgRMhx7UIAHdGVboVoI1keU92EL7+gnw 42s/yLyvh7vCfmggwwkmwrOF65Xg4Io4w0SsmNoVCATtM4uIoCQciLdY+vjARhL16lF4D/8XnlTl jWKZRikgAh+gCGq14tVXfMzOlko/P6aQgX+wtypS5J81zPM18ihlZ0kCrxSYjU4i8sLnIQeg93Ld QTHCM6gswIRg36CwrUNLoNAWOMvr5M4KOdnY+lA+GRcB9/uU5p806b8m5j2bmLdMYO/T9CUoG+Ur AJVjf67HLFcrnn2/fs1sxaSYKLgXImblRKV/3GEBwebpJOP0SBCJHVAvqu3yxFnlihFVCUTAqznU PkOjdLJtT8iUt5UssRJrT67EyRZDIVNpahNZkcVN7SJCRaogv8V7ermZoUq2h57h1+XKXnEFbBzI ENKLzBYjpJHYZPvtGn/9qfpUBQ3PBG94Px/IYl1jjJ4M8T3wKT7GzMdmhfQnGH1qsgnHu41moHgm nGWVT+sDqbcuOkgg7RSmKEG/SJD73nlPEYor1AMuAPVQ6MJb7L3XFB3NctUmk9XqDimrvDxHZWPe kszO50GSjZcSd9FsYbNuCMP/oLwZKEa/GX+ycbxIBMZdO+QirJV/sBuDTxAr3mN+5MeYeAMX80jZ WuT7+ERwENsfjxk+FOaPieIYGQRQEcOaDNHBowpY1Ji98i+x0rNRhpVMsx5pAd5itUyA/0Pr96tW /1793qcfB7vwqZaoTzMFzxD4aC1fV1z9BhyTONY2tsWqZjJsMAjAsvCexPslRF+E3haubEqQMqAF FLmS3d+4x/H18ZT3ZuDl0QthueAyln61+cKN0z3IAn7JsQxQ96mvAuULb6Dy64rlcoqfm4DJrfT8 xTEDBTqIMht59dgLKqiHR4Cjp+i1qpieNFVyQfOBTJo91re1TJ6p8jY5gY942zXF5XgVNM0gnvxm rnOdw34Z0sDrKOm/X93k7L0xcOgE8hE1G52k8SQhwAtI5FmSidWYpQXDIN+KvrCOMGGiXnb0uObf iLqzlebFQuO2/bo8B9vEnSWMYyRWM8ZManiqyXF+yIdKa0pZZDS7mdI7IR+DS+3jOOCLgMdHa0hO m+QBb2qLYhClrGVBOoIeFgBwC4E5dYrVvIXJMZSXD73oeWci6G0tZDF5yd7YAGpCvzXZaujgzakt 7RIkfKQ0v1LLxgF/hxSU7Crhk6OF6GwP8VugTaJAqTwXTAMyHTagcf+qTI1obwewDfCkiOZHodUd htwA2Ot4Lv88WjLsLYJy8+/HOwFNk3W82LmbhpY2pYg0WbNKSlPjGG6O5eXGhVEzG+DWg34KxMOy 8s1l8xIler49/PHIvMvedFCwRowXiDz3suo37v0f+n7Scrba0PhSqS3gvjs8L8vJfEIWX3ABSJaH SZwAPtV6zHCl/d4eU8O0TnglIgPO5TIjQL9XCagyOa3F9AerktQDYU6SVmjZXy0PPiel5hNpV5q+ zvoCOVEOsMkqXL+M0bXr0FKrWZnzJX4JF9ImAFoHhVFF2IkHkRdE2oLAPTdxxrWl1ad8ivWxQBhP PVvNliWXQ5asmnbHU6XWiw1tn3SZQ8Bt5vCj85SRiaBRrq4HBiL2sVo8ZnpWKiLmxgi+paPUcKM4 E320MArqU3RQt9VVlpNn2+7zyNSWOSHbYT4/zg4WY0/Oj2bE7dF6Jr7meDT6v7koPZf5oRVidLF/ FqvjvYj8+ZlDzBlpsXD7yhi/Y8NckuLZzE2h+dGs+A1lKzHbcxgE3DS/6AezpoiF1TWL0eQ0nn4w yzxMTBEwZU8ehwLh8DAZLbYuKxBXhpmiE0fhICpmETUrJt82qdIpy24z4eFLylasnIqVk/r097dz ztB1cq4nY9bkNlq44HZJTFwHuWFQ1RlU2nF5AZG0gBU5YO5zoUqhqALNo1uCxR7BPg9XVM1k1w4Y G2qiq36n9RCfBTsn+pbwHYfCynyfrwa4fRLlsipDhD7/S+300zZDDtDif8Qb/iIszbjK7Ca3RLeZ 9alrDavNbhNPwdROmhzgCvVmNcnLDfwU1t/btREJJcotQJglMielpqVRpN8QSF1CbX5LESPbteDd TtnPIYNAcbFP+CL+84yqKlmC/mWy2JyXarzz7RmBHYPcfgp9pZyoZIJsV88i2Bu3QmwIHrj4GEMO IklJSk3oT6eNPHex2nKmc3wf4gYf0s6c9hRL7Hb2tKao5rU5IR1xW3+JU9zxdfP9SkASBEnQpijV Bu+eIN5REVe2kIAsxeYWFXVrcL/M9Vj2iXFQEIVGIyNP/+dEeBO1ART4waudUHAzxlKC11vMF9WH VXaARNotI/zc+4NFRAXyHvSmW3k9ScWDaUi3Gt9r1ccAEtBg3VjMiPiaEssBJRCmctGXSLTccshP mda0kJCLLqbhIbwMWzyfy4F61TGVfYj0QaA7cmAzUkEkf5bXYrld+lVLWRzjDo7bpaZgCeJoRm/w XZP1LkmH+T5fcAuj9LCbC2E4QT9OUsK9TwNnffm90mixkiPURrxQ9FyuXy7x78orRs4D1qgTWqpr le7NFiCpJXGd/XTgAyI7HFrdrYfTVxFDupr00ZVo3iwUnCTudlKsvp9jqKz43VJf/boh3oycfjpq y6zvc0BlvcfbW6encPElrd0M9+fP20oF/3xC5G0WcuwFRA/0mzLeFbtdisr8pjuaDhyUU0lCDMsS I5s1XykTgaQYIgNzHq0xjsVs72JxxZboTwmK2vzPe1YbFXPOoMqfUWUc41NfI46gnl3jATldxUSd hfqqTwQl/0moHrYtpUnF4SDE3f1ZTQtYz5H7WGxLaruV+OYoLoHD0Y/TwrZEvCmlTrRn/YPjb2W/ ry6j8waAc4ycVhF4oG1DVuH41aYls+9P8Mwq9CIidniAjeg/aQH2au5eJ44MbbJC2bnXxvYAU6rT nj/VkBH1JpFhETXLw5eixNDkiGjeBiUSgYD8m9w2Ao/8afglI/T8stSm9p9lxF8m26Md4kOfJXCb XhiOlYnR9npsa2IGJrg7t3TPGgxKD5B4CBW0/fjXCqdZBmV+H3nloIxIfxCL8va1Phdc9ECEQqNF HDfgp/goj6YzduS8C7qQJtFdeZ/LFo8SRV962WATovBg+CV9Zkoc7tS5NsCCuRgNnruMPccOVK/h 9x/gzyyr2cyIS+CkHBgTf5irMK9LpwHinmiDcnK2WTgjoTkvgzyA5SM+KqGjGRGmJlzQu+tRsjAR gcW4eREMfvViKSx5xup+MfLKZ61U4WbBP3pD9uVzsrIU3iShb93ENYADF5i54DrY2/zA8EpqaxBV MhKOjrcuXhmOvg9tt5AWUuwoiLqpBVEFi+6S8GFZZjKcYjj7BIOxv7GrTtTFamrnEF8V3IminJ/D /aveRFAlsw+kkj6zz9Xfeyu0nN8QLPgHbH2AWPsYgEtqMoZMf/0qFd8xfFH67z9q7eQYq7xQRVZN UUzfMPRTc3CdTTu6iY+FcjNuBOccr8R/6J0gqxXTiLAl1DV4iENo2JL5jl0aaMlHojBEXp2m4thH vtPrpIK/NnRuUsYclznOmvRzsqrrqlCmUM2ql80NAp5lqh59FQCVLjheatt0kEMxJkmtqjDQA8L3 fyCkg+jV3snG6sFv0+3/Say1p4Rl3kasCEULDMNtGRo9fiqv7ewlwDkHJa1gbOAKigQRGQJToUZx 0ii4LP6WAXY4tyIEEH81nHqrpe5tg8y7erUIKcOIQeAPwlY1J1euSJNOe8y/2/Pnq+uB9TOmEoL5 2xGVbceJl6orMapper2o3S3JAPNODxMmI7VxcClZFGCQudzYr7bA+xh8XXtRnhml7RmvS1uSZQ+S rCrzd0rMNr89BYIcVuoIZTg3lWxAvkzHu/a9wqepPc8stZxCwc7u1a/LzUT5ffBrQPCYxE8pJ+L+ cmTKRaDY7OpBFdBZaRdE3dnCvPa+6EwnAX1m55OqTHeJl0QYOazwu8tRgVliC9tTJUit+Zw5gb8I A631awyLNoZul+bDW6x1a+CousSMN7A3UBVlP7EvCn2u4PjxH0WM/NafeKH/llZB8Rz8IeSsfAtK Mk3jswS6f6yDdJAm09tEiVA06BUal6uCoXOHUeji9wfeh5ktq9ekmfmkO5dfKj/rerJGnkteyeaA 88m0L/+JkUiLQlh3DjGRa980uXALUAP4qOn5TjDM/6CxRXUYzGyjBvgiel1gDWuILsCH4oSmYX+6 /C9V1/0bXkrsJD7cNVkl6ZOsxmYrZRXzB8e5M00FjiHkPN27T7uEDXYXIlCPlJsIw36ERZbenHOw AxO3xqdIQJgzeB187Qijohl9gkVgxRL17Z4c8TmalAGU8LOGv00JviNcAmJv32Y2WKUUAnNmrHDs B3yBrXUbcEHDskEAw7mkjjnVyc5InLZ2Z6x6LF9kRRmNUNpRYTKpuNDo2aigEHCooDEzdAam1rGn wL9hGcrIfjBmZVENkPpmizlCKvQ9NM1jjZ7SH2k0vmCSEDRe3VXScoK9UhDNbpEjypzT7NoHLpdw mag1SHQo9+JeGVYkpI6KsOOAC65xZd3deuQIOMMo0oiyct+ylWGvRi5yiOHy+FkGOo1ZaItygPqy yf3NzV9iStLvILJB5ahuUBgyY94uuzrPx2SLXeOkG2QbFqIyJu53fUdN6D/VA/ebPlDOL0snRmGB beqxolysJZDsS3DDwp9pmjoQXyYCosV0JmTq5RT4SAd/L4ZdNl28IKUB/5gpgA7vabeCQxU1WqP1 73gerQEaihptohcqeMlpraVp6rZQq0a5jhvBzoa6PvoZ8vokRVubb1TX4iXHWXHRaUJTVaDrvCbp gBOIJZHyBls0QFKabLp66Cpsy4BkzlcrV2E3GOug5Ug6QvyD5OKv5AaIDNupK9BJWyVqe6ToXhY0 D4fKRWo9cEZdjYqDwuLqxzxos0h0kA/0FsTO64oBk0LqxdMkLOU9KSzfTGc5cNaUhhIqV9bvsHWW huQaQoAEJuCVwdHULZgJrz2vCPWt1zDEjUKF/rDsyuJiyBoAXwbwoDTOaWrL/t/qtGBluuOMJ26M 9JLavf8nttwVTOsaTKlik8iZ2hUEgP1PDVmOOaZvlTcFwzNN7xF/L3/ArnzJKrHoLYZ8b3vCRIr3 ktWxAwqCivb5Wjhp+qRfmUDkVAMtvZfB/mkl7/BP0wAX7Chz8u6WYxH1R1BnyAk+KlNDCPGefOuc NcF4ayO1gDfwSHyl7DFk6Hj65l/u+tk/jrbynC6MzT41RDvnZssaZNuN2zlnF745p2bRGPklGPXf ADrfR+sfrPpdSPELuAdqHUJESpU07SUU6VGKMSG+hIR/a1fPVTSaMw1UqvCB9h+HEPq5gDpHCCIq mxWkJX1bcgiNJqNbWRUQ8DjuNpmB7DFpvtep7Vkyrf3hIhzeeX7B8R7WyNHS8TGpnvmLMJwMbGE1 QUpDKoFvKcn17+/6u2CubaPCU8oW3P2pfU5+BTURJxGW9IWDtlvGx4hzrb7XpUvWJb4xBS/stelS aLZ7vij3LA5uAR+WBFxaATjhRD/N/+t0DYqmhZf5W19Aq0RsgnmwmWkYTxmjv3SFfIJuWZa17svi XsvN4nWjZvHTvvgl9PjJJC1KTuK/8NqH1dW7UPGkZYpRhWtA2vu7oNa4jbH1tPp1ut7PwepvVpzN JXt08OuE8dpLjIbjLUM9g13MGoivRbxxRDGSlAYnfIMV5VfHa1a8H72pYQIlgLFiYcv0NqH5J4Zq jQce88cFMVAXPxmCAXbBxqxOek8JfjzAUmaLgByLH4lfsNlKYviZ5oh9UmlnZtwfHt6oOrIbk9uF K9Dui2Y+kWFpdHc3QfxGJwWRN8NNY+5an1pEpaWIB+7E7izsmw28VScigkyUZsbPqOGTZPwG4mS8 g+BO4nN8kx92UCA+/0vlIRguVQImTf4G8omBroT+1qZaqx+Knd4FAlJaMoBsudRD6Y2OwlgTdgnl wEa7kfQFMcCGF8g4Fh/5V8cRumGoH4OJflz1l5fmAwtCEbUuHwA4qBuFtdmg8bCiv6hbN3YB6h+X NpC1BLqQ9RQJQ0TBLlwACU9YRY/XfzfxzzFxzYIlpeyFCLIiKahOnoOA4LkaGjSeXZzs3MVHI2OW 6OguX+pWBjsqW1vQE50leL9NrYuGCfwkPeLrl7cXLvYBbXb9+dP8tv1d1mXtEhie4l6IIWlZigyi fhZ/Z3lB7Dj1vYud1GUA9rUhGqBoFSLXHsfUpSrqAZryxjlJdUH/jD65ZF/o9Ni9sZnpnv7Iz62e t4aYL40KiVJYXVTLA/FRVAzFUcT42nu+c3ZROzmeWfEFVMQFoLEvlJ1PjP+qniKuGOxEsk2OAJfL JsTtj7EQ03GNzlLXJaGAzyqlaiNVwasOtyFjb/ejMkALBeY3VuMIGEMxgeZt9Rx38UwXGVWwMfNB 3wpgDa87vAEdsH0F9z/IXfTlvcIwKvLT88CqoXElw56S1MbhjoGDHELXIwbAoPJC6/W3OpDntv7W f7SJVUsyZyYRgMeXedCN+DxIM633DerEfWB6NLmYrmLT5kr8WsYa67LBy3ZCVl1JDIUSLE3bgFHh gWUFf3AQnTjpYM/DfVSnVgrqGQeMLWvUsU0Tg5yLjOCGbWwMG4/jTGbXQsMkA6Z2MKqNJcLIlpTt 0LPRcjlCQPgDpt1TPU8v+k5Jh08ZMuwyyTZ6CLOMzYamdpozdS3GahvgeLmUZgOiMnM8TD190iJu BQrDBMoOhDah8moMSFtJC4qak9MfXNjqUftcsgI4b9cwFEyaaOWGYe9TFI/OHe5Itbcs3EHGjMES LM3BrbF2cKMmnhFsTB/zm9HkRB+NbbXNtP3R6tfdn5Z3dX7fKfloLdaYTiKmC1pOIeEqSyf2IMkB 7P+rIjXfVFqA+YiKPrXio/ddLq7hy0gQUzox8tdX1GFEsU6Zwm62ixk/bdjcNsU9vfFfx7Fj7uUW pl/mhLl/2KGJOwo/NfsrwGQuxYwWwhVxnkGr+tJoAUsaDZ/wZVvWD3E3Tz9DxaISman4cLzMcy3u jZT9DO3XFrL3HIG18oDxxqBi4Gu0ePPzgqH+qQvBiFjQq5D0vJN9D6g2iwzP+p49ks5MoJ75vxjI MBZeV2YEQn3uO/arkxaz2ifivBc5r5H0zth+ip+1zBAj+T+sDSbACukoQ7FIdSz7etDTkvS0Z7JF h/MYBW/BjvBX74b9Yz6XNvkCdu33LrVXJCWoGVRVESYc/bsm7T/WG1g0XDoilGvCSeX+B3fbiV1i 3y3005BAkBK9QHcrc+HTct5U2+MzuzVWXdmYjvafEYRpLsit4dr+YLdfvO5TkX9prZz6X7gHidd+ 2v2QHyP9OBfSN6EyA7AQ6FGWV1FLbX+jQgWlTgh/Ruj+ti9ESffda5yBu6a23WBLPELu61SwEb6a DrVfxV+GIeMdne9iOtJlax7vkS4FFXi5HWWxmKCofrWj3gc7+5HIrWSYHTx67k3qVdBMrMwgYChb TzgIP7C4O8Ifm1JXay6ocYQP1OVPd1xv6dTNUfBexkyhI9J8F4BkSKuZ4cLHvkRyjyRplx7FL0JV KBvAZpNMxKUgxT7nRVfiRfLimjm484zonwjsPbQFoOdFps7aQIA76NVpcaI0pYT2AxQ6n/VD9e32 VR/XmAKk5vs80DvwfAnPd/PsKlObHzKGi7Oacwyv3D0Y/6sEuI6t3l36+8X9Avibxt30yAD5dlXP o7r1hEpqtd597XhOyNhpBKhxV8SzhxxMIl24AKGJCxN0ff6KHszkQ92Jg+PVtp7eFsV0IvflAE6A UgrAdYvhRDnZJPPdDDdU+HJ8sAcig0iE8n55wRGGMD0KWSdLduj479HBS9IgrzZsVR1BTWUnnG6I HCXVT4D3+PjYUpQ/KnEQ/VRgSGtEt9Uwh/fGKO/n9maekS/vH3dNWYSxYUV9YOdFGO+MjeWu49AZ qylZxgxdVfljQ67o9MDPShGdOX201J1wHtgAlJ82obwI/EzpSNwbFekbX2ziFHFREgxaVL177F/a VYUd2sDznRbLI5U5yGxj4NcpcK1vJTBP0XfM05q5qlObHRzR4B9ocM96l78YVbG9oEO56QIOfac9 Svl0SS3Hmq9YCdAVPxJuvrSQiD+dkYLbsdcrfaXTDdEpi9s3rDOkhUZazPm+tf7teG4snpSE4WLE dlumWIYbHBi9paZtFTFPh+iaxks/NuWPiVTgVdpdADsW0sIWmnS4IoIBNNOCqE1sO0pPsXvY0BeS wEccU9Wt5JjRCXXaRXcOF0YsJl/yM2W/dQoxSLptMPTbAGexCise6rfH1rZAM0n2UmaH6/kzs/IZ qcO749TYs4UaFJ5sfO1ZTZ1K4//uB+Ow1yc668g0zyZ+mhQDckf2r95u6s4uBrq0R/pz5PRyPGNE t7I2ipXz1i1eApcruMo9/jKC/bUBwqMfw+q41He44CzhWT55kE5TgAMDaTJZbA8+vJQhuni/VeNg HLaBnbTDYxhM0AdMmEPOHFPMk/bUnQ5r3u3ej7Cp+zfGqJJ27jQE0j/qvM6QyU79ET2bIIxYdOIO iKtIr5BBgOb2cW0yoaE+rX3a7XcdReZsEROMeQTgZW5h917G1eB4xiLmI1buGAra9CjK0a1zNSDN EiW9y4w2QuwmRQRFEKQuFsI0vw7Q9a2h1nTKD7BjeV5hcLoHmVsX4hp+BTjrtzsvJ0AQ1OR6xf+I eE6CC5/UQSMOnBHpeAeZBDqzAj/3ZdM2wYyayqQ12GbogFBWEyMis7oyC7zq+OvRfNsogQKXKpCm BriQDMnYDUeMyTQwL7K0kWKLbY4D4fEts1azcZvo2K19fZDJcj5vdSyGgUt0PI9N8dMZxi6IHi7K dsXKIrlYO87zhB29mTyVO+El24LoMBj86q1NKHCT53ebukOV0KdFBiB+9M3Gh6zmDwZe+A6Usbrv UFajhUUEKCQ+jQowudYaHzC6HFxYZ8rvprMFUOv8nER621O6OXt2LZTjORA//OEeycdhsGBC8six uj3zzWKsD9KLld3Ghk/KlbwG+XD0da94SKrhxcdVM69HgoGMXhlhV+ojcZ3vKLfxtSpW0fYerKGL ePgym3JeCmhbAzXAP0UlnCm1C5EnvI05z6JJd0EAy6RBw5Qj1uL6ew3IFm/c3ncCT4ZEyZHtgdnG FI3kHDyb3g7PEclF4BoyqfWwURJMaW5H5mdV3iSzSKxbnaoXyOWXJyeE66Dklcbw+oTMxqdZ4fJ8 02zbXTVssAiAUK3EUhYVCoMZ5DSHNnJdsOtoN0TeLTRMfsoSO2vDgXFrWT37zLFFyU7LQrrUJFpE Dig9o0CkT5HX1pMXUandmOIEqdofPA0xWhe0AQhce5gTtCwhuBRPZbGV+WT6hQFcL8Ng8sT8xVjR NGp39TlzuG8jOD56cu4jvAPe29YyY4HSJrwE2sD17cKI9VOgBbOKdrMv4rtJ2y0zGzZ4D72L4kfb ZkBsjYF2XrpVWgUM72J2dVMVgW7l3onqORHzK7qjd/lrkTg2uaPXf+SrHnFNmvtGCcfvsxFT+Dwe WFbTduZj3n+pQTWQ3GMyLqvczJoq3iZJWbXNNgZMclNz7J53rygUHlsINsqgcEzkEValWJzRI27M t/jM9LJruKgFzUGxQBwXf1rh4oXp37x5ecKUgZaI3RL2wqbd/pWTQb8YK+SyxHXa7okcUv1bACTW VQh5YTSF/kRyatfRiyLnOFLPDiDylD33rDn3sHWi5HfeavOjYnJ5iEa7GOSAbu5vqFOB9mfddINe Befx153McJMHZLH6wAmI3+bBW11OzfQEQvnNjoh50FDn1fAspqFVyi13UQqb3t9W5MfoWcjMYN4G UmFfAiZ8qOpbj3MBOT0WNntU3xhA8qsgvdqzyUm9lQ3hrUDsfG8Q/qc8Q091kco1ksnemPDId/Sv YdQCTzd/uttBu1/m/WwgfqP1XEAqP3bPQMIEeZwl+FTPym4HfCOUiNtQiOsE1W+Fiy7AeA2V4iva BrPUZXVIJMYrL49uhUbZ23iYRFaK6OZH4CXAN+BLYLZKv8KbdWy0orJLI5WWMbyguodiy5AmLdJz /pKnwoX+MjAL8mPNeA2WbCqdOfp6T+lgORC7+w+Zv8GKOpq3R5L+NRySz4ExeGTOspQ0YiqPy+0k IK+V6qVWFcveIgDFMUnr2e25IzXxctqS+V3vuhcCGrakTU/UjmhnLfwsgdFJhDVkIBp1vuHgKJ81 gApFy/lDKZoDxsV89IchGW2tg9Qbqrb2yQ1R38bu6Lk/XVVOO6jmayAxbQCxa1hYOdOYO4XspEoD Hkx5p6yuL4EWt27DXl56Ea+RdpT2gtqejGa/DdFNYOBtC14/wgynf6S5ZvZKBPys0OBlkqsz19rv K04Xybispo8V6Gi8HTxA1GxIz2mVgH/torT3Xu9GrUY28HKSlmt39kkDDG3FJzQ/rlupWg/eTEan k2Yf173sWCE6Gi4/uK3qEMxJYf/W7v9vwjszIAJI+bJwyljUf5J6alxHwsn+r6aZJ7Gi5OJZpALv XOVO7u96QLFDQWV3TxGE15tIH526e+cmawtzugbOpssnF5dtTdRx2M0ECkpPYhDKbgD/3s9ipmbn D7zWs6bf2nmKTqjD2A7odm4Lbjc3j8i935rJB8pv19SqSteprgAOpWoyhMNqQHTIwKhojuOm2Zxw DFsIg1FiSJT61D7rBRS/fxxsHk/sJfew1+3DTq8AyRGbEUsqJL8BJjPXJGRIxZ/QjhfCDARJrVde lONHVC9SXcE+Ng0iKNhHb8xGfQRFN2vLieg9fKzoMT2S4mTgK3SIdP05ssYF4RvmI0sr6OAQTs9t vWhmn5LaGmuEPTHjvbAOjYWe4DzJaA/TfpQ5g8wkm3lP5kebr9WqMs3IUmcXbv6DpTbYwpS3+P+B j45I3buTiLUsbomkRIDPBcr0uJv8WB4qhLpGrjAFftvdsrbdCXaRyrpr2aEtiGGXIOLPbZ6I53H/ 4NYM+cvVNuWz5aM/1feVjN1WkUeeajcDLFNcFY+PDe22mMmSN846xWJrziCo/7HyM96LJaCOq5rE u4nBP+O83TRNCRgELw+UwDF7X/rEYYpR9mi00392OZieianNerPLdKN4if4vpkghm0DeZpR2k6kG FdOi1KAcaasUQkXdQiu9K4wSFbGX7u/W5ch513s2EpR20Q+GoiLdE8XJFfv/R6jKAOHMe0IE3cVI z7e/A0XQ0rtZmC296/CQ0vrXr5+DSpkK8n+eK9a97KjztGzaojAlls+/7qln/7GCAZH+Rb2MrgUa ptoL19DrPBQWo+o4mEULlZRDqFI841n/tq0dryte1YgtwJUkdIrTN68qOFwOU/ODI5asE5BjMVoB e4Ej7HJXmPynp9eucn0CpmU4AvTiHDPxS4kxSd9uuIRT3W6B+zl1HpWdji5Aqsniad7C42dDo521 NEPOKE1plTjWb+fr2d/87WtW+gCzw1gE9ffknI5dz4H6ZrlYPajCgSTpUE2FT2GGXxaVV85Ffqz+ 6+Wc5kzp/9lKNHwK1Roxkabu4AQxzRCJA9I49PAwtnuChDMFaySWsCOx5tTC0drreJPjhoq3LP8e ezU3Ki6m0ZUM2FtpxfwQdMOzcvK9GsQTbdztqx0mX3dO8jVJMldshN+eYrUeizy2XjjK4fQNWtls 5ifuCslGReaHMuxBk1Bw2DtYlOulX/3qWsAMs/BtHsy5XYZVHG+J8GOq/mHP0Uk0i635OKhlN1Ol iU5a8OWbuCT7fuLsifose0XShbIOwRq+YYbwUL4V2a3rLK6BiTeWt5BuSzkW9N//bMfb7Z8TvZt1 Gv2hb0Zqj0tdzHl6ux1cJztti8pPiu7uPG5is3AgJO1xrDqEpd5FAQE8J2wtlTKgy5pCBmtmTZCp Xa98r5rnzc3m8VYlk78iLYXSpQfVJ6eY6bOpEvdrZ3qEjbkwb8/CJJ5YaeBMA/cmtVjupQnLuSsD EYWxBPIG63AMC/CWrPIjUS9R6VAo8JTzJ6j+DFr7QHECAbcafsf74KFJrGqUJM2ofx5o/8trgSA5 tjG7quDcPVeBspHm7Hnl9iuf8jiJMtKRYft4Y+pvhJNiZAJen2VUQHi8Jw8fD7hvpZaZ73X55tsi aw0aVP48uX7i1kJdatBY2h33o3XxWaVjiZRyMocXjlvVXUkrm2y9V6qW0uYJnAVCCJYqtl9BfXIo 9IXStR+Cza7m2mXfFXNLUVAzEHeb8DjkfE6fn2ySBDpuzfIdcdrL27KpxS19AydCAVQuqSPCTSAc s1h9vnVaGQjGp4BQPKJ+Xgb2eyYug7/jJ2RF24Ykp6vAhkyUb/i06SpA378JB2ob7zcsUfUZDjk1 PWQKi0A/0xIYw9LfRX6iXEP653XPU3PGXWLc28dNUF2sTDnOx948L89afm7qLG8Wd9tXgNxdMfPO dCCL5R5C8Y+eUfy737cCQ3GiXIVoxzMfvAfDQ4YRJJvt4SnDQqsDyAhEkVzzgkSkRMcLnXGNk1Nh rH/v5GLCKmizQiPCD22JxizCR6MgWqKaX2CVDTENqz16RJhlaXIcbyBnkT703oWr8JC01QHQdsq0 LuTucnDVerOScn4mqvk+zkBtgW5tfj/bKg9HkR71GLxpiXlQ4eWLLEAglAraTJZyyyo4fD4bC6oX SFWoHlEyudTJuoRt9SZFuKlY288zpEXySB/Y9t+cpumR+C+u0L+6Bz6BnBtLRQuolryekBxvHOlf AUzpTlhoULEQG+nik8J2pNTdkB5m0omciOeT5PJpi/X+iyh0iS9nRxi1imsQjGrFSASs1D0DChOn RGxQJC4zqG93HHxnoJ7KgvZMsPy9gxRXuPu+i7gHgaVZW/hXL4Jw0Y0R9dsRttvRFgyT4OzjPW9V r4E01KdEDRlno34tSzKyrJrSqHTHKRSv7jnqs+A/5JJjCptCOkbwSMQKOwrveX7+puiJgbVXtj3f oiOb1SZo1i3G+vfqqII9nI1rFe2ozK6gztK81BMvcFmzC6Q4FjxRYZbJMutHjQj7WV2OyC39FRyf Opz/E83a0yLEz77eH/RlZUcQ6hoclyTauHvDt8IdXbr5ElGLP76UJr9H8HFq2gOUvHWsJbxhlBPx Zhg2j2uGDf3oF2IXexvOGAhcCUfPVA9CPt2dICUggDqx4R8tqN9gOF/jyTeH3OamPxhz5CVCm9c/ QBHaKDCCwFE2goYkzkMCdWpGRCkmyH3lxmzV0rYM3YK2cs8g5visW6zdbG8mgjcrhdvZdXR9FrYs GCyBWpwruxY710+Egy3FoL9RnCfF8IfvBG1zPyjkE9rppZfyjwdwWb2Y5wl6ZvwqmtlPx+2Gn9ub N3ay4nZWn+w91LgH9MMpARMX3EFzp3KG3389wJX0xYgAHRp68okY1nxLPkFTzSHJQTmxp9DW1Alj dv8n66zFRiQGGUZUVHRPBoccvvslvxR5MmkM//LemEn4uSYBnsQD0AdjnEAPVIX6irnk8g+P0pzi aFcHGxDb4TnWvbHZFmxAK0R+8V5TZBmEUopfhv3pG2J+c1Ox9vIlEpipN74mCYhmbfwAuvPRSbky dao1KN7nqjAKPdiqndqxE+Rkxr1WD5ELC/H5w5Jvb7xhOe4ZDgh3CVsIa0i4gfYv+fqMyk0OWBmb Dovpnp3U2BcuLgyk1e4IedaCRgTMofqnYn8ZIk3cmKN9tuM5GXQDDTaiGw4rHUPrFNsFptm+Kwlf tyLTHpgtMyhsq61KSvVKvTn5xVRX/TwNdsvUfAjECelsIMO0Fn6q80GWuQQ03b9/Y0NbUOd/I7ky 9+f5JUoUzDIdQzGWQvJH+Np75VL8rR5o23E4aCP16BTx99z/LeVFd48rtzbxWIyz/6LznHO3l5SF 9buzpC2vJwB/1oUWgw0+/VjQIqfTHrO5gw58xQt4H8vbqdSgCwMa7rk5W1lAsqxMVXnoIMVD6nQm ZPlbswJgPjiJv2my4Ta6+i4Fu6Mj1Jr8TEkWtl2MOQ5WoC4+a+mVwAxqO+e2AD24YklhUbnLy/Iy kyrf9XacqZRJ2hXpKYwtJZ7kPkN/SQAiVEhm7c6ytJd3wdvkR2Xctt5DdXPnEDeITQgekaW0njzm TiJvSXFVNJYhWpphBf0xAErjKgKaHbxVtNH8gLsuv9MpxrbAzdJNWO/Mk1NgSfcQgD5wymYi0n9Q d09sx9LhM7rjDnDRnBxYvmuIn6CefCCu5o+Eis63mtApanI80aImj7bwxA0i9Zer1dF3c0gN4xdF v48l1o40BLyP3sVzSaM9QDOi3fL9w4UqPHlIu5myYP9v1L6ov/UF5ecunbf57SfxYBgUFWA6n9wR tp0A55+KftGZTOXcv2s7yT6OpLKtoU0zRt2pFFb+mnHUDdzn1DlHoA7s+OePKKHkdvs9ZpelMvfi sjzZkXUkC6/MgeKibmlSK2cHdh2GVPa7sowCq6X5T+VVm9oofU8ylH5stcIpY7yU6CtG1loxljSB WAFBtgqQTlvFK37xvJiFZWKTiE3NCUVVyLTf1Syh3DpCdWZndTyVvCADXui/85uOX68a1BB+N7V+ gNIBeIH/W0CP9f4RimLdERRO4udXb+Mtqib6QkjGOEz1QOxRmpek1wdZsk1FgOIRAThGDtq5iCo0 hlit/zq3zRmTTvER+9HTFMl8pZJU4PWb5G5QW67UtKgmQ0bF7HnyYj1mwFHuIkiLsgQi1PqMEIg5 M+e039ANGfODVOX02DqWWCcNG750DT9tMv71KHA2PpvsVmayzKSjRi7J7iJhkYiG3NtATMgPCMEy AAvmdYa60ahKSzR4GmnB7M/Ri4Coyrcgk++QKMIcKazmJsxuO6uie4nBF8QUOxLZ5PH72AwOqPag 45mIkxYyPzBxNfYr0LiEjJPJHxdKDZPmloMdgwRseCb5NYbfobj0Q5Fsr8j3sgFFMsnI3zHPkAUB 1BimZVjlMw1Kjo5d7TLEPTYioVQP5WBhZxJWnYOAgCVCGayjkDQf2N5bmRszZWPak3VDJoYFJaPC pJNX7IJd/fM/GqkDNR24jci+ESIKflFZ5Q0URWI6ABqZssJSKpsfzcNLFdLRTxPv1G6v+ZzF2B7p qtWujI9nBC0CGgQyH0xmwZJsTh0ljteS1i6v/mB+ujz/IJmpOOoH1qfChQ1wl84MiGN8y5XX/h/q gYMKuUORDc7QgyAZL48huAeGaoaxwwLvayfWQ9Ca1QJO4eDtw6VLRLmwdWvg7DnULP0osvHWWHvR 2W714DAK2JY5QnokSmfP51ElgM4uhdgwJlcd/AuZq9KxJtLCm7ZK3clYCfiQAe2c1LPgCuLKhavl x48wH7sVrsZYhQVQ525wiRxZ6BDLwtPqafdoPi3wcI47iUjCG6YXbkzQzUMAG5yMlyuHk6tMwVuf DVUPZbpszYj18Uhm6/nXCz2iNobgQ1rZ5lnanWfTCG4c4hGEaIg/jlr4tmjGOyYCQQBuR0ua46ND mqfmkRF69ynbIM2NR9OtEXdFsJrAc3+EksobH8ESIH+i0MzbRKcUV1n7roryW4N5Nwyxl1ED70+M b0YYXTPGbDX1tG2fC0nyiTMeyHiMdW3zbKPSA4NPGfDlSzOlz5/NVLTS3KaoB+T+vdSlfNtSlYco S76UmImHNB5tzW9vIhESCJ/XTdy6RWC74uCcJhlqsWTGTOZwCB5IpiMrqfksKrf8J21Kx7QOPRa0 OkdrI50b31z7AcaHFTWiciBKMPm6t2DeudghfgdfJmzuG/u0skBB6rwW0Ucme6Vgb+iPTgKRXKKL +CtCafIXyBTnlJOKD/w9PVFbmKfpZ7AfVmqXxlOm6GiH/nfGXYn1GnOllDGaq5nmwZ13qxJuckOf pE6fj35Hr0PoVZPldpFDmoDrRjyNY3VAPEtDW60q0SYIpzCn3R1UIg/+Wg8sHMuMWBfIZWtXfQ5z 9Oznj2HQcB0uy6rA4XnacMSyAR+E9Jh7660ez3+wx9/+fo1kHiTil23ow9J4qFgWm6+6A9tpwMrS 6JziKDVoN7pKemVs/R8rE5SMcgJCfOj/CPsOyu1yE2b79alxIpjN6ceft4kFLlWJvG8pM/h0fR5S 7wnqe/YrHB/AYA1dZLIW7dcuvnGmyaU+3ITqE828hbICD+bc8yy5mkgHn9i7hlmhW8xJE3Acrlel 9E5BaXKxMjCPvvVp4NtTYxh+aQV8wplVlRSv7G+YTDKegjI7nBUJp68a994TR8f4qnIInAVNpMWf vyK8+6u/YLK9Sjcv6zqFjK49rceN7zlb3EzWZ2tR4GFDjCGxSLqNkib9FwBeAB6BwdF2DCcUJOoa SxFRv0rMLqD+S66JN6PDbi82eP2Ftd6b3D4I4hZvP+JrcMDCzAKqegbfcbKdK5Fs4bpbpkXGtGZQ Ln/Kj7FvIlwymTgVNJ0CHmkQhCUnA1H6cGJp9NfO/v4OkmTTxiXlTvQJpfWTs6jduUGxov9uPdMZ eFAnTmjvmaZf8n748VitOJNrzu41xblsWV/H2QyjSlSS76DSb/PbFpY4IDtOqi0RBKLo+K9winBO Sekneo+7b2UEu1BNE63hmOZtnvj6duhvnuiZZU728kavUF5Xm9w4cT5qSbAyWWSo/fyFWLIH9lGQ nXCT5eR5FpXPbgPU3dFQjMFZpKf8/PSwh8uneI/gToEZxpZ4JQAFyDCS4/sDW83VbfxxCgM3ock8 JcqZeDgKcWp9/lCo8mnXuYyTQqnaT4jFmwaDkPIQWP9ISSHgW2yQi5mCPJvai4RJeUHxgUcs7dvW 43hxN1frbcWz5MlhJQGEoIgqidh33yX1gZf0g5Uiw/2TspZE9QRiecUgQu4cHdANDR5Ybbl1Uqal gg4tGyXJyr5fxmkBUf30hXM6i8DFIQIaL2lVEk1zERlGFl2RKsHxZtAnnjaD5IQiDI3VuyTDzT/9 tOjhOItTfBkhdkZSdHySHjyJB1LCnEGFYSW/bu7UgIhV4LGikcFeBqC1zW8HL4RIzddRNa6fjgJZ t4jp0kQo00jEcVZkuugeXKyqnOppOlmrm6GDpsUc0d8jMN1WFy6aSTWfAzBUamSXeeMvm3Aas1kZ x5MPBPvGEfvaXVO6ACXQlUXMX2PVhs/GOTOWaFKHWxc8VCSzYHb6FEi8wwWwB14kfak/4hmDq3UL 8OrKPs6Qj416VLIJWFq0qRo2I9UKEzPufnMrJOhEAv9D3mNPGzH5huiiKQ41X6UMVO8xgJjwV9Wr GV7IexcFLz/meHd0mQJQUYDViJGU1nM44D+IwXBW2yQ3+Y7h3bLcgLuKEve4uj0QJnXJPMHftoyw sbmKW4wes8ovfHryqzZh66Jto5uGLDG2wNmu68Ql0/ESafka8553vPJEcBEL+GCFl4Im2nu7RAvG 9KmAzwmE9FrQ/6ZkwRaBgjSB9v6O7+XFKkVq1tsGOWaV+DRyyfZX8KrhRcWxMzkNIyEtdaS0DL0L LzM2EJ/QXuwZqso5WkOmdWjxsEqrKtiAWOlNv5n8Yvee1gs9hr9V9/hb3A98fmSB9UKxwVF7gTG7 YQDscv8sepi8Zo3QAZG0MtxzknhaFF9vuFhqUwphDtYJ/doKKo2aBI66MYXmGa4Fib2VGFZ/YfOl HuSvEg7L/0nTKlbxHYDl6Y5PVnL67eqhitFzFn2icuqeHf2n9Szk3DJk6Lg6VPOtiKUsaZj3zp0E fWzaAiTY0pVz89plVayDg8fmNoGBfBFBbncqFZ8pbF3PC3RHgIFWgUtHtse4UiXSlk8BmBIBmAKx lZan5KQIsENtFF7vOEN+wVzZL347Ug0S4kM3k6W/6V13ukXtM2TP7OCRSBpn9BVGJ56deP8F3LD9 jRknjpaz+DnHgLXVPrwHxYZ2iZ53RhWY5E5Y7ub1xo4Dl0zLLThJ30ihC2jv2foErpWlXfzDoO8P QuekxAlnMXL6MrL0Rz1lvmyimNmSBTdnukIIijG/VVrDj60rqptASVPUrdo8aaIi7yXe2uRDI10u ECkjv/M7HZMNJTvLtCLchbrIexOtK+AegsKdeSEKHiBkeTCLwMB+GBXJY3pXgXTYSIxSNDWNUE5Z S5of8u/cPtC27LkMyQyOiW8leFIscj/SKABwTJ87rVgsULY6qBa9aNz4caBBNcASQG+7AQMYxc8Q 6Xj786yE58nIweEjInDbxUIOlSV1B6IyJx1E4fn/nMBndGGGkEI7kP8pHIV3yp2ctMc+dokyso94 s7/8SIp0Ymq4iAWrfBIkJcP+SGK3iKfounsgcW2Rh+ThCNUOzOfEeYKlQQJ5EBHE85ZqI2hh1tDE doLS2dgFf0APgCe5VNunEy8QUYjEKhbVbqyBYErG/CHPK2QeinjOXQaiqv6CRftSsex4ZLjj2QOO Zhxds2b3uZ+pEZ8AUm6SA/4vWcERp5nQzI7jl09AgdBxsVokSUz3jUXDKbYHqacFrArUQolOReg4 fjUYQBb0uHmZK+hYaM53Cd5sAWlUqbHtRfWcPET4/ToRwaKscWwoiYe4e3+vzJnI61hPRpReE2YL LpxCv+msCdJ4D4UXDrCxQ5GNTKT9JNn8fKZ31tnXVDhVj3jIueswVz6XPu/3O7hA7hV5EZct3dl2 YmD0bmIDAtRFIKEIkB/R0JyqsU31dauEAUqJCOsr950/op5SBFpWieI+Ww8qrJHU740LJFOJjVte 8+wwAxSuooTduW+rdnsMriG+KlQsHYSZtOi7hITSIjbyvmkyxToDbsUPtYcvFvKvq/0n2Ma4T8tg i6ELb3m+F7e1pAHWZf/FdfXwIKT/Mv3hGP0WTPXzgecwHKOPBTzas7cIY9zWsvjnz2I5uUdC3RLr 4BooHCcMwZl929ecdFFmuw1ZD5dvI4odB7dRypTHxXodwFgYAC7FIHH8VhbZBseTbFr9lHGCn2uQ On2GQlF0wJe7jMapStut6/9mSTgP0q1o5fzQSA/KC3oEsIT5+VvRoE3kHK8vtnufl3IuLfukLHGc ldA+AFdYj/fmpcMeDQmw4AfiFwfdMoOfsMmdDDVVDaHtIL5a34DAueAUAedi1jfIW5/CmzxfZtF4 /9ewoAd08xpSejIJ/N18UId8mxWsdS9onydASVQJAaBhWImsQha1sS8XxfD75gY4gAPvHyKx+ywy 7tbTs2WVWlMl1xha5BGsT0VYpfCCFCuMH6/H3Vpk0Qgq3Zk62WiWRjM7UmOA7Sa1qXmdvOwkbEMG /6nH+9nG6Mc8F76wDTAqgWLFbFX+trz6OXQmOs2j++I9uh8Lq7ayqzo+4pIUifrixhfMCIB09q0K hnDndxAp8r1XWxQ/ZT+jdanrgzaOTdd0t6Fh2QcZv13fPQXo+Ddzjlt8eQBQ5HLCFVyyQvATm81A Rm6CiR1kZoFSZsQ1vGYtPSlcPrxHjPe+aNV3JerTiN1wjs09XvPGoveOx8ahfiUiAzP7iapbmnM0 /zDhtm1//qSkf/VtR++4TAiibYZB3kEqVbx6E8uDeeXLay9jbHG/5bRjfjE16D7p81sSU6JzfD29 xbQORFuwbqdT3yUKZL0TtWmonJzee115RNiEgE7/+YZfW7I8YXezM1Noj3u27lrD/5euLYWH/WbO p5fGTt+9tekYjpplWyxdl38QbbmfYdjhDKuDKdKDInTipG5sjOeWodBnQ6yIpJXLA8qqQcG0eUiM P+xpen5gtFWrdcWtqdvtimH41NinqEZ9KovC8ry3pjdT2FbrweB22rhEfz2Qif5YkfK1rEaX/uoW kRzCcJ9iD6YjzDnq6dVbLEb956vc44KPWY2jvFTic2NNNVZBsjaNnqh/Q16ly/brVDCq8KPyKVdO ZD0QXnKzdH9q1mjnLvj/NUdHVHx+ZAvrDDyFfojs6TETtFvMLY6kgJwMSePcuAkcZv3axQGDs5lk 2r2YsMkKsgVOiKoKE4PTc/lD9VRWL6kYP3LWbh/O3dVJ0EjWP1Vj+BfOJEPxTo6x5jaRPo+bpf6N 1iMQKiMzVMhxc39LKGEqiwxFGtoqcpt0kB+6ljrhgSEKxDIF6T9/+36SfziIC/X5jfOsBtqWMGHC bXIqDXaJI16c/hYw37kUcO8zCYdLHUmUcvsJibh+ErXZ+QqVLOXiJHrKaYoIihPmKGyDlRPQuWS0 o4vPhKZaRHcjj+tqpiw4Sgn18PAxwcUW0/Z3GPJAI5Z7v9iSHrpSAg8qbwz1JCu/oFIEVdWoDlPw yl6+Gvsjzp9P+NarYByAoYvrUVqlObRp/kj54Vsl4PVQZ1KLoXCFgLGxwJvSid46FK4VfnwQcVS2 y4OTiboSlRytDM/FKMHJpw1JTWHthYMNz4eMXtn0/tN4HT+auvQe0kiFpo15NM+56JkaTBYZKCfl yUbASX/s89sAUrUnMqhYA6chSXMVv95nsNOq34M1gptxMbo5uhSvVCjlOaN6HjyGGbk+1VQik7M1 k+VCnRES3S5FMs1G0bwEZZPng7x2PG88BP4NlxkdhknUGF3KccvBPkho4AqBNm9h53o3859Y0oLJ g5bERjpoIpQN1E3kaNjSRsEOmew7CbHO/DWMc5ER1Uidiuh7UjbOFG2bJEUodpgC6Bzkpau2U4Qx V2PsOPQxlFEFFr2xac7Dcf7EMIPh81twfHz5cWkIcIAkCFd9rs65SY3xpjjzNo2Ztr2awBii2K/o SX4I0oRlTkb0NipUHAKdGycwZdGi6iVixxOnHsMA213QHj8mjOzkf69riqVlwBvtx1dKMhtD1THR uy8y6bvNz2GWZNjKi9+njqOPHIStYit51LiC1jMQ5FSIFm3itYIWhVV3burNZHB6LmOHtEX1vpxD 5Y34N/ZfsWrcXvGoEbkpWAXJHBnPoxWKbsfhxcIor+xW7Q4KYBnKpKq+6Wk7bEbT+5DnXWTMGXck oa2pGYvbRhqeLAJFnNbiYZN8sAYiuLN+0Mnb7GOCF6qRjSMER1Tc/9+W9eb8wxf7lAv+TAuGx1HC j9mh21HadwKM33Z44LRkEmKWidW98vPyHcvf8pGC0dIdP/AxFlN6OWZsksmjP3ojTxOByfrPx27G /LYcPRFlMTndNDs5R7Dlpm0vrccQC067eYewsFqbH7E1+/hXCPmIWFmxSO4E4TZ7PmhhHoZxnWDb 9whKjalYX6GEHMTxdCUBRMHRszhyhxaRd/Gu+eyne2FKSle90QPW88TXYmxYAb1Wg/C/ezArWgAT GoPOQ0SEl+7X6BRO7PQLkxJ9hKqU7kvRcC6rgsPu9zwJEgfQs5gfxhhxwr+waCK9Yb+v+aVATI3R Evyj7k1AdnlNj4ebMk8UnY8tckxaLiQxQRncdGrlLizly1ph++EpVWpGeFrNq3lXCoXX0hp61Xy+ +g7ogp5H1aLwZqx1We0exBEqjNM9WF2qG4qymbRkVl7IcLpLmlfKdglnCU31DeZXURGXWbwHxBNG XzkyNkuApR2tzEyzXTbP41oqN1CBRnMl/deTfHn3FJh6pjT0C9uNULBz7sOdA3TgEEH3i81Rn+GF TnWPjk4SNLNWvjQSKeo7buj99KcN5ob8GUa40jTMbq+Go1udcX/s6jLKoOgd45y/rv8COgQNwVWJ RMDz/G85aMryDX1SbphRiFqBrcy+/ExbS6OHgYVueRN+WKTiCUfZFIGWsyiHgD/HGA09gq79+OYT 8K+t/ieuBdqdpOhC/x/1L2rsknU0cd8iQHeNJr3aWWz003Nj9YVgBqtUWumJMXK96hhCDe7A/SO+ 83PtuAYkuTB0Owlptb8zdHdmiu8mQ1+k4zaY5i0zTf/od6X92ttl1pm7KCNe+myxImU6CKYPl6to TOIS/SrcwvTEfEHpQzmoRgsdO1658le/B3IBF7K1iECosuOP8XKPaqKYneR0ydcdrKRXrFFaT+qZ sHe8VDy15f5F8teRtaj5liAxtgWp7IojNf1wJPdj+l1CdLeJ66JOhurHRMQfZaqorEI3UPT7VFyA QBaS8yukJDiKQm5T90VB89J8m1popKqp6VeIgIRHI8keha6GzI4X9BhFhs0qeIbOP2XcWlWfazij KDzW5b4N8F6MUdsIjZ7qLvKYPv2JavjzDzfMCTQZi+AWA+0CctpLe9gOn3he9VyXDtNyxiCFnC8E x8S87gJ/eSPO5/8J+6AI7+8AqoPghq+jIHvfLprBtcS9kHK3e/5BUPGsNlNCjVe8ZRr0ptPaXkKp ZDmn6rp5HjuTn+Zvs2tY1/8l/hU0QKC33aBjCKUFSVgRtX0+oGd6fRmVWFNccfGbLN/qAoKtxuxL tHtctJY5l/6qNlwPqlwj+321RvqFBib8ofwLzHInWfz8xWmw9cFY1Cy7MzagwtGvncJOCB2WbRio ihtDb5QGVZZgkmKJFlaltesBxLbXl0NaHKFq/uGjcUAoBoq5nY9H4Ciz35iCt/m3RNqtPt/dErqd YfSvNjtKJzgvQ2/2JhJlHpDqUzr+ZYuEr79nBPVZfz3i4JWE6S9Nxf+a7Ou8H4awv3rlw3PpFBZ/ ymzl5kJLXXK8NaU0mVi58cb83xmg3niUeQYXQI9A4TUC4l9i6ll6uJFI2tW7dsw2wprCQRoyc2bi 1TEQgMEnfBXuomMOPWQIOO+vDq8662Qr2U3HdB4rgmu96H4bXXPfSzMmVpJl2CpRIbh6VhoiJHKf f1JEVbWg573jcG9/SKsGDx0IZJy/+r+73Cc/2ieU8QEEvjAsSKFhCMehLOswhYPG5YOZCpJMmcfF D4D/mRv74tE69yuJenm6amZDATIMivSIfR2ubFvoCq8i3YhPzuTMLkkjm8yuCvi61+k0hOVswnNZ ZJurPYwq70/GXVKANaJV88SD6cGz3M1cCEu/sdENRzOzr45J4GATcHIlibJGRXRjvcpYhyyiGs3S yPXvRzD9HjiprrAfEzve8IebPky/2bBuod4MtFcEvOO8gRRr6ZqEJSyTaZ53wgHglSCIYCLdbW5y BrsKb/mXEhQaJwAY1IbGHpyq3cCtblySD4vHT6hhJgjiNGD7g58lOyylnO3XGvx/F3HBshfvjD5c gHsSBtNt7MHaThFiDqU8AaLYqNaUsPZkbvPsiRHx8WaUhsKykinRuGtRP6qkzhga/eDKDEpwZIzA RzY838gVe/KdYfnUyWfdao37YHhcebuUqQhHc/do9W4DKRAPlDtRadKyV8z8GQuUyK3VwFu2fuYe z9hGcf+JswvHiarl9l5kNS3OO7lu7Iep54cZfef9odQUzdG5rBhrUn7c/5QPVOBdfVtuLAoH6yxs 0HMlvM1alxWhx+TXwO5fcV/0Aunz/gUJFwKcaEKeHiep8freCzlK9AJ5RKpgy8wYukJaODOVjQr7 3t3/FvdwYvoRmLlNWu0gDPjvz+yKt1KmOBXK+6lNu1dtjVQgd6ITVZYNlasFyCl4QgKVB2f/Uzmd /ZQTK+hxUeTPZlSp9JnMzZm9n5cbD+UTFvUjAlXQUlNcfrW4f4IOHTIT4UGOcDpoh0bf9o9inuMk MByXgrGERefTBTIDs98YgmXw0mkZGfo5gG9BYXNqT8Gjj9pvlFiTku8/otOXnJRSgZCuDUO34nFP ka9nT7PzU8D/ybppJFmH7ynm6i+YQYIVV+AgCzRy6YeQM8Iqh9SQKlT1Uth2oGCm6/hwsvryruTa LXn6oEJ/+CdrQSnKQoNXOg1z8ZXFQrXjZoKzyKcn4XLamB+kDFAikNqxZ52j2lEvOxu23FiYlcRH YhFcEkr1CIXWoINYQwzn/k0SHus2BE00d/qQKWk1d76fohQJOE2E0SVGx+NXRKPGPsPJxviw2/+e KrWkCDjujdODqALEEUCEXs0AsA6sXyeSLG4v6sKzQtTXaUgQhiO3z266CZG03MWnR6rw9o/qpbwW bcOSwu4eKc7UsUsKTvmtQ5aU3diLQeZje7vFAB9sXgXFfhEuQRwtdS/NA7PWiNM39uH0sH5stkyT HKHnsLpv3HdPyQMU0hWXjWd907ZoYGSuNGye9M5lEw0W7gqUT0Pp0TKdaPY0j3wt6fHmyBqyjarQ b5Kk2dMOLX3NeWsEE2GI1fcBrVB8uaU8Ukk3LDaJxHP8HmDw5hWjK/dXpdGZJGue93AAt8DB4ChW tlVG9lbLa4EJ1Ukb3R/FauCubfXgkP/gIu5y9xMqesiGgZoCPViEKZjpS7UZqevgoYE8Ddew8nAl rrZJ5HVTwCYbuUSQ3Jee2yS9/D3PFA9p6dYveDv/WrcZpaJ1CEcHuXpcQhgYZMi6V86ROYdEeM54 0ruuVsr8LysN/q7hmkcTvUlaKgNB8kfxW3OycurIyB0ConPNzK2Ey8u9AfYNjtywsn36WYPjlFJi 3vwUs0AJVAqOPjT9Ydo02uiFBHYagS3hc6LHk7FOurD4tXasDj/u+sbP48+OdCnrvfvvPm5NCMmV ExxQpX3Y6C47BRg+jx+1STwQ0YbwHhNmwA8WXeLXu5y2zEPQUy2L3RW4tp5ByqNNVKmTl2NJCNMH I0Jnw5cM6Tfx7ufGdDNAQ5lHL0IF2nPWXkn1Xeex+h4Ss3kvBS8ajdvEbeyWBBadEWwPRaS8eUr2 WYBSqnrhUC+4B+1ZhVkOGTHdI+JAZbPpDKhLqEVsKuGDhwa5gjaHJI76vxyYn5iGzZnUBsFw8Jm+ +w+fWxMe4DYY55QMV4GGh5+ULXSpuNMSgLXjkrUHQrcv8E3eCLjGiQVYcPIwbL4C2j//g1d8KVF2 AVaYugC+LOjb/fzrx/1zKPAMZgik6k0yE9uLH7fBLfT0Za6Pj0pcwkFjF+DEdFQsVJqMNE/9LxOI EkownresBR8IkSLwugmLFwth/3/x8YaD32RNjecLzNUXPc74MyeaafIA6CUPpQPwCqFwvtgvNEhX iddRpHwzsM9JkIKXngZyAOi/R/qj8NflOxv6MRxJ/HC1O0IoZGZBroO5Y+0r+zEfv8Z8cyK/Sse0 PoC4h/s/1mTbBEWgcLnBrzoADDwCA+uAMxxp49v8XPREiw6Y+gFho+a7nwtbmhHziRcaEKxNfZdQ SSl1rIN6bvzuPQ+5LgDW+sgqbLe3z+jzJeLzIArpzv5wMAMphFHT+62cocNTtiOnLCua9ChtcCCh jgwvqF5aewy6070p/x5Ax1OVC7+XfeXffZifMmDbNswmgEtDHcD9JyonX3L5pds53sGq4g/l4NhG xrayYb/rdwTLguENekEX8+n9kTWQ2RiVPFF5COk/PsIenqEwKR34tRABVrdLS9H9L7hUrDAX/VKL 6IURD48QZmyauVTNhv6bh9IN5jRevhZJG9CQMtYg81jYynkxHt6U5IhpFMAFUcgfXBsAjYuQan2M vFFK9ie0tQsL/eHVSfSOpGQD5LphLNABLxsuQgCknIT1rl3cRQEcQIIIeXDtHsODOZKTRcV4V58Z qQzi0K2E922bUXFMQnZrW4wa7XyfwcP6U0TG4/pNP12H6nKkCUi951QUmYng6ktoPatOFqa/a1oh N0R3pzXDww1wodGSLWv5iDwuQqkXgNuRNmPB7nuB2cgw8ooZS5WdUsQI4a/M0ksdPnQRZlCmPzIX 2bofg1x5AAU1FDyGscSxQpvsFqqIo95o/w47eoPFIBXrVLNmSbfEKBOYXkPPhkSwszrIrHOhScGS dTeX9FdnyLoe9N3wT0kzn7z7yfIGuNxryywHtBnhWqAtdEClpkG0MFKxfbeSKjV9WmFF2Dh+14kX 68/f4wILHQhaB34ayy4qNzH3k6QqHz6ILTmJpZXmBaUPNl+abxhSvAoQTn0Ie8eZMbcad/KN6nl0 +zetAj2QDTHCa3ZMudjzFF1UVebVVFAI3o699M6QTiD7liHVVNHopjU78g8/Ijds8fJQIiHoX3Bw DuYUiO6qa7N0lWAEkxGb6L3B8Zl+2Zy3IN8l06c+y0JgYYNpIfQvpNyOkav/JJwSG2uC1fPOiVQq 8kW/swYfRdE7S9L6VnNtKMGU6BghODC1N0sN499bW/G2B7Z59QJdwBsuY/3y1WckHqJvWAwCL65W OyGHTxrMhUMTLfaaaQLaqf5heeLttIZQFeD3FjDoeDid6Qe9eWZJjgUyVJnWbu+pCSvjZVhCDyQ1 Vncl7v+Fif1AfmqF0Lr7mKdHO0h6mTFld3lHUGaIahPJhOkQnGujhckkrhSk9OgKPL3wfPblqYle i6UvCY5gYVkUTlPUTpoNYQV/nVhE784HnKqcm+UnYhErr0+O6jVRL//1oPMPCDeEGrilkckBd9SL uEHGbvYgArQPugE4Bu88JQpTUHfyQlul71NehAaGBqwY653kBdxIeb30BcVtJjBSSuXX9ahsrZk/ Y74PEOKOX3EFdTGDIML7yIasvz7sH8gnxdZnE9LbU5B0vghymB+ctJp2wy4ZLVOBHjs/82cANsxF MvZGLoPU+NsVAZRXy/EhIEAiXPiGFZ/lrGpZgQ34QzOWLXHgjkECLKnskPh3bJw2+z3zagYJKvkI qCQQmQ89DyQTYZHc3GtHLqFQ+x3roZaXJf9LIi5gt+d4HW9Aarc2i5pxYUHedNJgGVTAEvYTJJeY W60hPGvCewNVQkGV5KN8TYYVLoR5MufNDB5IgLjHhI3caZPW1nIYBy488s9I0P77DVt+kxHwP5N4 6V/JYOv2ixp+P+Dlp0ESqu5cHTwySjhWaNrb587c7YYsdGBhvQI4c/z485/qK3Q/OO8cIKR8ALwt lvfXABWJdGNp0TK0ezjldVE1ocsZrIySk63DbdFHPDAgVwSqm5Zl2poisCGZvPYNiVFxA0O5/5yr Hshl9x6l2G48dvSCTjBaHTz7cxRm1y++ItpgfgIzSEJegXBcl6e+5vApt8VKJlM1CENhzVBQZpnx Y+ceOdnNDS1gZMRIU2D88XmV1IMyAYUpcjmt3ICpp+d7KGe4wO4CSdRvKDQhPhilfJWPCFtNENK+ y845K5Jqd1FJ4dPOn3+bw1P7wB8bq8FvAYICv/IAnlQZaoEVda1s3STMHnGNip/kytxmNaG+T7ZS KMxBZVYcm1U12aWs2Xx6QVeA9q3pGadRZf3gx9kY/A89jxwc+WQHrybF0HkhSP/suYdEAQFYqhLR E0QH8MTS7XFae2sLwKXVUb9GLD0Y1bWLRgIWeB9oa/vE8iD4RsxsARn/vk5NIj95dxbEHZnYosO0 QBjgqdQUBbR+MEPaW8EMBvJMZ+m5BIO8/XobpggyYkpPbmyXyL+YEnql/y+JStGO7vLWwOBti9vz WwijkxpkHg6N0UGVuyEWtmCnusP9SEXUqhhIfpApTtX9WgobC2OZNmcM6D0bcj4fkt36eFFgYvet W8Qo4Ft76ICsp+eHp/6G7Gd5IrspoCS/vIyIPdUngAV5tH5zSsbCU+sClsYrcB/xibGSXkR9gLxS eaYtQzKRZcWK/0QUNAx2wFPoJkDtAO8qNz+xGLpTsp9AkpVuWZV31LTHLCA1iBFmU2N0t/nI2LwX HMbg8dLmE8LE1wvc0DaDpg59LQXsw95/dWhUfh5x6le4CddiIXWNt1G6tilRQm8hpTGznbfcryPO yQW8hIY+4H6dLkYygUurFtJhHRbsK4Lras3PmLs43Zt5sn/VsbkwQzvWNtVneySjupkqPlYA68Jy nRNpKzlh6Rws3iAhiwxlHq/4P+T/nyKZATa/5B1lo2Dc4/y8Q4+Zuu7MWAC/nkY6xJnhUEF1Mkmf NFRhxPWADSEXVOYS4CrGiVtYBD0eYDfhO+in0182iHjr8s/WD8DYIlU6Zv1V0ZumZ+MXoO7Z0crF tXmiWrIHrPQaw6wFMZUlsMlUCmLbHpFWTPzGqqyvC8gyYXmpuJubURHlElVzv3i9Ar2foaR7Yxs8 y0ynWHavFPUwLkX5UA2F417m+C/xePL5eUapzd1GPU7fK+kSQuI2iep7ZvzYr5y+w7IsEgB/S/6T 1EIAS53/3UQ8oqN/DcG/fxSMdX9DVRxMg+LtgdBUJjufI1ydXDuW6tSs0G9zZsZYHARAGoJGs/1Z yg+Sv8KJe/gzzS5IfoQfl44AsACQIad+C40qBVtSoMdFs46sTvS+qXoUXXlLDP4o5B8HkUUOc7gC +RgdeuZUh6GYbQHzv88ezz8Ki17KgBgH1IMnd+AamWbEOX2hhDRiGS13TW7uWVtqowIKoV3p3YZv kw8SFYDmYeptbqKfW0Xi/EWuFZh5pv32YWYv1DwNxwkgEln/1czroS59yW8ykGOtMy/iwm+EwOEr SXyFWpVYdoE4jcBHSoPVpMtHS1jJOMUa9U8Oi1BwKiDGX9xQQyAF5QQjsjWcu1BAdaPwhWKOKcgM 2pXDcBU4X1UDddxtEGswG9vRZtDOEwWifyRZs4PwWe+V+UJcITCsQ8VVUhN/5hjp//rQYRKrsHFV c35weDapPJYLVQiDIUMsx0A506ou2Gb844Rh2g6k7DnYlEMFsg== `protect end_protected
apache-2.0
0cb1c85f2c9f7b8856195b31c4e5a748
0.955672
1.80639
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/1-HAL/metaheurísticas/hal_hype.vhd
1
1,541
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:29) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END hal_hype_entity; ARCHITECTURE hal_hype_description OF hal_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "00101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_hype_description;
gpl-3.0
0396ff322f5191837fb30e27b1dc9cf5
0.654121
2.974903
false
false
false
false
sandrosalvato94/System-Design-Project
src/polito/sdp2017/Tests/fake.vhd
2
839
library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity Shifter2 is generic (N : integer := 16); port (A1 : in std_logic_vector(N-1 downto 0); A2 : in std_logic_vector(N-1 downto 0); A3 : in std_logic_vector(N-1 downto 0); A4 : in std_logic_vector(N-1 downto 0); B : out std_logic_vector(N-1 downto 0); C : out std_logic_vector(N-1 downto 0); D : out std_logic_vector(N-1 downto 0); E : out std_logic_vector(N-1 downto 0)); end Shifter2; architecture Behavioral of Shifter2 is begin B(N-1 downto 2) <= A1(N-3 downto 0); B(1) <= '0'; B(0) <= '0'; C(N-1 downto 2) <= A2(N-3 downto 0); C(1) <= '0'; C(0) <= '0'; D(N-1 downto 2) <= A3(N-3 downto 0); D(1) <= '0'; D(0) <= '0'; E(N-1 downto 2) <= A4(N-3 downto 0); E(1) <= '0'; E(0) <= '0'; end Behavioral;
lgpl-3.0
5c5c28ce96986f79258c0782bf23bf07
0.544696
2.397143
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/counter_bit.vhd
15
8,803
------------------------------------------------------------------------------- -- counter_bit_imp.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: counter_bit.vhd -- -- Description: Implements 1 bit of the counter/timer -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- counter_bit.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:46 $ -- -- History: -- tise 2001-04-04 First Version -- -- KC 2002-01-23 Remove used generics and removed unused code -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Incorporated new disclaimer header -- ^^^^^^ -- -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; library Unisim; use Unisim.all; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity counter_bit is port ( Clk : in std_logic; Rst : in std_logic; Count_In : in std_logic; Load_In : in std_logic; Count_Load : in std_logic; Count_Down : in std_logic; Carry_In : in std_logic; Clock_Enable : in std_logic; Result : out std_logic; Carry_Out : out std_logic); end entity counter_bit; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture imp of counter_bit is component LUT4 is generic( INIT : bit_vector := X"0000" ); port ( O : out std_logic; I0 : in std_logic; I1 : in std_logic; I2 : in std_logic; I3 : in std_logic); end component LUT4; component MUXCY_L is port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component MUXCY_L; component XORCY is port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component XORCY; component FDRE is port ( Q : out std_logic; C : in std_logic; CE : in std_logic; D : in std_logic; R : in std_logic ); end component FDRE; signal count_AddSub : std_logic; signal count_Result : std_logic; signal count_Result_Reg : std_logic; attribute INIT : string; begin -- VHDL_RTL I_ALU_LUT : LUT4 generic map( INIT => X"36C6" ) port map ( O => count_AddSub, -- [out] I0 => Count_In, -- [in] I1 => Count_Down, -- [in] I2 => Count_Load, -- [in] I3 => Load_In); -- [in] MUXCY_I : MUXCY_L port map ( DI => Count_Down, CI => Carry_In, S => count_AddSub, LO => Carry_Out); XOR_I : XORCY port map ( LI => count_AddSub, CI => Carry_In, O => count_Result); FDRE_I: FDRE port map ( Q => count_Result_Reg, -- [out] C => Clk, -- [in] CE => Clock_Enable, -- [in] D => count_Result, -- [in] R => Rst -- [in] ); Result <= count_Result_Reg; end imp;
apache-2.0
6d774eb24282c17350f0e23b566c5146
0.403385
5.007395
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_status_flags_as.vhd
5
15,251
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block YdPUHEbVpmrRFMy5BbUuH2UCjaSpbPEMVsts+v5dT1IndQ5NejbBs0G8vAg4suXtRslPbLBR7cIf wqWT5hRIYQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BcP4tuSTd3xtaikzwM+ec/FgaFt0i4J29OX8jvVFWjBcPIZrDC9wYh4ywYH46KhanKWRt99og192 DEd26hPC5iQTxlRFqSniYNrlye1zXu0tDWJQ7FNEnwZcG9ZoN/CNSctpQr/SGBHKUggY+qEfb2TT Dwp33BGM34l85OZ5J7M= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block dJU6T+Y9BjYjcKJ9W2rDB82E+BAYCn6cDj7JjtePsn9pkOKZj+9Q18BV5+xQPYWEDQwzxvihpow4 dtVC1JTbxFDXdOBMWpZmVgHFYHmpq/JghxAOE2rqE+n4wln4Unuce3LdpDU2qFgI4z/fjBghIaxh txdVZZtj5LAJfkttjRmUJqU193mSFL9jiUQzXixjv8adwB3Dyqda+zWTSfN3AuPISk71PtG4fTW+ 8pg9lU/u89sVuNGjVCQGgtDug0P6oCsOBcxY59Powb6ZMX0bTwoPTTy6JNnOKH52viMTSsK7zOlM y7CissMXOQHwhNc7ecaNVb72gCyjd9TFs7cTOQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block qfqMjN0toCr5CJMTnUHTxN04rwXKsRTHawjKpNMbNTALGpFlWbMTPvxwHPNFZA7exGvSSX1F4C22 L4ggInD+HWrpf49QAIUpPdWr08kIH+t2+aTpHfVCBRgpcBkHDlUiFgURf/EUOsSRBT6YGf4DlVTX RwllEAS4P1Yy2dxot/U= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block VLwYmT+2XPUWzKZMa8XZG1WNv2NMkuaJvFq2LAqmIlsQucdlMAQ0tsJAUN96C+sE6vq+1xxe5HvW CWWPoaxlbLnwT2tmfZ8gASgLYJpZ5qAxVhY8nShFxseKx84EyoU/XXuPuymaDWvaSx1APXAEI8ZK HtQjejDxM8I/vfCE2qJziAalNXv+F2OwzlKnVnbAG40VYUzh2Di4GEpTr2udiono6DIN/CCNPeWz 1szJRQ/LV0TBuH2TZe6cLTB2W3a0QqCTuEe2nm5ZjxHNGt20LjT9SWY/zkvCDF1MPKHCVRv0IMIO VRroCAZv4P4sLmgfKaNPQjizswst6c7iTfLQOg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 9552) `protect data_block Y4eZMYcDaeakDqp+0Ti4pwUSws4racnxd3P75//oW2M93tqCAxx9sVtHGgpcx7HBJZYdL6ueP7CL +LfWQFxXvmUGqxfuou/oxriKJFael6GaVoAClJLRRtHUQjoJd8pXqpuRnvXU9dgrD3C8NsLFLGXx JFccdaa5PXMkzv3T/DbH2nzhz4QL4PTh0JkBrHLTRh1bsTg0wNucAO+81OE48daZu7mt21qXJxzN ErnuRjime/t50zXz5Clx4svX9ETzQttYRFaY5eLgryEUfZDxWw0lL4MJ73xHvHsIwi/N6AESZ9Kn pos8HvcvKPpeCF1uijAajXZ/NA8g1DHrufKstygb9DgsANmhQZ6Vr2fN8CnOa1sQsHyUdkgBYRVl H1SAcKthruTUCHcm2uX5Z6+LSOE9DfKbzODIa1Z6KoAAXKH9vOlUAVhQ756Xp+R/H593bP9OPRUP LcXRuVBJVaZShBDsb7sEIa250d5Lzs74FHlBSq+2aSS6T6SkgV9cNtTU8hypUkYEm/+IRZRS8xOW 9WWOzf/g9x+PnJVl1WkNAoxH6JYlM153TcGgfh9fc3n4as3Gp24Hur3886hofG+RgT4JqJJf2wAT wR4Yv6exY4kP9BJwyDBg7PU2Ulc3tLWFGik2dtQSBghW0QrNZMCPAarHiBq/gHJrxGEi9agrrO8W b7WwZbFfwmRfqa2qhMAkhvDeU4kX2dVzPMhyxcrhgeV6e4Wng0AX9sr8o55H2t6gPkIVMfCOcCSG gSQvtzWLGGM6CvxUIsmcB0/crJ8NH+rkI1wpGgpySz0a1maKpqbn/9LmeK1IzRqn46c657dla5LV 4bCQdgmHDvl8XtXRtrNWz2BdaBRnjW9Xzmwk5eF6NXFKICC6H6924TVZMgI/oS2WyB8PfgNrWf3f v4fUR0SEHisznuWcHmIrwD+GBDFMJ/PPcwPyGE1NgUqVoYmoKclORvWrSsSCh3tG8/rIEfHDS+Gj dRvyDDqFCbynR3IXADjiMWBe6K9MJj48UbKYaexiMFfSWwLg+eLWkV5tltPCctrwyEWCeYHGHh8k uA2nDS13hqiu25DlGH5YJItSWN1uiKqV/7x3aWxkWB8mia7429gkvffhUebR/cSyF8BnZCpq9ilN eS0vnYKWpM39msUKmm8TOQ3Do8jvBjMJ/eqbuiZcQOlTJlV8XJ6YlBCAXKAsTx+2vBKdMjQFcz7G 54yqunu71FUK7eYQ1AOKoMTtMgEfrqAIW0iOV4reEMmPfbbZCnxR4doLMURbEw35pFRiA4PsMMK9 HSJqntAUgQNQvOh0cH0TYeWRkFTs79HyjuheFVMJW0eggQwIh6f9MlrTJL6qDrb6ZgSeWCTV03Z5 /5M22l7R/SaRT8l1K+Hh8wwQWUjqxNnkE2YY2r7DBjUJsSVrdSfx78WykBiLT3esR5+KGpS0mgLn gvwIHJpMk/Bm+42oUMmOE3QxQcRJhm/mEovj1et7m1nqkYxJeuu4q1aOmYbehry7KOkkUnZCfWiZ b/vYpc1C9bMQOppl61uHFFzKm4qy5QBUgmnX9FQV5wPdBTIWeomMTaYIXehCNk+ZxcWp2COEcpaM sJ0EmN4kLjt/QqLsN3nsjRkrtAf1KewZYc8XqZVrn+dCiS3H44x1VNCN/BpxhsI7giLudYRIwcFx t+9aLgSRNVNyd9O6jJEHyGP2CoBIwSEdV8OfnVpjjZPoLeF21sofFM7B4aLC4glSNeKdcIE3MfAS Lhhe8dzSp9gKa1TtJsAOVUcNOyrLZPG+9d5C+FTTSft5/BPDnqNw3UjN4xXuXhdBRWij7d/0kPkQ h1TeUUhXHDpJCvACrWu0XspmZboCIXPb639k1EiDnZk7wZwVdOiYmNwaTiNDEyAKwqnwtKiwtkkP OkcIdu0A6sxWDfNSTNFJt/yI0pQtZtixvBtyX5JmmFYJj49BIPYiHgK7pjDIY7RPHbecGoKt2/DL pXgW+O5Dbs+ihnGMvFYa/ySlywCE4+e0MNuE2L4wLlaUQHhoHOWwU3ataEg5oqbTYfD0SaU8MS1l exCl+YPorvWLM09tG9zFTW9pJA/LKlQgal/u79OX5vQbfBhYWaJmTdlItWBeDDXNo1N4PZH9KX+Y yQfWvND+1m537cNokVQ5DaLjTKYhGoRzfx9HoYHKxaBvUoQvTTeUOZJxXGBNUlHcDPb2ZykdXFpw 4AYYi4qADyV/QMs5wAaII5oQzTD2D2agj+vH+ZEzFFvUzgvvMBvOOkDA104xMvzYzIuGv5RXXZLf 7x71CZPCPCNEvFGSdmyjWfmSFBdnebZxMMBOENciWG2ioNopYA53yLx3WVkd2QXzKvdBCRXBq/6X G8lADkKjAqJ9f1aMvoiAgLBF8lufctJvusdcO70uWULlxLy6i9TN4mo9CSdL2P+2AO4sZVuzLkhf RD99GrJFO+TxGVEz+zfWxgjj8/itn4oWnLEoe5/C1E0G+dsBrrps5Gsams2/Rf49b1TieuxFgmXl nFEYE1DDje51VyBVKBCqCBGauxd0eUw6U4FgC7G0jPKd+jrwdLSnAZxGiCampGyQA4IU2BAkJk60 8Np8JM7sEOUgJSrY/ItEkFmw/H5D+sxza8jgRTSi9HImA7pS9XOAA6STTdxyfWHxM3hpYIzXt/5o 9QhHXnp90XTgnU4Ee5X+TEY5lRNF9kLWhrE0F1A6w3eUqe5WVlId6KatWnqpMVu8jGXserp6kLsr rb9iGOaSFBJ4Rm10PW06ic9sgzuyXBRqnhbnfwTOQ/u03SZo4F9W/gBJvij094EUbaE/ca1yIsFi dSB4qNWLkiNdLqPsex6Xd+vBsosbLdeK3XFYvetSTVIGA8NkNwhFyr2zS6Pb/R7hc1MHrNHTQ2kW CK8uOr2QhIG1NCz8tUav2a+VxyEsLedxZJvczDE/Hq5mvenSTALUNMtJvTIUjAy1aY21Sq/YqCgX Tz9z0CqXcDMIC2aIvF0Icup0Kmn+IsovudAPuM2sNhCxf4YUQYVcCW5PzOjKOlnS72vNVnFiK6/B sKYeuPwUpVYK1BNraxD1Xks0jJj1F8UwNgNebhCaLAw5o6/WN4zP8ic6VXC1q/OlFyfelAbpulYQ e91mgg4xwPy3nKmRn3xxEoxsfVkmJ/c+4uhUoFKKYQ8840fjsOGHeFM0LJ9y9BZF2qYmhSltY/8A jHb8Q3xrxX8aXOSrPwoQ0umK0Mlgs69RuZ0krPneOIm9Kp1X23ri7qJrs09PEBxiG281mhBbH0V/ M/8GF41kCjsNbdkNxenskuQy0rGOL8bx1o1mjjZ1WdzJZDod20hNSmG15qWfjAaVyfLiqBanRy2Z cfRkErP3xmM3Y84puEtsj9juh86YddGZXZ0w7tWq0DoFT7JmUCfbtBEei2kyJ/5C7LAFW92C+E+S 3lFRLxLSET+/j4rGZ88JC2UuXZehuRNe1Fo+AvfMx1oAz33G0DHhHVVZvQ9p7xodgLzCNpi1C9Pz N2tq7rxLc5fRIerG2XQ09zbqSszGj1hhQaj17zhFx2b2HpO100FAw/eGj2ph1hJjgH85HV2DNRK/ eGujTXP016cGdjrjluUYRtcDY7FfK/KoMDjDP5jltva/AvjLQUZrREOBWYHfBLfq9aWEwis9AnxX GZ3GQpWNpCtVIdziFcQ8QNE+GfGL0URef1J/bZ7R2xZgJcyyeXjNY+zaNH/Oy+Wt1enCq5eOSZQq 2Hev4LGb/AE6RJQZCIJM4MS4qs9wyQZardXGI9zP8yT1p7w6BfQqDmTJYTTVrwZT1+hoezMqWuS5 CRfZJMrVRl0vO6zitLheydeyFCewX9L12JHjBcYBKsye3YUi8KlJ81AR0kQiUuqoHUtZSHugMYR6 hk5u9ZPJmq0R5uj7RaRNCIj0CrlXGJXlD35A8jBlSFTLEuxeS5GQfz42wD/PP6sX1Wg++P8ZK2Uz B+UJrAeDt2AQxhPLTiNSUMJzOPxtn8nr2VDq26cvdf5cuZiv8F3TTy2HyyBmo0ktuJ/3GCig5vc+ dwBqxqKWQbPY1GhTLfepdHDaCS8RzmJQ+1QOQrQugAMLMaxBdmW6ZBqLtzhOYxy6Zm5O/nBjN/tX tzz6dN/+kgj2xGrQe+xufHvgyiZA+32pTHGL/FBFds1Ty4xw2H7vJFPTs9Fown0RZuryz9H3dbgS 2vHf0AKIzww5qEBjGhU17zaMrtI32kKbjqVmLT61idc3lZ6W3yt7tgejCyLlUgETm3SJL/J0Fjn9 IpHyrwYVF/7cjSVxjh66tup8DWa9HyvRXidt84fPxzjxvYB/9CgGLZIDK6ozoY386/HmPsjENUHR ND3qoU9JqAcwokmVIM/JVuCA2NREFVYOGS7R3onfjhSgcGrcHXzuXSDoued6a4H8mvY2NNMWSamo 6W3yCtvGH6ELRCYUTeuDapVLC9eGZPyh5uZPYo5ci8RDvCN7PW8Llg4c2Y/LNR4geyjx8T+Dhebm wf6IXxjhkCwxEReWOiH8gwSN7NCt1B0K79yUkM83Y/M5BfC+KCLX4UhaUD/oA0cKNbZ6M8fIp635 O+X5S0jgbaevyRZmKpbsrvDJ7PHyxejE4d9ygYTPkhFSRYpK15+Vd/knx9zEmakAf5tElb+s71ST hfqbTsf8zh4eITLLPdD4kIuF9RezVSjhkkipDZ12Lp8PG7ampOLXkZxLZheoxl3ujXV6gD+D/4/G +oiLSJlNKVGZxAysqCdIF9g27s6BXk/Epc18R3wZU5SyFZZ7n6KydinRU2Om8n7pL2pBgF94ub3R aY9ydxz32NlUSpy2y8Jv7/rAsjAV59W9xBoWP7BfVkD0RJJxXQDdywD0ypVhHawrR+6lliOOTcVV kSs0eBB5xhvZ0vl3s1h814OcCA2/ncU56gPvqAyK07i8GjXJTaf2h5cHhBGprH1ngviW8RNOoDlo yoU9dttHyJknpOWoxJMh0/fBLyCR9yRtg8bFIWMohJ6TXzKhgi+9DWP+bQ+OGeyraBMQh+z0HOD7 riirFY2UaGMt7GZM1+LbUlFPvsGMWcq/iEuLMijLRmdCDgftxYOOyY2Bx84U45QT69h1uOcDky5Y ZCnIVbjnvHkEsgQk9h71lQquiFjnmf3axWFH+FZvgEYwPHsobbi00qobaU+GHHM8QEzAbUPSLVDr FpFKjgRz0YKwvx2MnWP63/tA7doHAXrH/E8GU3FTicnpKoVNCnIImlOhUDAiC5St+yxnakLT2T/l nmNQLO28YST9oqn0JyvDtZ8MHI4TT5XXQaVARc5sO700BOtwbup8lCp8yj0j49Gk4TMap5VNJjcZ b/naAU54uda4SLCLob/UnC0F4nbiRyY06vhYp0fxwOoXsxh45ZxYKFkO2cljV0FrQ2T6vcK1n34j h5CfqU/HLH3T9O6MjVjV2v0vmOlNaFfkpBbjazUCqruwCBlOTEG3FuFBwMCmKdYWmdV4Wke91UoT yMiQ7c2a9/4xaTJ8xPgWqgKs9EerKB/Hfmw0Uf9lnnqIa9j4XbuEu4ljMGPvS1feHxnZ3USwmFu1 sLOuS2zAA7eLDmd5sCt38zRCg2fa3EH2/drqp3dsNivdNn1bci2IVOacpcAJJ7NzPyDVDwvzXlxp 1nlS/GTLvgNtbePV49jTHucYGbCjP1TPfL+9Z5DRGr8HevOKUFZ/KxbNALkjZMC7ZNFwtL8RBeKd zT3+KllqCoud+KV48J1ED11BdhoW4I6P70DRtF/6ZMEVJlXxrWsINrTSdcC0ZWw+/f9NnZvdjMO/ CipqJSm9DC7np8nRBHfhuu8KlPhigw1kKqb2SVZkKVeItMQG4zdSgrlH13jdTfo0H3L10wFjqI8l yRKaSdO6aip33NX0jWrv6dzdW6Mh+T5cvKQ4tfNxnKzZMbKcmW8PoAwvD9zWaDYLPsiWEpwYHgo5 EVY4NBV5Vtth29m/nsyF5fcozIHnblLAsm2gTdcO/hQYzO1CDJmFeBj1c7kzAtBQiXZPpTO/RBGn tdAiwywdum6IYWivnIupd5mYfqR0LBPSyhcwRDtx0gv2ACJUTBPnwZpK9Q1iPwPtVMiq9gjHVeg/ VG1bCK+EXUrwu0neiBWj9jQbQcI7POGdTVpnMphmAzKnGke/+2cwElD/zs2h8382+jpG3silMN+1 itPwYb36U7irCeRyHM6aWkhKOtXeRNUOQRDj/aT2089E9XDyqilUwCPVRHubp3iNNqV34teiaRzU MFOs1tqUx2SYU0dNM462w4xNvEiFvnashvozGdQStcPcV0TgTwACeecGMSLa+OfGI3eUjZ3/p7Ub OJXg8rI+OBGYO4MvheVBcXQeEV38rzbaCMrETG2ztAsmr7ygsY2KTh4+p+Zfa433FDrZRII/9jvE vSzX/5Idbjl+wDiony48MhVt1PrxnT9T234eMcRmWMJdT8S41KJ2AeW4hJLuNCFFWCCrOYDr7uf/ BaQEekGoWMP2Wv7PpD2rF2jGF9JzDxKMusOihTbQYWv3+cHFBb7UqyERNNt5woONnx9nmQCdDkJc LtVoaMCTeE0iqhvphARkqmLy4nERQ/uJFOB7Cq6qAZXg9KvzeFD1wwc2b4dViXZY4GyfwFKnkwYE 4OFxbBEKoawSW0tk2OB0uQ8NqsRY+5xqFG0QaRfp7LC76kJW+SZHp15Q6hmuQW24QmeFs5efN9YB v7zvgB+TGOd7YcNuxJwwAuRxPTWMKEFFqVfPOuKe8UD3YGbsK3+xq53BCN/fOM5tQ6ShJlMh6ThZ IDtuD01gtdLCoVUlOFsl/o/WrXHlgnoc8LAYx/Hm0r9ShLnv0p2B7ReTm54YV5oHblRO8gM82I/z yI3tFWj3eu5kqQeCGggDQ9g02LyzErRso/YjKYckoX+46CEgT/DxYew9iDUxajeECPdcE9GSsxzt w5PGAOgwyha5e1BFxMQwvYziKVGX1N8RciiJaUzRrLK9z3sO+lKzZNIWlv0fWy2CgdjvbqeqXwmf fxG4FR4aACHi/beq3TfH7dpWnItT3yDbXT8mdjBrIcg6kfQZqb3ZLG9vCDpeHMruP0eJMP/WSpaQ c4W+0Y+nU6OTIF/eDTesi2si9iDLvMQHBCvvw+JfMBPRU4OZDV490AtpmVcbUCXbY9mKhoASOB1y /qlfu6gwJXn1UTZS5Jng8vcrhZy0j+EOLUJg4+Qs79Ebwuo5W6N7oxzmMJ3I9DS6Hbu21bUN46sH BcdXaa3WrjP2F5QT/i92UKE0lSP3POAHZIVReM5tmGPtoW/trmC7qSLuTmcX5SRJ3Fm7sT6eFKLH ffo+TeYrAwYytYFsFrvS8GWad8yNgDwD9WB0DMHFue65bxlQJMDBbU0DA0jx7gtIGoeNQt2U4Ek1 tgAX9PNzHq7DLFgIiunCeOe1fWVJLfuiRNAvocHOVCQrwQQ7mwsJARnRIeIMCjQyUr9m9kleCBli XWsMJlvBlmoxeRQkP9yGdrM154Zl91J4fQEdkSGe7sOoyBB5Qvk7aG3bH6CQVnWwiXcsCYtYeF/R qf/SnArAe6rl1LtboNPcCy7UT0vvY/f67J37YGGWUa+2zTmRYsz1vLV+dm+bn44M7K6zigtqqEkO 86k1sRsLs/LbNkr224KA88Tcgr8gu1Ws5Ysc1bYiO3/E4lzvgtzgHRU78dJyVt2b+VFlH2JBShR2 ViLVe5ZDEq9WDwAqtm9eF9R882tlHRMvk5LKjRixyj9adPeknyYzAhOIszlKR9TlFaLG+Ey6eltY 9hkmyrZyEzl2R7pYHzyO9EpqzK/nhEZKPYVnboRfxsBp+V6kfiHnATh6LQkoV9H2HuFX2P1egNY2 UOH5hr06zRloMUyrjt4dDBjmNqW7eopMt+I34PxMDEKK70OlX0oWFw4p/M9LVem/ZDJ9SPKE9/Cz qsJygPP2kHQYhymf2vuPoDpbl0d05SGQnhir1CZx9uQxKrVOTx/nfpKfkjVkb7YRMWN7zyPJZ/M6 SqYteUKdY610FVL/Nu3SbVUbgn4F13nQFzF5xCEBSPZL4Z/oExLHb7i/L1grMi028J8syqJ35kPT CwvdoG382QaH5vc/SO+tp+gh1IXJXlUnchu3h4vVkI4m/pAVqK7lOburL8DxDUxOmoLz7oI63x3E I0bVlAKeLsZlMnSUYjVqUqSMQsd9js6QNUeM+nnbWX9/3PvoPd3T3uGu+E/vKRIhlmojklTzVIx/ 0tQEHkPk2vIafRsDafuyYlx8MG3yK5Vid0yFrtH8j0P9aoOmTSGhUC0/l/yns9W5c/RdMreLTiqG ENpLNU8UKwdyBRssKeVvoGxSR0bdxwZDCNvL3XmoUiMmySEkyKJZPxkob0nsMilSAZzmx49nCH4M eN6regEcCFonMJPNiDhd+LQ/FiRLzYDEVG9cpOZxbv0/UGKi6eE+8Ct60eNX4Vy6WlydgyK6aGGa Qh9TjL768GbXRNqwrhV+ra7DvILJUChSguGDDuliKLrJKDVJDnJcpFR9i6fbubClUjJH66kENm76 7HL6Z8WdTaaFmzBPvBiHVtomsJ/dOc4y2Tl1rZYn9ogE7zwy0yIQ6fF907Z+XaejZ8UP+X7ZhMUQ 00J4y2Ya7ghlWKdHsxyTkbN/Id/TN/y1ulQd/Q8PkdC0yTnJpVcmKwdoxtQpsk9FlZj23FpJhaWC GNadMowpL4W1xQI58ltK5oVsq9RrTea9Tist6CSBigsXbN78reSu/fhVNPAYMIpxKNi6BeVKU/dY Zx39IpZOM8pbEt5yaTx/ti3jZpbIWs4RCz+tQOV23t63M0Wb5quX6Xulvgsm6+fvn77befR1SneV OeMqBoV0drOf9D5kDBteesNVdMaKxPLZMeYo9PPbqTI8AysZb02tQ6E8gPsPIG2gRdImYLk72GXs XJ4pE8HHTTHxaA8BDvhGMh83IKZMbof/j/+eMxDUGWjLfJ51BjxQNVBrJ/lrcoz36E7pZoZZNVPL zoIfYlxlPoEt9ffUsnzFJUyKt2gg5Q/a0pMi257d35XkFoKr5iMENn8JzJ5jpC8Rn2MuNhpr9dco OFBwOh0vhEH0BHq6RMwPaIikdvOegto1NR5idUMr4I0xxtyb0OlyjsbcSwMW1xu0BKRbcxOqDjVO zKx+zGqDXdcnxg3VQbRTXSLKENs7dZzjrsLxbQcDI6nt29IDIO+o1PClDuxDtvgJYV5pZFeSXqqu qB4E+alrJ6XNo/QpcBuXUhC9D/ZKN6810AtQrieawEd3SbqcefhKbvrXGFbFWF7Xwc0Kn7ke39Ev l2pm70n2dUwaR4LNr4wGmH9nvXF/e9HoUo+jIFbN/v2H7cZF1DodoHCWFYYsZePfc/5dEKxnxkry EHhX2Rs7yJFxUE2eU3i1MeTxz25klN8hP1QOMfd8LtvpE8hAK8ikq3VPuMqXbiDBYuGNp7vENrOy UeCmmQPteQ3hd+BUXWOQ9AvT5wUQd9fUZij9n81RoBKqLVrsTfc/CKxOjKo7M2obLfXmInE517lW 00qLTyjFmOCu8oEKyXUtY+5ymburehXFV42IqIVV+aUe0uyegyIlCJO48UDBu/P5ENsjLQPWPdM+ f/h/5Jsp4Srfy7zORfv7B/rQjjkkuanRAD0LVYVgz36LmlvUkoq+sEgUoq3a6HkMoWnftqrPKnbe 7I5YeAz7S39uZJObTM+qjAPHp6UfXWwvyprGtwnI8UrrjMX5X7w+4Q/IUDpQKD9jm6vpaTol5XKB T6sXOzSWJr2M8uT1bzrUl5oNAxatVEhTuyJIwxtadUu08u8jRuPCC4Ffa31+nmQ/+sRMCmHDeT7f c8zag7d5P1ut+zbt0xWgBi48YKubIDPLYCloAHbnOJ5AmXQJYsNM0TYXVTteZtV9Fldj9tL1gzaR NiXrBobFUBZnxsEYBZnmTdugPMwez6Eq/QJpdcM3rDNk3N/h0DjPjIekS+ePjUESVClitakhjoGC kgGcIZrYs9yAKGqwofuaaLSlMZntxvXQqXw1CDEqlxE18mYJcYvgQwmrJVMT0mjiYckp1Jjw2uST fPO5hzX/JJv5hJgiIVl2QSUpyj6pZE6Goodj/v/jrKoFP4tj+4yvM3njOye3Lv2wLJCY2JotfDXt zKeinkcFDudC5N6tmrKwMdaZ3Mz/3Yf9mRIVrZTMXGNv9ACtL/QyiUvjZpoQYb6TbaaLoqiv1Ask M9RT2FVIFn98RwjTvUEsgzxt8NLXIGMSoU0SByEFJoa2YObpBT/7gqgdii6p/NGbUn7DmzjZGFm6 jU0P2KKB9d97CoBVCMvrDgccibPLtTO5NEmapO5kZtojl/hSiu676fA1rZvJFqKgKCPIVPI2GIli cCCr0XKkpkOHcnn388vertcxk2yEKzqF19FEDU26rF+uDIhcuIcy/V8NYeABVvGKPH5k9xwsgRmy ugrHSbb4qtRSREt1VwFaFUHaV2w2qcuM83V2s1wOI1RbFo+blh0bsl/0WqBSyIwx+dQz/7NnKwpB 4yJA2YZfbbJr2jfH25eMTr+X5agjZwsg5TZ7IYcClWdPGiOIlivvWCkb0XCF1Dhh49+ndBFyFeHy k6MI6M0rR44ucmuUELqzd6dEHIuDDNQFDxmqJSjTMX3nmLfL0ovGN02+pbEjCqUcDcSTKSfVhyH6 VKI372YUclsWNNnL0K4++RcPxT+a6uCM0F6Mkm6Wd7/JYX0UVLhy/y4e134CCTixXqIEVfWqvq6i GhEIGixG9FioOkPwwVAFVMD2Z7NzhN6JMmCOHrBWQXZG5MvCEDwClCQH7rf7SPpS+4bPGmju4jkw gLKWmNlENUXQ1DPLF89mM0CgYsqkGyMQrI5CBEt2eW9+jQMTAnKOqCuef1MUoj3IXo6mr+mXqYDT WGF52dYYfLwSlgT8OQ4EAgfJROCU68QtBbNN/RJ4yItjE11q7n3YxWQg9F4D/IjPENLwB6rsyhqN hhY5l4OfPIAsjX6ZtwmSSJ7zWVqFbceZnpY3KD2uxG9Lz6YX6gpmafW4Z8Kjl3usspFJxWdWWV7G danB6xdhBPUKwIo+FUMzsUuOtqnkqjgqwCCaDi+8bZoSPdxKjPmyp7eh0fmJWizaRd43elKQFCL1 U05wopvUCJtSbH7ybmV40ImoHTjkGgeQMQGvi8Em73HxqVXja2LXl/tznuglxRNaguH9afoMH7ve QgXcNmAdYAVGVRdKlwF9Gc/jjWEGUui5EW12ZkjdGFENe24GCUTXd0fmPlUrHd8Es3/PIapUahM7 YDyNBFgiStx4Y9WmgnJOEw+g2/2fRdXwHRBhAvs+XfGoDxm9h/fwtEDOrDzgJVvjAYiWVeu02x+w usoe//57CFQcukN1/wuU3MfBgKLQVpu4hsio8pinpuoYPgwKt/YcGSvG6CtClUL/3JnYBLYaKFfl 10AU/4Rwgg+kHKsDaNFOoopA7ta3u3+hhwBJWICm0oRqPPM6nfnisOeBFghVRqI715XM+tMHh95J IMFQc8dr3TqJwfDeJfJsBTUu/UhvKoefia/VaTmxbRRdpXS/Sh8JUDUEFQSdzt7L5/1jUfiEg1Zv NW6wQFNz4jocqRciJZ0oBBY0IYt1Dx6UrHJvTgYQYJ6EaAfrhnzv4xbXRt8br4fvVGcF8pZRlXWX iJvdVIvQITJPSWNNpGwr9Qkcgw9lPsXBwxuvJlw9btJN+K9yfxop/kIshbgtAE5Vr5zm3QmTS0Wk MVug8/C2mGUTTv5ybnFCzkElA+GKdG4ZiCvN6aGLW3+fi83Y7rAoT8CSH2luJzWQCYspWjBlIlct nor+W8OWPh/jKf9Z69VCRnIYAITshux9/CWxmGB1i8slJlOtAwMXWYjbqH4TRdwwekAGIJN7mW2I MxenJ8NduLu3+X3rX4aZjvoeN6BBLJIEmNE5R0y21xGPZMbhYylNpAA+Qtlad3T0QmQw9O289FS+ l8cbjBCbz2rjwE9SMlTv/1/BIhTkF4LDCl2Jfc3jtvwNu2iCasN4ELT9q8/QQVlg3LkLCvDMEW/G eDQwnEsvwxkNLNX6Xk7P3cUTj60FcNlkmcfQanbrJsSJVMLoN0u9+FIt1ZIvCFWMBRQRpOyVBD76 1oCAZYv/jDGREHCkDVwIN31+QN00VY8MtOYp4RdsEoT0SBvNCBe2/+a+pRxXbskkpj6Wh+uoIKI5 0UzH9kqTeOp/Dv3hLK5AbFOflEEJI+VxqgVyeB8ulgM28z68bZ5v/vl3TmWJlRn0dIMLcX57iST/ R3BnKMMpx+U7Aw00nXv8G7ZEziSNIMw3G6RkTUJCMqPXoeT69/5ftPKPzlKNFzzFeiJiAjEW38kk Qug5w1K5BNoTAPDqxGtB2341Nj076ufdJDm7MnQ8vOaEZFIcOdspggFJNOQok6CLdxy8ZCL9xIWh DG/xoNMsyh8VcDte9YckRJTEmxaszQKC/jSwnJJw4XLV+XgRgXPvocgBrHhP2u4lPsrlJrV20vtx U4JqC4Gh2A4RkBgSL6aStgCwCq5WQsD4fgSPXUviDF3yrYmT+mzpkecmHgrL76Nr6dabt88qGJ7a htTairZu2uexcsMo+3sPDZhbHPV6X0zu+RMYTt4Y4p5zQzsVXBVOYlLU376xaEm3aXs23+axZE15 wpVR2/Vbp8WHw5ltPeeO2wKeWSjCGacky1x2Ipx/fS5wKXOEb6r2EPHdLBpcIqo4VPLNl2puon3M 54zDA54fgLDSaX+mN9T/CnXU+mAnTF0s166JVTFovr/3 `protect end_protected
apache-2.0
124f5e460631f96d3856346570252e3e
0.935742
1.882142
false
false
false
false
jc38x/X38-02FO16
benchmarks/LEKO_LEKU/leku/LEKU-CD'/25_3.vhd
1
193,760
Library IEEE; use IEEE.std_logic_1164.all; entity x25_3x is Port ( A302,A301,A300,A299,A298,A269,A268,A267,A266,A265,A236,A235,A234,A233,A232,A203,A202,A201,A200,A199,A166,A167,A168,A169,A170: in std_logic; A140: buffer std_logic ); end x25_3x; architecture x25_3x_behav of x25_3x is signal a1a,a2a,a3a,a4a,a5a,a6a,a7a,a8a,a9a,a10a,a11a,a12a,a13a,a14a,a15a,a16a,a17a,a18a,a19a,a20a,a21a,a22a,a23a,a24a,a25a,a26a,a27a,a28a,a29a,a30a,a31a,a32a,a33a,a34a,a35a,a36a,a37a,a38a,a39a,a40a,a41a,a42a,a43a,a44a,a45a,a46a,a47a,a48a,a49a,a50a,a51a,a52a,a53a,a54a,a55a,a56a,a57a,a58a,a59a,a60a,a61a,a62a,a63a,a64a,a65a,a66a,a67a,a68a,a69a,a70a,a71a,a72a,a73a,a74a,a75a,a76a,a77a,a78a,a79a,a80a,a81a,a82a,a83a,a84a,a85a,a86a,a87a,a88a,a89a,a90a,a91a,a92a,a93a,a94a,a95a,a96a,a97a,a98a,a99a,a100a,a101a,a102a,a103a,a104a,a105a,a106a,a107a,a108a,a109a,a110a,a111a,a112a,a113a,a114a,a115a,a116a,a117a,a118a,a119a,a120a,a121a,a122a,a123a,a124a,a125a,a126a,a127a,a128a,a129a,a130a,a131a,a132a,a133a,a134a,a135a,a136a,a137a,a138a,a139a,a140a,a141a,a142a,a143a,a144a,a145a,a146a,a147a,a148a,a149a,a150a,a151a,a152a,a153a,a154a,a155a,a156a,a157a,a158a,a159a,a160a,a161a,a162a,a163a,a164a,a165a,a166a,a167a,a168a,a169a,a170a,a171a,a172a,a173a,a174a,a175a,a176a,a177a,a178a,a179a,a180a,a181a,a182a,a183a,a184a,a185a,a186a,a187a,a188a,a189a,a190a,a191a,a192a,a193a,a194a,a195a,a196a,a197a,a198a,a199a,a200a,a201a,a202a,a203a,a204a,a205a,a206a,a207a,a208a,a209a,a210a,a211a,a212a,a213a,a214a,a215a,a216a,a217a,a218a,a219a,a220a,a221a,a222a,a223a,a224a,a225a,a226a,a227a,a228a,a229a,a230a,a231a,a232a,a233a,a234a,a235a,a236a,a237a,a238a,a239a,a240a,a241a,a242a,a243a,a244a,a245a,a246a,a247a,a248a,a249a,a250a,a251a,a252a,a253a,a254a,a255a,a256a,a257a,a258a,a259a,a260a,a261a,a262a,a263a,a264a,a265a,a266a,a267a,a268a,a269a,a270a,a271a,a272a,a273a,a274a,a275a,a276a,a277a,a278a,a279a,a280a,a281a,a282a,a283a,a284a,a285a,a286a,a287a,a288a,a289a,a290a,a291a,a292a,a293a,a294a,a295a,a296a,a297a,a298a,a299a,a300a,a301a,a302a,a303a,a304a,a305a,a306a,a307a,a308a,a309a,a310a,a311a,a312a,a313a,a314a,a315a,a316a,a317a,a318a,a319a,a320a,a321a,a322a,a323a,a324a,a325a,a326a,a327a,a328a,a329a,a330a,a331a,a332a,a333a,a334a,a335a,a336a,a337a,a338a,a339a,a340a,a341a,a342a,a343a,a344a,a345a,a346a,a347a,a348a,a349a,a350a,a351a,a352a,a353a,a354a,a355a,a356a,a357a,a358a,a359a,a360a,a361a,a362a,a363a,a364a,a365a,a366a,a367a,a368a,a369a,a370a,a371a,a372a,a373a,a374a,a375a,a376a,a377a,a378a,a379a,a380a,a381a,a382a,a383a,a384a,a385a,a386a,a387a,a388a,a389a,a390a,a391a,a392a,a393a,a394a,a395a,a396a,a397a,a398a,a399a,a400a,a401a,a402a,a403a,a404a,a405a,a406a,a407a,a408a,a409a,a410a,a411a,a412a,a413a,a414a,a415a,a416a,a417a,a418a,a419a,a420a,a421a,a422a,a423a,a424a,a425a,a426a,a427a,a428a,a429a,a430a,a431a,a432a,a433a,a434a,a435a,a436a,a437a,a438a,a442a,a443a,a447a,a448a,a449a,a453a,a454a,a457a,a460a,a461a,a462a,a463a,a467a,a468a,a471a,a474a,a475a,a476a,a480a,a481a,a484a,a487a,a488a,a489a,a490a,a491a,a495a,a496a,a500a,a501a,a502a,a506a,a507a,a510a,a513a,a514a,a515a,a516a,a520a,a521a,a524a,a527a,a528a,a529a,a533a,a534a,a537a,a540a,a541a,a542a,a543a,a544a,a545a,a549a,a550a,a554a,a555a,a556a,a560a,a561a,a564a,a567a,a568a,a569a,a570a,a574a,a575a,a578a,a581a,a582a,a583a,a587a,a588a,a591a,a594a,a595a,a596a,a597a,a598a,a602a,a603a,a606a,a609a,a610a,a611a,a615a,a616a,a619a,a622a,a623a,a624a,a625a,a629a,a630a,a633a,a636a,a637a,a638a,a642a,a643a,a646a,a649a,a650a,a651a,a652a,a653a,a654a,a655a,a659a,a660a,a664a,a665a,a666a,a670a,a671a,a674a,a677a,a678a,a679a,a680a,a684a,a685a,a688a,a691a,a692a,a693a,a697a,a698a,a701a,a704a,a705a,a706a,a707a,a708a,a712a,a713a,a716a,a719a,a720a,a721a,a725a,a726a,a729a,a732a,a733a,a734a,a735a,a739a,a740a,a743a,a746a,a747a,a748a,a752a,a753a,a756a,a759a,a760a,a761a,a762a,a763a,a764a,a768a,a769a,a773a,a774a,a775a,a779a,a780a,a783a,a786a,a787a,a788a,a789a,a793a,a794a,a797a,a800a,a801a,a802a,a806a,a807a,a810a,a813a,a814a,a815a,a816a,a817a,a821a,a822a,a825a,a828a,a829a,a830a,a834a,a835a,a838a,a841a,a842a,a843a,a844a,a848a,a849a,a852a,a855a,a856a,a857a,a861a,a862a,a865a,a868a,a869a,a870a,a871a,a872a,a873a,a874a,a875a,a879a,a880a,a884a,a885a,a886a,a890a,a891a,a894a,a897a,a898a,a899a,a900a,a904a,a905a,a908a,a911a,a912a,a913a,a917a,a918a,a921a,a924a,a925a,a926a,a927a,a928a,a932a,a933a,a937a,a938a,a939a,a943a,a944a,a947a,a950a,a951a,a952a,a953a,a957a,a958a,a961a,a964a,a965a,a966a,a970a,a971a,a974a,a977a,a978a,a979a,a980a,a981a,a982a,a986a,a987a,a991a,a992a,a993a,a997a,a998a,a1001a,a1004a,a1005a,a1006a,a1007a,a1011a,a1012a,a1015a,a1018a,a1019a,a1020a,a1024a,a1025a,a1028a,a1031a,a1032a,a1033a,a1034a,a1035a,a1039a,a1040a,a1043a,a1046a,a1047a,a1048a,a1052a,a1053a,a1056a,a1059a,a1060a,a1061a,a1062a,a1066a,a1067a,a1070a,a1073a,a1074a,a1075a,a1079a,a1080a,a1083a,a1086a,a1087a,a1088a,a1089a,a1090a,a1091a,a1092a,a1096a,a1097a,a1101a,a1102a,a1103a,a1107a,a1108a,a1111a,a1114a,a1115a,a1116a,a1117a,a1121a,a1122a,a1125a,a1128a,a1129a,a1130a,a1134a,a1135a,a1138a,a1141a,a1142a,a1143a,a1144a,a1145a,a1149a,a1150a,a1153a,a1156a,a1157a,a1158a,a1162a,a1163a,a1166a,a1169a,a1170a,a1171a,a1172a,a1176a,a1177a,a1180a,a1183a,a1184a,a1185a,a1189a,a1190a,a1193a,a1196a,a1197a,a1198a,a1199a,a1200a,a1201a,a1205a,a1206a,a1210a,a1211a,a1212a,a1216a,a1217a,a1220a,a1223a,a1224a,a1225a,a1226a,a1230a,a1231a,a1234a,a1237a,a1238a,a1239a,a1243a,a1244a,a1247a,a1250a,a1251a,a1252a,a1253a,a1254a,a1258a,a1259a,a1262a,a1265a,a1266a,a1267a,a1271a,a1272a,a1275a,a1278a,a1279a,a1280a,a1281a,a1285a,a1286a,a1289a,a1292a,a1293a,a1294a,a1298a,a1299a,a1302a,a1305a,a1306a,a1307a,a1308a,a1309a,a1310a,a1311a,a1312a,a1315a,a1318a,a1321a,a1324a,a1327a,a1330a,a1333a,a1336a,a1339a,a1343a,a1344a,a1347a,a1351a,a1352a,a1355a,a1358a,a1359a,a1362a,a1365a,a1366a,a1369a,a1372a,a1373a,a1376a,a1379a,a1380a,a1383a,a1386a,a1387a,a1390a,a1393a,a1394a,a1397a,a1400a,a1401a,a1404a,a1407a,a1408a,a1411a,a1414a,a1415a,a1418a,a1421a,a1422a,a1425a,a1428a,a1429a,a1432a,a1435a,a1436a,a1439a,a1442a,a1443a,a1446a,a1449a,a1450a,a1453a,a1456a,a1457a,a1460a,a1463a,a1464a,a1467a,a1470a,a1471a,a1474a,a1477a,a1478a,a1481a,a1484a,a1485a,a1488a,a1491a,a1492a,a1495a,a1498a,a1499a,a1502a,a1505a,a1506a,a1509a,a1512a,a1513a,a1516a,a1519a,a1520a,a1523a,a1526a,a1527a,a1530a,a1533a,a1534a,a1537a,a1540a,a1541a,a1544a,a1547a,a1548a,a1551a,a1554a,a1555a,a1558a,a1561a,a1562a,a1565a,a1568a,a1569a,a1572a,a1575a,a1576a,a1579a,a1582a,a1583a,a1586a,a1589a,a1590a,a1593a,a1596a,a1597a,a1600a,a1603a,a1604a,a1607a,a1610a,a1611a,a1614a,a1617a,a1618a,a1621a,a1624a,a1625a,a1628a,a1631a,a1632a,a1635a,a1638a,a1639a,a1642a,a1645a,a1646a,a1649a,a1652a,a1653a,a1656a,a1659a,a1660a,a1663a,a1666a,a1667a,a1670a,a1673a,a1674a,a1677a,a1680a,a1681a,a1684a,a1687a,a1688a,a1691a,a1694a,a1695a,a1698a,a1701a,a1702a,a1705a,a1708a,a1709a,a1712a,a1715a,a1716a,a1719a,a1722a,a1723a,a1726a,a1729a,a1730a,a1733a,a1736a,a1737a,a1740a,a1743a,a1744a,a1747a,a1750a,a1751a,a1754a,a1757a,a1758a,a1761a,a1764a,a1765a,a1768a,a1771a,a1772a,a1775a,a1778a,a1779a,a1782a,a1785a,a1786a,a1789a,a1792a,a1793a,a1796a,a1799a,a1800a,a1803a,a1806a,a1807a,a1810a,a1814a,a1815a,a1816a,a1819a,a1822a,a1823a,a1826a,a1830a,a1831a,a1832a,a1835a,a1838a,a1839a,a1842a,a1846a,a1847a,a1848a,a1851a,a1854a,a1855a,a1858a,a1862a,a1863a,a1864a,a1867a,a1870a,a1871a,a1874a,a1878a,a1879a,a1880a,a1883a,a1886a,a1887a,a1890a,a1894a,a1895a,a1896a,a1899a,a1902a,a1903a,a1906a,a1910a,a1911a,a1912a,a1915a,a1918a,a1919a,a1922a,a1926a,a1927a,a1928a,a1931a,a1934a,a1935a,a1938a,a1942a,a1943a,a1944a,a1947a,a1950a,a1951a,a1954a,a1958a,a1959a,a1960a,a1963a,a1966a,a1967a,a1970a,a1974a,a1975a,a1976a,a1979a,a1982a,a1983a,a1986a,a1990a,a1991a,a1992a,a1995a,a1998a,a1999a,a2002a,a2006a,a2007a,a2008a,a2011a,a2014a,a2015a,a2018a,a2022a,a2023a,a2024a,a2027a,a2030a,a2031a,a2034a,a2038a,a2039a,a2040a,a2043a,a2046a,a2047a,a2050a,a2054a,a2055a,a2056a,a2059a,a2062a,a2063a,a2066a,a2070a,a2071a,a2072a,a2075a,a2078a,a2079a,a2082a,a2086a,a2087a,a2088a,a2091a,a2094a,a2095a,a2098a,a2102a,a2103a,a2104a,a2107a,a2110a,a2111a,a2114a,a2118a,a2119a,a2120a,a2123a,a2126a,a2127a,a2130a,a2134a,a2135a,a2136a,a2139a,a2142a,a2143a,a2146a,a2150a,a2151a,a2152a,a2155a,a2158a,a2159a,a2162a,a2166a,a2167a,a2168a,a2171a,a2174a,a2175a,a2178a,a2182a,a2183a,a2184a,a2187a,a2190a,a2191a,a2194a,a2198a,a2199a,a2200a,a2203a,a2206a,a2207a,a2210a,a2214a,a2215a,a2216a,a2219a,a2222a,a2223a,a2226a,a2230a,a2231a,a2232a,a2235a,a2238a,a2239a,a2242a,a2246a,a2247a,a2248a,a2251a,a2254a,a2255a,a2258a,a2262a,a2263a,a2264a,a2267a,a2270a,a2271a,a2274a,a2278a,a2279a,a2280a,a2283a,a2286a,a2287a,a2290a,a2294a,a2295a,a2296a,a2299a,a2302a,a2303a,a2306a,a2310a,a2311a,a2312a,a2315a,a2319a,a2320a,a2321a,a2324a,a2328a,a2329a,a2330a,a2333a,a2337a,a2338a,a2339a,a2342a,a2346a,a2347a,a2348a,a2351a,a2355a,a2356a,a2357a,a2360a,a2364a,a2365a,a2366a,a2369a,a2373a,a2374a,a2375a,a2378a,a2382a,a2383a,a2384a,a2387a,a2391a,a2392a,a2393a,a2396a,a2400a,a2401a,a2402a,a2405a,a2409a,a2410a,a2411a,a2414a,a2418a,a2419a,a2420a,a2423a,a2427a,a2428a,a2429a,a2432a,a2436a,a2437a,a2438a,a2441a,a2445a,a2446a,a2447a,a2450a,a2454a,a2455a,a2456a,a2459a,a2463a,a2464a,a2465a,a2468a,a2472a,a2473a,a2474a,a2477a,a2481a,a2482a,a2483a,a2486a,a2490a,a2491a,a2492a,a2495a,a2499a,a2500a,a2501a,a2504a,a2508a,a2509a,a2510a,a2513a,a2517a,a2518a,a2519a,a2522a,a2526a,a2527a,a2528a,a2531a,a2535a,a2536a,a2537a,a2540a,a2544a,a2545a,a2546a,a2549a,a2553a,a2554a,a2555a,a2558a,a2562a,a2563a,a2564a,a2567a,a2571a,a2572a,a2573a,a2576a,a2580a,a2581a,a2582a,a2585a,a2589a,a2590a,a2591a,a2594a,a2598a,a2599a,a2600a,a2603a,a2607a,a2608a,a2609a,a2612a,a2616a,a2617a,a2618a,a2621a,a2625a,a2626a,a2627a,a2630a,a2634a,a2635a,a2636a,a2639a,a2643a,a2644a,a2645a,a2648a,a2652a,a2653a,a2654a,a2657a,a2661a,a2662a,a2663a,a2666a,a2670a,a2671a,a2672a,a2675a,a2679a,a2680a,a2681a,a2684a,a2688a,a2689a,a2690a,a2693a,a2697a,a2698a,a2699a,a2702a,a2706a,a2707a,a2708a,a2711a,a2715a,a2716a,a2717a,a2720a,a2724a,a2725a,a2726a,a2729a,a2733a,a2734a,a2735a,a2738a,a2742a,a2743a,a2744a,a2747a,a2751a,a2752a,a2753a,a2756a,a2760a,a2761a,a2762a,a2765a,a2769a,a2770a,a2771a,a2774a,a2778a,a2779a,a2780a,a2783a,a2787a,a2788a,a2789a,a2792a,a2796a,a2797a,a2798a,a2801a,a2805a,a2806a,a2807a,a2810a,a2814a,a2815a,a2816a,a2819a,a2823a,a2824a,a2825a,a2828a,a2832a,a2833a,a2834a,a2837a,a2841a,a2842a,a2843a,a2846a,a2850a,a2851a,a2852a,a2855a,a2859a,a2860a,a2861a,a2864a,a2868a,a2869a,a2870a,a2873a,a2877a,a2878a,a2879a,a2882a,a2886a,a2887a,a2888a,a2891a,a2895a,a2896a,a2897a,a2900a,a2904a,a2905a,a2906a,a2909a,a2913a,a2914a,a2915a,a2918a,a2922a,a2923a,a2924a,a2927a,a2931a,a2932a,a2933a,a2936a,a2940a,a2941a,a2942a,a2945a,a2949a,a2950a,a2951a,a2954a,a2958a,a2959a,a2960a,a2963a,a2967a,a2968a,a2969a,a2972a,a2976a,a2977a,a2978a,a2981a,a2985a,a2986a,a2987a,a2990a,a2994a,a2995a,a2996a,a2999a,a3003a,a3004a,a3005a,a3008a,a3012a,a3013a,a3014a,a3017a,a3021a,a3022a,a3023a,a3026a,a3030a,a3031a,a3032a,a3035a,a3039a,a3040a,a3041a,a3044a,a3048a,a3049a,a3050a,a3053a,a3057a,a3058a,a3059a,a3062a,a3066a,a3067a,a3068a,a3071a,a3075a,a3076a,a3077a,a3080a,a3084a,a3085a,a3086a,a3089a,a3093a,a3094a,a3095a,a3098a,a3102a,a3103a,a3104a,a3107a,a3111a,a3112a,a3113a,a3116a,a3120a,a3121a,a3122a,a3125a,a3129a,a3130a,a3131a,a3134a,a3138a,a3139a,a3140a,a3143a,a3147a,a3148a,a3149a,a3152a,a3156a,a3157a,a3158a,a3161a,a3165a,a3166a,a3167a,a3170a,a3174a,a3175a,a3176a,a3179a,a3183a,a3184a,a3185a,a3188a,a3192a,a3193a,a3194a,a3197a,a3201a,a3202a,a3203a,a3206a,a3210a,a3211a,a3212a,a3215a,a3219a,a3220a,a3221a,a3224a,a3228a,a3229a,a3230a,a3233a,a3237a,a3238a,a3239a,a3242a,a3246a,a3247a,a3248a,a3251a,a3255a,a3256a,a3257a,a3260a,a3264a,a3265a,a3266a,a3269a,a3273a,a3274a,a3275a,a3278a,a3282a,a3283a,a3284a,a3287a,a3291a,a3292a,a3293a,a3296a,a3300a,a3301a,a3302a,a3305a,a3309a,a3310a,a3311a,a3314a,a3318a,a3319a,a3320a,a3323a,a3327a,a3328a,a3329a,a3332a,a3336a,a3337a,a3338a,a3341a,a3345a,a3346a,a3347a,a3350a,a3354a,a3355a,a3356a,a3359a,a3363a,a3364a,a3365a,a3368a,a3372a,a3373a,a3374a,a3377a,a3381a,a3382a,a3383a,a3386a,a3390a,a3391a,a3392a,a3395a,a3399a,a3400a,a3401a,a3404a,a3408a,a3409a,a3410a,a3413a,a3417a,a3418a,a3419a,a3422a,a3426a,a3427a,a3428a,a3431a,a3435a,a3436a,a3437a,a3440a,a3444a,a3445a,a3446a,a3449a,a3453a,a3454a,a3455a,a3458a,a3462a,a3463a,a3464a,a3467a,a3471a,a3472a,a3473a,a3476a,a3480a,a3481a,a3482a,a3485a,a3489a,a3490a,a3491a,a3494a,a3498a,a3499a,a3500a,a3503a,a3507a,a3508a,a3509a,a3512a,a3516a,a3517a,a3518a,a3521a,a3525a,a3526a,a3527a,a3530a,a3534a,a3535a,a3536a,a3539a,a3543a,a3544a,a3545a,a3548a,a3552a,a3553a,a3554a,a3557a,a3561a,a3562a,a3563a,a3566a,a3570a,a3571a,a3572a,a3575a,a3579a,a3580a,a3581a,a3584a,a3588a,a3589a,a3590a,a3593a,a3597a,a3598a,a3599a,a3602a,a3606a,a3607a,a3608a,a3611a,a3615a,a3616a,a3617a,a3620a,a3624a,a3625a,a3626a,a3629a,a3633a,a3634a,a3635a,a3638a,a3642a,a3643a,a3644a,a3647a,a3651a,a3652a,a3653a,a3656a,a3660a,a3661a,a3662a,a3665a,a3669a,a3670a,a3671a,a3674a,a3678a,a3679a,a3680a,a3683a,a3687a,a3688a,a3689a,a3692a,a3696a,a3697a,a3698a,a3701a,a3705a,a3706a,a3707a,a3710a,a3714a,a3715a,a3716a,a3719a,a3723a,a3724a,a3725a,a3728a,a3732a,a3733a,a3734a,a3737a,a3741a,a3742a,a3743a,a3746a,a3750a,a3751a,a3752a,a3755a,a3759a,a3760a,a3761a,a3764a,a3768a,a3769a,a3770a,a3773a,a3777a,a3778a,a3779a,a3782a,a3786a,a3787a,a3788a,a3791a,a3795a,a3796a,a3797a,a3800a,a3804a,a3805a,a3806a,a3809a,a3813a,a3814a,a3815a,a3818a,a3822a,a3823a,a3824a,a3827a,a3831a,a3832a,a3833a,a3836a,a3840a,a3841a,a3842a,a3845a,a3849a,a3850a,a3851a,a3854a,a3858a,a3859a,a3860a,a3863a,a3867a,a3868a,a3869a,a3872a,a3876a,a3877a,a3878a,a3881a,a3885a,a3886a,a3887a,a3890a,a3894a,a3895a,a3896a,a3899a,a3903a,a3904a,a3905a,a3908a,a3912a,a3913a,a3914a,a3917a,a3921a,a3922a,a3923a,a3926a,a3930a,a3931a,a3932a,a3935a,a3939a,a3940a,a3941a,a3944a,a3948a,a3949a,a3950a,a3953a,a3957a,a3958a,a3959a,a3962a,a3966a,a3967a,a3968a,a3971a,a3975a,a3976a,a3977a,a3980a,a3984a,a3985a,a3986a,a3989a,a3993a,a3994a,a3995a,a3998a,a4002a,a4003a,a4004a,a4007a,a4011a,a4012a,a4013a,a4016a,a4020a,a4021a,a4022a,a4025a,a4029a,a4030a,a4031a,a4034a,a4038a,a4039a,a4040a,a4043a,a4047a,a4048a,a4049a,a4052a,a4056a,a4057a,a4058a,a4061a,a4065a,a4066a,a4067a,a4070a,a4074a,a4075a,a4076a,a4079a,a4083a,a4084a,a4085a,a4088a,a4092a,a4093a,a4094a,a4097a,a4101a,a4102a,a4103a,a4106a,a4110a,a4111a,a4112a,a4115a,a4119a,a4120a,a4121a,a4124a,a4128a,a4129a,a4130a,a4133a,a4137a,a4138a,a4139a,a4142a,a4146a,a4147a,a4148a,a4151a,a4155a,a4156a,a4157a,a4160a,a4164a,a4165a,a4166a,a4169a,a4173a,a4174a,a4175a,a4178a,a4182a,a4183a,a4184a,a4187a,a4191a,a4192a,a4193a,a4196a,a4200a,a4201a,a4202a,a4205a,a4209a,a4210a,a4211a,a4214a,a4218a,a4219a,a4220a,a4223a,a4227a,a4228a,a4229a,a4232a,a4236a,a4237a,a4238a,a4241a,a4245a,a4246a,a4247a,a4250a,a4254a,a4255a,a4256a,a4259a,a4263a,a4264a,a4265a,a4268a,a4272a,a4273a,a4274a,a4277a,a4281a,a4282a,a4283a,a4286a,a4290a,a4291a,a4292a,a4295a,a4299a,a4300a,a4301a,a4304a,a4308a,a4309a,a4310a,a4313a,a4317a,a4318a,a4319a,a4322a,a4326a,a4327a,a4328a,a4331a,a4335a,a4336a,a4337a,a4340a,a4344a,a4345a,a4346a,a4349a,a4353a,a4354a,a4355a,a4358a,a4362a,a4363a,a4364a,a4367a,a4371a,a4372a,a4373a,a4376a,a4380a,a4381a,a4382a,a4385a,a4389a,a4390a,a4391a,a4394a,a4398a,a4399a,a4400a,a4403a,a4407a,a4408a,a4409a,a4412a,a4416a,a4417a,a4418a,a4421a,a4425a,a4426a,a4427a,a4430a,a4434a,a4435a,a4436a,a4439a,a4443a,a4444a,a4445a,a4448a,a4452a,a4453a,a4454a,a4457a,a4461a,a4462a,a4463a,a4466a,a4470a,a4471a,a4472a,a4475a,a4479a,a4480a,a4481a,a4484a,a4488a,a4489a,a4490a,a4493a,a4497a,a4498a,a4499a,a4502a,a4506a,a4507a,a4508a,a4511a,a4515a,a4516a,a4517a,a4520a,a4524a,a4525a,a4526a,a4529a,a4533a,a4534a,a4535a,a4538a,a4542a,a4543a,a4544a,a4547a,a4551a,a4552a,a4553a,a4556a,a4560a,a4561a,a4562a,a4565a,a4569a,a4570a,a4571a,a4574a,a4578a,a4579a,a4580a,a4583a,a4587a,a4588a,a4589a,a4592a,a4596a,a4597a,a4598a,a4601a,a4605a,a4606a,a4607a,a4610a,a4614a,a4615a,a4616a,a4619a,a4623a,a4624a,a4625a,a4628a,a4632a,a4633a,a4634a,a4637a,a4641a,a4642a,a4643a,a4646a,a4650a,a4651a,a4652a,a4655a,a4659a,a4660a,a4661a,a4664a,a4668a,a4669a,a4670a,a4673a,a4677a,a4678a,a4679a,a4682a,a4686a,a4687a,a4688a,a4691a,a4695a,a4696a,a4697a,a4700a,a4704a,a4705a,a4706a,a4709a,a4713a,a4714a,a4715a,a4718a,a4722a,a4723a,a4724a,a4727a,a4731a,a4732a,a4733a,a4736a,a4740a,a4741a,a4742a,a4745a,a4749a,a4750a,a4751a,a4754a,a4758a,a4759a,a4760a,a4763a,a4767a,a4768a,a4769a,a4773a,a4774a,a4778a,a4779a,a4780a,a4783a,a4787a,a4788a,a4789a,a4793a,a4794a,a4798a,a4799a,a4800a,a4803a,a4807a,a4808a,a4809a,a4813a,a4814a,a4818a,a4819a,a4820a,a4823a,a4827a,a4828a,a4829a,a4833a,a4834a,a4838a,a4839a,a4840a,a4843a,a4847a,a4848a,a4849a,a4853a,a4854a,a4858a,a4859a,a4860a,a4863a,a4867a,a4868a,a4869a,a4873a,a4874a,a4878a,a4879a,a4880a,a4883a,a4887a,a4888a,a4889a,a4893a,a4894a,a4898a,a4899a,a4900a,a4903a,a4907a,a4908a,a4909a,a4913a,a4914a,a4918a,a4919a,a4920a,a4923a,a4927a,a4928a,a4929a,a4933a,a4934a,a4938a,a4939a,a4940a,a4943a,a4947a,a4948a,a4949a,a4953a,a4954a,a4958a,a4959a,a4960a,a4963a,a4967a,a4968a,a4969a,a4973a,a4974a,a4978a,a4979a,a4980a,a4983a,a4987a,a4988a,a4989a,a4993a,a4994a,a4998a,a4999a,a5000a,a5003a,a5007a,a5008a,a5009a,a5013a,a5014a,a5018a,a5019a,a5020a,a5023a,a5027a,a5028a,a5029a,a5033a,a5034a,a5038a,a5039a,a5040a,a5043a,a5047a,a5048a,a5049a,a5053a,a5054a,a5058a,a5059a,a5060a,a5063a,a5067a,a5068a,a5069a,a5073a,a5074a,a5078a,a5079a,a5080a,a5083a,a5087a,a5088a,a5089a,a5093a,a5094a,a5098a,a5099a,a5100a,a5103a,a5107a,a5108a,a5109a,a5113a,a5114a,a5118a,a5119a,a5120a,a5123a,a5127a,a5128a,a5129a,a5133a,a5134a,a5138a,a5139a,a5140a,a5143a,a5147a,a5148a,a5149a,a5153a,a5154a,a5158a,a5159a,a5160a,a5163a,a5167a,a5168a,a5169a,a5173a,a5174a,a5178a,a5179a,a5180a,a5183a,a5187a,a5188a,a5189a,a5193a,a5194a,a5198a,a5199a,a5200a,a5203a,a5207a,a5208a,a5209a,a5213a,a5214a,a5218a,a5219a,a5220a,a5223a,a5227a,a5228a,a5229a,a5233a,a5234a,a5238a,a5239a,a5240a,a5243a,a5247a,a5248a,a5249a,a5253a,a5254a,a5258a,a5259a,a5260a,a5263a,a5267a,a5268a,a5269a,a5273a,a5274a,a5278a,a5279a,a5280a,a5283a,a5287a,a5288a,a5289a,a5293a,a5294a,a5298a,a5299a,a5300a,a5303a,a5307a,a5308a,a5309a,a5313a,a5314a,a5318a,a5319a,a5320a,a5323a,a5327a,a5328a,a5329a,a5333a,a5334a,a5338a,a5339a,a5340a,a5343a,a5347a,a5348a,a5349a,a5353a,a5354a,a5358a,a5359a,a5360a,a5363a,a5367a,a5368a,a5369a,a5373a,a5374a,a5378a,a5379a,a5380a,a5383a,a5387a,a5388a,a5389a,a5393a,a5394a,a5398a,a5399a,a5400a,a5403a,a5407a,a5408a,a5409a,a5413a,a5414a,a5418a,a5419a,a5420a,a5423a,a5427a,a5428a,a5429a,a5433a,a5434a,a5438a,a5439a,a5440a,a5443a,a5447a,a5448a,a5449a,a5453a,a5454a,a5458a,a5459a,a5460a,a5463a,a5467a,a5468a,a5469a,a5473a,a5474a,a5478a,a5479a,a5480a,a5483a,a5487a,a5488a,a5489a,a5493a,a5494a,a5498a,a5499a,a5500a,a5503a,a5507a,a5508a,a5509a,a5513a,a5514a,a5518a,a5519a,a5520a,a5523a,a5527a,a5528a,a5529a,a5533a,a5534a,a5538a,a5539a,a5540a,a5543a,a5547a,a5548a,a5549a,a5553a,a5554a,a5558a,a5559a,a5560a,a5563a,a5567a,a5568a,a5569a,a5573a,a5574a,a5578a,a5579a,a5580a,a5583a,a5587a,a5588a,a5589a,a5593a,a5594a,a5598a,a5599a,a5600a,a5603a,a5607a,a5608a,a5609a,a5613a,a5614a,a5618a,a5619a,a5620a,a5623a,a5627a,a5628a,a5629a,a5633a,a5634a,a5638a,a5639a,a5640a,a5643a,a5647a,a5648a,a5649a,a5653a,a5654a,a5658a,a5659a,a5660a,a5663a,a5667a,a5668a,a5669a,a5673a,a5674a,a5678a,a5679a,a5680a,a5683a,a5687a,a5688a,a5689a,a5693a,a5694a,a5698a,a5699a,a5700a,a5703a,a5707a,a5708a,a5709a,a5713a,a5714a,a5718a,a5719a,a5720a,a5723a,a5727a,a5728a,a5729a,a5733a,a5734a,a5738a,a5739a,a5740a,a5743a,a5747a,a5748a,a5749a,a5753a,a5754a,a5758a,a5759a,a5760a,a5763a,a5767a,a5768a,a5769a,a5773a,a5774a,a5778a,a5779a,a5780a,a5783a,a5787a,a5788a,a5789a,a5793a,a5794a,a5798a,a5799a,a5800a,a5803a,a5807a,a5808a,a5809a,a5813a,a5814a,a5818a,a5819a,a5820a,a5823a,a5827a,a5828a,a5829a,a5833a,a5834a,a5838a,a5839a,a5840a,a5843a,a5847a,a5848a,a5849a,a5853a,a5854a,a5858a,a5859a,a5860a,a5863a,a5867a,a5868a,a5869a,a5873a,a5874a,a5878a,a5879a,a5880a,a5883a,a5887a,a5888a,a5889a,a5893a,a5894a,a5898a,a5899a,a5900a,a5903a,a5907a,a5908a,a5909a,a5913a,a5914a,a5918a,a5919a,a5920a,a5923a,a5927a,a5928a,a5929a,a5933a,a5934a,a5938a,a5939a,a5940a,a5943a,a5947a,a5948a,a5949a,a5953a,a5954a,a5958a,a5959a,a5960a,a5963a,a5967a,a5968a,a5969a,a5973a,a5974a,a5978a,a5979a,a5980a,a5983a,a5987a,a5988a,a5989a,a5993a,a5994a,a5998a,a5999a,a6000a,a6003a,a6007a,a6008a,a6009a,a6013a,a6014a,a6018a,a6019a,a6020a,a6023a,a6027a,a6028a,a6029a,a6033a,a6034a,a6038a,a6039a,a6040a,a6043a,a6047a,a6048a,a6049a,a6053a,a6054a,a6058a,a6059a,a6060a,a6063a,a6067a,a6068a,a6069a,a6073a,a6074a,a6078a,a6079a,a6080a,a6083a,a6087a,a6088a,a6089a,a6093a,a6094a,a6098a,a6099a,a6100a,a6103a,a6107a,a6108a,a6109a,a6113a,a6114a,a6118a,a6119a,a6120a,a6123a,a6127a,a6128a,a6129a,a6133a,a6134a,a6138a,a6139a,a6140a,a6143a,a6147a,a6148a,a6149a,a6153a,a6154a,a6158a,a6159a,a6160a,a6163a,a6167a,a6168a,a6169a,a6173a,a6174a,a6178a,a6179a,a6180a,a6183a,a6187a,a6188a,a6189a,a6193a,a6194a,a6198a,a6199a,a6200a,a6203a,a6207a,a6208a,a6209a,a6213a,a6214a,a6218a,a6219a,a6220a,a6223a,a6227a,a6228a,a6229a,a6233a,a6234a,a6238a,a6239a,a6240a,a6243a,a6247a,a6248a,a6249a,a6253a,a6254a,a6258a,a6259a,a6260a,a6263a,a6267a,a6268a,a6269a,a6273a,a6274a,a6278a,a6279a,a6280a,a6283a,a6287a,a6288a,a6289a,a6293a,a6294a,a6298a,a6299a,a6300a,a6303a,a6307a,a6308a,a6309a,a6313a,a6314a,a6318a,a6319a,a6320a,a6323a,a6327a,a6328a,a6329a,a6333a,a6334a,a6338a,a6339a,a6340a,a6343a,a6347a,a6348a,a6349a,a6353a,a6354a,a6358a,a6359a,a6360a,a6363a,a6367a,a6368a,a6369a,a6373a,a6374a,a6378a,a6379a,a6380a,a6383a,a6387a,a6388a,a6389a,a6393a,a6394a,a6398a,a6399a,a6400a,a6403a,a6407a,a6408a,a6409a,a6413a,a6414a,a6418a,a6419a,a6420a,a6423a,a6427a,a6428a,a6429a,a6433a,a6434a,a6438a,a6439a,a6440a,a6443a,a6447a,a6448a,a6449a,a6453a,a6454a,a6458a,a6459a,a6460a,a6463a,a6467a,a6468a,a6469a,a6473a,a6474a,a6478a,a6479a,a6480a,a6483a,a6487a,a6488a,a6489a,a6493a,a6494a,a6498a,a6499a,a6500a,a6503a,a6507a,a6508a,a6509a,a6513a,a6514a,a6518a,a6519a,a6520a,a6523a,a6527a,a6528a,a6529a,a6533a,a6534a,a6538a,a6539a,a6540a,a6543a,a6547a,a6548a,a6549a,a6553a,a6554a,a6558a,a6559a,a6560a,a6563a,a6567a,a6568a,a6569a,a6573a,a6574a,a6578a,a6579a,a6580a,a6583a,a6587a,a6588a,a6589a,a6593a,a6594a,a6598a,a6599a,a6600a,a6603a,a6607a,a6608a,a6609a,a6613a,a6614a,a6618a,a6619a,a6620a,a6623a,a6627a,a6628a,a6629a,a6633a,a6634a,a6638a,a6639a,a6640a,a6643a,a6647a,a6648a,a6649a,a6653a,a6654a,a6658a,a6659a,a6660a,a6663a,a6667a,a6668a,a6669a,a6673a,a6674a,a6678a,a6679a,a6680a,a6683a,a6687a,a6688a,a6689a,a6693a,a6694a,a6698a,a6699a,a6700a,a6703a,a6707a,a6708a,a6709a,a6713a,a6714a,a6718a,a6719a,a6720a,a6723a,a6727a,a6728a,a6729a,a6733a,a6734a,a6738a,a6739a,a6740a,a6743a,a6747a,a6748a,a6749a,a6753a,a6754a,a6758a,a6759a,a6760a,a6763a,a6767a,a6768a,a6769a,a6773a,a6774a,a6778a,a6779a,a6780a,a6783a,a6787a,a6788a,a6789a,a6793a,a6794a,a6798a,a6799a,a6800a,a6803a,a6807a,a6808a,a6809a,a6813a,a6814a,a6818a,a6819a,a6820a,a6823a,a6827a,a6828a,a6829a,a6833a,a6834a,a6838a,a6839a,a6840a,a6843a,a6847a,a6848a,a6849a,a6853a,a6854a,a6858a,a6859a,a6860a,a6863a,a6867a,a6868a,a6869a,a6873a,a6874a,a6878a,a6879a,a6880a,a6883a,a6887a,a6888a,a6889a,a6893a,a6894a,a6898a,a6899a,a6900a,a6903a,a6907a,a6908a,a6909a,a6913a,a6914a,a6918a,a6919a,a6920a,a6923a,a6927a,a6928a,a6929a,a6933a,a6934a,a6938a,a6939a,a6940a,a6943a,a6947a,a6948a,a6949a,a6953a,a6954a,a6958a,a6959a,a6960a,a6963a,a6967a,a6968a,a6969a,a6973a,a6974a,a6978a,a6979a,a6980a,a6983a,a6987a,a6988a,a6989a,a6993a,a6994a,a6998a,a6999a,a7000a,a7003a,a7007a,a7008a,a7009a,a7013a,a7014a,a7018a,a7019a,a7020a,a7023a,a7027a,a7028a,a7029a,a7033a,a7034a,a7038a,a7039a,a7040a,a7043a,a7047a,a7048a,a7049a,a7053a,a7054a,a7058a,a7059a,a7060a,a7063a,a7067a,a7068a,a7069a,a7073a,a7074a,a7078a,a7079a,a7080a,a7083a,a7087a,a7088a,a7089a,a7093a,a7094a,a7098a,a7099a,a7100a,a7103a,a7107a,a7108a,a7109a,a7113a,a7114a,a7118a,a7119a,a7120a,a7123a,a7127a,a7128a,a7129a,a7133a,a7134a,a7138a,a7139a,a7140a,a7143a,a7147a,a7148a,a7149a,a7153a,a7154a,a7158a,a7159a,a7160a,a7163a,a7167a,a7168a,a7169a,a7173a,a7174a,a7178a,a7179a,a7180a,a7183a,a7187a,a7188a,a7189a,a7193a,a7194a,a7198a,a7199a,a7200a,a7203a,a7207a,a7208a,a7209a,a7213a,a7214a,a7218a,a7219a,a7220a,a7223a,a7227a,a7228a,a7229a,a7233a,a7234a,a7238a,a7239a,a7240a,a7243a,a7247a,a7248a,a7249a,a7253a,a7254a,a7258a,a7259a,a7260a,a7263a,a7267a,a7268a,a7269a,a7273a,a7274a,a7278a,a7279a,a7280a,a7283a,a7287a,a7288a,a7289a,a7293a,a7294a,a7298a,a7299a,a7300a,a7303a,a7307a,a7308a,a7309a,a7313a,a7314a,a7318a,a7319a,a7320a,a7323a,a7327a,a7328a,a7329a,a7333a,a7334a,a7338a,a7339a,a7340a,a7343a,a7347a,a7348a,a7349a,a7353a,a7354a,a7358a,a7359a,a7360a,a7363a,a7367a,a7368a,a7369a,a7373a,a7374a,a7378a,a7379a,a7380a,a7383a,a7387a,a7388a,a7389a,a7393a,a7394a,a7398a,a7399a,a7400a,a7403a,a7407a,a7408a,a7409a,a7413a,a7414a,a7418a,a7419a,a7420a,a7423a,a7427a,a7428a,a7429a,a7433a,a7434a,a7438a,a7439a,a7440a,a7443a,a7447a,a7448a,a7449a,a7453a,a7454a,a7458a,a7459a,a7460a,a7463a,a7467a,a7468a,a7469a,a7473a,a7474a,a7478a,a7479a,a7480a,a7483a,a7487a,a7488a,a7489a,a7493a,a7494a,a7498a,a7499a,a7500a,a7503a,a7507a,a7508a,a7509a,a7513a,a7514a,a7518a,a7519a,a7520a,a7523a,a7527a,a7528a,a7529a,a7533a,a7534a,a7538a,a7539a,a7540a,a7543a,a7547a,a7548a,a7549a,a7553a,a7554a,a7558a,a7559a,a7560a,a7563a,a7567a,a7568a,a7569a,a7573a,a7574a,a7578a,a7579a,a7580a,a7583a,a7587a,a7588a,a7589a,a7593a,a7594a,a7598a,a7599a,a7600a,a7603a,a7607a,a7608a,a7609a,a7613a,a7614a,a7618a,a7619a,a7620a,a7623a,a7627a,a7628a,a7629a,a7633a,a7634a,a7638a,a7639a,a7640a,a7643a,a7647a,a7648a,a7649a,a7653a,a7654a,a7658a,a7659a,a7660a,a7663a,a7667a,a7668a,a7669a,a7673a,a7674a,a7678a,a7679a,a7680a,a7683a,a7687a,a7688a,a7689a,a7693a,a7694a,a7698a,a7699a,a7700a,a7703a,a7707a,a7708a,a7709a,a7713a,a7714a,a7718a,a7719a,a7720a,a7723a,a7727a,a7728a,a7729a,a7733a,a7734a,a7738a,a7739a,a7740a,a7743a,a7747a,a7748a,a7749a,a7753a,a7754a,a7758a,a7759a,a7760a,a7763a,a7767a,a7768a,a7769a,a7773a,a7774a,a7778a,a7779a,a7780a,a7783a,a7787a,a7788a,a7789a,a7793a,a7794a,a7798a,a7799a,a7800a,a7803a,a7807a,a7808a,a7809a,a7813a,a7814a,a7818a,a7819a,a7820a,a7823a,a7827a,a7828a,a7829a,a7833a,a7834a,a7838a,a7839a,a7840a,a7843a,a7847a,a7848a,a7849a,a7853a,a7854a,a7858a,a7859a,a7860a,a7863a,a7867a,a7868a,a7869a,a7873a,a7874a,a7878a,a7879a,a7880a,a7883a,a7887a,a7888a,a7889a,a7893a,a7894a,a7898a,a7899a,a7900a,a7903a,a7907a,a7908a,a7909a,a7913a,a7914a,a7918a,a7919a,a7920a,a7923a,a7927a,a7928a,a7929a,a7933a,a7934a,a7938a,a7939a,a7940a,a7943a,a7947a,a7948a,a7949a,a7953a,a7954a,a7958a,a7959a,a7960a,a7964a,a7965a,a7969a,a7970a,a7971a,a7975a,a7976a,a7980a,a7981a,a7982a,a7986a,a7987a,a7991a,a7992a,a7993a,a7997a,a7998a,a8002a,a8003a,a8004a,a8008a,a8009a,a8013a,a8014a,a8015a,a8019a,a8020a,a8024a,a8025a,a8026a,a8030a,a8031a,a8035a,a8036a,a8037a,a8041a,a8042a,a8046a,a8047a,a8048a,a8052a,a8053a,a8057a,a8058a,a8059a,a8063a,a8064a,a8068a,a8069a,a8070a,a8074a,a8075a,a8079a,a8080a,a8081a,a8085a,a8086a,a8090a,a8091a,a8092a,a8096a,a8097a,a8101a,a8102a,a8103a,a8107a,a8108a,a8112a,a8113a,a8114a,a8118a,a8119a,a8123a,a8124a,a8125a,a8129a,a8130a,a8134a,a8135a,a8136a,a8140a,a8141a,a8145a,a8146a,a8147a,a8151a,a8152a,a8156a,a8157a,a8158a,a8162a,a8163a,a8167a,a8168a,a8169a,a8173a,a8174a,a8178a,a8179a,a8180a,a8184a,a8185a,a8189a,a8190a,a8191a,a8195a,a8196a,a8200a,a8201a,a8202a,a8206a,a8207a,a8211a,a8212a,a8213a,a8217a,a8218a,a8222a,a8223a,a8224a,a8228a,a8229a,a8233a,a8234a,a8235a,a8239a,a8240a,a8244a,a8245a,a8246a,a8250a,a8251a,a8255a,a8256a,a8257a,a8261a,a8262a,a8266a,a8267a,a8268a,a8272a,a8273a,a8277a,a8278a,a8279a,a8283a,a8284a,a8288a,a8289a,a8290a,a8294a,a8295a,a8299a,a8300a,a8301a,a8305a,a8306a,a8310a,a8311a,a8312a,a8316a,a8317a,a8321a,a8322a,a8323a,a8327a,a8328a,a8332a,a8333a,a8334a,a8338a,a8339a,a8343a,a8344a,a8345a,a8349a,a8350a,a8354a,a8355a,a8356a,a8360a,a8361a,a8365a,a8366a,a8367a,a8371a,a8372a,a8376a,a8377a,a8378a,a8382a,a8383a,a8387a,a8388a,a8389a,a8393a,a8394a,a8398a,a8399a,a8400a,a8404a,a8405a,a8409a,a8410a,a8411a,a8415a,a8416a,a8420a,a8421a,a8422a,a8426a,a8427a,a8431a,a8432a,a8433a,a8437a,a8438a,a8442a,a8443a,a8444a,a8448a,a8449a,a8453a,a8454a,a8455a,a8459a,a8460a,a8464a,a8465a,a8466a,a8470a,a8471a,a8475a,a8476a,a8477a,a8481a,a8482a,a8486a,a8487a,a8488a,a8492a,a8493a,a8497a,a8498a,a8499a,a8503a,a8504a,a8508a,a8509a,a8510a,a8514a,a8515a,a8519a,a8520a,a8521a,a8525a,a8526a,a8530a,a8531a,a8532a,a8536a,a8537a,a8541a,a8542a,a8543a,a8547a,a8548a,a8552a,a8553a,a8554a,a8558a,a8559a,a8563a,a8564a,a8565a,a8569a,a8570a,a8574a,a8575a,a8576a,a8580a,a8581a,a8585a,a8586a,a8587a,a8591a,a8592a,a8596a,a8597a,a8598a,a8602a,a8603a,a8607a,a8608a,a8609a,a8613a,a8614a,a8618a,a8619a,a8620a,a8624a,a8625a,a8629a,a8630a,a8631a,a8635a,a8636a,a8640a,a8641a,a8642a,a8646a,a8647a,a8651a,a8652a,a8653a,a8657a,a8658a,a8662a,a8663a,a8664a,a8668a,a8669a,a8673a,a8674a,a8675a,a8679a,a8680a,a8684a,a8685a,a8686a,a8690a,a8691a,a8695a,a8696a,a8697a,a8701a,a8702a,a8706a,a8707a,a8708a,a8712a,a8713a,a8717a,a8718a,a8719a,a8723a,a8724a,a8728a,a8729a,a8730a,a8734a,a8735a,a8739a,a8740a,a8741a,a8745a,a8746a,a8750a,a8751a,a8752a,a8756a,a8757a,a8761a,a8762a,a8763a,a8767a,a8768a,a8772a,a8773a,a8774a,a8778a,a8779a,a8783a,a8784a,a8785a,a8789a,a8790a,a8794a,a8795a,a8796a,a8800a,a8801a,a8805a,a8806a,a8807a,a8811a,a8812a,a8816a,a8817a,a8818a,a8822a,a8823a,a8827a,a8828a,a8829a,a8833a,a8834a,a8838a,a8839a,a8840a,a8844a,a8845a,a8849a,a8850a,a8851a,a8855a,a8856a,a8860a,a8861a,a8862a,a8866a,a8867a,a8871a,a8872a,a8873a,a8877a,a8878a,a8882a,a8883a,a8884a,a8888a,a8889a,a8893a,a8894a,a8895a,a8899a,a8900a,a8904a,a8905a,a8906a,a8910a,a8911a,a8915a,a8916a,a8917a,a8921a,a8922a,a8926a,a8927a,a8928a,a8932a,a8933a,a8937a,a8938a,a8939a,a8943a,a8944a,a8948a,a8949a,a8950a,a8954a,a8955a,a8959a,a8960a,a8961a,a8965a,a8966a,a8970a,a8971a,a8972a,a8976a,a8977a,a8981a,a8982a,a8983a,a8987a,a8988a,a8992a,a8993a,a8994a,a8998a,a8999a,a9003a,a9004a,a9005a,a9009a,a9010a,a9014a,a9015a,a9016a,a9020a,a9021a,a9025a,a9026a,a9027a,a9031a,a9032a,a9036a,a9037a,a9038a,a9042a,a9043a,a9047a,a9048a,a9049a,a9053a,a9054a,a9058a,a9059a,a9060a,a9064a,a9065a,a9069a,a9070a,a9071a,a9075a,a9076a,a9080a,a9081a,a9082a,a9086a,a9087a,a9091a,a9092a,a9093a,a9097a,a9098a,a9102a,a9103a,a9104a,a9108a,a9109a,a9113a,a9114a,a9115a,a9119a,a9120a,a9124a,a9125a,a9126a,a9130a,a9131a,a9135a,a9136a,a9137a,a9141a,a9142a,a9146a,a9147a,a9148a,a9152a,a9153a,a9157a,a9158a,a9159a,a9163a,a9164a,a9168a,a9169a,a9170a,a9174a,a9175a,a9179a,a9180a,a9181a,a9185a,a9186a,a9190a,a9191a,a9192a,a9196a,a9197a,a9201a,a9202a,a9203a,a9207a,a9208a,a9212a,a9213a,a9214a,a9218a,a9219a,a9223a,a9224a,a9225a,a9229a,a9230a,a9234a,a9235a,a9236a,a9240a,a9241a,a9245a,a9246a,a9247a,a9251a,a9252a,a9256a,a9257a,a9258a,a9262a,a9263a,a9267a,a9268a,a9269a,a9273a,a9274a,a9278a,a9279a,a9280a,a9284a,a9285a,a9289a,a9290a,a9291a,a9295a,a9296a,a9300a,a9301a,a9302a,a9306a,a9307a,a9311a,a9312a,a9313a,a9317a,a9318a,a9322a,a9323a,a9324a,a9328a,a9329a,a9333a,a9334a,a9335a,a9339a,a9340a,a9344a,a9345a,a9346a,a9350a,a9351a,a9355a,a9356a,a9357a,a9361a,a9362a,a9366a,a9367a,a9368a,a9372a,a9373a,a9377a,a9378a,a9379a,a9383a,a9384a,a9387a,a9390a,a9391a,a9392a,a9396a,a9397a,a9401a,a9402a,a9403a,a9407a,a9408a,a9411a,a9414a,a9415a,a9416a,a9420a,a9421a,a9425a,a9426a,a9427a,a9431a,a9432a,a9435a,a9438a,a9439a,a9440a,a9444a,a9445a,a9449a,a9450a,a9451a,a9455a,a9456a,a9459a,a9462a,a9463a,a9464a,a9468a,a9469a,a9473a,a9474a,a9475a,a9479a,a9480a,a9483a,a9486a,a9487a,a9488a,a9492a,a9493a,a9497a,a9498a,a9499a,a9503a,a9504a,a9507a,a9510a,a9511a,a9512a,a9516a,a9517a,a9521a,a9522a,a9523a,a9527a,a9528a,a9531a,a9534a,a9535a,a9536a,a9540a,a9541a,a9545a,a9546a,a9547a,a9551a,a9552a,a9555a,a9558a,a9559a,a9560a: std_logic; begin A140 <=( a1312a ) or ( a875a ); a1a <=( a9560a and a9547a ); a2a <=( a9536a and a9523a ); a3a <=( a9512a and a9499a ); a4a <=( a9488a and a9475a ); a5a <=( a9464a and a9451a ); a6a <=( a9440a and a9427a ); a7a <=( a9416a and a9403a ); a8a <=( a9392a and a9379a ); a9a <=( a9368a and a9357a ); a10a <=( a9346a and a9335a ); a11a <=( a9324a and a9313a ); a12a <=( a9302a and a9291a ); a13a <=( a9280a and a9269a ); a14a <=( a9258a and a9247a ); a15a <=( a9236a and a9225a ); a16a <=( a9214a and a9203a ); a17a <=( a9192a and a9181a ); a18a <=( a9170a and a9159a ); a19a <=( a9148a and a9137a ); a20a <=( a9126a and a9115a ); a21a <=( a9104a and a9093a ); a22a <=( a9082a and a9071a ); a23a <=( a9060a and a9049a ); a24a <=( a9038a and a9027a ); a25a <=( a9016a and a9005a ); a26a <=( a8994a and a8983a ); a27a <=( a8972a and a8961a ); a28a <=( a8950a and a8939a ); a29a <=( a8928a and a8917a ); a30a <=( a8906a and a8895a ); a31a <=( a8884a and a8873a ); a32a <=( a8862a and a8851a ); a33a <=( a8840a and a8829a ); a34a <=( a8818a and a8807a ); a35a <=( a8796a and a8785a ); a36a <=( a8774a and a8763a ); a37a <=( a8752a and a8741a ); a38a <=( a8730a and a8719a ); a39a <=( a8708a and a8697a ); a40a <=( a8686a and a8675a ); a41a <=( a8664a and a8653a ); a42a <=( a8642a and a8631a ); a43a <=( a8620a and a8609a ); a44a <=( a8598a and a8587a ); a45a <=( a8576a and a8565a ); a46a <=( a8554a and a8543a ); a47a <=( a8532a and a8521a ); a48a <=( a8510a and a8499a ); a49a <=( a8488a and a8477a ); a50a <=( a8466a and a8455a ); a51a <=( a8444a and a8433a ); a52a <=( a8422a and a8411a ); a53a <=( a8400a and a8389a ); a54a <=( a8378a and a8367a ); a55a <=( a8356a and a8345a ); a56a <=( a8334a and a8323a ); a57a <=( a8312a and a8301a ); a58a <=( a8290a and a8279a ); a59a <=( a8268a and a8257a ); a60a <=( a8246a and a8235a ); a61a <=( a8224a and a8213a ); a62a <=( a8202a and a8191a ); a63a <=( a8180a and a8169a ); a64a <=( a8158a and a8147a ); a65a <=( a8136a and a8125a ); a66a <=( a8114a and a8103a ); a67a <=( a8092a and a8081a ); a68a <=( a8070a and a8059a ); a69a <=( a8048a and a8037a ); a70a <=( a8026a and a8015a ); a71a <=( a8004a and a7993a ); a72a <=( a7982a and a7971a ); a73a <=( a7960a and a7949a ); a74a <=( a7940a and a7929a ); a75a <=( a7920a and a7909a ); a76a <=( a7900a and a7889a ); a77a <=( a7880a and a7869a ); a78a <=( a7860a and a7849a ); a79a <=( a7840a and a7829a ); a80a <=( a7820a and a7809a ); a81a <=( a7800a and a7789a ); a82a <=( a7780a and a7769a ); a83a <=( a7760a and a7749a ); a84a <=( a7740a and a7729a ); a85a <=( a7720a and a7709a ); a86a <=( a7700a and a7689a ); a87a <=( a7680a and a7669a ); a88a <=( a7660a and a7649a ); a89a <=( a7640a and a7629a ); a90a <=( a7620a and a7609a ); a91a <=( a7600a and a7589a ); a92a <=( a7580a and a7569a ); a93a <=( a7560a and a7549a ); a94a <=( a7540a and a7529a ); a95a <=( a7520a and a7509a ); a96a <=( a7500a and a7489a ); a97a <=( a7480a and a7469a ); a98a <=( a7460a and a7449a ); a99a <=( a7440a and a7429a ); a100a <=( a7420a and a7409a ); a101a <=( a7400a and a7389a ); a102a <=( a7380a and a7369a ); a103a <=( a7360a and a7349a ); a104a <=( a7340a and a7329a ); a105a <=( a7320a and a7309a ); a106a <=( a7300a and a7289a ); a107a <=( a7280a and a7269a ); a108a <=( a7260a and a7249a ); a109a <=( a7240a and a7229a ); a110a <=( a7220a and a7209a ); a111a <=( a7200a and a7189a ); a112a <=( a7180a and a7169a ); a113a <=( a7160a and a7149a ); a114a <=( a7140a and a7129a ); a115a <=( a7120a and a7109a ); a116a <=( a7100a and a7089a ); a117a <=( a7080a and a7069a ); a118a <=( a7060a and a7049a ); a119a <=( a7040a and a7029a ); a120a <=( a7020a and a7009a ); a121a <=( a7000a and a6989a ); a122a <=( a6980a and a6969a ); a123a <=( a6960a and a6949a ); a124a <=( a6940a and a6929a ); a125a <=( a6920a and a6909a ); a126a <=( a6900a and a6889a ); a127a <=( a6880a and a6869a ); a128a <=( a6860a and a6849a ); a129a <=( a6840a and a6829a ); a130a <=( a6820a and a6809a ); a131a <=( a6800a and a6789a ); a132a <=( a6780a and a6769a ); a133a <=( a6760a and a6749a ); a134a <=( a6740a and a6729a ); a135a <=( a6720a and a6709a ); a136a <=( a6700a and a6689a ); a137a <=( a6680a and a6669a ); a138a <=( a6660a and a6649a ); a139a <=( a6640a and a6629a ); a140a <=( a6620a and a6609a ); a141a <=( a6600a and a6589a ); a142a <=( a6580a and a6569a ); a143a <=( a6560a and a6549a ); a144a <=( a6540a and a6529a ); a145a <=( a6520a and a6509a ); a146a <=( a6500a and a6489a ); a147a <=( a6480a and a6469a ); a148a <=( a6460a and a6449a ); a149a <=( a6440a and a6429a ); a150a <=( a6420a and a6409a ); a151a <=( a6400a and a6389a ); a152a <=( a6380a and a6369a ); a153a <=( a6360a and a6349a ); a154a <=( a6340a and a6329a ); a155a <=( a6320a and a6309a ); a156a <=( a6300a and a6289a ); a157a <=( a6280a and a6269a ); a158a <=( a6260a and a6249a ); a159a <=( a6240a and a6229a ); a160a <=( a6220a and a6209a ); a161a <=( a6200a and a6189a ); a162a <=( a6180a and a6169a ); a163a <=( a6160a and a6149a ); a164a <=( a6140a and a6129a ); a165a <=( a6120a and a6109a ); a166a <=( a6100a and a6089a ); a167a <=( a6080a and a6069a ); a168a <=( a6060a and a6049a ); a169a <=( a6040a and a6029a ); a170a <=( a6020a and a6009a ); a171a <=( a6000a and a5989a ); a172a <=( a5980a and a5969a ); a173a <=( a5960a and a5949a ); a174a <=( a5940a and a5929a ); a175a <=( a5920a and a5909a ); a176a <=( a5900a and a5889a ); a177a <=( a5880a and a5869a ); a178a <=( a5860a and a5849a ); a179a <=( a5840a and a5829a ); a180a <=( a5820a and a5809a ); a181a <=( a5800a and a5789a ); a182a <=( a5780a and a5769a ); a183a <=( a5760a and a5749a ); a184a <=( a5740a and a5729a ); a185a <=( a5720a and a5709a ); a186a <=( a5700a and a5689a ); a187a <=( a5680a and a5669a ); a188a <=( a5660a and a5649a ); a189a <=( a5640a and a5629a ); a190a <=( a5620a and a5609a ); a191a <=( a5600a and a5589a ); a192a <=( a5580a and a5569a ); a193a <=( a5560a and a5549a ); a194a <=( a5540a and a5529a ); a195a <=( a5520a and a5509a ); a196a <=( a5500a and a5489a ); a197a <=( a5480a and a5469a ); a198a <=( a5460a and a5449a ); a199a <=( a5440a and a5429a ); a200a <=( a5420a and a5409a ); a201a <=( a5400a and a5389a ); a202a <=( a5380a and a5369a ); a203a <=( a5360a and a5349a ); a204a <=( a5340a and a5329a ); a205a <=( a5320a and a5309a ); a206a <=( a5300a and a5289a ); a207a <=( a5280a and a5269a ); a208a <=( a5260a and a5249a ); a209a <=( a5240a and a5229a ); a210a <=( a5220a and a5209a ); a211a <=( a5200a and a5189a ); a212a <=( a5180a and a5169a ); a213a <=( a5160a and a5149a ); a214a <=( a5140a and a5129a ); a215a <=( a5120a and a5109a ); a216a <=( a5100a and a5089a ); a217a <=( a5080a and a5069a ); a218a <=( a5060a and a5049a ); a219a <=( a5040a and a5029a ); a220a <=( a5020a and a5009a ); a221a <=( a5000a and a4989a ); a222a <=( a4980a and a4969a ); a223a <=( a4960a and a4949a ); a224a <=( a4940a and a4929a ); a225a <=( a4920a and a4909a ); a226a <=( a4900a and a4889a ); a227a <=( a4880a and a4869a ); a228a <=( a4860a and a4849a ); a229a <=( a4840a and a4829a ); a230a <=( a4820a and a4809a ); a231a <=( a4800a and a4789a ); a232a <=( a4780a and a4769a ); a233a <=( a4760a and a4751a ); a234a <=( a4742a and a4733a ); a235a <=( a4724a and a4715a ); a236a <=( a4706a and a4697a ); a237a <=( a4688a and a4679a ); a238a <=( a4670a and a4661a ); a239a <=( a4652a and a4643a ); a240a <=( a4634a and a4625a ); a241a <=( a4616a and a4607a ); a242a <=( a4598a and a4589a ); a243a <=( a4580a and a4571a ); a244a <=( a4562a and a4553a ); a245a <=( a4544a and a4535a ); a246a <=( a4526a and a4517a ); a247a <=( a4508a and a4499a ); a248a <=( a4490a and a4481a ); a249a <=( a4472a and a4463a ); a250a <=( a4454a and a4445a ); a251a <=( a4436a and a4427a ); a252a <=( a4418a and a4409a ); a253a <=( a4400a and a4391a ); a254a <=( a4382a and a4373a ); a255a <=( a4364a and a4355a ); a256a <=( a4346a and a4337a ); a257a <=( a4328a and a4319a ); a258a <=( a4310a and a4301a ); a259a <=( a4292a and a4283a ); a260a <=( a4274a and a4265a ); a261a <=( a4256a and a4247a ); a262a <=( a4238a and a4229a ); a263a <=( a4220a and a4211a ); a264a <=( a4202a and a4193a ); a265a <=( a4184a and a4175a ); a266a <=( a4166a and a4157a ); a267a <=( a4148a and a4139a ); a268a <=( a4130a and a4121a ); a269a <=( a4112a and a4103a ); a270a <=( a4094a and a4085a ); a271a <=( a4076a and a4067a ); a272a <=( a4058a and a4049a ); a273a <=( a4040a and a4031a ); a274a <=( a4022a and a4013a ); a275a <=( a4004a and a3995a ); a276a <=( a3986a and a3977a ); a277a <=( a3968a and a3959a ); a278a <=( a3950a and a3941a ); a279a <=( a3932a and a3923a ); a280a <=( a3914a and a3905a ); a281a <=( a3896a and a3887a ); a282a <=( a3878a and a3869a ); a283a <=( a3860a and a3851a ); a284a <=( a3842a and a3833a ); a285a <=( a3824a and a3815a ); a286a <=( a3806a and a3797a ); a287a <=( a3788a and a3779a ); a288a <=( a3770a and a3761a ); a289a <=( a3752a and a3743a ); a290a <=( a3734a and a3725a ); a291a <=( a3716a and a3707a ); a292a <=( a3698a and a3689a ); a293a <=( a3680a and a3671a ); a294a <=( a3662a and a3653a ); a295a <=( a3644a and a3635a ); a296a <=( a3626a and a3617a ); a297a <=( a3608a and a3599a ); a298a <=( a3590a and a3581a ); a299a <=( a3572a and a3563a ); a300a <=( a3554a and a3545a ); a301a <=( a3536a and a3527a ); a302a <=( a3518a and a3509a ); a303a <=( a3500a and a3491a ); a304a <=( a3482a and a3473a ); a305a <=( a3464a and a3455a ); a306a <=( a3446a and a3437a ); a307a <=( a3428a and a3419a ); a308a <=( a3410a and a3401a ); a309a <=( a3392a and a3383a ); a310a <=( a3374a and a3365a ); a311a <=( a3356a and a3347a ); a312a <=( a3338a and a3329a ); a313a <=( a3320a and a3311a ); a314a <=( a3302a and a3293a ); a315a <=( a3284a and a3275a ); a316a <=( a3266a and a3257a ); a317a <=( a3248a and a3239a ); a318a <=( a3230a and a3221a ); a319a <=( a3212a and a3203a ); a320a <=( a3194a and a3185a ); a321a <=( a3176a and a3167a ); a322a <=( a3158a and a3149a ); a323a <=( a3140a and a3131a ); a324a <=( a3122a and a3113a ); a325a <=( a3104a and a3095a ); a326a <=( a3086a and a3077a ); a327a <=( a3068a and a3059a ); a328a <=( a3050a and a3041a ); a329a <=( a3032a and a3023a ); a330a <=( a3014a and a3005a ); a331a <=( a2996a and a2987a ); a332a <=( a2978a and a2969a ); a333a <=( a2960a and a2951a ); a334a <=( a2942a and a2933a ); a335a <=( a2924a and a2915a ); a336a <=( a2906a and a2897a ); a337a <=( a2888a and a2879a ); a338a <=( a2870a and a2861a ); a339a <=( a2852a and a2843a ); a340a <=( a2834a and a2825a ); a341a <=( a2816a and a2807a ); a342a <=( a2798a and a2789a ); a343a <=( a2780a and a2771a ); a344a <=( a2762a and a2753a ); a345a <=( a2744a and a2735a ); a346a <=( a2726a and a2717a ); a347a <=( a2708a and a2699a ); a348a <=( a2690a and a2681a ); a349a <=( a2672a and a2663a ); a350a <=( a2654a and a2645a ); a351a <=( a2636a and a2627a ); a352a <=( a2618a and a2609a ); a353a <=( a2600a and a2591a ); a354a <=( a2582a and a2573a ); a355a <=( a2564a and a2555a ); a356a <=( a2546a and a2537a ); a357a <=( a2528a and a2519a ); a358a <=( a2510a and a2501a ); a359a <=( a2492a and a2483a ); a360a <=( a2474a and a2465a ); a361a <=( a2456a and a2447a ); a362a <=( a2438a and a2429a ); a363a <=( a2420a and a2411a ); a364a <=( a2402a and a2393a ); a365a <=( a2384a and a2375a ); a366a <=( a2366a and a2357a ); a367a <=( a2348a and a2339a ); a368a <=( a2330a and a2321a ); a369a <=( a2312a and a2303a ); a370a <=( a2296a and a2287a ); a371a <=( a2280a and a2271a ); a372a <=( a2264a and a2255a ); a373a <=( a2248a and a2239a ); a374a <=( a2232a and a2223a ); a375a <=( a2216a and a2207a ); a376a <=( a2200a and a2191a ); a377a <=( a2184a and a2175a ); a378a <=( a2168a and a2159a ); a379a <=( a2152a and a2143a ); a380a <=( a2136a and a2127a ); a381a <=( a2120a and a2111a ); a382a <=( a2104a and a2095a ); a383a <=( a2088a and a2079a ); a384a <=( a2072a and a2063a ); a385a <=( a2056a and a2047a ); a386a <=( a2040a and a2031a ); a387a <=( a2024a and a2015a ); a388a <=( a2008a and a1999a ); a389a <=( a1992a and a1983a ); a390a <=( a1976a and a1967a ); a391a <=( a1960a and a1951a ); a392a <=( a1944a and a1935a ); a393a <=( a1928a and a1919a ); a394a <=( a1912a and a1903a ); a395a <=( a1896a and a1887a ); a396a <=( a1880a and a1871a ); a397a <=( a1864a and a1855a ); a398a <=( a1848a and a1839a ); a399a <=( a1832a and a1823a ); a400a <=( a1816a and a1807a ); a401a <=( a1800a and a1793a ); a402a <=( a1786a and a1779a ); a403a <=( a1772a and a1765a ); a404a <=( a1758a and a1751a ); a405a <=( a1744a and a1737a ); a406a <=( a1730a and a1723a ); a407a <=( a1716a and a1709a ); a408a <=( a1702a and a1695a ); a409a <=( a1688a and a1681a ); a410a <=( a1674a and a1667a ); a411a <=( a1660a and a1653a ); a412a <=( a1646a and a1639a ); a413a <=( a1632a and a1625a ); a414a <=( a1618a and a1611a ); a415a <=( a1604a and a1597a ); a416a <=( a1590a and a1583a ); a417a <=( a1576a and a1569a ); a418a <=( a1562a and a1555a ); a419a <=( a1548a and a1541a ); a420a <=( a1534a and a1527a ); a421a <=( a1520a and a1513a ); a422a <=( a1506a and a1499a ); a423a <=( a1492a and a1485a ); a424a <=( a1478a and a1471a ); a425a <=( a1464a and a1457a ); a426a <=( a1450a and a1443a ); a427a <=( a1436a and a1429a ); a428a <=( a1422a and a1415a ); a429a <=( a1408a and a1401a ); a430a <=( a1394a and a1387a ); a431a <=( a1380a and a1373a ); a432a <=( a1366a and a1359a ); a433a <=( a1352a and a1347a ); a434a <=( a1344a and a1339a ); a435a <=( a1336a and a1333a ); a436a <=( a1330a and a1327a ); a437a <=( a1324a and a1321a ); a438a <=( a1318a and a1315a ); a442a <=( a436a ) or ( a437a ); a443a <=( a438a ) or ( a442a ); a447a <=( a433a ) or ( a434a ); a448a <=( a435a ) or ( a447a ); a449a <=( a448a ) or ( a443a ); a453a <=( a430a ) or ( a431a ); a454a <=( a432a ) or ( a453a ); a457a <=( a428a ) or ( a429a ); a460a <=( a426a ) or ( a427a ); a461a <=( a460a ) or ( a457a ); a462a <=( a461a ) or ( a454a ); a463a <=( a462a ) or ( a449a ); a467a <=( a423a ) or ( a424a ); a468a <=( a425a ) or ( a467a ); a471a <=( a421a ) or ( a422a ); a474a <=( a419a ) or ( a420a ); a475a <=( a474a ) or ( a471a ); a476a <=( a475a ) or ( a468a ); a480a <=( a416a ) or ( a417a ); a481a <=( a418a ) or ( a480a ); a484a <=( a414a ) or ( a415a ); a487a <=( a412a ) or ( a413a ); a488a <=( a487a ) or ( a484a ); a489a <=( a488a ) or ( a481a ); a490a <=( a489a ) or ( a476a ); a491a <=( a490a ) or ( a463a ); a495a <=( a409a ) or ( a410a ); a496a <=( a411a ) or ( a495a ); a500a <=( a406a ) or ( a407a ); a501a <=( a408a ) or ( a500a ); a502a <=( a501a ) or ( a496a ); a506a <=( a403a ) or ( a404a ); a507a <=( a405a ) or ( a506a ); a510a <=( a401a ) or ( a402a ); a513a <=( a399a ) or ( a400a ); a514a <=( a513a ) or ( a510a ); a515a <=( a514a ) or ( a507a ); a516a <=( a515a ) or ( a502a ); a520a <=( a396a ) or ( a397a ); a521a <=( a398a ) or ( a520a ); a524a <=( a394a ) or ( a395a ); a527a <=( a392a ) or ( a393a ); a528a <=( a527a ) or ( a524a ); a529a <=( a528a ) or ( a521a ); a533a <=( a389a ) or ( a390a ); a534a <=( a391a ) or ( a533a ); a537a <=( a387a ) or ( a388a ); a540a <=( a385a ) or ( a386a ); a541a <=( a540a ) or ( a537a ); a542a <=( a541a ) or ( a534a ); a543a <=( a542a ) or ( a529a ); a544a <=( a543a ) or ( a516a ); a545a <=( a544a ) or ( a491a ); a549a <=( a382a ) or ( a383a ); a550a <=( a384a ) or ( a549a ); a554a <=( a379a ) or ( a380a ); a555a <=( a381a ) or ( a554a ); a556a <=( a555a ) or ( a550a ); a560a <=( a376a ) or ( a377a ); a561a <=( a378a ) or ( a560a ); a564a <=( a374a ) or ( a375a ); a567a <=( a372a ) or ( a373a ); a568a <=( a567a ) or ( a564a ); a569a <=( a568a ) or ( a561a ); a570a <=( a569a ) or ( a556a ); a574a <=( a369a ) or ( a370a ); a575a <=( a371a ) or ( a574a ); a578a <=( a367a ) or ( a368a ); a581a <=( a365a ) or ( a366a ); a582a <=( a581a ) or ( a578a ); a583a <=( a582a ) or ( a575a ); a587a <=( a362a ) or ( a363a ); a588a <=( a364a ) or ( a587a ); a591a <=( a360a ) or ( a361a ); a594a <=( a358a ) or ( a359a ); a595a <=( a594a ) or ( a591a ); a596a <=( a595a ) or ( a588a ); a597a <=( a596a ) or ( a583a ); a598a <=( a597a ) or ( a570a ); a602a <=( a355a ) or ( a356a ); a603a <=( a357a ) or ( a602a ); a606a <=( a353a ) or ( a354a ); a609a <=( a351a ) or ( a352a ); a610a <=( a609a ) or ( a606a ); a611a <=( a610a ) or ( a603a ); a615a <=( a348a ) or ( a349a ); a616a <=( a350a ) or ( a615a ); a619a <=( a346a ) or ( a347a ); a622a <=( a344a ) or ( a345a ); a623a <=( a622a ) or ( a619a ); a624a <=( a623a ) or ( a616a ); a625a <=( a624a ) or ( a611a ); a629a <=( a341a ) or ( a342a ); a630a <=( a343a ) or ( a629a ); a633a <=( a339a ) or ( a340a ); a636a <=( a337a ) or ( a338a ); a637a <=( a636a ) or ( a633a ); a638a <=( a637a ) or ( a630a ); a642a <=( a334a ) or ( a335a ); a643a <=( a336a ) or ( a642a ); a646a <=( a332a ) or ( a333a ); a649a <=( a330a ) or ( a331a ); a650a <=( a649a ) or ( a646a ); a651a <=( a650a ) or ( a643a ); a652a <=( a651a ) or ( a638a ); a653a <=( a652a ) or ( a625a ); a654a <=( a653a ) or ( a598a ); a655a <=( a654a ) or ( a545a ); a659a <=( a327a ) or ( a328a ); a660a <=( a329a ) or ( a659a ); a664a <=( a324a ) or ( a325a ); a665a <=( a326a ) or ( a664a ); a666a <=( a665a ) or ( a660a ); a670a <=( a321a ) or ( a322a ); a671a <=( a323a ) or ( a670a ); a674a <=( a319a ) or ( a320a ); a677a <=( a317a ) or ( a318a ); a678a <=( a677a ) or ( a674a ); a679a <=( a678a ) or ( a671a ); a680a <=( a679a ) or ( a666a ); a684a <=( a314a ) or ( a315a ); a685a <=( a316a ) or ( a684a ); a688a <=( a312a ) or ( a313a ); a691a <=( a310a ) or ( a311a ); a692a <=( a691a ) or ( a688a ); a693a <=( a692a ) or ( a685a ); a697a <=( a307a ) or ( a308a ); a698a <=( a309a ) or ( a697a ); a701a <=( a305a ) or ( a306a ); a704a <=( a303a ) or ( a304a ); a705a <=( a704a ) or ( a701a ); a706a <=( a705a ) or ( a698a ); a707a <=( a706a ) or ( a693a ); a708a <=( a707a ) or ( a680a ); a712a <=( a300a ) or ( a301a ); a713a <=( a302a ) or ( a712a ); a716a <=( a298a ) or ( a299a ); a719a <=( a296a ) or ( a297a ); a720a <=( a719a ) or ( a716a ); a721a <=( a720a ) or ( a713a ); a725a <=( a293a ) or ( a294a ); a726a <=( a295a ) or ( a725a ); a729a <=( a291a ) or ( a292a ); a732a <=( a289a ) or ( a290a ); a733a <=( a732a ) or ( a729a ); a734a <=( a733a ) or ( a726a ); a735a <=( a734a ) or ( a721a ); a739a <=( a286a ) or ( a287a ); a740a <=( a288a ) or ( a739a ); a743a <=( a284a ) or ( a285a ); a746a <=( a282a ) or ( a283a ); a747a <=( a746a ) or ( a743a ); a748a <=( a747a ) or ( a740a ); a752a <=( a279a ) or ( a280a ); a753a <=( a281a ) or ( a752a ); a756a <=( a277a ) or ( a278a ); a759a <=( a275a ) or ( a276a ); a760a <=( a759a ) or ( a756a ); a761a <=( a760a ) or ( a753a ); a762a <=( a761a ) or ( a748a ); a763a <=( a762a ) or ( a735a ); a764a <=( a763a ) or ( a708a ); a768a <=( a272a ) or ( a273a ); a769a <=( a274a ) or ( a768a ); a773a <=( a269a ) or ( a270a ); a774a <=( a271a ) or ( a773a ); a775a <=( a774a ) or ( a769a ); a779a <=( a266a ) or ( a267a ); a780a <=( a268a ) or ( a779a ); a783a <=( a264a ) or ( a265a ); a786a <=( a262a ) or ( a263a ); a787a <=( a786a ) or ( a783a ); a788a <=( a787a ) or ( a780a ); a789a <=( a788a ) or ( a775a ); a793a <=( a259a ) or ( a260a ); a794a <=( a261a ) or ( a793a ); a797a <=( a257a ) or ( a258a ); a800a <=( a255a ) or ( a256a ); a801a <=( a800a ) or ( a797a ); a802a <=( a801a ) or ( a794a ); a806a <=( a252a ) or ( a253a ); a807a <=( a254a ) or ( a806a ); a810a <=( a250a ) or ( a251a ); a813a <=( a248a ) or ( a249a ); a814a <=( a813a ) or ( a810a ); a815a <=( a814a ) or ( a807a ); a816a <=( a815a ) or ( a802a ); a817a <=( a816a ) or ( a789a ); a821a <=( a245a ) or ( a246a ); a822a <=( a247a ) or ( a821a ); a825a <=( a243a ) or ( a244a ); a828a <=( a241a ) or ( a242a ); a829a <=( a828a ) or ( a825a ); a830a <=( a829a ) or ( a822a ); a834a <=( a238a ) or ( a239a ); a835a <=( a240a ) or ( a834a ); a838a <=( a236a ) or ( a237a ); a841a <=( a234a ) or ( a235a ); a842a <=( a841a ) or ( a838a ); a843a <=( a842a ) or ( a835a ); a844a <=( a843a ) or ( a830a ); a848a <=( a231a ) or ( a232a ); a849a <=( a233a ) or ( a848a ); a852a <=( a229a ) or ( a230a ); a855a <=( a227a ) or ( a228a ); a856a <=( a855a ) or ( a852a ); a857a <=( a856a ) or ( a849a ); a861a <=( a224a ) or ( a225a ); a862a <=( a226a ) or ( a861a ); a865a <=( a222a ) or ( a223a ); a868a <=( a220a ) or ( a221a ); a869a <=( a868a ) or ( a865a ); a870a <=( a869a ) or ( a862a ); a871a <=( a870a ) or ( a857a ); a872a <=( a871a ) or ( a844a ); a873a <=( a872a ) or ( a817a ); a874a <=( a873a ) or ( a764a ); a875a <=( a874a ) or ( a655a ); a879a <=( a217a ) or ( a218a ); a880a <=( a219a ) or ( a879a ); a884a <=( a214a ) or ( a215a ); a885a <=( a216a ) or ( a884a ); a886a <=( a885a ) or ( a880a ); a890a <=( a211a ) or ( a212a ); a891a <=( a213a ) or ( a890a ); a894a <=( a209a ) or ( a210a ); a897a <=( a207a ) or ( a208a ); a898a <=( a897a ) or ( a894a ); a899a <=( a898a ) or ( a891a ); a900a <=( a899a ) or ( a886a ); a904a <=( a204a ) or ( a205a ); a905a <=( a206a ) or ( a904a ); a908a <=( a202a ) or ( a203a ); a911a <=( a200a ) or ( a201a ); a912a <=( a911a ) or ( a908a ); a913a <=( a912a ) or ( a905a ); a917a <=( a197a ) or ( a198a ); a918a <=( a199a ) or ( a917a ); a921a <=( a195a ) or ( a196a ); a924a <=( a193a ) or ( a194a ); a925a <=( a924a ) or ( a921a ); a926a <=( a925a ) or ( a918a ); a927a <=( a926a ) or ( a913a ); a928a <=( a927a ) or ( a900a ); a932a <=( a190a ) or ( a191a ); a933a <=( a192a ) or ( a932a ); a937a <=( a187a ) or ( a188a ); a938a <=( a189a ) or ( a937a ); a939a <=( a938a ) or ( a933a ); a943a <=( a184a ) or ( a185a ); a944a <=( a186a ) or ( a943a ); a947a <=( a182a ) or ( a183a ); a950a <=( a180a ) or ( a181a ); a951a <=( a950a ) or ( a947a ); a952a <=( a951a ) or ( a944a ); a953a <=( a952a ) or ( a939a ); a957a <=( a177a ) or ( a178a ); a958a <=( a179a ) or ( a957a ); a961a <=( a175a ) or ( a176a ); a964a <=( a173a ) or ( a174a ); a965a <=( a964a ) or ( a961a ); a966a <=( a965a ) or ( a958a ); a970a <=( a170a ) or ( a171a ); a971a <=( a172a ) or ( a970a ); a974a <=( a168a ) or ( a169a ); a977a <=( a166a ) or ( a167a ); a978a <=( a977a ) or ( a974a ); a979a <=( a978a ) or ( a971a ); a980a <=( a979a ) or ( a966a ); a981a <=( a980a ) or ( a953a ); a982a <=( a981a ) or ( a928a ); a986a <=( a163a ) or ( a164a ); a987a <=( a165a ) or ( a986a ); a991a <=( a160a ) or ( a161a ); a992a <=( a162a ) or ( a991a ); a993a <=( a992a ) or ( a987a ); a997a <=( a157a ) or ( a158a ); a998a <=( a159a ) or ( a997a ); a1001a <=( a155a ) or ( a156a ); a1004a <=( a153a ) or ( a154a ); a1005a <=( a1004a ) or ( a1001a ); a1006a <=( a1005a ) or ( a998a ); a1007a <=( a1006a ) or ( a993a ); a1011a <=( a150a ) or ( a151a ); a1012a <=( a152a ) or ( a1011a ); a1015a <=( a148a ) or ( a149a ); a1018a <=( a146a ) or ( a147a ); a1019a <=( a1018a ) or ( a1015a ); a1020a <=( a1019a ) or ( a1012a ); a1024a <=( a143a ) or ( a144a ); a1025a <=( a145a ) or ( a1024a ); a1028a <=( a141a ) or ( a142a ); a1031a <=( a139a ) or ( a140a ); a1032a <=( a1031a ) or ( a1028a ); a1033a <=( a1032a ) or ( a1025a ); a1034a <=( a1033a ) or ( a1020a ); a1035a <=( a1034a ) or ( a1007a ); a1039a <=( a136a ) or ( a137a ); a1040a <=( a138a ) or ( a1039a ); a1043a <=( a134a ) or ( a135a ); a1046a <=( a132a ) or ( a133a ); a1047a <=( a1046a ) or ( a1043a ); a1048a <=( a1047a ) or ( a1040a ); a1052a <=( a129a ) or ( a130a ); a1053a <=( a131a ) or ( a1052a ); a1056a <=( a127a ) or ( a128a ); a1059a <=( a125a ) or ( a126a ); a1060a <=( a1059a ) or ( a1056a ); a1061a <=( a1060a ) or ( a1053a ); a1062a <=( a1061a ) or ( a1048a ); a1066a <=( a122a ) or ( a123a ); a1067a <=( a124a ) or ( a1066a ); a1070a <=( a120a ) or ( a121a ); a1073a <=( a118a ) or ( a119a ); a1074a <=( a1073a ) or ( a1070a ); a1075a <=( a1074a ) or ( a1067a ); a1079a <=( a115a ) or ( a116a ); a1080a <=( a117a ) or ( a1079a ); a1083a <=( a113a ) or ( a114a ); a1086a <=( a111a ) or ( a112a ); a1087a <=( a1086a ) or ( a1083a ); a1088a <=( a1087a ) or ( a1080a ); a1089a <=( a1088a ) or ( a1075a ); a1090a <=( a1089a ) or ( a1062a ); a1091a <=( a1090a ) or ( a1035a ); a1092a <=( a1091a ) or ( a982a ); a1096a <=( a108a ) or ( a109a ); a1097a <=( a110a ) or ( a1096a ); a1101a <=( a105a ) or ( a106a ); a1102a <=( a107a ) or ( a1101a ); a1103a <=( a1102a ) or ( a1097a ); a1107a <=( a102a ) or ( a103a ); a1108a <=( a104a ) or ( a1107a ); a1111a <=( a100a ) or ( a101a ); a1114a <=( a98a ) or ( a99a ); a1115a <=( a1114a ) or ( a1111a ); a1116a <=( a1115a ) or ( a1108a ); a1117a <=( a1116a ) or ( a1103a ); a1121a <=( a95a ) or ( a96a ); a1122a <=( a97a ) or ( a1121a ); a1125a <=( a93a ) or ( a94a ); a1128a <=( a91a ) or ( a92a ); a1129a <=( a1128a ) or ( a1125a ); a1130a <=( a1129a ) or ( a1122a ); a1134a <=( a88a ) or ( a89a ); a1135a <=( a90a ) or ( a1134a ); a1138a <=( a86a ) or ( a87a ); a1141a <=( a84a ) or ( a85a ); a1142a <=( a1141a ) or ( a1138a ); a1143a <=( a1142a ) or ( a1135a ); a1144a <=( a1143a ) or ( a1130a ); a1145a <=( a1144a ) or ( a1117a ); a1149a <=( a81a ) or ( a82a ); a1150a <=( a83a ) or ( a1149a ); a1153a <=( a79a ) or ( a80a ); a1156a <=( a77a ) or ( a78a ); a1157a <=( a1156a ) or ( a1153a ); a1158a <=( a1157a ) or ( a1150a ); a1162a <=( a74a ) or ( a75a ); a1163a <=( a76a ) or ( a1162a ); a1166a <=( a72a ) or ( a73a ); a1169a <=( a70a ) or ( a71a ); a1170a <=( a1169a ) or ( a1166a ); a1171a <=( a1170a ) or ( a1163a ); a1172a <=( a1171a ) or ( a1158a ); a1176a <=( a67a ) or ( a68a ); a1177a <=( a69a ) or ( a1176a ); a1180a <=( a65a ) or ( a66a ); a1183a <=( a63a ) or ( a64a ); a1184a <=( a1183a ) or ( a1180a ); a1185a <=( a1184a ) or ( a1177a ); a1189a <=( a60a ) or ( a61a ); a1190a <=( a62a ) or ( a1189a ); a1193a <=( a58a ) or ( a59a ); a1196a <=( a56a ) or ( a57a ); a1197a <=( a1196a ) or ( a1193a ); a1198a <=( a1197a ) or ( a1190a ); a1199a <=( a1198a ) or ( a1185a ); a1200a <=( a1199a ) or ( a1172a ); a1201a <=( a1200a ) or ( a1145a ); a1205a <=( a53a ) or ( a54a ); a1206a <=( a55a ) or ( a1205a ); a1210a <=( a50a ) or ( a51a ); a1211a <=( a52a ) or ( a1210a ); a1212a <=( a1211a ) or ( a1206a ); a1216a <=( a47a ) or ( a48a ); a1217a <=( a49a ) or ( a1216a ); a1220a <=( a45a ) or ( a46a ); a1223a <=( a43a ) or ( a44a ); a1224a <=( a1223a ) or ( a1220a ); a1225a <=( a1224a ) or ( a1217a ); a1226a <=( a1225a ) or ( a1212a ); a1230a <=( a40a ) or ( a41a ); a1231a <=( a42a ) or ( a1230a ); a1234a <=( a38a ) or ( a39a ); a1237a <=( a36a ) or ( a37a ); a1238a <=( a1237a ) or ( a1234a ); a1239a <=( a1238a ) or ( a1231a ); a1243a <=( a33a ) or ( a34a ); a1244a <=( a35a ) or ( a1243a ); a1247a <=( a31a ) or ( a32a ); a1250a <=( a29a ) or ( a30a ); a1251a <=( a1250a ) or ( a1247a ); a1252a <=( a1251a ) or ( a1244a ); a1253a <=( a1252a ) or ( a1239a ); a1254a <=( a1253a ) or ( a1226a ); a1258a <=( a26a ) or ( a27a ); a1259a <=( a28a ) or ( a1258a ); a1262a <=( a24a ) or ( a25a ); a1265a <=( a22a ) or ( a23a ); a1266a <=( a1265a ) or ( a1262a ); a1267a <=( a1266a ) or ( a1259a ); a1271a <=( a19a ) or ( a20a ); a1272a <=( a21a ) or ( a1271a ); a1275a <=( a17a ) or ( a18a ); a1278a <=( a15a ) or ( a16a ); a1279a <=( a1278a ) or ( a1275a ); a1280a <=( a1279a ) or ( a1272a ); a1281a <=( a1280a ) or ( a1267a ); a1285a <=( a12a ) or ( a13a ); a1286a <=( a14a ) or ( a1285a ); a1289a <=( a10a ) or ( a11a ); a1292a <=( a8a ) or ( a9a ); a1293a <=( a1292a ) or ( a1289a ); a1294a <=( a1293a ) or ( a1286a ); a1298a <=( a5a ) or ( a6a ); a1299a <=( a7a ) or ( a1298a ); a1302a <=( a3a ) or ( a4a ); a1305a <=( a1a ) or ( a2a ); a1306a <=( a1305a ) or ( a1302a ); a1307a <=( a1306a ) or ( a1299a ); a1308a <=( a1307a ) or ( a1294a ); a1309a <=( a1308a ) or ( a1281a ); a1310a <=( a1309a ) or ( a1254a ); a1311a <=( a1310a ) or ( a1201a ); a1312a <=( a1311a ) or ( a1092a ); a1315a <=( A266 and (not A265) ); a1318a <=( A268 and A267 ); a1321a <=( A266 and (not A265) ); a1324a <=( A269 and A267 ); a1327a <=( (not A266) and A265 ); a1330a <=( A268 and A267 ); a1333a <=( (not A266) and A265 ); a1336a <=( A269 and A267 ); a1339a <=( A266 and (not A265) ); a1343a <=( (not A269) and (not A268) ); a1344a <=( (not A267) and a1343a ); a1347a <=( (not A266) and A265 ); a1351a <=( (not A269) and (not A268) ); a1352a <=( (not A267) and a1351a ); a1355a <=( A200 and (not A199) ); a1358a <=( A202 and A201 ); a1359a <=( a1358a and a1355a ); a1362a <=( A233 and (not A232) ); a1365a <=( A235 and A234 ); a1366a <=( a1365a and a1362a ); a1369a <=( A200 and (not A199) ); a1372a <=( A202 and A201 ); a1373a <=( a1372a and a1369a ); a1376a <=( A233 and (not A232) ); a1379a <=( A236 and A234 ); a1380a <=( a1379a and a1376a ); a1383a <=( A200 and (not A199) ); a1386a <=( A202 and A201 ); a1387a <=( a1386a and a1383a ); a1390a <=( (not A233) and A232 ); a1393a <=( A235 and A234 ); a1394a <=( a1393a and a1390a ); a1397a <=( A200 and (not A199) ); a1400a <=( A202 and A201 ); a1401a <=( a1400a and a1397a ); a1404a <=( (not A233) and A232 ); a1407a <=( A236 and A234 ); a1408a <=( a1407a and a1404a ); a1411a <=( A200 and (not A199) ); a1414a <=( A203 and A201 ); a1415a <=( a1414a and a1411a ); a1418a <=( A233 and (not A232) ); a1421a <=( A235 and A234 ); a1422a <=( a1421a and a1418a ); a1425a <=( A200 and (not A199) ); a1428a <=( A203 and A201 ); a1429a <=( a1428a and a1425a ); a1432a <=( A233 and (not A232) ); a1435a <=( A236 and A234 ); a1436a <=( a1435a and a1432a ); a1439a <=( A200 and (not A199) ); a1442a <=( A203 and A201 ); a1443a <=( a1442a and a1439a ); a1446a <=( (not A233) and A232 ); a1449a <=( A235 and A234 ); a1450a <=( a1449a and a1446a ); a1453a <=( A200 and (not A199) ); a1456a <=( A203 and A201 ); a1457a <=( a1456a and a1453a ); a1460a <=( (not A233) and A232 ); a1463a <=( A236 and A234 ); a1464a <=( a1463a and a1460a ); a1467a <=( (not A200) and A199 ); a1470a <=( A202 and A201 ); a1471a <=( a1470a and a1467a ); a1474a <=( A233 and (not A232) ); a1477a <=( A235 and A234 ); a1478a <=( a1477a and a1474a ); a1481a <=( (not A200) and A199 ); a1484a <=( A202 and A201 ); a1485a <=( a1484a and a1481a ); a1488a <=( A233 and (not A232) ); a1491a <=( A236 and A234 ); a1492a <=( a1491a and a1488a ); a1495a <=( (not A200) and A199 ); a1498a <=( A202 and A201 ); a1499a <=( a1498a and a1495a ); a1502a <=( (not A233) and A232 ); a1505a <=( A235 and A234 ); a1506a <=( a1505a and a1502a ); a1509a <=( (not A200) and A199 ); a1512a <=( A202 and A201 ); a1513a <=( a1512a and a1509a ); a1516a <=( (not A233) and A232 ); a1519a <=( A236 and A234 ); a1520a <=( a1519a and a1516a ); a1523a <=( (not A200) and A199 ); a1526a <=( A203 and A201 ); a1527a <=( a1526a and a1523a ); a1530a <=( A233 and (not A232) ); a1533a <=( A235 and A234 ); a1534a <=( a1533a and a1530a ); a1537a <=( (not A200) and A199 ); a1540a <=( A203 and A201 ); a1541a <=( a1540a and a1537a ); a1544a <=( A233 and (not A232) ); a1547a <=( A236 and A234 ); a1548a <=( a1547a and a1544a ); a1551a <=( (not A200) and A199 ); a1554a <=( A203 and A201 ); a1555a <=( a1554a and a1551a ); a1558a <=( (not A233) and A232 ); a1561a <=( A235 and A234 ); a1562a <=( a1561a and a1558a ); a1565a <=( (not A200) and A199 ); a1568a <=( A203 and A201 ); a1569a <=( a1568a and a1565a ); a1572a <=( (not A233) and A232 ); a1575a <=( A236 and A234 ); a1576a <=( a1575a and a1572a ); a1579a <=( A168 and (not A170) ); a1582a <=( (not A166) and A167 ); a1583a <=( a1582a and a1579a ); a1586a <=( A233 and (not A232) ); a1589a <=( A235 and A234 ); a1590a <=( a1589a and a1586a ); a1593a <=( A168 and (not A170) ); a1596a <=( (not A166) and A167 ); a1597a <=( a1596a and a1593a ); a1600a <=( A233 and (not A232) ); a1603a <=( A236 and A234 ); a1604a <=( a1603a and a1600a ); a1607a <=( A168 and (not A170) ); a1610a <=( (not A166) and A167 ); a1611a <=( a1610a and a1607a ); a1614a <=( (not A233) and A232 ); a1617a <=( A235 and A234 ); a1618a <=( a1617a and a1614a ); a1621a <=( A168 and (not A170) ); a1624a <=( (not A166) and A167 ); a1625a <=( a1624a and a1621a ); a1628a <=( (not A233) and A232 ); a1631a <=( A236 and A234 ); a1632a <=( a1631a and a1628a ); a1635a <=( A168 and (not A170) ); a1638a <=( A166 and (not A167) ); a1639a <=( a1638a and a1635a ); a1642a <=( A233 and (not A232) ); a1645a <=( A235 and A234 ); a1646a <=( a1645a and a1642a ); a1649a <=( A168 and (not A170) ); a1652a <=( A166 and (not A167) ); a1653a <=( a1652a and a1649a ); a1656a <=( A233 and (not A232) ); a1659a <=( A236 and A234 ); a1660a <=( a1659a and a1656a ); a1663a <=( A168 and (not A170) ); a1666a <=( A166 and (not A167) ); a1667a <=( a1666a and a1663a ); a1670a <=( (not A233) and A232 ); a1673a <=( A235 and A234 ); a1674a <=( a1673a and a1670a ); a1677a <=( A168 and (not A170) ); a1680a <=( A166 and (not A167) ); a1681a <=( a1680a and a1677a ); a1684a <=( (not A233) and A232 ); a1687a <=( A236 and A234 ); a1688a <=( a1687a and a1684a ); a1691a <=( A168 and A169 ); a1694a <=( (not A166) and A167 ); a1695a <=( a1694a and a1691a ); a1698a <=( A233 and (not A232) ); a1701a <=( A235 and A234 ); a1702a <=( a1701a and a1698a ); a1705a <=( A168 and A169 ); a1708a <=( (not A166) and A167 ); a1709a <=( a1708a and a1705a ); a1712a <=( A233 and (not A232) ); a1715a <=( A236 and A234 ); a1716a <=( a1715a and a1712a ); a1719a <=( A168 and A169 ); a1722a <=( (not A166) and A167 ); a1723a <=( a1722a and a1719a ); a1726a <=( (not A233) and A232 ); a1729a <=( A235 and A234 ); a1730a <=( a1729a and a1726a ); a1733a <=( A168 and A169 ); a1736a <=( (not A166) and A167 ); a1737a <=( a1736a and a1733a ); a1740a <=( (not A233) and A232 ); a1743a <=( A236 and A234 ); a1744a <=( a1743a and a1740a ); a1747a <=( A168 and A169 ); a1750a <=( A166 and (not A167) ); a1751a <=( a1750a and a1747a ); a1754a <=( A233 and (not A232) ); a1757a <=( A235 and A234 ); a1758a <=( a1757a and a1754a ); a1761a <=( A168 and A169 ); a1764a <=( A166 and (not A167) ); a1765a <=( a1764a and a1761a ); a1768a <=( A233 and (not A232) ); a1771a <=( A236 and A234 ); a1772a <=( a1771a and a1768a ); a1775a <=( A168 and A169 ); a1778a <=( A166 and (not A167) ); a1779a <=( a1778a and a1775a ); a1782a <=( (not A233) and A232 ); a1785a <=( A235 and A234 ); a1786a <=( a1785a and a1782a ); a1789a <=( A168 and A169 ); a1792a <=( A166 and (not A167) ); a1793a <=( a1792a and a1789a ); a1796a <=( (not A233) and A232 ); a1799a <=( A236 and A234 ); a1800a <=( a1799a and a1796a ); a1803a <=( A200 and (not A199) ); a1806a <=( A202 and A201 ); a1807a <=( a1806a and a1803a ); a1810a <=( A233 and (not A232) ); a1814a <=( (not A236) and (not A235) ); a1815a <=( (not A234) and a1814a ); a1816a <=( a1815a and a1810a ); a1819a <=( A200 and (not A199) ); a1822a <=( A202 and A201 ); a1823a <=( a1822a and a1819a ); a1826a <=( (not A233) and A232 ); a1830a <=( (not A236) and (not A235) ); a1831a <=( (not A234) and a1830a ); a1832a <=( a1831a and a1826a ); a1835a <=( A200 and (not A199) ); a1838a <=( A203 and A201 ); a1839a <=( a1838a and a1835a ); a1842a <=( A233 and (not A232) ); a1846a <=( (not A236) and (not A235) ); a1847a <=( (not A234) and a1846a ); a1848a <=( a1847a and a1842a ); a1851a <=( A200 and (not A199) ); a1854a <=( A203 and A201 ); a1855a <=( a1854a and a1851a ); a1858a <=( (not A233) and A232 ); a1862a <=( (not A236) and (not A235) ); a1863a <=( (not A234) and a1862a ); a1864a <=( a1863a and a1858a ); a1867a <=( A200 and (not A199) ); a1870a <=( (not A202) and (not A201) ); a1871a <=( a1870a and a1867a ); a1874a <=( (not A232) and (not A203) ); a1878a <=( A235 and A234 ); a1879a <=( A233 and a1878a ); a1880a <=( a1879a and a1874a ); a1883a <=( A200 and (not A199) ); a1886a <=( (not A202) and (not A201) ); a1887a <=( a1886a and a1883a ); a1890a <=( (not A232) and (not A203) ); a1894a <=( A236 and A234 ); a1895a <=( A233 and a1894a ); a1896a <=( a1895a and a1890a ); a1899a <=( A200 and (not A199) ); a1902a <=( (not A202) and (not A201) ); a1903a <=( a1902a and a1899a ); a1906a <=( A232 and (not A203) ); a1910a <=( A235 and A234 ); a1911a <=( (not A233) and a1910a ); a1912a <=( a1911a and a1906a ); a1915a <=( A200 and (not A199) ); a1918a <=( (not A202) and (not A201) ); a1919a <=( a1918a and a1915a ); a1922a <=( A232 and (not A203) ); a1926a <=( A236 and A234 ); a1927a <=( (not A233) and a1926a ); a1928a <=( a1927a and a1922a ); a1931a <=( (not A200) and A199 ); a1934a <=( A202 and A201 ); a1935a <=( a1934a and a1931a ); a1938a <=( A233 and (not A232) ); a1942a <=( (not A236) and (not A235) ); a1943a <=( (not A234) and a1942a ); a1944a <=( a1943a and a1938a ); a1947a <=( (not A200) and A199 ); a1950a <=( A202 and A201 ); a1951a <=( a1950a and a1947a ); a1954a <=( (not A233) and A232 ); a1958a <=( (not A236) and (not A235) ); a1959a <=( (not A234) and a1958a ); a1960a <=( a1959a and a1954a ); a1963a <=( (not A200) and A199 ); a1966a <=( A203 and A201 ); a1967a <=( a1966a and a1963a ); a1970a <=( A233 and (not A232) ); a1974a <=( (not A236) and (not A235) ); a1975a <=( (not A234) and a1974a ); a1976a <=( a1975a and a1970a ); a1979a <=( (not A200) and A199 ); a1982a <=( A203 and A201 ); a1983a <=( a1982a and a1979a ); a1986a <=( (not A233) and A232 ); a1990a <=( (not A236) and (not A235) ); a1991a <=( (not A234) and a1990a ); a1992a <=( a1991a and a1986a ); a1995a <=( (not A200) and A199 ); a1998a <=( (not A202) and (not A201) ); a1999a <=( a1998a and a1995a ); a2002a <=( (not A232) and (not A203) ); a2006a <=( A235 and A234 ); a2007a <=( A233 and a2006a ); a2008a <=( a2007a and a2002a ); a2011a <=( (not A200) and A199 ); a2014a <=( (not A202) and (not A201) ); a2015a <=( a2014a and a2011a ); a2018a <=( (not A232) and (not A203) ); a2022a <=( A236 and A234 ); a2023a <=( A233 and a2022a ); a2024a <=( a2023a and a2018a ); a2027a <=( (not A200) and A199 ); a2030a <=( (not A202) and (not A201) ); a2031a <=( a2030a and a2027a ); a2034a <=( A232 and (not A203) ); a2038a <=( A235 and A234 ); a2039a <=( (not A233) and a2038a ); a2040a <=( a2039a and a2034a ); a2043a <=( (not A200) and A199 ); a2046a <=( (not A202) and (not A201) ); a2047a <=( a2046a and a2043a ); a2050a <=( A232 and (not A203) ); a2054a <=( A236 and A234 ); a2055a <=( (not A233) and a2054a ); a2056a <=( a2055a and a2050a ); a2059a <=( A168 and (not A170) ); a2062a <=( (not A166) and A167 ); a2063a <=( a2062a and a2059a ); a2066a <=( A233 and (not A232) ); a2070a <=( (not A236) and (not A235) ); a2071a <=( (not A234) and a2070a ); a2072a <=( a2071a and a2066a ); a2075a <=( A168 and (not A170) ); a2078a <=( (not A166) and A167 ); a2079a <=( a2078a and a2075a ); a2082a <=( (not A233) and A232 ); a2086a <=( (not A236) and (not A235) ); a2087a <=( (not A234) and a2086a ); a2088a <=( a2087a and a2082a ); a2091a <=( A168 and (not A170) ); a2094a <=( A166 and (not A167) ); a2095a <=( a2094a and a2091a ); a2098a <=( A233 and (not A232) ); a2102a <=( (not A236) and (not A235) ); a2103a <=( (not A234) and a2102a ); a2104a <=( a2103a and a2098a ); a2107a <=( A168 and (not A170) ); a2110a <=( A166 and (not A167) ); a2111a <=( a2110a and a2107a ); a2114a <=( (not A233) and A232 ); a2118a <=( (not A236) and (not A235) ); a2119a <=( (not A234) and a2118a ); a2120a <=( a2119a and a2114a ); a2123a <=( A168 and A169 ); a2126a <=( (not A166) and A167 ); a2127a <=( a2126a and a2123a ); a2130a <=( A233 and (not A232) ); a2134a <=( (not A236) and (not A235) ); a2135a <=( (not A234) and a2134a ); a2136a <=( a2135a and a2130a ); a2139a <=( A168 and A169 ); a2142a <=( (not A166) and A167 ); a2143a <=( a2142a and a2139a ); a2146a <=( (not A233) and A232 ); a2150a <=( (not A236) and (not A235) ); a2151a <=( (not A234) and a2150a ); a2152a <=( a2151a and a2146a ); a2155a <=( A168 and A169 ); a2158a <=( A166 and (not A167) ); a2159a <=( a2158a and a2155a ); a2162a <=( A233 and (not A232) ); a2166a <=( (not A236) and (not A235) ); a2167a <=( (not A234) and a2166a ); a2168a <=( a2167a and a2162a ); a2171a <=( A168 and A169 ); a2174a <=( A166 and (not A167) ); a2175a <=( a2174a and a2171a ); a2178a <=( (not A233) and A232 ); a2182a <=( (not A236) and (not A235) ); a2183a <=( (not A234) and a2182a ); a2184a <=( a2183a and a2178a ); a2187a <=( (not A169) and A170 ); a2190a <=( A167 and (not A168) ); a2191a <=( a2190a and a2187a ); a2194a <=( (not A232) and (not A166) ); a2198a <=( A235 and A234 ); a2199a <=( A233 and a2198a ); a2200a <=( a2199a and a2194a ); a2203a <=( (not A169) and A170 ); a2206a <=( A167 and (not A168) ); a2207a <=( a2206a and a2203a ); a2210a <=( (not A232) and (not A166) ); a2214a <=( A236 and A234 ); a2215a <=( A233 and a2214a ); a2216a <=( a2215a and a2210a ); a2219a <=( (not A169) and A170 ); a2222a <=( A167 and (not A168) ); a2223a <=( a2222a and a2219a ); a2226a <=( A232 and (not A166) ); a2230a <=( A235 and A234 ); a2231a <=( (not A233) and a2230a ); a2232a <=( a2231a and a2226a ); a2235a <=( (not A169) and A170 ); a2238a <=( A167 and (not A168) ); a2239a <=( a2238a and a2235a ); a2242a <=( A232 and (not A166) ); a2246a <=( A236 and A234 ); a2247a <=( (not A233) and a2246a ); a2248a <=( a2247a and a2242a ); a2251a <=( (not A169) and A170 ); a2254a <=( (not A167) and (not A168) ); a2255a <=( a2254a and a2251a ); a2258a <=( (not A232) and A166 ); a2262a <=( A235 and A234 ); a2263a <=( A233 and a2262a ); a2264a <=( a2263a and a2258a ); a2267a <=( (not A169) and A170 ); a2270a <=( (not A167) and (not A168) ); a2271a <=( a2270a and a2267a ); a2274a <=( (not A232) and A166 ); a2278a <=( A236 and A234 ); a2279a <=( A233 and a2278a ); a2280a <=( a2279a and a2274a ); a2283a <=( (not A169) and A170 ); a2286a <=( (not A167) and (not A168) ); a2287a <=( a2286a and a2283a ); a2290a <=( A232 and A166 ); a2294a <=( A235 and A234 ); a2295a <=( (not A233) and a2294a ); a2296a <=( a2295a and a2290a ); a2299a <=( (not A169) and A170 ); a2302a <=( (not A167) and (not A168) ); a2303a <=( a2302a and a2299a ); a2306a <=( A232 and A166 ); a2310a <=( A236 and A234 ); a2311a <=( (not A233) and a2310a ); a2312a <=( a2311a and a2306a ); a2315a <=( A200 and (not A199) ); a2319a <=( (not A203) and (not A202) ); a2320a <=( (not A201) and a2319a ); a2321a <=( a2320a and a2315a ); a2324a <=( A233 and (not A232) ); a2328a <=( (not A236) and (not A235) ); a2329a <=( (not A234) and a2328a ); a2330a <=( a2329a and a2324a ); a2333a <=( A200 and (not A199) ); a2337a <=( (not A203) and (not A202) ); a2338a <=( (not A201) and a2337a ); a2339a <=( a2338a and a2333a ); a2342a <=( (not A233) and A232 ); a2346a <=( (not A236) and (not A235) ); a2347a <=( (not A234) and a2346a ); a2348a <=( a2347a and a2342a ); a2351a <=( (not A200) and A199 ); a2355a <=( (not A203) and (not A202) ); a2356a <=( (not A201) and a2355a ); a2357a <=( a2356a and a2351a ); a2360a <=( A233 and (not A232) ); a2364a <=( (not A236) and (not A235) ); a2365a <=( (not A234) and a2364a ); a2366a <=( a2365a and a2360a ); a2369a <=( (not A200) and A199 ); a2373a <=( (not A203) and (not A202) ); a2374a <=( (not A201) and a2373a ); a2375a <=( a2374a and a2369a ); a2378a <=( (not A233) and A232 ); a2382a <=( (not A236) and (not A235) ); a2383a <=( (not A234) and a2382a ); a2384a <=( a2383a and a2378a ); a2387a <=( A166 and A167 ); a2391a <=( A201 and A200 ); a2392a <=( (not A199) and a2391a ); a2393a <=( a2392a and a2387a ); a2396a <=( A298 and A202 ); a2400a <=( A301 and A300 ); a2401a <=( (not A299) and a2400a ); a2402a <=( a2401a and a2396a ); a2405a <=( A166 and A167 ); a2409a <=( A201 and A200 ); a2410a <=( (not A199) and a2409a ); a2411a <=( a2410a and a2405a ); a2414a <=( A298 and A202 ); a2418a <=( A302 and A300 ); a2419a <=( (not A299) and a2418a ); a2420a <=( a2419a and a2414a ); a2423a <=( A166 and A167 ); a2427a <=( A201 and A200 ); a2428a <=( (not A199) and a2427a ); a2429a <=( a2428a and a2423a ); a2432a <=( (not A298) and A202 ); a2436a <=( A301 and A300 ); a2437a <=( A299 and a2436a ); a2438a <=( a2437a and a2432a ); a2441a <=( A166 and A167 ); a2445a <=( A201 and A200 ); a2446a <=( (not A199) and a2445a ); a2447a <=( a2446a and a2441a ); a2450a <=( (not A298) and A202 ); a2454a <=( A302 and A300 ); a2455a <=( A299 and a2454a ); a2456a <=( a2455a and a2450a ); a2459a <=( A166 and A167 ); a2463a <=( A201 and A200 ); a2464a <=( (not A199) and a2463a ); a2465a <=( a2464a and a2459a ); a2468a <=( A298 and A203 ); a2472a <=( A301 and A300 ); a2473a <=( (not A299) and a2472a ); a2474a <=( a2473a and a2468a ); a2477a <=( A166 and A167 ); a2481a <=( A201 and A200 ); a2482a <=( (not A199) and a2481a ); a2483a <=( a2482a and a2477a ); a2486a <=( A298 and A203 ); a2490a <=( A302 and A300 ); a2491a <=( (not A299) and a2490a ); a2492a <=( a2491a and a2486a ); a2495a <=( A166 and A167 ); a2499a <=( A201 and A200 ); a2500a <=( (not A199) and a2499a ); a2501a <=( a2500a and a2495a ); a2504a <=( (not A298) and A203 ); a2508a <=( A301 and A300 ); a2509a <=( A299 and a2508a ); a2510a <=( a2509a and a2504a ); a2513a <=( A166 and A167 ); a2517a <=( A201 and A200 ); a2518a <=( (not A199) and a2517a ); a2519a <=( a2518a and a2513a ); a2522a <=( (not A298) and A203 ); a2526a <=( A302 and A300 ); a2527a <=( A299 and a2526a ); a2528a <=( a2527a and a2522a ); a2531a <=( A166 and A167 ); a2535a <=( A201 and (not A200) ); a2536a <=( A199 and a2535a ); a2537a <=( a2536a and a2531a ); a2540a <=( A298 and A202 ); a2544a <=( A301 and A300 ); a2545a <=( (not A299) and a2544a ); a2546a <=( a2545a and a2540a ); a2549a <=( A166 and A167 ); a2553a <=( A201 and (not A200) ); a2554a <=( A199 and a2553a ); a2555a <=( a2554a and a2549a ); a2558a <=( A298 and A202 ); a2562a <=( A302 and A300 ); a2563a <=( (not A299) and a2562a ); a2564a <=( a2563a and a2558a ); a2567a <=( A166 and A167 ); a2571a <=( A201 and (not A200) ); a2572a <=( A199 and a2571a ); a2573a <=( a2572a and a2567a ); a2576a <=( (not A298) and A202 ); a2580a <=( A301 and A300 ); a2581a <=( A299 and a2580a ); a2582a <=( a2581a and a2576a ); a2585a <=( A166 and A167 ); a2589a <=( A201 and (not A200) ); a2590a <=( A199 and a2589a ); a2591a <=( a2590a and a2585a ); a2594a <=( (not A298) and A202 ); a2598a <=( A302 and A300 ); a2599a <=( A299 and a2598a ); a2600a <=( a2599a and a2594a ); a2603a <=( A166 and A167 ); a2607a <=( A201 and (not A200) ); a2608a <=( A199 and a2607a ); a2609a <=( a2608a and a2603a ); a2612a <=( A298 and A203 ); a2616a <=( A301 and A300 ); a2617a <=( (not A299) and a2616a ); a2618a <=( a2617a and a2612a ); a2621a <=( A166 and A167 ); a2625a <=( A201 and (not A200) ); a2626a <=( A199 and a2625a ); a2627a <=( a2626a and a2621a ); a2630a <=( A298 and A203 ); a2634a <=( A302 and A300 ); a2635a <=( (not A299) and a2634a ); a2636a <=( a2635a and a2630a ); a2639a <=( A166 and A167 ); a2643a <=( A201 and (not A200) ); a2644a <=( A199 and a2643a ); a2645a <=( a2644a and a2639a ); a2648a <=( (not A298) and A203 ); a2652a <=( A301 and A300 ); a2653a <=( A299 and a2652a ); a2654a <=( a2653a and a2648a ); a2657a <=( A166 and A167 ); a2661a <=( A201 and (not A200) ); a2662a <=( A199 and a2661a ); a2663a <=( a2662a and a2657a ); a2666a <=( (not A298) and A203 ); a2670a <=( A302 and A300 ); a2671a <=( A299 and a2670a ); a2672a <=( a2671a and a2666a ); a2675a <=( (not A166) and (not A167) ); a2679a <=( A201 and A200 ); a2680a <=( (not A199) and a2679a ); a2681a <=( a2680a and a2675a ); a2684a <=( A298 and A202 ); a2688a <=( A301 and A300 ); a2689a <=( (not A299) and a2688a ); a2690a <=( a2689a and a2684a ); a2693a <=( (not A166) and (not A167) ); a2697a <=( A201 and A200 ); a2698a <=( (not A199) and a2697a ); a2699a <=( a2698a and a2693a ); a2702a <=( A298 and A202 ); a2706a <=( A302 and A300 ); a2707a <=( (not A299) and a2706a ); a2708a <=( a2707a and a2702a ); a2711a <=( (not A166) and (not A167) ); a2715a <=( A201 and A200 ); a2716a <=( (not A199) and a2715a ); a2717a <=( a2716a and a2711a ); a2720a <=( (not A298) and A202 ); a2724a <=( A301 and A300 ); a2725a <=( A299 and a2724a ); a2726a <=( a2725a and a2720a ); a2729a <=( (not A166) and (not A167) ); a2733a <=( A201 and A200 ); a2734a <=( (not A199) and a2733a ); a2735a <=( a2734a and a2729a ); a2738a <=( (not A298) and A202 ); a2742a <=( A302 and A300 ); a2743a <=( A299 and a2742a ); a2744a <=( a2743a and a2738a ); a2747a <=( (not A166) and (not A167) ); a2751a <=( A201 and A200 ); a2752a <=( (not A199) and a2751a ); a2753a <=( a2752a and a2747a ); a2756a <=( A298 and A203 ); a2760a <=( A301 and A300 ); a2761a <=( (not A299) and a2760a ); a2762a <=( a2761a and a2756a ); a2765a <=( (not A166) and (not A167) ); a2769a <=( A201 and A200 ); a2770a <=( (not A199) and a2769a ); a2771a <=( a2770a and a2765a ); a2774a <=( A298 and A203 ); a2778a <=( A302 and A300 ); a2779a <=( (not A299) and a2778a ); a2780a <=( a2779a and a2774a ); a2783a <=( (not A166) and (not A167) ); a2787a <=( A201 and A200 ); a2788a <=( (not A199) and a2787a ); a2789a <=( a2788a and a2783a ); a2792a <=( (not A298) and A203 ); a2796a <=( A301 and A300 ); a2797a <=( A299 and a2796a ); a2798a <=( a2797a and a2792a ); a2801a <=( (not A166) and (not A167) ); a2805a <=( A201 and A200 ); a2806a <=( (not A199) and a2805a ); a2807a <=( a2806a and a2801a ); a2810a <=( (not A298) and A203 ); a2814a <=( A302 and A300 ); a2815a <=( A299 and a2814a ); a2816a <=( a2815a and a2810a ); a2819a <=( (not A166) and (not A167) ); a2823a <=( A201 and (not A200) ); a2824a <=( A199 and a2823a ); a2825a <=( a2824a and a2819a ); a2828a <=( A298 and A202 ); a2832a <=( A301 and A300 ); a2833a <=( (not A299) and a2832a ); a2834a <=( a2833a and a2828a ); a2837a <=( (not A166) and (not A167) ); a2841a <=( A201 and (not A200) ); a2842a <=( A199 and a2841a ); a2843a <=( a2842a and a2837a ); a2846a <=( A298 and A202 ); a2850a <=( A302 and A300 ); a2851a <=( (not A299) and a2850a ); a2852a <=( a2851a and a2846a ); a2855a <=( (not A166) and (not A167) ); a2859a <=( A201 and (not A200) ); a2860a <=( A199 and a2859a ); a2861a <=( a2860a and a2855a ); a2864a <=( (not A298) and A202 ); a2868a <=( A301 and A300 ); a2869a <=( A299 and a2868a ); a2870a <=( a2869a and a2864a ); a2873a <=( (not A166) and (not A167) ); a2877a <=( A201 and (not A200) ); a2878a <=( A199 and a2877a ); a2879a <=( a2878a and a2873a ); a2882a <=( (not A298) and A202 ); a2886a <=( A302 and A300 ); a2887a <=( A299 and a2886a ); a2888a <=( a2887a and a2882a ); a2891a <=( (not A166) and (not A167) ); a2895a <=( A201 and (not A200) ); a2896a <=( A199 and a2895a ); a2897a <=( a2896a and a2891a ); a2900a <=( A298 and A203 ); a2904a <=( A301 and A300 ); a2905a <=( (not A299) and a2904a ); a2906a <=( a2905a and a2900a ); a2909a <=( (not A166) and (not A167) ); a2913a <=( A201 and (not A200) ); a2914a <=( A199 and a2913a ); a2915a <=( a2914a and a2909a ); a2918a <=( A298 and A203 ); a2922a <=( A302 and A300 ); a2923a <=( (not A299) and a2922a ); a2924a <=( a2923a and a2918a ); a2927a <=( (not A166) and (not A167) ); a2931a <=( A201 and (not A200) ); a2932a <=( A199 and a2931a ); a2933a <=( a2932a and a2927a ); a2936a <=( (not A298) and A203 ); a2940a <=( A301 and A300 ); a2941a <=( A299 and a2940a ); a2942a <=( a2941a and a2936a ); a2945a <=( (not A166) and (not A167) ); a2949a <=( A201 and (not A200) ); a2950a <=( A199 and a2949a ); a2951a <=( a2950a and a2945a ); a2954a <=( (not A298) and A203 ); a2958a <=( A302 and A300 ); a2959a <=( A299 and a2958a ); a2960a <=( a2959a and a2954a ); a2963a <=( A168 and (not A170) ); a2967a <=( (not A201) and (not A166) ); a2968a <=( A167 and a2967a ); a2969a <=( a2968a and a2963a ); a2972a <=( A298 and A202 ); a2976a <=( A301 and A300 ); a2977a <=( (not A299) and a2976a ); a2978a <=( a2977a and a2972a ); a2981a <=( A168 and (not A170) ); a2985a <=( (not A201) and (not A166) ); a2986a <=( A167 and a2985a ); a2987a <=( a2986a and a2981a ); a2990a <=( A298 and A202 ); a2994a <=( A302 and A300 ); a2995a <=( (not A299) and a2994a ); a2996a <=( a2995a and a2990a ); a2999a <=( A168 and (not A170) ); a3003a <=( (not A201) and (not A166) ); a3004a <=( A167 and a3003a ); a3005a <=( a3004a and a2999a ); a3008a <=( (not A298) and A202 ); a3012a <=( A301 and A300 ); a3013a <=( A299 and a3012a ); a3014a <=( a3013a and a3008a ); a3017a <=( A168 and (not A170) ); a3021a <=( (not A201) and (not A166) ); a3022a <=( A167 and a3021a ); a3023a <=( a3022a and a3017a ); a3026a <=( (not A298) and A202 ); a3030a <=( A302 and A300 ); a3031a <=( A299 and a3030a ); a3032a <=( a3031a and a3026a ); a3035a <=( A168 and (not A170) ); a3039a <=( (not A201) and (not A166) ); a3040a <=( A167 and a3039a ); a3041a <=( a3040a and a3035a ); a3044a <=( A298 and A203 ); a3048a <=( A301 and A300 ); a3049a <=( (not A299) and a3048a ); a3050a <=( a3049a and a3044a ); a3053a <=( A168 and (not A170) ); a3057a <=( (not A201) and (not A166) ); a3058a <=( A167 and a3057a ); a3059a <=( a3058a and a3053a ); a3062a <=( A298 and A203 ); a3066a <=( A302 and A300 ); a3067a <=( (not A299) and a3066a ); a3068a <=( a3067a and a3062a ); a3071a <=( A168 and (not A170) ); a3075a <=( (not A201) and (not A166) ); a3076a <=( A167 and a3075a ); a3077a <=( a3076a and a3071a ); a3080a <=( (not A298) and A203 ); a3084a <=( A301 and A300 ); a3085a <=( A299 and a3084a ); a3086a <=( a3085a and a3080a ); a3089a <=( A168 and (not A170) ); a3093a <=( (not A201) and (not A166) ); a3094a <=( A167 and a3093a ); a3095a <=( a3094a and a3089a ); a3098a <=( (not A298) and A203 ); a3102a <=( A302 and A300 ); a3103a <=( A299 and a3102a ); a3104a <=( a3103a and a3098a ); a3107a <=( A168 and (not A170) ); a3111a <=( A199 and (not A166) ); a3112a <=( A167 and a3111a ); a3113a <=( a3112a and a3107a ); a3116a <=( A298 and A200 ); a3120a <=( A301 and A300 ); a3121a <=( (not A299) and a3120a ); a3122a <=( a3121a and a3116a ); a3125a <=( A168 and (not A170) ); a3129a <=( A199 and (not A166) ); a3130a <=( A167 and a3129a ); a3131a <=( a3130a and a3125a ); a3134a <=( A298 and A200 ); a3138a <=( A302 and A300 ); a3139a <=( (not A299) and a3138a ); a3140a <=( a3139a and a3134a ); a3143a <=( A168 and (not A170) ); a3147a <=( A199 and (not A166) ); a3148a <=( A167 and a3147a ); a3149a <=( a3148a and a3143a ); a3152a <=( (not A298) and A200 ); a3156a <=( A301 and A300 ); a3157a <=( A299 and a3156a ); a3158a <=( a3157a and a3152a ); a3161a <=( A168 and (not A170) ); a3165a <=( A199 and (not A166) ); a3166a <=( A167 and a3165a ); a3167a <=( a3166a and a3161a ); a3170a <=( (not A298) and A200 ); a3174a <=( A302 and A300 ); a3175a <=( A299 and a3174a ); a3176a <=( a3175a and a3170a ); a3179a <=( A168 and (not A170) ); a3183a <=( (not A199) and (not A166) ); a3184a <=( A167 and a3183a ); a3185a <=( a3184a and a3179a ); a3188a <=( A298 and (not A200) ); a3192a <=( A301 and A300 ); a3193a <=( (not A299) and a3192a ); a3194a <=( a3193a and a3188a ); a3197a <=( A168 and (not A170) ); a3201a <=( (not A199) and (not A166) ); a3202a <=( A167 and a3201a ); a3203a <=( a3202a and a3197a ); a3206a <=( A298 and (not A200) ); a3210a <=( A302 and A300 ); a3211a <=( (not A299) and a3210a ); a3212a <=( a3211a and a3206a ); a3215a <=( A168 and (not A170) ); a3219a <=( (not A199) and (not A166) ); a3220a <=( A167 and a3219a ); a3221a <=( a3220a and a3215a ); a3224a <=( (not A298) and (not A200) ); a3228a <=( A301 and A300 ); a3229a <=( A299 and a3228a ); a3230a <=( a3229a and a3224a ); a3233a <=( A168 and (not A170) ); a3237a <=( (not A199) and (not A166) ); a3238a <=( A167 and a3237a ); a3239a <=( a3238a and a3233a ); a3242a <=( (not A298) and (not A200) ); a3246a <=( A302 and A300 ); a3247a <=( A299 and a3246a ); a3248a <=( a3247a and a3242a ); a3251a <=( A168 and (not A170) ); a3255a <=( (not A201) and A166 ); a3256a <=( (not A167) and a3255a ); a3257a <=( a3256a and a3251a ); a3260a <=( A298 and A202 ); a3264a <=( A301 and A300 ); a3265a <=( (not A299) and a3264a ); a3266a <=( a3265a and a3260a ); a3269a <=( A168 and (not A170) ); a3273a <=( (not A201) and A166 ); a3274a <=( (not A167) and a3273a ); a3275a <=( a3274a and a3269a ); a3278a <=( A298 and A202 ); a3282a <=( A302 and A300 ); a3283a <=( (not A299) and a3282a ); a3284a <=( a3283a and a3278a ); a3287a <=( A168 and (not A170) ); a3291a <=( (not A201) and A166 ); a3292a <=( (not A167) and a3291a ); a3293a <=( a3292a and a3287a ); a3296a <=( (not A298) and A202 ); a3300a <=( A301 and A300 ); a3301a <=( A299 and a3300a ); a3302a <=( a3301a and a3296a ); a3305a <=( A168 and (not A170) ); a3309a <=( (not A201) and A166 ); a3310a <=( (not A167) and a3309a ); a3311a <=( a3310a and a3305a ); a3314a <=( (not A298) and A202 ); a3318a <=( A302 and A300 ); a3319a <=( A299 and a3318a ); a3320a <=( a3319a and a3314a ); a3323a <=( A168 and (not A170) ); a3327a <=( (not A201) and A166 ); a3328a <=( (not A167) and a3327a ); a3329a <=( a3328a and a3323a ); a3332a <=( A298 and A203 ); a3336a <=( A301 and A300 ); a3337a <=( (not A299) and a3336a ); a3338a <=( a3337a and a3332a ); a3341a <=( A168 and (not A170) ); a3345a <=( (not A201) and A166 ); a3346a <=( (not A167) and a3345a ); a3347a <=( a3346a and a3341a ); a3350a <=( A298 and A203 ); a3354a <=( A302 and A300 ); a3355a <=( (not A299) and a3354a ); a3356a <=( a3355a and a3350a ); a3359a <=( A168 and (not A170) ); a3363a <=( (not A201) and A166 ); a3364a <=( (not A167) and a3363a ); a3365a <=( a3364a and a3359a ); a3368a <=( (not A298) and A203 ); a3372a <=( A301 and A300 ); a3373a <=( A299 and a3372a ); a3374a <=( a3373a and a3368a ); a3377a <=( A168 and (not A170) ); a3381a <=( (not A201) and A166 ); a3382a <=( (not A167) and a3381a ); a3383a <=( a3382a and a3377a ); a3386a <=( (not A298) and A203 ); a3390a <=( A302 and A300 ); a3391a <=( A299 and a3390a ); a3392a <=( a3391a and a3386a ); a3395a <=( A168 and (not A170) ); a3399a <=( A199 and A166 ); a3400a <=( (not A167) and a3399a ); a3401a <=( a3400a and a3395a ); a3404a <=( A298 and A200 ); a3408a <=( A301 and A300 ); a3409a <=( (not A299) and a3408a ); a3410a <=( a3409a and a3404a ); a3413a <=( A168 and (not A170) ); a3417a <=( A199 and A166 ); a3418a <=( (not A167) and a3417a ); a3419a <=( a3418a and a3413a ); a3422a <=( A298 and A200 ); a3426a <=( A302 and A300 ); a3427a <=( (not A299) and a3426a ); a3428a <=( a3427a and a3422a ); a3431a <=( A168 and (not A170) ); a3435a <=( A199 and A166 ); a3436a <=( (not A167) and a3435a ); a3437a <=( a3436a and a3431a ); a3440a <=( (not A298) and A200 ); a3444a <=( A301 and A300 ); a3445a <=( A299 and a3444a ); a3446a <=( a3445a and a3440a ); a3449a <=( A168 and (not A170) ); a3453a <=( A199 and A166 ); a3454a <=( (not A167) and a3453a ); a3455a <=( a3454a and a3449a ); a3458a <=( (not A298) and A200 ); a3462a <=( A302 and A300 ); a3463a <=( A299 and a3462a ); a3464a <=( a3463a and a3458a ); a3467a <=( A168 and (not A170) ); a3471a <=( (not A199) and A166 ); a3472a <=( (not A167) and a3471a ); a3473a <=( a3472a and a3467a ); a3476a <=( A298 and (not A200) ); a3480a <=( A301 and A300 ); a3481a <=( (not A299) and a3480a ); a3482a <=( a3481a and a3476a ); a3485a <=( A168 and (not A170) ); a3489a <=( (not A199) and A166 ); a3490a <=( (not A167) and a3489a ); a3491a <=( a3490a and a3485a ); a3494a <=( A298 and (not A200) ); a3498a <=( A302 and A300 ); a3499a <=( (not A299) and a3498a ); a3500a <=( a3499a and a3494a ); a3503a <=( A168 and (not A170) ); a3507a <=( (not A199) and A166 ); a3508a <=( (not A167) and a3507a ); a3509a <=( a3508a and a3503a ); a3512a <=( (not A298) and (not A200) ); a3516a <=( A301 and A300 ); a3517a <=( A299 and a3516a ); a3518a <=( a3517a and a3512a ); a3521a <=( A168 and (not A170) ); a3525a <=( (not A199) and A166 ); a3526a <=( (not A167) and a3525a ); a3527a <=( a3526a and a3521a ); a3530a <=( (not A298) and (not A200) ); a3534a <=( A302 and A300 ); a3535a <=( A299 and a3534a ); a3536a <=( a3535a and a3530a ); a3539a <=( (not A168) and (not A170) ); a3543a <=( A201 and A200 ); a3544a <=( (not A199) and a3543a ); a3545a <=( a3544a and a3539a ); a3548a <=( A298 and A202 ); a3552a <=( A301 and A300 ); a3553a <=( (not A299) and a3552a ); a3554a <=( a3553a and a3548a ); a3557a <=( (not A168) and (not A170) ); a3561a <=( A201 and A200 ); a3562a <=( (not A199) and a3561a ); a3563a <=( a3562a and a3557a ); a3566a <=( A298 and A202 ); a3570a <=( A302 and A300 ); a3571a <=( (not A299) and a3570a ); a3572a <=( a3571a and a3566a ); a3575a <=( (not A168) and (not A170) ); a3579a <=( A201 and A200 ); a3580a <=( (not A199) and a3579a ); a3581a <=( a3580a and a3575a ); a3584a <=( (not A298) and A202 ); a3588a <=( A301 and A300 ); a3589a <=( A299 and a3588a ); a3590a <=( a3589a and a3584a ); a3593a <=( (not A168) and (not A170) ); a3597a <=( A201 and A200 ); a3598a <=( (not A199) and a3597a ); a3599a <=( a3598a and a3593a ); a3602a <=( (not A298) and A202 ); a3606a <=( A302 and A300 ); a3607a <=( A299 and a3606a ); a3608a <=( a3607a and a3602a ); a3611a <=( (not A168) and (not A170) ); a3615a <=( A201 and A200 ); a3616a <=( (not A199) and a3615a ); a3617a <=( a3616a and a3611a ); a3620a <=( A298 and A203 ); a3624a <=( A301 and A300 ); a3625a <=( (not A299) and a3624a ); a3626a <=( a3625a and a3620a ); a3629a <=( (not A168) and (not A170) ); a3633a <=( A201 and A200 ); a3634a <=( (not A199) and a3633a ); a3635a <=( a3634a and a3629a ); a3638a <=( A298 and A203 ); a3642a <=( A302 and A300 ); a3643a <=( (not A299) and a3642a ); a3644a <=( a3643a and a3638a ); a3647a <=( (not A168) and (not A170) ); a3651a <=( A201 and A200 ); a3652a <=( (not A199) and a3651a ); a3653a <=( a3652a and a3647a ); a3656a <=( (not A298) and A203 ); a3660a <=( A301 and A300 ); a3661a <=( A299 and a3660a ); a3662a <=( a3661a and a3656a ); a3665a <=( (not A168) and (not A170) ); a3669a <=( A201 and A200 ); a3670a <=( (not A199) and a3669a ); a3671a <=( a3670a and a3665a ); a3674a <=( (not A298) and A203 ); a3678a <=( A302 and A300 ); a3679a <=( A299 and a3678a ); a3680a <=( a3679a and a3674a ); a3683a <=( (not A168) and (not A170) ); a3687a <=( A201 and (not A200) ); a3688a <=( A199 and a3687a ); a3689a <=( a3688a and a3683a ); a3692a <=( A298 and A202 ); a3696a <=( A301 and A300 ); a3697a <=( (not A299) and a3696a ); a3698a <=( a3697a and a3692a ); a3701a <=( (not A168) and (not A170) ); a3705a <=( A201 and (not A200) ); a3706a <=( A199 and a3705a ); a3707a <=( a3706a and a3701a ); a3710a <=( A298 and A202 ); a3714a <=( A302 and A300 ); a3715a <=( (not A299) and a3714a ); a3716a <=( a3715a and a3710a ); a3719a <=( (not A168) and (not A170) ); a3723a <=( A201 and (not A200) ); a3724a <=( A199 and a3723a ); a3725a <=( a3724a and a3719a ); a3728a <=( (not A298) and A202 ); a3732a <=( A301 and A300 ); a3733a <=( A299 and a3732a ); a3734a <=( a3733a and a3728a ); a3737a <=( (not A168) and (not A170) ); a3741a <=( A201 and (not A200) ); a3742a <=( A199 and a3741a ); a3743a <=( a3742a and a3737a ); a3746a <=( (not A298) and A202 ); a3750a <=( A302 and A300 ); a3751a <=( A299 and a3750a ); a3752a <=( a3751a and a3746a ); a3755a <=( (not A168) and (not A170) ); a3759a <=( A201 and (not A200) ); a3760a <=( A199 and a3759a ); a3761a <=( a3760a and a3755a ); a3764a <=( A298 and A203 ); a3768a <=( A301 and A300 ); a3769a <=( (not A299) and a3768a ); a3770a <=( a3769a and a3764a ); a3773a <=( (not A168) and (not A170) ); a3777a <=( A201 and (not A200) ); a3778a <=( A199 and a3777a ); a3779a <=( a3778a and a3773a ); a3782a <=( A298 and A203 ); a3786a <=( A302 and A300 ); a3787a <=( (not A299) and a3786a ); a3788a <=( a3787a and a3782a ); a3791a <=( (not A168) and (not A170) ); a3795a <=( A201 and (not A200) ); a3796a <=( A199 and a3795a ); a3797a <=( a3796a and a3791a ); a3800a <=( (not A298) and A203 ); a3804a <=( A301 and A300 ); a3805a <=( A299 and a3804a ); a3806a <=( a3805a and a3800a ); a3809a <=( (not A168) and (not A170) ); a3813a <=( A201 and (not A200) ); a3814a <=( A199 and a3813a ); a3815a <=( a3814a and a3809a ); a3818a <=( (not A298) and A203 ); a3822a <=( A302 and A300 ); a3823a <=( A299 and a3822a ); a3824a <=( a3823a and a3818a ); a3827a <=( A168 and A169 ); a3831a <=( (not A201) and (not A166) ); a3832a <=( A167 and a3831a ); a3833a <=( a3832a and a3827a ); a3836a <=( A298 and A202 ); a3840a <=( A301 and A300 ); a3841a <=( (not A299) and a3840a ); a3842a <=( a3841a and a3836a ); a3845a <=( A168 and A169 ); a3849a <=( (not A201) and (not A166) ); a3850a <=( A167 and a3849a ); a3851a <=( a3850a and a3845a ); a3854a <=( A298 and A202 ); a3858a <=( A302 and A300 ); a3859a <=( (not A299) and a3858a ); a3860a <=( a3859a and a3854a ); a3863a <=( A168 and A169 ); a3867a <=( (not A201) and (not A166) ); a3868a <=( A167 and a3867a ); a3869a <=( a3868a and a3863a ); a3872a <=( (not A298) and A202 ); a3876a <=( A301 and A300 ); a3877a <=( A299 and a3876a ); a3878a <=( a3877a and a3872a ); a3881a <=( A168 and A169 ); a3885a <=( (not A201) and (not A166) ); a3886a <=( A167 and a3885a ); a3887a <=( a3886a and a3881a ); a3890a <=( (not A298) and A202 ); a3894a <=( A302 and A300 ); a3895a <=( A299 and a3894a ); a3896a <=( a3895a and a3890a ); a3899a <=( A168 and A169 ); a3903a <=( (not A201) and (not A166) ); a3904a <=( A167 and a3903a ); a3905a <=( a3904a and a3899a ); a3908a <=( A298 and A203 ); a3912a <=( A301 and A300 ); a3913a <=( (not A299) and a3912a ); a3914a <=( a3913a and a3908a ); a3917a <=( A168 and A169 ); a3921a <=( (not A201) and (not A166) ); a3922a <=( A167 and a3921a ); a3923a <=( a3922a and a3917a ); a3926a <=( A298 and A203 ); a3930a <=( A302 and A300 ); a3931a <=( (not A299) and a3930a ); a3932a <=( a3931a and a3926a ); a3935a <=( A168 and A169 ); a3939a <=( (not A201) and (not A166) ); a3940a <=( A167 and a3939a ); a3941a <=( a3940a and a3935a ); a3944a <=( (not A298) and A203 ); a3948a <=( A301 and A300 ); a3949a <=( A299 and a3948a ); a3950a <=( a3949a and a3944a ); a3953a <=( A168 and A169 ); a3957a <=( (not A201) and (not A166) ); a3958a <=( A167 and a3957a ); a3959a <=( a3958a and a3953a ); a3962a <=( (not A298) and A203 ); a3966a <=( A302 and A300 ); a3967a <=( A299 and a3966a ); a3968a <=( a3967a and a3962a ); a3971a <=( A168 and A169 ); a3975a <=( A199 and (not A166) ); a3976a <=( A167 and a3975a ); a3977a <=( a3976a and a3971a ); a3980a <=( A298 and A200 ); a3984a <=( A301 and A300 ); a3985a <=( (not A299) and a3984a ); a3986a <=( a3985a and a3980a ); a3989a <=( A168 and A169 ); a3993a <=( A199 and (not A166) ); a3994a <=( A167 and a3993a ); a3995a <=( a3994a and a3989a ); a3998a <=( A298 and A200 ); a4002a <=( A302 and A300 ); a4003a <=( (not A299) and a4002a ); a4004a <=( a4003a and a3998a ); a4007a <=( A168 and A169 ); a4011a <=( A199 and (not A166) ); a4012a <=( A167 and a4011a ); a4013a <=( a4012a and a4007a ); a4016a <=( (not A298) and A200 ); a4020a <=( A301 and A300 ); a4021a <=( A299 and a4020a ); a4022a <=( a4021a and a4016a ); a4025a <=( A168 and A169 ); a4029a <=( A199 and (not A166) ); a4030a <=( A167 and a4029a ); a4031a <=( a4030a and a4025a ); a4034a <=( (not A298) and A200 ); a4038a <=( A302 and A300 ); a4039a <=( A299 and a4038a ); a4040a <=( a4039a and a4034a ); a4043a <=( A168 and A169 ); a4047a <=( (not A199) and (not A166) ); a4048a <=( A167 and a4047a ); a4049a <=( a4048a and a4043a ); a4052a <=( A298 and (not A200) ); a4056a <=( A301 and A300 ); a4057a <=( (not A299) and a4056a ); a4058a <=( a4057a and a4052a ); a4061a <=( A168 and A169 ); a4065a <=( (not A199) and (not A166) ); a4066a <=( A167 and a4065a ); a4067a <=( a4066a and a4061a ); a4070a <=( A298 and (not A200) ); a4074a <=( A302 and A300 ); a4075a <=( (not A299) and a4074a ); a4076a <=( a4075a and a4070a ); a4079a <=( A168 and A169 ); a4083a <=( (not A199) and (not A166) ); a4084a <=( A167 and a4083a ); a4085a <=( a4084a and a4079a ); a4088a <=( (not A298) and (not A200) ); a4092a <=( A301 and A300 ); a4093a <=( A299 and a4092a ); a4094a <=( a4093a and a4088a ); a4097a <=( A168 and A169 ); a4101a <=( (not A199) and (not A166) ); a4102a <=( A167 and a4101a ); a4103a <=( a4102a and a4097a ); a4106a <=( (not A298) and (not A200) ); a4110a <=( A302 and A300 ); a4111a <=( A299 and a4110a ); a4112a <=( a4111a and a4106a ); a4115a <=( A168 and A169 ); a4119a <=( (not A201) and A166 ); a4120a <=( (not A167) and a4119a ); a4121a <=( a4120a and a4115a ); a4124a <=( A298 and A202 ); a4128a <=( A301 and A300 ); a4129a <=( (not A299) and a4128a ); a4130a <=( a4129a and a4124a ); a4133a <=( A168 and A169 ); a4137a <=( (not A201) and A166 ); a4138a <=( (not A167) and a4137a ); a4139a <=( a4138a and a4133a ); a4142a <=( A298 and A202 ); a4146a <=( A302 and A300 ); a4147a <=( (not A299) and a4146a ); a4148a <=( a4147a and a4142a ); a4151a <=( A168 and A169 ); a4155a <=( (not A201) and A166 ); a4156a <=( (not A167) and a4155a ); a4157a <=( a4156a and a4151a ); a4160a <=( (not A298) and A202 ); a4164a <=( A301 and A300 ); a4165a <=( A299 and a4164a ); a4166a <=( a4165a and a4160a ); a4169a <=( A168 and A169 ); a4173a <=( (not A201) and A166 ); a4174a <=( (not A167) and a4173a ); a4175a <=( a4174a and a4169a ); a4178a <=( (not A298) and A202 ); a4182a <=( A302 and A300 ); a4183a <=( A299 and a4182a ); a4184a <=( a4183a and a4178a ); a4187a <=( A168 and A169 ); a4191a <=( (not A201) and A166 ); a4192a <=( (not A167) and a4191a ); a4193a <=( a4192a and a4187a ); a4196a <=( A298 and A203 ); a4200a <=( A301 and A300 ); a4201a <=( (not A299) and a4200a ); a4202a <=( a4201a and a4196a ); a4205a <=( A168 and A169 ); a4209a <=( (not A201) and A166 ); a4210a <=( (not A167) and a4209a ); a4211a <=( a4210a and a4205a ); a4214a <=( A298 and A203 ); a4218a <=( A302 and A300 ); a4219a <=( (not A299) and a4218a ); a4220a <=( a4219a and a4214a ); a4223a <=( A168 and A169 ); a4227a <=( (not A201) and A166 ); a4228a <=( (not A167) and a4227a ); a4229a <=( a4228a and a4223a ); a4232a <=( (not A298) and A203 ); a4236a <=( A301 and A300 ); a4237a <=( A299 and a4236a ); a4238a <=( a4237a and a4232a ); a4241a <=( A168 and A169 ); a4245a <=( (not A201) and A166 ); a4246a <=( (not A167) and a4245a ); a4247a <=( a4246a and a4241a ); a4250a <=( (not A298) and A203 ); a4254a <=( A302 and A300 ); a4255a <=( A299 and a4254a ); a4256a <=( a4255a and a4250a ); a4259a <=( A168 and A169 ); a4263a <=( A199 and A166 ); a4264a <=( (not A167) and a4263a ); a4265a <=( a4264a and a4259a ); a4268a <=( A298 and A200 ); a4272a <=( A301 and A300 ); a4273a <=( (not A299) and a4272a ); a4274a <=( a4273a and a4268a ); a4277a <=( A168 and A169 ); a4281a <=( A199 and A166 ); a4282a <=( (not A167) and a4281a ); a4283a <=( a4282a and a4277a ); a4286a <=( A298 and A200 ); a4290a <=( A302 and A300 ); a4291a <=( (not A299) and a4290a ); a4292a <=( a4291a and a4286a ); a4295a <=( A168 and A169 ); a4299a <=( A199 and A166 ); a4300a <=( (not A167) and a4299a ); a4301a <=( a4300a and a4295a ); a4304a <=( (not A298) and A200 ); a4308a <=( A301 and A300 ); a4309a <=( A299 and a4308a ); a4310a <=( a4309a and a4304a ); a4313a <=( A168 and A169 ); a4317a <=( A199 and A166 ); a4318a <=( (not A167) and a4317a ); a4319a <=( a4318a and a4313a ); a4322a <=( (not A298) and A200 ); a4326a <=( A302 and A300 ); a4327a <=( A299 and a4326a ); a4328a <=( a4327a and a4322a ); a4331a <=( A168 and A169 ); a4335a <=( (not A199) and A166 ); a4336a <=( (not A167) and a4335a ); a4337a <=( a4336a and a4331a ); a4340a <=( A298 and (not A200) ); a4344a <=( A301 and A300 ); a4345a <=( (not A299) and a4344a ); a4346a <=( a4345a and a4340a ); a4349a <=( A168 and A169 ); a4353a <=( (not A199) and A166 ); a4354a <=( (not A167) and a4353a ); a4355a <=( a4354a and a4349a ); a4358a <=( A298 and (not A200) ); a4362a <=( A302 and A300 ); a4363a <=( (not A299) and a4362a ); a4364a <=( a4363a and a4358a ); a4367a <=( A168 and A169 ); a4371a <=( (not A199) and A166 ); a4372a <=( (not A167) and a4371a ); a4373a <=( a4372a and a4367a ); a4376a <=( (not A298) and (not A200) ); a4380a <=( A301 and A300 ); a4381a <=( A299 and a4380a ); a4382a <=( a4381a and a4376a ); a4385a <=( A168 and A169 ); a4389a <=( (not A199) and A166 ); a4390a <=( (not A167) and a4389a ); a4391a <=( a4390a and a4385a ); a4394a <=( (not A298) and (not A200) ); a4398a <=( A302 and A300 ); a4399a <=( A299 and a4398a ); a4400a <=( a4399a and a4394a ); a4403a <=( (not A168) and A169 ); a4407a <=( A201 and A200 ); a4408a <=( (not A199) and a4407a ); a4409a <=( a4408a and a4403a ); a4412a <=( A298 and A202 ); a4416a <=( A301 and A300 ); a4417a <=( (not A299) and a4416a ); a4418a <=( a4417a and a4412a ); a4421a <=( (not A168) and A169 ); a4425a <=( A201 and A200 ); a4426a <=( (not A199) and a4425a ); a4427a <=( a4426a and a4421a ); a4430a <=( A298 and A202 ); a4434a <=( A302 and A300 ); a4435a <=( (not A299) and a4434a ); a4436a <=( a4435a and a4430a ); a4439a <=( (not A168) and A169 ); a4443a <=( A201 and A200 ); a4444a <=( (not A199) and a4443a ); a4445a <=( a4444a and a4439a ); a4448a <=( (not A298) and A202 ); a4452a <=( A301 and A300 ); a4453a <=( A299 and a4452a ); a4454a <=( a4453a and a4448a ); a4457a <=( (not A168) and A169 ); a4461a <=( A201 and A200 ); a4462a <=( (not A199) and a4461a ); a4463a <=( a4462a and a4457a ); a4466a <=( (not A298) and A202 ); a4470a <=( A302 and A300 ); a4471a <=( A299 and a4470a ); a4472a <=( a4471a and a4466a ); a4475a <=( (not A168) and A169 ); a4479a <=( A201 and A200 ); a4480a <=( (not A199) and a4479a ); a4481a <=( a4480a and a4475a ); a4484a <=( A298 and A203 ); a4488a <=( A301 and A300 ); a4489a <=( (not A299) and a4488a ); a4490a <=( a4489a and a4484a ); a4493a <=( (not A168) and A169 ); a4497a <=( A201 and A200 ); a4498a <=( (not A199) and a4497a ); a4499a <=( a4498a and a4493a ); a4502a <=( A298 and A203 ); a4506a <=( A302 and A300 ); a4507a <=( (not A299) and a4506a ); a4508a <=( a4507a and a4502a ); a4511a <=( (not A168) and A169 ); a4515a <=( A201 and A200 ); a4516a <=( (not A199) and a4515a ); a4517a <=( a4516a and a4511a ); a4520a <=( (not A298) and A203 ); a4524a <=( A301 and A300 ); a4525a <=( A299 and a4524a ); a4526a <=( a4525a and a4520a ); a4529a <=( (not A168) and A169 ); a4533a <=( A201 and A200 ); a4534a <=( (not A199) and a4533a ); a4535a <=( a4534a and a4529a ); a4538a <=( (not A298) and A203 ); a4542a <=( A302 and A300 ); a4543a <=( A299 and a4542a ); a4544a <=( a4543a and a4538a ); a4547a <=( (not A168) and A169 ); a4551a <=( A201 and (not A200) ); a4552a <=( A199 and a4551a ); a4553a <=( a4552a and a4547a ); a4556a <=( A298 and A202 ); a4560a <=( A301 and A300 ); a4561a <=( (not A299) and a4560a ); a4562a <=( a4561a and a4556a ); a4565a <=( (not A168) and A169 ); a4569a <=( A201 and (not A200) ); a4570a <=( A199 and a4569a ); a4571a <=( a4570a and a4565a ); a4574a <=( A298 and A202 ); a4578a <=( A302 and A300 ); a4579a <=( (not A299) and a4578a ); a4580a <=( a4579a and a4574a ); a4583a <=( (not A168) and A169 ); a4587a <=( A201 and (not A200) ); a4588a <=( A199 and a4587a ); a4589a <=( a4588a and a4583a ); a4592a <=( (not A298) and A202 ); a4596a <=( A301 and A300 ); a4597a <=( A299 and a4596a ); a4598a <=( a4597a and a4592a ); a4601a <=( (not A168) and A169 ); a4605a <=( A201 and (not A200) ); a4606a <=( A199 and a4605a ); a4607a <=( a4606a and a4601a ); a4610a <=( (not A298) and A202 ); a4614a <=( A302 and A300 ); a4615a <=( A299 and a4614a ); a4616a <=( a4615a and a4610a ); a4619a <=( (not A168) and A169 ); a4623a <=( A201 and (not A200) ); a4624a <=( A199 and a4623a ); a4625a <=( a4624a and a4619a ); a4628a <=( A298 and A203 ); a4632a <=( A301 and A300 ); a4633a <=( (not A299) and a4632a ); a4634a <=( a4633a and a4628a ); a4637a <=( (not A168) and A169 ); a4641a <=( A201 and (not A200) ); a4642a <=( A199 and a4641a ); a4643a <=( a4642a and a4637a ); a4646a <=( A298 and A203 ); a4650a <=( A302 and A300 ); a4651a <=( (not A299) and a4650a ); a4652a <=( a4651a and a4646a ); a4655a <=( (not A168) and A169 ); a4659a <=( A201 and (not A200) ); a4660a <=( A199 and a4659a ); a4661a <=( a4660a and a4655a ); a4664a <=( (not A298) and A203 ); a4668a <=( A301 and A300 ); a4669a <=( A299 and a4668a ); a4670a <=( a4669a and a4664a ); a4673a <=( (not A168) and A169 ); a4677a <=( A201 and (not A200) ); a4678a <=( A199 and a4677a ); a4679a <=( a4678a and a4673a ); a4682a <=( (not A298) and A203 ); a4686a <=( A302 and A300 ); a4687a <=( A299 and a4686a ); a4688a <=( a4687a and a4682a ); a4691a <=( (not A169) and A170 ); a4695a <=( (not A166) and A167 ); a4696a <=( (not A168) and a4695a ); a4697a <=( a4696a and a4691a ); a4700a <=( A233 and (not A232) ); a4704a <=( (not A236) and (not A235) ); a4705a <=( (not A234) and a4704a ); a4706a <=( a4705a and a4700a ); a4709a <=( (not A169) and A170 ); a4713a <=( (not A166) and A167 ); a4714a <=( (not A168) and a4713a ); a4715a <=( a4714a and a4709a ); a4718a <=( (not A233) and A232 ); a4722a <=( (not A236) and (not A235) ); a4723a <=( (not A234) and a4722a ); a4724a <=( a4723a and a4718a ); a4727a <=( (not A169) and A170 ); a4731a <=( A166 and (not A167) ); a4732a <=( (not A168) and a4731a ); a4733a <=( a4732a and a4727a ); a4736a <=( A233 and (not A232) ); a4740a <=( (not A236) and (not A235) ); a4741a <=( (not A234) and a4740a ); a4742a <=( a4741a and a4736a ); a4745a <=( (not A169) and A170 ); a4749a <=( A166 and (not A167) ); a4750a <=( (not A168) and a4749a ); a4751a <=( a4750a and a4745a ); a4754a <=( (not A233) and A232 ); a4758a <=( (not A236) and (not A235) ); a4759a <=( (not A234) and a4758a ); a4760a <=( a4759a and a4754a ); a4763a <=( A166 and A167 ); a4767a <=( A201 and A200 ); a4768a <=( (not A199) and a4767a ); a4769a <=( a4768a and a4763a ); a4773a <=( (not A299) and A298 ); a4774a <=( A202 and a4773a ); a4778a <=( (not A302) and (not A301) ); a4779a <=( (not A300) and a4778a ); a4780a <=( a4779a and a4774a ); a4783a <=( A166 and A167 ); a4787a <=( A201 and A200 ); a4788a <=( (not A199) and a4787a ); a4789a <=( a4788a and a4783a ); a4793a <=( A299 and (not A298) ); a4794a <=( A202 and a4793a ); a4798a <=( (not A302) and (not A301) ); a4799a <=( (not A300) and a4798a ); a4800a <=( a4799a and a4794a ); a4803a <=( A166 and A167 ); a4807a <=( A201 and A200 ); a4808a <=( (not A199) and a4807a ); a4809a <=( a4808a and a4803a ); a4813a <=( (not A299) and A298 ); a4814a <=( A203 and a4813a ); a4818a <=( (not A302) and (not A301) ); a4819a <=( (not A300) and a4818a ); a4820a <=( a4819a and a4814a ); a4823a <=( A166 and A167 ); a4827a <=( A201 and A200 ); a4828a <=( (not A199) and a4827a ); a4829a <=( a4828a and a4823a ); a4833a <=( A299 and (not A298) ); a4834a <=( A203 and a4833a ); a4838a <=( (not A302) and (not A301) ); a4839a <=( (not A300) and a4838a ); a4840a <=( a4839a and a4834a ); a4843a <=( A166 and A167 ); a4847a <=( (not A201) and A200 ); a4848a <=( (not A199) and a4847a ); a4849a <=( a4848a and a4843a ); a4853a <=( A298 and (not A203) ); a4854a <=( (not A202) and a4853a ); a4858a <=( A301 and A300 ); a4859a <=( (not A299) and a4858a ); a4860a <=( a4859a and a4854a ); a4863a <=( A166 and A167 ); a4867a <=( (not A201) and A200 ); a4868a <=( (not A199) and a4867a ); a4869a <=( a4868a and a4863a ); a4873a <=( A298 and (not A203) ); a4874a <=( (not A202) and a4873a ); a4878a <=( A302 and A300 ); a4879a <=( (not A299) and a4878a ); a4880a <=( a4879a and a4874a ); a4883a <=( A166 and A167 ); a4887a <=( (not A201) and A200 ); a4888a <=( (not A199) and a4887a ); a4889a <=( a4888a and a4883a ); a4893a <=( (not A298) and (not A203) ); a4894a <=( (not A202) and a4893a ); a4898a <=( A301 and A300 ); a4899a <=( A299 and a4898a ); a4900a <=( a4899a and a4894a ); a4903a <=( A166 and A167 ); a4907a <=( (not A201) and A200 ); a4908a <=( (not A199) and a4907a ); a4909a <=( a4908a and a4903a ); a4913a <=( (not A298) and (not A203) ); a4914a <=( (not A202) and a4913a ); a4918a <=( A302 and A300 ); a4919a <=( A299 and a4918a ); a4920a <=( a4919a and a4914a ); a4923a <=( A166 and A167 ); a4927a <=( A201 and (not A200) ); a4928a <=( A199 and a4927a ); a4929a <=( a4928a and a4923a ); a4933a <=( (not A299) and A298 ); a4934a <=( A202 and a4933a ); a4938a <=( (not A302) and (not A301) ); a4939a <=( (not A300) and a4938a ); a4940a <=( a4939a and a4934a ); a4943a <=( A166 and A167 ); a4947a <=( A201 and (not A200) ); a4948a <=( A199 and a4947a ); a4949a <=( a4948a and a4943a ); a4953a <=( A299 and (not A298) ); a4954a <=( A202 and a4953a ); a4958a <=( (not A302) and (not A301) ); a4959a <=( (not A300) and a4958a ); a4960a <=( a4959a and a4954a ); a4963a <=( A166 and A167 ); a4967a <=( A201 and (not A200) ); a4968a <=( A199 and a4967a ); a4969a <=( a4968a and a4963a ); a4973a <=( (not A299) and A298 ); a4974a <=( A203 and a4973a ); a4978a <=( (not A302) and (not A301) ); a4979a <=( (not A300) and a4978a ); a4980a <=( a4979a and a4974a ); a4983a <=( A166 and A167 ); a4987a <=( A201 and (not A200) ); a4988a <=( A199 and a4987a ); a4989a <=( a4988a and a4983a ); a4993a <=( A299 and (not A298) ); a4994a <=( A203 and a4993a ); a4998a <=( (not A302) and (not A301) ); a4999a <=( (not A300) and a4998a ); a5000a <=( a4999a and a4994a ); a5003a <=( A166 and A167 ); a5007a <=( (not A201) and (not A200) ); a5008a <=( A199 and a5007a ); a5009a <=( a5008a and a5003a ); a5013a <=( A298 and (not A203) ); a5014a <=( (not A202) and a5013a ); a5018a <=( A301 and A300 ); a5019a <=( (not A299) and a5018a ); a5020a <=( a5019a and a5014a ); a5023a <=( A166 and A167 ); a5027a <=( (not A201) and (not A200) ); a5028a <=( A199 and a5027a ); a5029a <=( a5028a and a5023a ); a5033a <=( A298 and (not A203) ); a5034a <=( (not A202) and a5033a ); a5038a <=( A302 and A300 ); a5039a <=( (not A299) and a5038a ); a5040a <=( a5039a and a5034a ); a5043a <=( A166 and A167 ); a5047a <=( (not A201) and (not A200) ); a5048a <=( A199 and a5047a ); a5049a <=( a5048a and a5043a ); a5053a <=( (not A298) and (not A203) ); a5054a <=( (not A202) and a5053a ); a5058a <=( A301 and A300 ); a5059a <=( A299 and a5058a ); a5060a <=( a5059a and a5054a ); a5063a <=( A166 and A167 ); a5067a <=( (not A201) and (not A200) ); a5068a <=( A199 and a5067a ); a5069a <=( a5068a and a5063a ); a5073a <=( (not A298) and (not A203) ); a5074a <=( (not A202) and a5073a ); a5078a <=( A302 and A300 ); a5079a <=( A299 and a5078a ); a5080a <=( a5079a and a5074a ); a5083a <=( (not A166) and (not A167) ); a5087a <=( A201 and A200 ); a5088a <=( (not A199) and a5087a ); a5089a <=( a5088a and a5083a ); a5093a <=( (not A299) and A298 ); a5094a <=( A202 and a5093a ); a5098a <=( (not A302) and (not A301) ); a5099a <=( (not A300) and a5098a ); a5100a <=( a5099a and a5094a ); a5103a <=( (not A166) and (not A167) ); a5107a <=( A201 and A200 ); a5108a <=( (not A199) and a5107a ); a5109a <=( a5108a and a5103a ); a5113a <=( A299 and (not A298) ); a5114a <=( A202 and a5113a ); a5118a <=( (not A302) and (not A301) ); a5119a <=( (not A300) and a5118a ); a5120a <=( a5119a and a5114a ); a5123a <=( (not A166) and (not A167) ); a5127a <=( A201 and A200 ); a5128a <=( (not A199) and a5127a ); a5129a <=( a5128a and a5123a ); a5133a <=( (not A299) and A298 ); a5134a <=( A203 and a5133a ); a5138a <=( (not A302) and (not A301) ); a5139a <=( (not A300) and a5138a ); a5140a <=( a5139a and a5134a ); a5143a <=( (not A166) and (not A167) ); a5147a <=( A201 and A200 ); a5148a <=( (not A199) and a5147a ); a5149a <=( a5148a and a5143a ); a5153a <=( A299 and (not A298) ); a5154a <=( A203 and a5153a ); a5158a <=( (not A302) and (not A301) ); a5159a <=( (not A300) and a5158a ); a5160a <=( a5159a and a5154a ); a5163a <=( (not A166) and (not A167) ); a5167a <=( (not A201) and A200 ); a5168a <=( (not A199) and a5167a ); a5169a <=( a5168a and a5163a ); a5173a <=( A298 and (not A203) ); a5174a <=( (not A202) and a5173a ); a5178a <=( A301 and A300 ); a5179a <=( (not A299) and a5178a ); a5180a <=( a5179a and a5174a ); a5183a <=( (not A166) and (not A167) ); a5187a <=( (not A201) and A200 ); a5188a <=( (not A199) and a5187a ); a5189a <=( a5188a and a5183a ); a5193a <=( A298 and (not A203) ); a5194a <=( (not A202) and a5193a ); a5198a <=( A302 and A300 ); a5199a <=( (not A299) and a5198a ); a5200a <=( a5199a and a5194a ); a5203a <=( (not A166) and (not A167) ); a5207a <=( (not A201) and A200 ); a5208a <=( (not A199) and a5207a ); a5209a <=( a5208a and a5203a ); a5213a <=( (not A298) and (not A203) ); a5214a <=( (not A202) and a5213a ); a5218a <=( A301 and A300 ); a5219a <=( A299 and a5218a ); a5220a <=( a5219a and a5214a ); a5223a <=( (not A166) and (not A167) ); a5227a <=( (not A201) and A200 ); a5228a <=( (not A199) and a5227a ); a5229a <=( a5228a and a5223a ); a5233a <=( (not A298) and (not A203) ); a5234a <=( (not A202) and a5233a ); a5238a <=( A302 and A300 ); a5239a <=( A299 and a5238a ); a5240a <=( a5239a and a5234a ); a5243a <=( (not A166) and (not A167) ); a5247a <=( A201 and (not A200) ); a5248a <=( A199 and a5247a ); a5249a <=( a5248a and a5243a ); a5253a <=( (not A299) and A298 ); a5254a <=( A202 and a5253a ); a5258a <=( (not A302) and (not A301) ); a5259a <=( (not A300) and a5258a ); a5260a <=( a5259a and a5254a ); a5263a <=( (not A166) and (not A167) ); a5267a <=( A201 and (not A200) ); a5268a <=( A199 and a5267a ); a5269a <=( a5268a and a5263a ); a5273a <=( A299 and (not A298) ); a5274a <=( A202 and a5273a ); a5278a <=( (not A302) and (not A301) ); a5279a <=( (not A300) and a5278a ); a5280a <=( a5279a and a5274a ); a5283a <=( (not A166) and (not A167) ); a5287a <=( A201 and (not A200) ); a5288a <=( A199 and a5287a ); a5289a <=( a5288a and a5283a ); a5293a <=( (not A299) and A298 ); a5294a <=( A203 and a5293a ); a5298a <=( (not A302) and (not A301) ); a5299a <=( (not A300) and a5298a ); a5300a <=( a5299a and a5294a ); a5303a <=( (not A166) and (not A167) ); a5307a <=( A201 and (not A200) ); a5308a <=( A199 and a5307a ); a5309a <=( a5308a and a5303a ); a5313a <=( A299 and (not A298) ); a5314a <=( A203 and a5313a ); a5318a <=( (not A302) and (not A301) ); a5319a <=( (not A300) and a5318a ); a5320a <=( a5319a and a5314a ); a5323a <=( (not A166) and (not A167) ); a5327a <=( (not A201) and (not A200) ); a5328a <=( A199 and a5327a ); a5329a <=( a5328a and a5323a ); a5333a <=( A298 and (not A203) ); a5334a <=( (not A202) and a5333a ); a5338a <=( A301 and A300 ); a5339a <=( (not A299) and a5338a ); a5340a <=( a5339a and a5334a ); a5343a <=( (not A166) and (not A167) ); a5347a <=( (not A201) and (not A200) ); a5348a <=( A199 and a5347a ); a5349a <=( a5348a and a5343a ); a5353a <=( A298 and (not A203) ); a5354a <=( (not A202) and a5353a ); a5358a <=( A302 and A300 ); a5359a <=( (not A299) and a5358a ); a5360a <=( a5359a and a5354a ); a5363a <=( (not A166) and (not A167) ); a5367a <=( (not A201) and (not A200) ); a5368a <=( A199 and a5367a ); a5369a <=( a5368a and a5363a ); a5373a <=( (not A298) and (not A203) ); a5374a <=( (not A202) and a5373a ); a5378a <=( A301 and A300 ); a5379a <=( A299 and a5378a ); a5380a <=( a5379a and a5374a ); a5383a <=( (not A166) and (not A167) ); a5387a <=( (not A201) and (not A200) ); a5388a <=( A199 and a5387a ); a5389a <=( a5388a and a5383a ); a5393a <=( (not A298) and (not A203) ); a5394a <=( (not A202) and a5393a ); a5398a <=( A302 and A300 ); a5399a <=( A299 and a5398a ); a5400a <=( a5399a and a5394a ); a5403a <=( A168 and (not A170) ); a5407a <=( A201 and (not A166) ); a5408a <=( A167 and a5407a ); a5409a <=( a5408a and a5403a ); a5413a <=( A298 and (not A203) ); a5414a <=( (not A202) and a5413a ); a5418a <=( A301 and A300 ); a5419a <=( (not A299) and a5418a ); a5420a <=( a5419a and a5414a ); a5423a <=( A168 and (not A170) ); a5427a <=( A201 and (not A166) ); a5428a <=( A167 and a5427a ); a5429a <=( a5428a and a5423a ); a5433a <=( A298 and (not A203) ); a5434a <=( (not A202) and a5433a ); a5438a <=( A302 and A300 ); a5439a <=( (not A299) and a5438a ); a5440a <=( a5439a and a5434a ); a5443a <=( A168 and (not A170) ); a5447a <=( A201 and (not A166) ); a5448a <=( A167 and a5447a ); a5449a <=( a5448a and a5443a ); a5453a <=( (not A298) and (not A203) ); a5454a <=( (not A202) and a5453a ); a5458a <=( A301 and A300 ); a5459a <=( A299 and a5458a ); a5460a <=( a5459a and a5454a ); a5463a <=( A168 and (not A170) ); a5467a <=( A201 and (not A166) ); a5468a <=( A167 and a5467a ); a5469a <=( a5468a and a5463a ); a5473a <=( (not A298) and (not A203) ); a5474a <=( (not A202) and a5473a ); a5478a <=( A302 and A300 ); a5479a <=( A299 and a5478a ); a5480a <=( a5479a and a5474a ); a5483a <=( A168 and (not A170) ); a5487a <=( (not A201) and (not A166) ); a5488a <=( A167 and a5487a ); a5489a <=( a5488a and a5483a ); a5493a <=( (not A299) and A298 ); a5494a <=( A202 and a5493a ); a5498a <=( (not A302) and (not A301) ); a5499a <=( (not A300) and a5498a ); a5500a <=( a5499a and a5494a ); a5503a <=( A168 and (not A170) ); a5507a <=( (not A201) and (not A166) ); a5508a <=( A167 and a5507a ); a5509a <=( a5508a and a5503a ); a5513a <=( A299 and (not A298) ); a5514a <=( A202 and a5513a ); a5518a <=( (not A302) and (not A301) ); a5519a <=( (not A300) and a5518a ); a5520a <=( a5519a and a5514a ); a5523a <=( A168 and (not A170) ); a5527a <=( (not A201) and (not A166) ); a5528a <=( A167 and a5527a ); a5529a <=( a5528a and a5523a ); a5533a <=( (not A299) and A298 ); a5534a <=( A203 and a5533a ); a5538a <=( (not A302) and (not A301) ); a5539a <=( (not A300) and a5538a ); a5540a <=( a5539a and a5534a ); a5543a <=( A168 and (not A170) ); a5547a <=( (not A201) and (not A166) ); a5548a <=( A167 and a5547a ); a5549a <=( a5548a and a5543a ); a5553a <=( A299 and (not A298) ); a5554a <=( A203 and a5553a ); a5558a <=( (not A302) and (not A301) ); a5559a <=( (not A300) and a5558a ); a5560a <=( a5559a and a5554a ); a5563a <=( A168 and (not A170) ); a5567a <=( A199 and (not A166) ); a5568a <=( A167 and a5567a ); a5569a <=( a5568a and a5563a ); a5573a <=( (not A299) and A298 ); a5574a <=( A200 and a5573a ); a5578a <=( (not A302) and (not A301) ); a5579a <=( (not A300) and a5578a ); a5580a <=( a5579a and a5574a ); a5583a <=( A168 and (not A170) ); a5587a <=( A199 and (not A166) ); a5588a <=( A167 and a5587a ); a5589a <=( a5588a and a5583a ); a5593a <=( A299 and (not A298) ); a5594a <=( A200 and a5593a ); a5598a <=( (not A302) and (not A301) ); a5599a <=( (not A300) and a5598a ); a5600a <=( a5599a and a5594a ); a5603a <=( A168 and (not A170) ); a5607a <=( (not A199) and (not A166) ); a5608a <=( A167 and a5607a ); a5609a <=( a5608a and a5603a ); a5613a <=( (not A299) and A298 ); a5614a <=( (not A200) and a5613a ); a5618a <=( (not A302) and (not A301) ); a5619a <=( (not A300) and a5618a ); a5620a <=( a5619a and a5614a ); a5623a <=( A168 and (not A170) ); a5627a <=( (not A199) and (not A166) ); a5628a <=( A167 and a5627a ); a5629a <=( a5628a and a5623a ); a5633a <=( A299 and (not A298) ); a5634a <=( (not A200) and a5633a ); a5638a <=( (not A302) and (not A301) ); a5639a <=( (not A300) and a5638a ); a5640a <=( a5639a and a5634a ); a5643a <=( A168 and (not A170) ); a5647a <=( A201 and A166 ); a5648a <=( (not A167) and a5647a ); a5649a <=( a5648a and a5643a ); a5653a <=( A298 and (not A203) ); a5654a <=( (not A202) and a5653a ); a5658a <=( A301 and A300 ); a5659a <=( (not A299) and a5658a ); a5660a <=( a5659a and a5654a ); a5663a <=( A168 and (not A170) ); a5667a <=( A201 and A166 ); a5668a <=( (not A167) and a5667a ); a5669a <=( a5668a and a5663a ); a5673a <=( A298 and (not A203) ); a5674a <=( (not A202) and a5673a ); a5678a <=( A302 and A300 ); a5679a <=( (not A299) and a5678a ); a5680a <=( a5679a and a5674a ); a5683a <=( A168 and (not A170) ); a5687a <=( A201 and A166 ); a5688a <=( (not A167) and a5687a ); a5689a <=( a5688a and a5683a ); a5693a <=( (not A298) and (not A203) ); a5694a <=( (not A202) and a5693a ); a5698a <=( A301 and A300 ); a5699a <=( A299 and a5698a ); a5700a <=( a5699a and a5694a ); a5703a <=( A168 and (not A170) ); a5707a <=( A201 and A166 ); a5708a <=( (not A167) and a5707a ); a5709a <=( a5708a and a5703a ); a5713a <=( (not A298) and (not A203) ); a5714a <=( (not A202) and a5713a ); a5718a <=( A302 and A300 ); a5719a <=( A299 and a5718a ); a5720a <=( a5719a and a5714a ); a5723a <=( A168 and (not A170) ); a5727a <=( (not A201) and A166 ); a5728a <=( (not A167) and a5727a ); a5729a <=( a5728a and a5723a ); a5733a <=( (not A299) and A298 ); a5734a <=( A202 and a5733a ); a5738a <=( (not A302) and (not A301) ); a5739a <=( (not A300) and a5738a ); a5740a <=( a5739a and a5734a ); a5743a <=( A168 and (not A170) ); a5747a <=( (not A201) and A166 ); a5748a <=( (not A167) and a5747a ); a5749a <=( a5748a and a5743a ); a5753a <=( A299 and (not A298) ); a5754a <=( A202 and a5753a ); a5758a <=( (not A302) and (not A301) ); a5759a <=( (not A300) and a5758a ); a5760a <=( a5759a and a5754a ); a5763a <=( A168 and (not A170) ); a5767a <=( (not A201) and A166 ); a5768a <=( (not A167) and a5767a ); a5769a <=( a5768a and a5763a ); a5773a <=( (not A299) and A298 ); a5774a <=( A203 and a5773a ); a5778a <=( (not A302) and (not A301) ); a5779a <=( (not A300) and a5778a ); a5780a <=( a5779a and a5774a ); a5783a <=( A168 and (not A170) ); a5787a <=( (not A201) and A166 ); a5788a <=( (not A167) and a5787a ); a5789a <=( a5788a and a5783a ); a5793a <=( A299 and (not A298) ); a5794a <=( A203 and a5793a ); a5798a <=( (not A302) and (not A301) ); a5799a <=( (not A300) and a5798a ); a5800a <=( a5799a and a5794a ); a5803a <=( A168 and (not A170) ); a5807a <=( A199 and A166 ); a5808a <=( (not A167) and a5807a ); a5809a <=( a5808a and a5803a ); a5813a <=( (not A299) and A298 ); a5814a <=( A200 and a5813a ); a5818a <=( (not A302) and (not A301) ); a5819a <=( (not A300) and a5818a ); a5820a <=( a5819a and a5814a ); a5823a <=( A168 and (not A170) ); a5827a <=( A199 and A166 ); a5828a <=( (not A167) and a5827a ); a5829a <=( a5828a and a5823a ); a5833a <=( A299 and (not A298) ); a5834a <=( A200 and a5833a ); a5838a <=( (not A302) and (not A301) ); a5839a <=( (not A300) and a5838a ); a5840a <=( a5839a and a5834a ); a5843a <=( A168 and (not A170) ); a5847a <=( (not A199) and A166 ); a5848a <=( (not A167) and a5847a ); a5849a <=( a5848a and a5843a ); a5853a <=( (not A299) and A298 ); a5854a <=( (not A200) and a5853a ); a5858a <=( (not A302) and (not A301) ); a5859a <=( (not A300) and a5858a ); a5860a <=( a5859a and a5854a ); a5863a <=( A168 and (not A170) ); a5867a <=( (not A199) and A166 ); a5868a <=( (not A167) and a5867a ); a5869a <=( a5868a and a5863a ); a5873a <=( A299 and (not A298) ); a5874a <=( (not A200) and a5873a ); a5878a <=( (not A302) and (not A301) ); a5879a <=( (not A300) and a5878a ); a5880a <=( a5879a and a5874a ); a5883a <=( (not A168) and (not A170) ); a5887a <=( A201 and A200 ); a5888a <=( (not A199) and a5887a ); a5889a <=( a5888a and a5883a ); a5893a <=( (not A299) and A298 ); a5894a <=( A202 and a5893a ); a5898a <=( (not A302) and (not A301) ); a5899a <=( (not A300) and a5898a ); a5900a <=( a5899a and a5894a ); a5903a <=( (not A168) and (not A170) ); a5907a <=( A201 and A200 ); a5908a <=( (not A199) and a5907a ); a5909a <=( a5908a and a5903a ); a5913a <=( A299 and (not A298) ); a5914a <=( A202 and a5913a ); a5918a <=( (not A302) and (not A301) ); a5919a <=( (not A300) and a5918a ); a5920a <=( a5919a and a5914a ); a5923a <=( (not A168) and (not A170) ); a5927a <=( A201 and A200 ); a5928a <=( (not A199) and a5927a ); a5929a <=( a5928a and a5923a ); a5933a <=( (not A299) and A298 ); a5934a <=( A203 and a5933a ); a5938a <=( (not A302) and (not A301) ); a5939a <=( (not A300) and a5938a ); a5940a <=( a5939a and a5934a ); a5943a <=( (not A168) and (not A170) ); a5947a <=( A201 and A200 ); a5948a <=( (not A199) and a5947a ); a5949a <=( a5948a and a5943a ); a5953a <=( A299 and (not A298) ); a5954a <=( A203 and a5953a ); a5958a <=( (not A302) and (not A301) ); a5959a <=( (not A300) and a5958a ); a5960a <=( a5959a and a5954a ); a5963a <=( (not A168) and (not A170) ); a5967a <=( (not A201) and A200 ); a5968a <=( (not A199) and a5967a ); a5969a <=( a5968a and a5963a ); a5973a <=( A298 and (not A203) ); a5974a <=( (not A202) and a5973a ); a5978a <=( A301 and A300 ); a5979a <=( (not A299) and a5978a ); a5980a <=( a5979a and a5974a ); a5983a <=( (not A168) and (not A170) ); a5987a <=( (not A201) and A200 ); a5988a <=( (not A199) and a5987a ); a5989a <=( a5988a and a5983a ); a5993a <=( A298 and (not A203) ); a5994a <=( (not A202) and a5993a ); a5998a <=( A302 and A300 ); a5999a <=( (not A299) and a5998a ); a6000a <=( a5999a and a5994a ); a6003a <=( (not A168) and (not A170) ); a6007a <=( (not A201) and A200 ); a6008a <=( (not A199) and a6007a ); a6009a <=( a6008a and a6003a ); a6013a <=( (not A298) and (not A203) ); a6014a <=( (not A202) and a6013a ); a6018a <=( A301 and A300 ); a6019a <=( A299 and a6018a ); a6020a <=( a6019a and a6014a ); a6023a <=( (not A168) and (not A170) ); a6027a <=( (not A201) and A200 ); a6028a <=( (not A199) and a6027a ); a6029a <=( a6028a and a6023a ); a6033a <=( (not A298) and (not A203) ); a6034a <=( (not A202) and a6033a ); a6038a <=( A302 and A300 ); a6039a <=( A299 and a6038a ); a6040a <=( a6039a and a6034a ); a6043a <=( (not A168) and (not A170) ); a6047a <=( A201 and (not A200) ); a6048a <=( A199 and a6047a ); a6049a <=( a6048a and a6043a ); a6053a <=( (not A299) and A298 ); a6054a <=( A202 and a6053a ); a6058a <=( (not A302) and (not A301) ); a6059a <=( (not A300) and a6058a ); a6060a <=( a6059a and a6054a ); a6063a <=( (not A168) and (not A170) ); a6067a <=( A201 and (not A200) ); a6068a <=( A199 and a6067a ); a6069a <=( a6068a and a6063a ); a6073a <=( A299 and (not A298) ); a6074a <=( A202 and a6073a ); a6078a <=( (not A302) and (not A301) ); a6079a <=( (not A300) and a6078a ); a6080a <=( a6079a and a6074a ); a6083a <=( (not A168) and (not A170) ); a6087a <=( A201 and (not A200) ); a6088a <=( A199 and a6087a ); a6089a <=( a6088a and a6083a ); a6093a <=( (not A299) and A298 ); a6094a <=( A203 and a6093a ); a6098a <=( (not A302) and (not A301) ); a6099a <=( (not A300) and a6098a ); a6100a <=( a6099a and a6094a ); a6103a <=( (not A168) and (not A170) ); a6107a <=( A201 and (not A200) ); a6108a <=( A199 and a6107a ); a6109a <=( a6108a and a6103a ); a6113a <=( A299 and (not A298) ); a6114a <=( A203 and a6113a ); a6118a <=( (not A302) and (not A301) ); a6119a <=( (not A300) and a6118a ); a6120a <=( a6119a and a6114a ); a6123a <=( (not A168) and (not A170) ); a6127a <=( (not A201) and (not A200) ); a6128a <=( A199 and a6127a ); a6129a <=( a6128a and a6123a ); a6133a <=( A298 and (not A203) ); a6134a <=( (not A202) and a6133a ); a6138a <=( A301 and A300 ); a6139a <=( (not A299) and a6138a ); a6140a <=( a6139a and a6134a ); a6143a <=( (not A168) and (not A170) ); a6147a <=( (not A201) and (not A200) ); a6148a <=( A199 and a6147a ); a6149a <=( a6148a and a6143a ); a6153a <=( A298 and (not A203) ); a6154a <=( (not A202) and a6153a ); a6158a <=( A302 and A300 ); a6159a <=( (not A299) and a6158a ); a6160a <=( a6159a and a6154a ); a6163a <=( (not A168) and (not A170) ); a6167a <=( (not A201) and (not A200) ); a6168a <=( A199 and a6167a ); a6169a <=( a6168a and a6163a ); a6173a <=( (not A298) and (not A203) ); a6174a <=( (not A202) and a6173a ); a6178a <=( A301 and A300 ); a6179a <=( A299 and a6178a ); a6180a <=( a6179a and a6174a ); a6183a <=( (not A168) and (not A170) ); a6187a <=( (not A201) and (not A200) ); a6188a <=( A199 and a6187a ); a6189a <=( a6188a and a6183a ); a6193a <=( (not A298) and (not A203) ); a6194a <=( (not A202) and a6193a ); a6198a <=( A302 and A300 ); a6199a <=( A299 and a6198a ); a6200a <=( a6199a and a6194a ); a6203a <=( A168 and A169 ); a6207a <=( A201 and (not A166) ); a6208a <=( A167 and a6207a ); a6209a <=( a6208a and a6203a ); a6213a <=( A298 and (not A203) ); a6214a <=( (not A202) and a6213a ); a6218a <=( A301 and A300 ); a6219a <=( (not A299) and a6218a ); a6220a <=( a6219a and a6214a ); a6223a <=( A168 and A169 ); a6227a <=( A201 and (not A166) ); a6228a <=( A167 and a6227a ); a6229a <=( a6228a and a6223a ); a6233a <=( A298 and (not A203) ); a6234a <=( (not A202) and a6233a ); a6238a <=( A302 and A300 ); a6239a <=( (not A299) and a6238a ); a6240a <=( a6239a and a6234a ); a6243a <=( A168 and A169 ); a6247a <=( A201 and (not A166) ); a6248a <=( A167 and a6247a ); a6249a <=( a6248a and a6243a ); a6253a <=( (not A298) and (not A203) ); a6254a <=( (not A202) and a6253a ); a6258a <=( A301 and A300 ); a6259a <=( A299 and a6258a ); a6260a <=( a6259a and a6254a ); a6263a <=( A168 and A169 ); a6267a <=( A201 and (not A166) ); a6268a <=( A167 and a6267a ); a6269a <=( a6268a and a6263a ); a6273a <=( (not A298) and (not A203) ); a6274a <=( (not A202) and a6273a ); a6278a <=( A302 and A300 ); a6279a <=( A299 and a6278a ); a6280a <=( a6279a and a6274a ); a6283a <=( A168 and A169 ); a6287a <=( (not A201) and (not A166) ); a6288a <=( A167 and a6287a ); a6289a <=( a6288a and a6283a ); a6293a <=( (not A299) and A298 ); a6294a <=( A202 and a6293a ); a6298a <=( (not A302) and (not A301) ); a6299a <=( (not A300) and a6298a ); a6300a <=( a6299a and a6294a ); a6303a <=( A168 and A169 ); a6307a <=( (not A201) and (not A166) ); a6308a <=( A167 and a6307a ); a6309a <=( a6308a and a6303a ); a6313a <=( A299 and (not A298) ); a6314a <=( A202 and a6313a ); a6318a <=( (not A302) and (not A301) ); a6319a <=( (not A300) and a6318a ); a6320a <=( a6319a and a6314a ); a6323a <=( A168 and A169 ); a6327a <=( (not A201) and (not A166) ); a6328a <=( A167 and a6327a ); a6329a <=( a6328a and a6323a ); a6333a <=( (not A299) and A298 ); a6334a <=( A203 and a6333a ); a6338a <=( (not A302) and (not A301) ); a6339a <=( (not A300) and a6338a ); a6340a <=( a6339a and a6334a ); a6343a <=( A168 and A169 ); a6347a <=( (not A201) and (not A166) ); a6348a <=( A167 and a6347a ); a6349a <=( a6348a and a6343a ); a6353a <=( A299 and (not A298) ); a6354a <=( A203 and a6353a ); a6358a <=( (not A302) and (not A301) ); a6359a <=( (not A300) and a6358a ); a6360a <=( a6359a and a6354a ); a6363a <=( A168 and A169 ); a6367a <=( A199 and (not A166) ); a6368a <=( A167 and a6367a ); a6369a <=( a6368a and a6363a ); a6373a <=( (not A299) and A298 ); a6374a <=( A200 and a6373a ); a6378a <=( (not A302) and (not A301) ); a6379a <=( (not A300) and a6378a ); a6380a <=( a6379a and a6374a ); a6383a <=( A168 and A169 ); a6387a <=( A199 and (not A166) ); a6388a <=( A167 and a6387a ); a6389a <=( a6388a and a6383a ); a6393a <=( A299 and (not A298) ); a6394a <=( A200 and a6393a ); a6398a <=( (not A302) and (not A301) ); a6399a <=( (not A300) and a6398a ); a6400a <=( a6399a and a6394a ); a6403a <=( A168 and A169 ); a6407a <=( (not A199) and (not A166) ); a6408a <=( A167 and a6407a ); a6409a <=( a6408a and a6403a ); a6413a <=( (not A299) and A298 ); a6414a <=( (not A200) and a6413a ); a6418a <=( (not A302) and (not A301) ); a6419a <=( (not A300) and a6418a ); a6420a <=( a6419a and a6414a ); a6423a <=( A168 and A169 ); a6427a <=( (not A199) and (not A166) ); a6428a <=( A167 and a6427a ); a6429a <=( a6428a and a6423a ); a6433a <=( A299 and (not A298) ); a6434a <=( (not A200) and a6433a ); a6438a <=( (not A302) and (not A301) ); a6439a <=( (not A300) and a6438a ); a6440a <=( a6439a and a6434a ); a6443a <=( A168 and A169 ); a6447a <=( A201 and A166 ); a6448a <=( (not A167) and a6447a ); a6449a <=( a6448a and a6443a ); a6453a <=( A298 and (not A203) ); a6454a <=( (not A202) and a6453a ); a6458a <=( A301 and A300 ); a6459a <=( (not A299) and a6458a ); a6460a <=( a6459a and a6454a ); a6463a <=( A168 and A169 ); a6467a <=( A201 and A166 ); a6468a <=( (not A167) and a6467a ); a6469a <=( a6468a and a6463a ); a6473a <=( A298 and (not A203) ); a6474a <=( (not A202) and a6473a ); a6478a <=( A302 and A300 ); a6479a <=( (not A299) and a6478a ); a6480a <=( a6479a and a6474a ); a6483a <=( A168 and A169 ); a6487a <=( A201 and A166 ); a6488a <=( (not A167) and a6487a ); a6489a <=( a6488a and a6483a ); a6493a <=( (not A298) and (not A203) ); a6494a <=( (not A202) and a6493a ); a6498a <=( A301 and A300 ); a6499a <=( A299 and a6498a ); a6500a <=( a6499a and a6494a ); a6503a <=( A168 and A169 ); a6507a <=( A201 and A166 ); a6508a <=( (not A167) and a6507a ); a6509a <=( a6508a and a6503a ); a6513a <=( (not A298) and (not A203) ); a6514a <=( (not A202) and a6513a ); a6518a <=( A302 and A300 ); a6519a <=( A299 and a6518a ); a6520a <=( a6519a and a6514a ); a6523a <=( A168 and A169 ); a6527a <=( (not A201) and A166 ); a6528a <=( (not A167) and a6527a ); a6529a <=( a6528a and a6523a ); a6533a <=( (not A299) and A298 ); a6534a <=( A202 and a6533a ); a6538a <=( (not A302) and (not A301) ); a6539a <=( (not A300) and a6538a ); a6540a <=( a6539a and a6534a ); a6543a <=( A168 and A169 ); a6547a <=( (not A201) and A166 ); a6548a <=( (not A167) and a6547a ); a6549a <=( a6548a and a6543a ); a6553a <=( A299 and (not A298) ); a6554a <=( A202 and a6553a ); a6558a <=( (not A302) and (not A301) ); a6559a <=( (not A300) and a6558a ); a6560a <=( a6559a and a6554a ); a6563a <=( A168 and A169 ); a6567a <=( (not A201) and A166 ); a6568a <=( (not A167) and a6567a ); a6569a <=( a6568a and a6563a ); a6573a <=( (not A299) and A298 ); a6574a <=( A203 and a6573a ); a6578a <=( (not A302) and (not A301) ); a6579a <=( (not A300) and a6578a ); a6580a <=( a6579a and a6574a ); a6583a <=( A168 and A169 ); a6587a <=( (not A201) and A166 ); a6588a <=( (not A167) and a6587a ); a6589a <=( a6588a and a6583a ); a6593a <=( A299 and (not A298) ); a6594a <=( A203 and a6593a ); a6598a <=( (not A302) and (not A301) ); a6599a <=( (not A300) and a6598a ); a6600a <=( a6599a and a6594a ); a6603a <=( A168 and A169 ); a6607a <=( A199 and A166 ); a6608a <=( (not A167) and a6607a ); a6609a <=( a6608a and a6603a ); a6613a <=( (not A299) and A298 ); a6614a <=( A200 and a6613a ); a6618a <=( (not A302) and (not A301) ); a6619a <=( (not A300) and a6618a ); a6620a <=( a6619a and a6614a ); a6623a <=( A168 and A169 ); a6627a <=( A199 and A166 ); a6628a <=( (not A167) and a6627a ); a6629a <=( a6628a and a6623a ); a6633a <=( A299 and (not A298) ); a6634a <=( A200 and a6633a ); a6638a <=( (not A302) and (not A301) ); a6639a <=( (not A300) and a6638a ); a6640a <=( a6639a and a6634a ); a6643a <=( A168 and A169 ); a6647a <=( (not A199) and A166 ); a6648a <=( (not A167) and a6647a ); a6649a <=( a6648a and a6643a ); a6653a <=( (not A299) and A298 ); a6654a <=( (not A200) and a6653a ); a6658a <=( (not A302) and (not A301) ); a6659a <=( (not A300) and a6658a ); a6660a <=( a6659a and a6654a ); a6663a <=( A168 and A169 ); a6667a <=( (not A199) and A166 ); a6668a <=( (not A167) and a6667a ); a6669a <=( a6668a and a6663a ); a6673a <=( A299 and (not A298) ); a6674a <=( (not A200) and a6673a ); a6678a <=( (not A302) and (not A301) ); a6679a <=( (not A300) and a6678a ); a6680a <=( a6679a and a6674a ); a6683a <=( (not A168) and A169 ); a6687a <=( A201 and A200 ); a6688a <=( (not A199) and a6687a ); a6689a <=( a6688a and a6683a ); a6693a <=( (not A299) and A298 ); a6694a <=( A202 and a6693a ); a6698a <=( (not A302) and (not A301) ); a6699a <=( (not A300) and a6698a ); a6700a <=( a6699a and a6694a ); a6703a <=( (not A168) and A169 ); a6707a <=( A201 and A200 ); a6708a <=( (not A199) and a6707a ); a6709a <=( a6708a and a6703a ); a6713a <=( A299 and (not A298) ); a6714a <=( A202 and a6713a ); a6718a <=( (not A302) and (not A301) ); a6719a <=( (not A300) and a6718a ); a6720a <=( a6719a and a6714a ); a6723a <=( (not A168) and A169 ); a6727a <=( A201 and A200 ); a6728a <=( (not A199) and a6727a ); a6729a <=( a6728a and a6723a ); a6733a <=( (not A299) and A298 ); a6734a <=( A203 and a6733a ); a6738a <=( (not A302) and (not A301) ); a6739a <=( (not A300) and a6738a ); a6740a <=( a6739a and a6734a ); a6743a <=( (not A168) and A169 ); a6747a <=( A201 and A200 ); a6748a <=( (not A199) and a6747a ); a6749a <=( a6748a and a6743a ); a6753a <=( A299 and (not A298) ); a6754a <=( A203 and a6753a ); a6758a <=( (not A302) and (not A301) ); a6759a <=( (not A300) and a6758a ); a6760a <=( a6759a and a6754a ); a6763a <=( (not A168) and A169 ); a6767a <=( (not A201) and A200 ); a6768a <=( (not A199) and a6767a ); a6769a <=( a6768a and a6763a ); a6773a <=( A298 and (not A203) ); a6774a <=( (not A202) and a6773a ); a6778a <=( A301 and A300 ); a6779a <=( (not A299) and a6778a ); a6780a <=( a6779a and a6774a ); a6783a <=( (not A168) and A169 ); a6787a <=( (not A201) and A200 ); a6788a <=( (not A199) and a6787a ); a6789a <=( a6788a and a6783a ); a6793a <=( A298 and (not A203) ); a6794a <=( (not A202) and a6793a ); a6798a <=( A302 and A300 ); a6799a <=( (not A299) and a6798a ); a6800a <=( a6799a and a6794a ); a6803a <=( (not A168) and A169 ); a6807a <=( (not A201) and A200 ); a6808a <=( (not A199) and a6807a ); a6809a <=( a6808a and a6803a ); a6813a <=( (not A298) and (not A203) ); a6814a <=( (not A202) and a6813a ); a6818a <=( A301 and A300 ); a6819a <=( A299 and a6818a ); a6820a <=( a6819a and a6814a ); a6823a <=( (not A168) and A169 ); a6827a <=( (not A201) and A200 ); a6828a <=( (not A199) and a6827a ); a6829a <=( a6828a and a6823a ); a6833a <=( (not A298) and (not A203) ); a6834a <=( (not A202) and a6833a ); a6838a <=( A302 and A300 ); a6839a <=( A299 and a6838a ); a6840a <=( a6839a and a6834a ); a6843a <=( (not A168) and A169 ); a6847a <=( A201 and (not A200) ); a6848a <=( A199 and a6847a ); a6849a <=( a6848a and a6843a ); a6853a <=( (not A299) and A298 ); a6854a <=( A202 and a6853a ); a6858a <=( (not A302) and (not A301) ); a6859a <=( (not A300) and a6858a ); a6860a <=( a6859a and a6854a ); a6863a <=( (not A168) and A169 ); a6867a <=( A201 and (not A200) ); a6868a <=( A199 and a6867a ); a6869a <=( a6868a and a6863a ); a6873a <=( A299 and (not A298) ); a6874a <=( A202 and a6873a ); a6878a <=( (not A302) and (not A301) ); a6879a <=( (not A300) and a6878a ); a6880a <=( a6879a and a6874a ); a6883a <=( (not A168) and A169 ); a6887a <=( A201 and (not A200) ); a6888a <=( A199 and a6887a ); a6889a <=( a6888a and a6883a ); a6893a <=( (not A299) and A298 ); a6894a <=( A203 and a6893a ); a6898a <=( (not A302) and (not A301) ); a6899a <=( (not A300) and a6898a ); a6900a <=( a6899a and a6894a ); a6903a <=( (not A168) and A169 ); a6907a <=( A201 and (not A200) ); a6908a <=( A199 and a6907a ); a6909a <=( a6908a and a6903a ); a6913a <=( A299 and (not A298) ); a6914a <=( A203 and a6913a ); a6918a <=( (not A302) and (not A301) ); a6919a <=( (not A300) and a6918a ); a6920a <=( a6919a and a6914a ); a6923a <=( (not A168) and A169 ); a6927a <=( (not A201) and (not A200) ); a6928a <=( A199 and a6927a ); a6929a <=( a6928a and a6923a ); a6933a <=( A298 and (not A203) ); a6934a <=( (not A202) and a6933a ); a6938a <=( A301 and A300 ); a6939a <=( (not A299) and a6938a ); a6940a <=( a6939a and a6934a ); a6943a <=( (not A168) and A169 ); a6947a <=( (not A201) and (not A200) ); a6948a <=( A199 and a6947a ); a6949a <=( a6948a and a6943a ); a6953a <=( A298 and (not A203) ); a6954a <=( (not A202) and a6953a ); a6958a <=( A302 and A300 ); a6959a <=( (not A299) and a6958a ); a6960a <=( a6959a and a6954a ); a6963a <=( (not A168) and A169 ); a6967a <=( (not A201) and (not A200) ); a6968a <=( A199 and a6967a ); a6969a <=( a6968a and a6963a ); a6973a <=( (not A298) and (not A203) ); a6974a <=( (not A202) and a6973a ); a6978a <=( A301 and A300 ); a6979a <=( A299 and a6978a ); a6980a <=( a6979a and a6974a ); a6983a <=( (not A168) and A169 ); a6987a <=( (not A201) and (not A200) ); a6988a <=( A199 and a6987a ); a6989a <=( a6988a and a6983a ); a6993a <=( (not A298) and (not A203) ); a6994a <=( (not A202) and a6993a ); a6998a <=( A302 and A300 ); a6999a <=( A299 and a6998a ); a7000a <=( a6999a and a6994a ); a7003a <=( (not A169) and A170 ); a7007a <=( A200 and (not A199) ); a7008a <=( A168 and a7007a ); a7009a <=( a7008a and a7003a ); a7013a <=( A298 and A202 ); a7014a <=( A201 and a7013a ); a7018a <=( A301 and A300 ); a7019a <=( (not A299) and a7018a ); a7020a <=( a7019a and a7014a ); a7023a <=( (not A169) and A170 ); a7027a <=( A200 and (not A199) ); a7028a <=( A168 and a7027a ); a7029a <=( a7028a and a7023a ); a7033a <=( A298 and A202 ); a7034a <=( A201 and a7033a ); a7038a <=( A302 and A300 ); a7039a <=( (not A299) and a7038a ); a7040a <=( a7039a and a7034a ); a7043a <=( (not A169) and A170 ); a7047a <=( A200 and (not A199) ); a7048a <=( A168 and a7047a ); a7049a <=( a7048a and a7043a ); a7053a <=( (not A298) and A202 ); a7054a <=( A201 and a7053a ); a7058a <=( A301 and A300 ); a7059a <=( A299 and a7058a ); a7060a <=( a7059a and a7054a ); a7063a <=( (not A169) and A170 ); a7067a <=( A200 and (not A199) ); a7068a <=( A168 and a7067a ); a7069a <=( a7068a and a7063a ); a7073a <=( (not A298) and A202 ); a7074a <=( A201 and a7073a ); a7078a <=( A302 and A300 ); a7079a <=( A299 and a7078a ); a7080a <=( a7079a and a7074a ); a7083a <=( (not A169) and A170 ); a7087a <=( A200 and (not A199) ); a7088a <=( A168 and a7087a ); a7089a <=( a7088a and a7083a ); a7093a <=( A298 and A203 ); a7094a <=( A201 and a7093a ); a7098a <=( A301 and A300 ); a7099a <=( (not A299) and a7098a ); a7100a <=( a7099a and a7094a ); a7103a <=( (not A169) and A170 ); a7107a <=( A200 and (not A199) ); a7108a <=( A168 and a7107a ); a7109a <=( a7108a and a7103a ); a7113a <=( A298 and A203 ); a7114a <=( A201 and a7113a ); a7118a <=( A302 and A300 ); a7119a <=( (not A299) and a7118a ); a7120a <=( a7119a and a7114a ); a7123a <=( (not A169) and A170 ); a7127a <=( A200 and (not A199) ); a7128a <=( A168 and a7127a ); a7129a <=( a7128a and a7123a ); a7133a <=( (not A298) and A203 ); a7134a <=( A201 and a7133a ); a7138a <=( A301 and A300 ); a7139a <=( A299 and a7138a ); a7140a <=( a7139a and a7134a ); a7143a <=( (not A169) and A170 ); a7147a <=( A200 and (not A199) ); a7148a <=( A168 and a7147a ); a7149a <=( a7148a and a7143a ); a7153a <=( (not A298) and A203 ); a7154a <=( A201 and a7153a ); a7158a <=( A302 and A300 ); a7159a <=( A299 and a7158a ); a7160a <=( a7159a and a7154a ); a7163a <=( (not A169) and A170 ); a7167a <=( (not A200) and A199 ); a7168a <=( A168 and a7167a ); a7169a <=( a7168a and a7163a ); a7173a <=( A298 and A202 ); a7174a <=( A201 and a7173a ); a7178a <=( A301 and A300 ); a7179a <=( (not A299) and a7178a ); a7180a <=( a7179a and a7174a ); a7183a <=( (not A169) and A170 ); a7187a <=( (not A200) and A199 ); a7188a <=( A168 and a7187a ); a7189a <=( a7188a and a7183a ); a7193a <=( A298 and A202 ); a7194a <=( A201 and a7193a ); a7198a <=( A302 and A300 ); a7199a <=( (not A299) and a7198a ); a7200a <=( a7199a and a7194a ); a7203a <=( (not A169) and A170 ); a7207a <=( (not A200) and A199 ); a7208a <=( A168 and a7207a ); a7209a <=( a7208a and a7203a ); a7213a <=( (not A298) and A202 ); a7214a <=( A201 and a7213a ); a7218a <=( A301 and A300 ); a7219a <=( A299 and a7218a ); a7220a <=( a7219a and a7214a ); a7223a <=( (not A169) and A170 ); a7227a <=( (not A200) and A199 ); a7228a <=( A168 and a7227a ); a7229a <=( a7228a and a7223a ); a7233a <=( (not A298) and A202 ); a7234a <=( A201 and a7233a ); a7238a <=( A302 and A300 ); a7239a <=( A299 and a7238a ); a7240a <=( a7239a and a7234a ); a7243a <=( (not A169) and A170 ); a7247a <=( (not A200) and A199 ); a7248a <=( A168 and a7247a ); a7249a <=( a7248a and a7243a ); a7253a <=( A298 and A203 ); a7254a <=( A201 and a7253a ); a7258a <=( A301 and A300 ); a7259a <=( (not A299) and a7258a ); a7260a <=( a7259a and a7254a ); a7263a <=( (not A169) and A170 ); a7267a <=( (not A200) and A199 ); a7268a <=( A168 and a7267a ); a7269a <=( a7268a and a7263a ); a7273a <=( A298 and A203 ); a7274a <=( A201 and a7273a ); a7278a <=( A302 and A300 ); a7279a <=( (not A299) and a7278a ); a7280a <=( a7279a and a7274a ); a7283a <=( (not A169) and A170 ); a7287a <=( (not A200) and A199 ); a7288a <=( A168 and a7287a ); a7289a <=( a7288a and a7283a ); a7293a <=( (not A298) and A203 ); a7294a <=( A201 and a7293a ); a7298a <=( A301 and A300 ); a7299a <=( A299 and a7298a ); a7300a <=( a7299a and a7294a ); a7303a <=( (not A169) and A170 ); a7307a <=( (not A200) and A199 ); a7308a <=( A168 and a7307a ); a7309a <=( a7308a and a7303a ); a7313a <=( (not A298) and A203 ); a7314a <=( A201 and a7313a ); a7318a <=( A302 and A300 ); a7319a <=( A299 and a7318a ); a7320a <=( a7319a and a7314a ); a7323a <=( (not A169) and A170 ); a7327a <=( (not A166) and A167 ); a7328a <=( (not A168) and a7327a ); a7329a <=( a7328a and a7323a ); a7333a <=( A298 and A202 ); a7334a <=( (not A201) and a7333a ); a7338a <=( A301 and A300 ); a7339a <=( (not A299) and a7338a ); a7340a <=( a7339a and a7334a ); a7343a <=( (not A169) and A170 ); a7347a <=( (not A166) and A167 ); a7348a <=( (not A168) and a7347a ); a7349a <=( a7348a and a7343a ); a7353a <=( A298 and A202 ); a7354a <=( (not A201) and a7353a ); a7358a <=( A302 and A300 ); a7359a <=( (not A299) and a7358a ); a7360a <=( a7359a and a7354a ); a7363a <=( (not A169) and A170 ); a7367a <=( (not A166) and A167 ); a7368a <=( (not A168) and a7367a ); a7369a <=( a7368a and a7363a ); a7373a <=( (not A298) and A202 ); a7374a <=( (not A201) and a7373a ); a7378a <=( A301 and A300 ); a7379a <=( A299 and a7378a ); a7380a <=( a7379a and a7374a ); a7383a <=( (not A169) and A170 ); a7387a <=( (not A166) and A167 ); a7388a <=( (not A168) and a7387a ); a7389a <=( a7388a and a7383a ); a7393a <=( (not A298) and A202 ); a7394a <=( (not A201) and a7393a ); a7398a <=( A302 and A300 ); a7399a <=( A299 and a7398a ); a7400a <=( a7399a and a7394a ); a7403a <=( (not A169) and A170 ); a7407a <=( (not A166) and A167 ); a7408a <=( (not A168) and a7407a ); a7409a <=( a7408a and a7403a ); a7413a <=( A298 and A203 ); a7414a <=( (not A201) and a7413a ); a7418a <=( A301 and A300 ); a7419a <=( (not A299) and a7418a ); a7420a <=( a7419a and a7414a ); a7423a <=( (not A169) and A170 ); a7427a <=( (not A166) and A167 ); a7428a <=( (not A168) and a7427a ); a7429a <=( a7428a and a7423a ); a7433a <=( A298 and A203 ); a7434a <=( (not A201) and a7433a ); a7438a <=( A302 and A300 ); a7439a <=( (not A299) and a7438a ); a7440a <=( a7439a and a7434a ); a7443a <=( (not A169) and A170 ); a7447a <=( (not A166) and A167 ); a7448a <=( (not A168) and a7447a ); a7449a <=( a7448a and a7443a ); a7453a <=( (not A298) and A203 ); a7454a <=( (not A201) and a7453a ); a7458a <=( A301 and A300 ); a7459a <=( A299 and a7458a ); a7460a <=( a7459a and a7454a ); a7463a <=( (not A169) and A170 ); a7467a <=( (not A166) and A167 ); a7468a <=( (not A168) and a7467a ); a7469a <=( a7468a and a7463a ); a7473a <=( (not A298) and A203 ); a7474a <=( (not A201) and a7473a ); a7478a <=( A302 and A300 ); a7479a <=( A299 and a7478a ); a7480a <=( a7479a and a7474a ); a7483a <=( (not A169) and A170 ); a7487a <=( (not A166) and A167 ); a7488a <=( (not A168) and a7487a ); a7489a <=( a7488a and a7483a ); a7493a <=( A298 and A200 ); a7494a <=( A199 and a7493a ); a7498a <=( A301 and A300 ); a7499a <=( (not A299) and a7498a ); a7500a <=( a7499a and a7494a ); a7503a <=( (not A169) and A170 ); a7507a <=( (not A166) and A167 ); a7508a <=( (not A168) and a7507a ); a7509a <=( a7508a and a7503a ); a7513a <=( A298 and A200 ); a7514a <=( A199 and a7513a ); a7518a <=( A302 and A300 ); a7519a <=( (not A299) and a7518a ); a7520a <=( a7519a and a7514a ); a7523a <=( (not A169) and A170 ); a7527a <=( (not A166) and A167 ); a7528a <=( (not A168) and a7527a ); a7529a <=( a7528a and a7523a ); a7533a <=( (not A298) and A200 ); a7534a <=( A199 and a7533a ); a7538a <=( A301 and A300 ); a7539a <=( A299 and a7538a ); a7540a <=( a7539a and a7534a ); a7543a <=( (not A169) and A170 ); a7547a <=( (not A166) and A167 ); a7548a <=( (not A168) and a7547a ); a7549a <=( a7548a and a7543a ); a7553a <=( (not A298) and A200 ); a7554a <=( A199 and a7553a ); a7558a <=( A302 and A300 ); a7559a <=( A299 and a7558a ); a7560a <=( a7559a and a7554a ); a7563a <=( (not A169) and A170 ); a7567a <=( (not A166) and A167 ); a7568a <=( (not A168) and a7567a ); a7569a <=( a7568a and a7563a ); a7573a <=( A298 and (not A200) ); a7574a <=( (not A199) and a7573a ); a7578a <=( A301 and A300 ); a7579a <=( (not A299) and a7578a ); a7580a <=( a7579a and a7574a ); a7583a <=( (not A169) and A170 ); a7587a <=( (not A166) and A167 ); a7588a <=( (not A168) and a7587a ); a7589a <=( a7588a and a7583a ); a7593a <=( A298 and (not A200) ); a7594a <=( (not A199) and a7593a ); a7598a <=( A302 and A300 ); a7599a <=( (not A299) and a7598a ); a7600a <=( a7599a and a7594a ); a7603a <=( (not A169) and A170 ); a7607a <=( (not A166) and A167 ); a7608a <=( (not A168) and a7607a ); a7609a <=( a7608a and a7603a ); a7613a <=( (not A298) and (not A200) ); a7614a <=( (not A199) and a7613a ); a7618a <=( A301 and A300 ); a7619a <=( A299 and a7618a ); a7620a <=( a7619a and a7614a ); a7623a <=( (not A169) and A170 ); a7627a <=( (not A166) and A167 ); a7628a <=( (not A168) and a7627a ); a7629a <=( a7628a and a7623a ); a7633a <=( (not A298) and (not A200) ); a7634a <=( (not A199) and a7633a ); a7638a <=( A302 and A300 ); a7639a <=( A299 and a7638a ); a7640a <=( a7639a and a7634a ); a7643a <=( (not A169) and A170 ); a7647a <=( A166 and (not A167) ); a7648a <=( (not A168) and a7647a ); a7649a <=( a7648a and a7643a ); a7653a <=( A298 and A202 ); a7654a <=( (not A201) and a7653a ); a7658a <=( A301 and A300 ); a7659a <=( (not A299) and a7658a ); a7660a <=( a7659a and a7654a ); a7663a <=( (not A169) and A170 ); a7667a <=( A166 and (not A167) ); a7668a <=( (not A168) and a7667a ); a7669a <=( a7668a and a7663a ); a7673a <=( A298 and A202 ); a7674a <=( (not A201) and a7673a ); a7678a <=( A302 and A300 ); a7679a <=( (not A299) and a7678a ); a7680a <=( a7679a and a7674a ); a7683a <=( (not A169) and A170 ); a7687a <=( A166 and (not A167) ); a7688a <=( (not A168) and a7687a ); a7689a <=( a7688a and a7683a ); a7693a <=( (not A298) and A202 ); a7694a <=( (not A201) and a7693a ); a7698a <=( A301 and A300 ); a7699a <=( A299 and a7698a ); a7700a <=( a7699a and a7694a ); a7703a <=( (not A169) and A170 ); a7707a <=( A166 and (not A167) ); a7708a <=( (not A168) and a7707a ); a7709a <=( a7708a and a7703a ); a7713a <=( (not A298) and A202 ); a7714a <=( (not A201) and a7713a ); a7718a <=( A302 and A300 ); a7719a <=( A299 and a7718a ); a7720a <=( a7719a and a7714a ); a7723a <=( (not A169) and A170 ); a7727a <=( A166 and (not A167) ); a7728a <=( (not A168) and a7727a ); a7729a <=( a7728a and a7723a ); a7733a <=( A298 and A203 ); a7734a <=( (not A201) and a7733a ); a7738a <=( A301 and A300 ); a7739a <=( (not A299) and a7738a ); a7740a <=( a7739a and a7734a ); a7743a <=( (not A169) and A170 ); a7747a <=( A166 and (not A167) ); a7748a <=( (not A168) and a7747a ); a7749a <=( a7748a and a7743a ); a7753a <=( A298 and A203 ); a7754a <=( (not A201) and a7753a ); a7758a <=( A302 and A300 ); a7759a <=( (not A299) and a7758a ); a7760a <=( a7759a and a7754a ); a7763a <=( (not A169) and A170 ); a7767a <=( A166 and (not A167) ); a7768a <=( (not A168) and a7767a ); a7769a <=( a7768a and a7763a ); a7773a <=( (not A298) and A203 ); a7774a <=( (not A201) and a7773a ); a7778a <=( A301 and A300 ); a7779a <=( A299 and a7778a ); a7780a <=( a7779a and a7774a ); a7783a <=( (not A169) and A170 ); a7787a <=( A166 and (not A167) ); a7788a <=( (not A168) and a7787a ); a7789a <=( a7788a and a7783a ); a7793a <=( (not A298) and A203 ); a7794a <=( (not A201) and a7793a ); a7798a <=( A302 and A300 ); a7799a <=( A299 and a7798a ); a7800a <=( a7799a and a7794a ); a7803a <=( (not A169) and A170 ); a7807a <=( A166 and (not A167) ); a7808a <=( (not A168) and a7807a ); a7809a <=( a7808a and a7803a ); a7813a <=( A298 and A200 ); a7814a <=( A199 and a7813a ); a7818a <=( A301 and A300 ); a7819a <=( (not A299) and a7818a ); a7820a <=( a7819a and a7814a ); a7823a <=( (not A169) and A170 ); a7827a <=( A166 and (not A167) ); a7828a <=( (not A168) and a7827a ); a7829a <=( a7828a and a7823a ); a7833a <=( A298 and A200 ); a7834a <=( A199 and a7833a ); a7838a <=( A302 and A300 ); a7839a <=( (not A299) and a7838a ); a7840a <=( a7839a and a7834a ); a7843a <=( (not A169) and A170 ); a7847a <=( A166 and (not A167) ); a7848a <=( (not A168) and a7847a ); a7849a <=( a7848a and a7843a ); a7853a <=( (not A298) and A200 ); a7854a <=( A199 and a7853a ); a7858a <=( A301 and A300 ); a7859a <=( A299 and a7858a ); a7860a <=( a7859a and a7854a ); a7863a <=( (not A169) and A170 ); a7867a <=( A166 and (not A167) ); a7868a <=( (not A168) and a7867a ); a7869a <=( a7868a and a7863a ); a7873a <=( (not A298) and A200 ); a7874a <=( A199 and a7873a ); a7878a <=( A302 and A300 ); a7879a <=( A299 and a7878a ); a7880a <=( a7879a and a7874a ); a7883a <=( (not A169) and A170 ); a7887a <=( A166 and (not A167) ); a7888a <=( (not A168) and a7887a ); a7889a <=( a7888a and a7883a ); a7893a <=( A298 and (not A200) ); a7894a <=( (not A199) and a7893a ); a7898a <=( A301 and A300 ); a7899a <=( (not A299) and a7898a ); a7900a <=( a7899a and a7894a ); a7903a <=( (not A169) and A170 ); a7907a <=( A166 and (not A167) ); a7908a <=( (not A168) and a7907a ); a7909a <=( a7908a and a7903a ); a7913a <=( A298 and (not A200) ); a7914a <=( (not A199) and a7913a ); a7918a <=( A302 and A300 ); a7919a <=( (not A299) and a7918a ); a7920a <=( a7919a and a7914a ); a7923a <=( (not A169) and A170 ); a7927a <=( A166 and (not A167) ); a7928a <=( (not A168) and a7927a ); a7929a <=( a7928a and a7923a ); a7933a <=( (not A298) and (not A200) ); a7934a <=( (not A199) and a7933a ); a7938a <=( A301 and A300 ); a7939a <=( A299 and a7938a ); a7940a <=( a7939a and a7934a ); a7943a <=( (not A169) and A170 ); a7947a <=( A166 and (not A167) ); a7948a <=( (not A168) and a7947a ); a7949a <=( a7948a and a7943a ); a7953a <=( (not A298) and (not A200) ); a7954a <=( (not A199) and a7953a ); a7958a <=( A302 and A300 ); a7959a <=( A299 and a7958a ); a7960a <=( a7959a and a7954a ); a7964a <=( (not A199) and A166 ); a7965a <=( A167 and a7964a ); a7969a <=( (not A202) and (not A201) ); a7970a <=( A200 and a7969a ); a7971a <=( a7970a and a7965a ); a7975a <=( (not A299) and A298 ); a7976a <=( (not A203) and a7975a ); a7980a <=( (not A302) and (not A301) ); a7981a <=( (not A300) and a7980a ); a7982a <=( a7981a and a7976a ); a7986a <=( (not A199) and A166 ); a7987a <=( A167 and a7986a ); a7991a <=( (not A202) and (not A201) ); a7992a <=( A200 and a7991a ); a7993a <=( a7992a and a7987a ); a7997a <=( A299 and (not A298) ); a7998a <=( (not A203) and a7997a ); a8002a <=( (not A302) and (not A301) ); a8003a <=( (not A300) and a8002a ); a8004a <=( a8003a and a7998a ); a8008a <=( A199 and A166 ); a8009a <=( A167 and a8008a ); a8013a <=( (not A202) and (not A201) ); a8014a <=( (not A200) and a8013a ); a8015a <=( a8014a and a8009a ); a8019a <=( (not A299) and A298 ); a8020a <=( (not A203) and a8019a ); a8024a <=( (not A302) and (not A301) ); a8025a <=( (not A300) and a8024a ); a8026a <=( a8025a and a8020a ); a8030a <=( A199 and A166 ); a8031a <=( A167 and a8030a ); a8035a <=( (not A202) and (not A201) ); a8036a <=( (not A200) and a8035a ); a8037a <=( a8036a and a8031a ); a8041a <=( A299 and (not A298) ); a8042a <=( (not A203) and a8041a ); a8046a <=( (not A302) and (not A301) ); a8047a <=( (not A300) and a8046a ); a8048a <=( a8047a and a8042a ); a8052a <=( (not A199) and (not A166) ); a8053a <=( (not A167) and a8052a ); a8057a <=( (not A202) and (not A201) ); a8058a <=( A200 and a8057a ); a8059a <=( a8058a and a8053a ); a8063a <=( (not A299) and A298 ); a8064a <=( (not A203) and a8063a ); a8068a <=( (not A302) and (not A301) ); a8069a <=( (not A300) and a8068a ); a8070a <=( a8069a and a8064a ); a8074a <=( (not A199) and (not A166) ); a8075a <=( (not A167) and a8074a ); a8079a <=( (not A202) and (not A201) ); a8080a <=( A200 and a8079a ); a8081a <=( a8080a and a8075a ); a8085a <=( A299 and (not A298) ); a8086a <=( (not A203) and a8085a ); a8090a <=( (not A302) and (not A301) ); a8091a <=( (not A300) and a8090a ); a8092a <=( a8091a and a8086a ); a8096a <=( A199 and (not A166) ); a8097a <=( (not A167) and a8096a ); a8101a <=( (not A202) and (not A201) ); a8102a <=( (not A200) and a8101a ); a8103a <=( a8102a and a8097a ); a8107a <=( (not A299) and A298 ); a8108a <=( (not A203) and a8107a ); a8112a <=( (not A302) and (not A301) ); a8113a <=( (not A300) and a8112a ); a8114a <=( a8113a and a8108a ); a8118a <=( A199 and (not A166) ); a8119a <=( (not A167) and a8118a ); a8123a <=( (not A202) and (not A201) ); a8124a <=( (not A200) and a8123a ); a8125a <=( a8124a and a8119a ); a8129a <=( A299 and (not A298) ); a8130a <=( (not A203) and a8129a ); a8134a <=( (not A302) and (not A301) ); a8135a <=( (not A300) and a8134a ); a8136a <=( a8135a and a8130a ); a8140a <=( A167 and A168 ); a8141a <=( (not A170) and a8140a ); a8145a <=( (not A202) and A201 ); a8146a <=( (not A166) and a8145a ); a8147a <=( a8146a and a8141a ); a8151a <=( (not A299) and A298 ); a8152a <=( (not A203) and a8151a ); a8156a <=( (not A302) and (not A301) ); a8157a <=( (not A300) and a8156a ); a8158a <=( a8157a and a8152a ); a8162a <=( A167 and A168 ); a8163a <=( (not A170) and a8162a ); a8167a <=( (not A202) and A201 ); a8168a <=( (not A166) and a8167a ); a8169a <=( a8168a and a8163a ); a8173a <=( A299 and (not A298) ); a8174a <=( (not A203) and a8173a ); a8178a <=( (not A302) and (not A301) ); a8179a <=( (not A300) and a8178a ); a8180a <=( a8179a and a8174a ); a8184a <=( (not A167) and A168 ); a8185a <=( (not A170) and a8184a ); a8189a <=( (not A202) and A201 ); a8190a <=( A166 and a8189a ); a8191a <=( a8190a and a8185a ); a8195a <=( (not A299) and A298 ); a8196a <=( (not A203) and a8195a ); a8200a <=( (not A302) and (not A301) ); a8201a <=( (not A300) and a8200a ); a8202a <=( a8201a and a8196a ); a8206a <=( (not A167) and A168 ); a8207a <=( (not A170) and a8206a ); a8211a <=( (not A202) and A201 ); a8212a <=( A166 and a8211a ); a8213a <=( a8212a and a8207a ); a8217a <=( A299 and (not A298) ); a8218a <=( (not A203) and a8217a ); a8222a <=( (not A302) and (not A301) ); a8223a <=( (not A300) and a8222a ); a8224a <=( a8223a and a8218a ); a8228a <=( (not A199) and (not A168) ); a8229a <=( (not A170) and a8228a ); a8233a <=( (not A202) and (not A201) ); a8234a <=( A200 and a8233a ); a8235a <=( a8234a and a8229a ); a8239a <=( (not A299) and A298 ); a8240a <=( (not A203) and a8239a ); a8244a <=( (not A302) and (not A301) ); a8245a <=( (not A300) and a8244a ); a8246a <=( a8245a and a8240a ); a8250a <=( (not A199) and (not A168) ); a8251a <=( (not A170) and a8250a ); a8255a <=( (not A202) and (not A201) ); a8256a <=( A200 and a8255a ); a8257a <=( a8256a and a8251a ); a8261a <=( A299 and (not A298) ); a8262a <=( (not A203) and a8261a ); a8266a <=( (not A302) and (not A301) ); a8267a <=( (not A300) and a8266a ); a8268a <=( a8267a and a8262a ); a8272a <=( A199 and (not A168) ); a8273a <=( (not A170) and a8272a ); a8277a <=( (not A202) and (not A201) ); a8278a <=( (not A200) and a8277a ); a8279a <=( a8278a and a8273a ); a8283a <=( (not A299) and A298 ); a8284a <=( (not A203) and a8283a ); a8288a <=( (not A302) and (not A301) ); a8289a <=( (not A300) and a8288a ); a8290a <=( a8289a and a8284a ); a8294a <=( A199 and (not A168) ); a8295a <=( (not A170) and a8294a ); a8299a <=( (not A202) and (not A201) ); a8300a <=( (not A200) and a8299a ); a8301a <=( a8300a and a8295a ); a8305a <=( A299 and (not A298) ); a8306a <=( (not A203) and a8305a ); a8310a <=( (not A302) and (not A301) ); a8311a <=( (not A300) and a8310a ); a8312a <=( a8311a and a8306a ); a8316a <=( A167 and A168 ); a8317a <=( A169 and a8316a ); a8321a <=( (not A202) and A201 ); a8322a <=( (not A166) and a8321a ); a8323a <=( a8322a and a8317a ); a8327a <=( (not A299) and A298 ); a8328a <=( (not A203) and a8327a ); a8332a <=( (not A302) and (not A301) ); a8333a <=( (not A300) and a8332a ); a8334a <=( a8333a and a8328a ); a8338a <=( A167 and A168 ); a8339a <=( A169 and a8338a ); a8343a <=( (not A202) and A201 ); a8344a <=( (not A166) and a8343a ); a8345a <=( a8344a and a8339a ); a8349a <=( A299 and (not A298) ); a8350a <=( (not A203) and a8349a ); a8354a <=( (not A302) and (not A301) ); a8355a <=( (not A300) and a8354a ); a8356a <=( a8355a and a8350a ); a8360a <=( (not A167) and A168 ); a8361a <=( A169 and a8360a ); a8365a <=( (not A202) and A201 ); a8366a <=( A166 and a8365a ); a8367a <=( a8366a and a8361a ); a8371a <=( (not A299) and A298 ); a8372a <=( (not A203) and a8371a ); a8376a <=( (not A302) and (not A301) ); a8377a <=( (not A300) and a8376a ); a8378a <=( a8377a and a8372a ); a8382a <=( (not A167) and A168 ); a8383a <=( A169 and a8382a ); a8387a <=( (not A202) and A201 ); a8388a <=( A166 and a8387a ); a8389a <=( a8388a and a8383a ); a8393a <=( A299 and (not A298) ); a8394a <=( (not A203) and a8393a ); a8398a <=( (not A302) and (not A301) ); a8399a <=( (not A300) and a8398a ); a8400a <=( a8399a and a8394a ); a8404a <=( (not A199) and (not A168) ); a8405a <=( A169 and a8404a ); a8409a <=( (not A202) and (not A201) ); a8410a <=( A200 and a8409a ); a8411a <=( a8410a and a8405a ); a8415a <=( (not A299) and A298 ); a8416a <=( (not A203) and a8415a ); a8420a <=( (not A302) and (not A301) ); a8421a <=( (not A300) and a8420a ); a8422a <=( a8421a and a8416a ); a8426a <=( (not A199) and (not A168) ); a8427a <=( A169 and a8426a ); a8431a <=( (not A202) and (not A201) ); a8432a <=( A200 and a8431a ); a8433a <=( a8432a and a8427a ); a8437a <=( A299 and (not A298) ); a8438a <=( (not A203) and a8437a ); a8442a <=( (not A302) and (not A301) ); a8443a <=( (not A300) and a8442a ); a8444a <=( a8443a and a8438a ); a8448a <=( A199 and (not A168) ); a8449a <=( A169 and a8448a ); a8453a <=( (not A202) and (not A201) ); a8454a <=( (not A200) and a8453a ); a8455a <=( a8454a and a8449a ); a8459a <=( (not A299) and A298 ); a8460a <=( (not A203) and a8459a ); a8464a <=( (not A302) and (not A301) ); a8465a <=( (not A300) and a8464a ); a8466a <=( a8465a and a8460a ); a8470a <=( A199 and (not A168) ); a8471a <=( A169 and a8470a ); a8475a <=( (not A202) and (not A201) ); a8476a <=( (not A200) and a8475a ); a8477a <=( a8476a and a8471a ); a8481a <=( A299 and (not A298) ); a8482a <=( (not A203) and a8481a ); a8486a <=( (not A302) and (not A301) ); a8487a <=( (not A300) and a8486a ); a8488a <=( a8487a and a8482a ); a8492a <=( A168 and (not A169) ); a8493a <=( A170 and a8492a ); a8497a <=( A201 and A200 ); a8498a <=( (not A199) and a8497a ); a8499a <=( a8498a and a8493a ); a8503a <=( (not A299) and A298 ); a8504a <=( A202 and a8503a ); a8508a <=( (not A302) and (not A301) ); a8509a <=( (not A300) and a8508a ); a8510a <=( a8509a and a8504a ); a8514a <=( A168 and (not A169) ); a8515a <=( A170 and a8514a ); a8519a <=( A201 and A200 ); a8520a <=( (not A199) and a8519a ); a8521a <=( a8520a and a8515a ); a8525a <=( A299 and (not A298) ); a8526a <=( A202 and a8525a ); a8530a <=( (not A302) and (not A301) ); a8531a <=( (not A300) and a8530a ); a8532a <=( a8531a and a8526a ); a8536a <=( A168 and (not A169) ); a8537a <=( A170 and a8536a ); a8541a <=( A201 and A200 ); a8542a <=( (not A199) and a8541a ); a8543a <=( a8542a and a8537a ); a8547a <=( (not A299) and A298 ); a8548a <=( A203 and a8547a ); a8552a <=( (not A302) and (not A301) ); a8553a <=( (not A300) and a8552a ); a8554a <=( a8553a and a8548a ); a8558a <=( A168 and (not A169) ); a8559a <=( A170 and a8558a ); a8563a <=( A201 and A200 ); a8564a <=( (not A199) and a8563a ); a8565a <=( a8564a and a8559a ); a8569a <=( A299 and (not A298) ); a8570a <=( A203 and a8569a ); a8574a <=( (not A302) and (not A301) ); a8575a <=( (not A300) and a8574a ); a8576a <=( a8575a and a8570a ); a8580a <=( A168 and (not A169) ); a8581a <=( A170 and a8580a ); a8585a <=( (not A201) and A200 ); a8586a <=( (not A199) and a8585a ); a8587a <=( a8586a and a8581a ); a8591a <=( A298 and (not A203) ); a8592a <=( (not A202) and a8591a ); a8596a <=( A301 and A300 ); a8597a <=( (not A299) and a8596a ); a8598a <=( a8597a and a8592a ); a8602a <=( A168 and (not A169) ); a8603a <=( A170 and a8602a ); a8607a <=( (not A201) and A200 ); a8608a <=( (not A199) and a8607a ); a8609a <=( a8608a and a8603a ); a8613a <=( A298 and (not A203) ); a8614a <=( (not A202) and a8613a ); a8618a <=( A302 and A300 ); a8619a <=( (not A299) and a8618a ); a8620a <=( a8619a and a8614a ); a8624a <=( A168 and (not A169) ); a8625a <=( A170 and a8624a ); a8629a <=( (not A201) and A200 ); a8630a <=( (not A199) and a8629a ); a8631a <=( a8630a and a8625a ); a8635a <=( (not A298) and (not A203) ); a8636a <=( (not A202) and a8635a ); a8640a <=( A301 and A300 ); a8641a <=( A299 and a8640a ); a8642a <=( a8641a and a8636a ); a8646a <=( A168 and (not A169) ); a8647a <=( A170 and a8646a ); a8651a <=( (not A201) and A200 ); a8652a <=( (not A199) and a8651a ); a8653a <=( a8652a and a8647a ); a8657a <=( (not A298) and (not A203) ); a8658a <=( (not A202) and a8657a ); a8662a <=( A302 and A300 ); a8663a <=( A299 and a8662a ); a8664a <=( a8663a and a8658a ); a8668a <=( A168 and (not A169) ); a8669a <=( A170 and a8668a ); a8673a <=( A201 and (not A200) ); a8674a <=( A199 and a8673a ); a8675a <=( a8674a and a8669a ); a8679a <=( (not A299) and A298 ); a8680a <=( A202 and a8679a ); a8684a <=( (not A302) and (not A301) ); a8685a <=( (not A300) and a8684a ); a8686a <=( a8685a and a8680a ); a8690a <=( A168 and (not A169) ); a8691a <=( A170 and a8690a ); a8695a <=( A201 and (not A200) ); a8696a <=( A199 and a8695a ); a8697a <=( a8696a and a8691a ); a8701a <=( A299 and (not A298) ); a8702a <=( A202 and a8701a ); a8706a <=( (not A302) and (not A301) ); a8707a <=( (not A300) and a8706a ); a8708a <=( a8707a and a8702a ); a8712a <=( A168 and (not A169) ); a8713a <=( A170 and a8712a ); a8717a <=( A201 and (not A200) ); a8718a <=( A199 and a8717a ); a8719a <=( a8718a and a8713a ); a8723a <=( (not A299) and A298 ); a8724a <=( A203 and a8723a ); a8728a <=( (not A302) and (not A301) ); a8729a <=( (not A300) and a8728a ); a8730a <=( a8729a and a8724a ); a8734a <=( A168 and (not A169) ); a8735a <=( A170 and a8734a ); a8739a <=( A201 and (not A200) ); a8740a <=( A199 and a8739a ); a8741a <=( a8740a and a8735a ); a8745a <=( A299 and (not A298) ); a8746a <=( A203 and a8745a ); a8750a <=( (not A302) and (not A301) ); a8751a <=( (not A300) and a8750a ); a8752a <=( a8751a and a8746a ); a8756a <=( A168 and (not A169) ); a8757a <=( A170 and a8756a ); a8761a <=( (not A201) and (not A200) ); a8762a <=( A199 and a8761a ); a8763a <=( a8762a and a8757a ); a8767a <=( A298 and (not A203) ); a8768a <=( (not A202) and a8767a ); a8772a <=( A301 and A300 ); a8773a <=( (not A299) and a8772a ); a8774a <=( a8773a and a8768a ); a8778a <=( A168 and (not A169) ); a8779a <=( A170 and a8778a ); a8783a <=( (not A201) and (not A200) ); a8784a <=( A199 and a8783a ); a8785a <=( a8784a and a8779a ); a8789a <=( A298 and (not A203) ); a8790a <=( (not A202) and a8789a ); a8794a <=( A302 and A300 ); a8795a <=( (not A299) and a8794a ); a8796a <=( a8795a and a8790a ); a8800a <=( A168 and (not A169) ); a8801a <=( A170 and a8800a ); a8805a <=( (not A201) and (not A200) ); a8806a <=( A199 and a8805a ); a8807a <=( a8806a and a8801a ); a8811a <=( (not A298) and (not A203) ); a8812a <=( (not A202) and a8811a ); a8816a <=( A301 and A300 ); a8817a <=( A299 and a8816a ); a8818a <=( a8817a and a8812a ); a8822a <=( A168 and (not A169) ); a8823a <=( A170 and a8822a ); a8827a <=( (not A201) and (not A200) ); a8828a <=( A199 and a8827a ); a8829a <=( a8828a and a8823a ); a8833a <=( (not A298) and (not A203) ); a8834a <=( (not A202) and a8833a ); a8838a <=( A302 and A300 ); a8839a <=( A299 and a8838a ); a8840a <=( a8839a and a8834a ); a8844a <=( (not A168) and (not A169) ); a8845a <=( A170 and a8844a ); a8849a <=( A201 and (not A166) ); a8850a <=( A167 and a8849a ); a8851a <=( a8850a and a8845a ); a8855a <=( A298 and (not A203) ); a8856a <=( (not A202) and a8855a ); a8860a <=( A301 and A300 ); a8861a <=( (not A299) and a8860a ); a8862a <=( a8861a and a8856a ); a8866a <=( (not A168) and (not A169) ); a8867a <=( A170 and a8866a ); a8871a <=( A201 and (not A166) ); a8872a <=( A167 and a8871a ); a8873a <=( a8872a and a8867a ); a8877a <=( A298 and (not A203) ); a8878a <=( (not A202) and a8877a ); a8882a <=( A302 and A300 ); a8883a <=( (not A299) and a8882a ); a8884a <=( a8883a and a8878a ); a8888a <=( (not A168) and (not A169) ); a8889a <=( A170 and a8888a ); a8893a <=( A201 and (not A166) ); a8894a <=( A167 and a8893a ); a8895a <=( a8894a and a8889a ); a8899a <=( (not A298) and (not A203) ); a8900a <=( (not A202) and a8899a ); a8904a <=( A301 and A300 ); a8905a <=( A299 and a8904a ); a8906a <=( a8905a and a8900a ); a8910a <=( (not A168) and (not A169) ); a8911a <=( A170 and a8910a ); a8915a <=( A201 and (not A166) ); a8916a <=( A167 and a8915a ); a8917a <=( a8916a and a8911a ); a8921a <=( (not A298) and (not A203) ); a8922a <=( (not A202) and a8921a ); a8926a <=( A302 and A300 ); a8927a <=( A299 and a8926a ); a8928a <=( a8927a and a8922a ); a8932a <=( (not A168) and (not A169) ); a8933a <=( A170 and a8932a ); a8937a <=( (not A201) and (not A166) ); a8938a <=( A167 and a8937a ); a8939a <=( a8938a and a8933a ); a8943a <=( (not A299) and A298 ); a8944a <=( A202 and a8943a ); a8948a <=( (not A302) and (not A301) ); a8949a <=( (not A300) and a8948a ); a8950a <=( a8949a and a8944a ); a8954a <=( (not A168) and (not A169) ); a8955a <=( A170 and a8954a ); a8959a <=( (not A201) and (not A166) ); a8960a <=( A167 and a8959a ); a8961a <=( a8960a and a8955a ); a8965a <=( A299 and (not A298) ); a8966a <=( A202 and a8965a ); a8970a <=( (not A302) and (not A301) ); a8971a <=( (not A300) and a8970a ); a8972a <=( a8971a and a8966a ); a8976a <=( (not A168) and (not A169) ); a8977a <=( A170 and a8976a ); a8981a <=( (not A201) and (not A166) ); a8982a <=( A167 and a8981a ); a8983a <=( a8982a and a8977a ); a8987a <=( (not A299) and A298 ); a8988a <=( A203 and a8987a ); a8992a <=( (not A302) and (not A301) ); a8993a <=( (not A300) and a8992a ); a8994a <=( a8993a and a8988a ); a8998a <=( (not A168) and (not A169) ); a8999a <=( A170 and a8998a ); a9003a <=( (not A201) and (not A166) ); a9004a <=( A167 and a9003a ); a9005a <=( a9004a and a8999a ); a9009a <=( A299 and (not A298) ); a9010a <=( A203 and a9009a ); a9014a <=( (not A302) and (not A301) ); a9015a <=( (not A300) and a9014a ); a9016a <=( a9015a and a9010a ); a9020a <=( (not A168) and (not A169) ); a9021a <=( A170 and a9020a ); a9025a <=( A199 and (not A166) ); a9026a <=( A167 and a9025a ); a9027a <=( a9026a and a9021a ); a9031a <=( (not A299) and A298 ); a9032a <=( A200 and a9031a ); a9036a <=( (not A302) and (not A301) ); a9037a <=( (not A300) and a9036a ); a9038a <=( a9037a and a9032a ); a9042a <=( (not A168) and (not A169) ); a9043a <=( A170 and a9042a ); a9047a <=( A199 and (not A166) ); a9048a <=( A167 and a9047a ); a9049a <=( a9048a and a9043a ); a9053a <=( A299 and (not A298) ); a9054a <=( A200 and a9053a ); a9058a <=( (not A302) and (not A301) ); a9059a <=( (not A300) and a9058a ); a9060a <=( a9059a and a9054a ); a9064a <=( (not A168) and (not A169) ); a9065a <=( A170 and a9064a ); a9069a <=( (not A199) and (not A166) ); a9070a <=( A167 and a9069a ); a9071a <=( a9070a and a9065a ); a9075a <=( (not A299) and A298 ); a9076a <=( (not A200) and a9075a ); a9080a <=( (not A302) and (not A301) ); a9081a <=( (not A300) and a9080a ); a9082a <=( a9081a and a9076a ); a9086a <=( (not A168) and (not A169) ); a9087a <=( A170 and a9086a ); a9091a <=( (not A199) and (not A166) ); a9092a <=( A167 and a9091a ); a9093a <=( a9092a and a9087a ); a9097a <=( A299 and (not A298) ); a9098a <=( (not A200) and a9097a ); a9102a <=( (not A302) and (not A301) ); a9103a <=( (not A300) and a9102a ); a9104a <=( a9103a and a9098a ); a9108a <=( (not A168) and (not A169) ); a9109a <=( A170 and a9108a ); a9113a <=( A201 and A166 ); a9114a <=( (not A167) and a9113a ); a9115a <=( a9114a and a9109a ); a9119a <=( A298 and (not A203) ); a9120a <=( (not A202) and a9119a ); a9124a <=( A301 and A300 ); a9125a <=( (not A299) and a9124a ); a9126a <=( a9125a and a9120a ); a9130a <=( (not A168) and (not A169) ); a9131a <=( A170 and a9130a ); a9135a <=( A201 and A166 ); a9136a <=( (not A167) and a9135a ); a9137a <=( a9136a and a9131a ); a9141a <=( A298 and (not A203) ); a9142a <=( (not A202) and a9141a ); a9146a <=( A302 and A300 ); a9147a <=( (not A299) and a9146a ); a9148a <=( a9147a and a9142a ); a9152a <=( (not A168) and (not A169) ); a9153a <=( A170 and a9152a ); a9157a <=( A201 and A166 ); a9158a <=( (not A167) and a9157a ); a9159a <=( a9158a and a9153a ); a9163a <=( (not A298) and (not A203) ); a9164a <=( (not A202) and a9163a ); a9168a <=( A301 and A300 ); a9169a <=( A299 and a9168a ); a9170a <=( a9169a and a9164a ); a9174a <=( (not A168) and (not A169) ); a9175a <=( A170 and a9174a ); a9179a <=( A201 and A166 ); a9180a <=( (not A167) and a9179a ); a9181a <=( a9180a and a9175a ); a9185a <=( (not A298) and (not A203) ); a9186a <=( (not A202) and a9185a ); a9190a <=( A302 and A300 ); a9191a <=( A299 and a9190a ); a9192a <=( a9191a and a9186a ); a9196a <=( (not A168) and (not A169) ); a9197a <=( A170 and a9196a ); a9201a <=( (not A201) and A166 ); a9202a <=( (not A167) and a9201a ); a9203a <=( a9202a and a9197a ); a9207a <=( (not A299) and A298 ); a9208a <=( A202 and a9207a ); a9212a <=( (not A302) and (not A301) ); a9213a <=( (not A300) and a9212a ); a9214a <=( a9213a and a9208a ); a9218a <=( (not A168) and (not A169) ); a9219a <=( A170 and a9218a ); a9223a <=( (not A201) and A166 ); a9224a <=( (not A167) and a9223a ); a9225a <=( a9224a and a9219a ); a9229a <=( A299 and (not A298) ); a9230a <=( A202 and a9229a ); a9234a <=( (not A302) and (not A301) ); a9235a <=( (not A300) and a9234a ); a9236a <=( a9235a and a9230a ); a9240a <=( (not A168) and (not A169) ); a9241a <=( A170 and a9240a ); a9245a <=( (not A201) and A166 ); a9246a <=( (not A167) and a9245a ); a9247a <=( a9246a and a9241a ); a9251a <=( (not A299) and A298 ); a9252a <=( A203 and a9251a ); a9256a <=( (not A302) and (not A301) ); a9257a <=( (not A300) and a9256a ); a9258a <=( a9257a and a9252a ); a9262a <=( (not A168) and (not A169) ); a9263a <=( A170 and a9262a ); a9267a <=( (not A201) and A166 ); a9268a <=( (not A167) and a9267a ); a9269a <=( a9268a and a9263a ); a9273a <=( A299 and (not A298) ); a9274a <=( A203 and a9273a ); a9278a <=( (not A302) and (not A301) ); a9279a <=( (not A300) and a9278a ); a9280a <=( a9279a and a9274a ); a9284a <=( (not A168) and (not A169) ); a9285a <=( A170 and a9284a ); a9289a <=( A199 and A166 ); a9290a <=( (not A167) and a9289a ); a9291a <=( a9290a and a9285a ); a9295a <=( (not A299) and A298 ); a9296a <=( A200 and a9295a ); a9300a <=( (not A302) and (not A301) ); a9301a <=( (not A300) and a9300a ); a9302a <=( a9301a and a9296a ); a9306a <=( (not A168) and (not A169) ); a9307a <=( A170 and a9306a ); a9311a <=( A199 and A166 ); a9312a <=( (not A167) and a9311a ); a9313a <=( a9312a and a9307a ); a9317a <=( A299 and (not A298) ); a9318a <=( A200 and a9317a ); a9322a <=( (not A302) and (not A301) ); a9323a <=( (not A300) and a9322a ); a9324a <=( a9323a and a9318a ); a9328a <=( (not A168) and (not A169) ); a9329a <=( A170 and a9328a ); a9333a <=( (not A199) and A166 ); a9334a <=( (not A167) and a9333a ); a9335a <=( a9334a and a9329a ); a9339a <=( (not A299) and A298 ); a9340a <=( (not A200) and a9339a ); a9344a <=( (not A302) and (not A301) ); a9345a <=( (not A300) and a9344a ); a9346a <=( a9345a and a9340a ); a9350a <=( (not A168) and (not A169) ); a9351a <=( A170 and a9350a ); a9355a <=( (not A199) and A166 ); a9356a <=( (not A167) and a9355a ); a9357a <=( a9356a and a9351a ); a9361a <=( A299 and (not A298) ); a9362a <=( (not A200) and a9361a ); a9366a <=( (not A302) and (not A301) ); a9367a <=( (not A300) and a9366a ); a9368a <=( a9367a and a9362a ); a9372a <=( A168 and (not A169) ); a9373a <=( A170 and a9372a ); a9377a <=( (not A201) and A200 ); a9378a <=( (not A199) and a9377a ); a9379a <=( a9378a and a9373a ); a9383a <=( A298 and (not A203) ); a9384a <=( (not A202) and a9383a ); a9387a <=( (not A300) and (not A299) ); a9390a <=( (not A302) and (not A301) ); a9391a <=( a9390a and a9387a ); a9392a <=( a9391a and a9384a ); a9396a <=( A168 and (not A169) ); a9397a <=( A170 and a9396a ); a9401a <=( (not A201) and A200 ); a9402a <=( (not A199) and a9401a ); a9403a <=( a9402a and a9397a ); a9407a <=( (not A298) and (not A203) ); a9408a <=( (not A202) and a9407a ); a9411a <=( (not A300) and A299 ); a9414a <=( (not A302) and (not A301) ); a9415a <=( a9414a and a9411a ); a9416a <=( a9415a and a9408a ); a9420a <=( A168 and (not A169) ); a9421a <=( A170 and a9420a ); a9425a <=( (not A201) and (not A200) ); a9426a <=( A199 and a9425a ); a9427a <=( a9426a and a9421a ); a9431a <=( A298 and (not A203) ); a9432a <=( (not A202) and a9431a ); a9435a <=( (not A300) and (not A299) ); a9438a <=( (not A302) and (not A301) ); a9439a <=( a9438a and a9435a ); a9440a <=( a9439a and a9432a ); a9444a <=( A168 and (not A169) ); a9445a <=( A170 and a9444a ); a9449a <=( (not A201) and (not A200) ); a9450a <=( A199 and a9449a ); a9451a <=( a9450a and a9445a ); a9455a <=( (not A298) and (not A203) ); a9456a <=( (not A202) and a9455a ); a9459a <=( (not A300) and A299 ); a9462a <=( (not A302) and (not A301) ); a9463a <=( a9462a and a9459a ); a9464a <=( a9463a and a9456a ); a9468a <=( (not A168) and (not A169) ); a9469a <=( A170 and a9468a ); a9473a <=( A201 and (not A166) ); a9474a <=( A167 and a9473a ); a9475a <=( a9474a and a9469a ); a9479a <=( A298 and (not A203) ); a9480a <=( (not A202) and a9479a ); a9483a <=( (not A300) and (not A299) ); a9486a <=( (not A302) and (not A301) ); a9487a <=( a9486a and a9483a ); a9488a <=( a9487a and a9480a ); a9492a <=( (not A168) and (not A169) ); a9493a <=( A170 and a9492a ); a9497a <=( A201 and (not A166) ); a9498a <=( A167 and a9497a ); a9499a <=( a9498a and a9493a ); a9503a <=( (not A298) and (not A203) ); a9504a <=( (not A202) and a9503a ); a9507a <=( (not A300) and A299 ); a9510a <=( (not A302) and (not A301) ); a9511a <=( a9510a and a9507a ); a9512a <=( a9511a and a9504a ); a9516a <=( (not A168) and (not A169) ); a9517a <=( A170 and a9516a ); a9521a <=( A201 and A166 ); a9522a <=( (not A167) and a9521a ); a9523a <=( a9522a and a9517a ); a9527a <=( A298 and (not A203) ); a9528a <=( (not A202) and a9527a ); a9531a <=( (not A300) and (not A299) ); a9534a <=( (not A302) and (not A301) ); a9535a <=( a9534a and a9531a ); a9536a <=( a9535a and a9528a ); a9540a <=( (not A168) and (not A169) ); a9541a <=( A170 and a9540a ); a9545a <=( A201 and A166 ); a9546a <=( (not A167) and a9545a ); a9547a <=( a9546a and a9541a ); a9551a <=( (not A298) and (not A203) ); a9552a <=( (not A202) and a9551a ); a9555a <=( (not A300) and A299 ); a9558a <=( (not A302) and (not A301) ); a9559a <=( a9558a and a9555a ); a9560a <=( a9559a and a9552a ); end x25_3x_behav;
gpl-3.0
468478da9a203497b24e0b4e8a7d7fc7
0.608335
2.13724
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/metaheurísticas/fir1_hype.vhd
1
3,613
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:30:38) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir1_hype_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir1_hype_entity; ARCHITECTURE fir1_hype_description OF fir1_hype_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 and input1; register2 := input2 and input2; register3 := input3 and input3; WHEN "00000010" => register2 := register2 * register3; register3 := input4 and input4; register4 := input5 and input5; register5 := input6 and input6; register6 := input7 and input7; WHEN "00000011" => register4 := register4 * register6; register6 := input8 and input8; register7 := input9 and input9; register8 := input10 and input10; register9 := input11 and input11; register10 := input12 and input12; register3 := register3 * register5; WHEN "00000100" => register5 := register9 * register6; register6 := input13 and input13; register1 := register1 * register10; register2 := register2 + register3; register3 := register7 * register8; register7 := input14 and input14; register8 := input15 and input15; WHEN "00000101" => register7 := register8 * register7; register3 := register4 + register3; register4 := input16 and input16; WHEN "00000110" => register4 := register4 * register6; register6 := input17 and input17; register8 := input18 and input18; register9 := input19 and input19; register1 := register5 + register1; register2 := register2 + register7; register5 := input20 and input20; WHEN "00000111" => register5 := register8 * register5; register6 := register6 * register9; register7 := input21 and input21; register8 := input22 and input22; WHEN "00001000" => register7 := register7 * register8; WHEN "00001001" => register2 := register7 + register2; WHEN "00001010" => register1 := register1 + register2; WHEN "00001011" => register1 := register1 + register6; WHEN "00001100" => register1 := register3 + register1; WHEN "00001101" => register1 := register1 + register4; WHEN "00001110" => register1 := register5 + register1; WHEN "00001111" => output1 <= register1 and register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir1_hype_description;
gpl-3.0
70c0cf08935ae0ef6d32805985ba01ee
0.678937
3.240359
false
false
false
false
Abeergit/UART
uart.vhd
1
2,320
-- -- UART Top Module -- uart_rx, uart_tx, baud_gen, parity_gen, fifo_buffer -- library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity uart is generic( DBIT : integer := 8; --Databits SB_TICK : integer := 16; --Stopbit ticks (16/24/32 -> 1, 1.5, 2) DVSR : integer := 16; -- Baud rate Divisor FIFO_W : integer := 2; -- # of FIFO Addressbits PARITY_EN : std_logic := '1' -- Parity enable(1) / disable(0) ); port( clk, reset : in std_logic; rd_uart, wr_uart : in std_logic; rx : in std_logic; w_data : in std_logic_vector(7 downto 0); tx_full, rx_empty : out std_logic; r_data : out std_logic_vector(7 downto 0); tx : out std_logic ); end uart; architecture main of uart is signal tick : std_logic; signal rx_done_tick : std_logic; signal tx_fifo_out : std_logic_vector(7 downto 0); signal rx_data_out : std_logic_vector(7 downto 0); signal tx_empty, tx_fifo_not_empty : std_logic; signal tx_done_tick : std_logic; signal parity_bit : std_logic; begin baud_gen_unit: entity work.baud_gen generic map( M=>DVSR) port map( clk => clk, reset => reset, max_tick => tick); uart_rx_unit: entity work.uart_rx generic map( DBIT => DBIT, SB_TICK => SB_TICK, PARITY_EN => PARITY_EN) port map( clk => clk, reset => reset, rx => rx, s_tick => tick, rx_done_tick => rx_done_tick, dout => rx_data_out, framing_error_tick => open, parity_error => open); fifo_rx_unit: entity work.fifo_buffer generic map( B => DBIT, W => FIFO_W) port map( clk => clk, reset => reset, rd => rd_uart, wr => rx_done_tick, w_data => rx_data_out, empty => rx_empty, full => open, r_data => r_data); uart_tx_unit: entity work.uart_tx generic map( DBIT => DBIT, SB_TICK => SB_TICK, PARITY_EN => PARITY_EN) port map( clk => clk, reset => reset, tx_start => tx_fifo_not_empty, s_tick => tick, din => tx_fifo_out, tx_done_tick => tx_done_tick, tx => tx, parity_bit => parity_bit); fifo_tx_unit: entity work.fifo_buffer generic map( B => DBIT, W => FIFO_W) port map( clk => clk, reset => reset, rd => tx_done_tick, wr => wr_uart, w_data => w_data, empty => tx_empty, full => tx_full, r_data => tx_fifo_out); parity_gen: entity work.parity_gen port map(vec_in => tx_fifo_out, parity_bit => parity_bit); tx_fifo_not_empty <= not tx_empty; end main;
mit
bac69294cd45f7e89165fd7600afc0cf
0.633621
2.609674
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_gate128.vhd
15
9,370
------------------------------------------------------------------------------- -- $Id: or_gate128.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_gate128.vhd - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_gate128.vhd -- Version: v1.00a -- Description: OR gate implementation -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- or_gate128.vhd -- ------------------------------------------------------------------------------- -- Author: B.L. Tise -- History: -- BLT 2001-05-23 First Version -- ^^^^^^ -- First version of OPB Bus. -- ~~~~~~ -- GAB 07/11/05 -- ^^^^^^ -- Adjusted range on C_BUS_WIDTH to support 128 bit dwidths -- Renamed to or_gate128.vhd -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; library proc_common_v4_0; use proc_common_v4_0.all; ------------------------------------------------------------------------------- -- Definition of Generics: -- C_OR_WIDTH -- Which Xilinx FPGA family to target when -- syntesizing, affect the RLOC string values -- C_BUS_WIDTH -- Which Y position the RLOC should start from -- -- Definition of Ports: -- A -- Input. Input buses are concatenated together to -- form input A. Example: to OR buses R, S, and T, -- assign A <= R & S & T; -- Y -- Output. Same width as input buses. -- ------------------------------------------------------------------------------- entity or_gate128 is generic ( C_OR_WIDTH : natural range 1 to 32 := 17; C_BUS_WIDTH : natural range 1 to 128 := 1; C_USE_LUT_OR : boolean := TRUE ); port ( A : in std_logic_vector(0 to C_OR_WIDTH*C_BUS_WIDTH-1); Y : out std_logic_vector(0 to C_BUS_WIDTH-1) ); end entity or_gate128; architecture imp of or_gate128 is ------------------------------------------------------------------------------- -- Component Declarations ------------------------------------------------------------------------------- component or_muxcy generic ( C_NUM_BITS : integer := 8 ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end component or_muxcy; signal test : std_logic_vector(0 to C_BUS_WIDTH-1); ------------------------------------------------------------------------------- -- Begin architecture ------------------------------------------------------------------------------- begin USE_LUT_OR_GEN: if C_USE_LUT_OR generate OR_PROCESS: process( A ) is variable yi : std_logic_vector(0 to (C_OR_WIDTH)); begin for j in 0 to C_BUS_WIDTH-1 loop yi(0) := '0'; for i in 0 to C_OR_WIDTH-1 loop yi(i+1) := yi(i) or A(i*C_BUS_WIDTH+j); end loop; Y(j) <= yi(C_OR_WIDTH); end loop; end process OR_PROCESS; end generate USE_LUT_OR_GEN; USE_MUXCY_OR_GEN: if not C_USE_LUT_OR generate BUS_WIDTH_FOR_GEN: for i in 0 to C_BUS_WIDTH-1 generate signal in_Bus : std_logic_vector(0 to C_OR_WIDTH-1); begin ORDER_INPUT_BUS_PROCESS: process( A ) is begin for k in 0 to C_OR_WIDTH-1 loop in_Bus(k) <= A(k*C_BUS_WIDTH+i); end loop; end process ORDER_INPUT_BUS_PROCESS; OR_BITS_I: or_muxcy generic map ( C_NUM_BITS => C_OR_WIDTH ) port map ( In_bus => in_Bus, --[in] Or_out => Y(i) --[out] ); end generate BUS_WIDTH_FOR_GEN; end generate USE_MUXCY_OR_GEN; end architecture imp;
apache-2.0
7bb274e4626d184deaef1e3bc3d6a5d9
0.415902
4.939378
false
false
false
false
jdryg/tis100cpu
node_port_writedec.vhd
1
1,404
-- Added these lines on rev. 42 in order to remove the commit message saying that -- there is a bug in the implementation, since the bug has been fixed in the same rev. library IEEE; use IEEE.STD_LOGIC_1164.ALL; entity node_port_writedec is Generic (WIDTH : integer := 8); Port ( I_clk : in STD_LOGIC; I_portID : in STD_LOGIC_VECTOR (2 downto 0); I_writeEnable : in STD_LOGIC; I_data : in STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_writeEnableUp : out STD_LOGIC; O_writeEnableDown : out STD_LOGIC; O_writeEnableLeft : out STD_LOGIC; O_writeEnableRight : out STD_LOGIC; O_dataUp : out STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataDown : out STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataLeft : out STD_LOGIC_VECTOR (WIDTH-1 downto 0); O_dataRight : out STD_LOGIC_VECTOR (WIDTH-1 downto 0)); end node_port_writedec; architecture Behavioral of node_port_writedec is begin O_writeEnableUp <= I_writeEnable when I_portID = "000" else '0'; O_writeEnableDown <= I_writeEnable when I_portID = "001" else '0'; O_writeEnableLeft <= I_writeEnable when I_portID = "010" else '0'; O_writeEnableRight <= I_writeEnable when I_portID = "011" else '0'; O_dataUp <= I_data; O_dataDown <= I_data; O_dataLeft <= I_data; O_dataRight <= I_data; end Behavioral;
mit
0145f076bf97236ae8b1e99c83c41d54
0.63604
3.288056
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/8-JPEG-SD/asap-alap-random/jpegsd_asap.vhd
1
4,395
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:39:22) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY jpegsd_asap_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18: IN unsigned(0 TO 3); output1, output2, output3: OUT unsigned(0 TO 4)); END jpegsd_asap_entity; ARCHITECTURE jpegsd_asap_description OF jpegsd_asap_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register11: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register12: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register13: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register14: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register15: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register16: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := ((NOT input1) + 1) XOR input1; register2 := input2 + 2; register3 := input3 + 3; register4 := input4 + 4; register5 := input5 + 5; register6 := input6 + 6; output1 <= input7 + 7; output2 <= input8 + 8; register7 := ((NOT input9) + 1) XOR input9; register8 := input10 + 10; register9 := input11 + 11; register10 := input12 + 12; register11 := input13 + 13; register12 := input14 + 14; register13 := ((NOT input15) + 1) XOR input15; register14 := ((NOT input16) + 1) XOR input16; register15 := input17 + 17; register16 := input18 + 18; WHEN "00000010" => register2 := ((NOT register2) + 1) XOR register2; register3 := ((NOT register3) + 1) XOR register3; register4 := ((NOT register4) + 1) XOR register4; register5 := ((NOT register5) + 1) XOR register5; register6 := ((NOT register6) + 1) XOR register6; register8 := ((NOT register8) + 1) XOR register8; register9 := ((NOT register9) + 1) XOR register9; register10 := ((NOT register10) + 1) XOR register10; register11 := ((NOT register11) + 1) XOR register11; register12 := ((NOT register12) + 1) XOR register12; register15 := ((NOT register15) + 1) XOR register15; register16 := ((NOT register16) + 1) XOR register16; WHEN "00000011" => register2 := register8 + register2; register3 := register7 + register3; register1 := register1 + register12; WHEN "00000100" => register2 := register2 + register16; register3 := register3 + register14; register1 := register1 + register13; WHEN "00000101" => register2 := register2 + register15; register3 := register3 + register10; register1 := register1 + register4; WHEN "00000110" => register3 := register3 + register5; register4 := register1 * 44; WHEN "00000111" => register3 := register3 + register9; WHEN "00001000" => register3 := register3 + register11; WHEN "00001001" => register3 := register3 + register6; WHEN "00001010" => register5 := register3 + 46; WHEN "00001011" => register2 := register3 + register5 + register2; WHEN "00001100" => register2 := register3 * register5 * register2; WHEN "00001101" => register2 := register4 + register2; WHEN "00001110" => register1 := register1 + register2; WHEN "00001111" => register1 := register1 srl 48; WHEN "00010000" => output3 <= register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END jpegsd_asap_description;
gpl-3.0
499c38ecd6a6c33d3d96149c451612df
0.659613
3.191721
false
false
false
false
marceloboeira/vhdl-examples
008-state-machine-calculator/_example/disp7seg.vhd
1
2,504
---------------------------------------------------------------------------------- -- Company: -- Engineer: -- -- Create Date: 19:13:01 06/13/2012 -- Design Name: -- Module Name: disp7segx4 - Behavioral -- Project Name: -- Target Devices: -- Tool versions: -- Description: -- -- Dependencies: -- -- Revision: -- Revision 0.01 - File Created -- Additional Comments: -- ---------------------------------------------------------------------------------- library IEEE; use IEEE.STD_LOGIC_1164.ALL; use IEEE.NUMERIC_STD.ALL; entity disp7seg is Port ( entrada : in STD_LOGIC_VECTOR (3 downto 0); clock : in std_logic; reset : in std_logic; saida_8segmentos : out STD_LOGIC_VECTOR (7 downto 0)); end disp7seg; architecture Behavioral of disp7seg is type vetor_de_10_std_logic_vector is array (0 to 15) of std_logic_vector (0 to 7); constant tabela_disp7seg : vetor_de_10_std_logic_vector := (("00000011"),("10011111"),("00100101"),("00001101"),("10011001"),("01001001"),("01000001"),("00011111"),("00000001"),("00001001"),("00010011"),("11000001"),("01100011"),("10000101"),("01100001"),("01110001")); -- abcdefgx begin process (clock, reset) begin if reset = '1' then saida_8segmentos <= "11111111"; -- apaga tudo elsif clock'event and clock = '1' then case entrada is when "0000" => saida_8segmentos <= tabela_disp7seg(0); when "0001" => saida_8segmentos <= tabela_disp7seg(1); when "0010" => saida_8segmentos <= tabela_disp7seg(2); when "0011" => saida_8segmentos <= tabela_disp7seg(3); when "0100" => saida_8segmentos <= tabela_disp7seg(4); when "0101" => saida_8segmentos <= tabela_disp7seg(5); when "0110" => saida_8segmentos <= tabela_disp7seg(6); when "0111" => saida_8segmentos <= tabela_disp7seg(7); when "1000" => saida_8segmentos <= tabela_disp7seg(8); when "1001" => saida_8segmentos <= tabela_disp7seg(9); when "1010" => saida_8segmentos <= tabela_disp7seg(10); when "1011" => saida_8segmentos <= tabela_disp7seg(11); when "1100" => saida_8segmentos <= tabela_disp7seg(12); when "1101" => saida_8segmentos <= tabela_disp7seg(13); when "1110" => saida_8segmentos <= tabela_disp7seg(14); when "1111" => saida_8segmentos <= tabela_disp7seg(15); when others => saida_8segmentos <= "11111110"; -- liga SO O PONTO end case; end if; end process; end Behavioral;
mit
620a7903fd54134e43742e72c87c162e
0.579473
3.218509
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/metaheurísticas/ewf_wsga.vhd
1
3,088
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_wsga_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_wsga_entity; ARCHITECTURE ewf_wsga_description OF ewf_wsga_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; WHEN "00000010" => register2 := register1 + 3; register3 := input2 + 4; WHEN "00000011" => register4 := register2 + 6; WHEN "00000100" => register4 := register3 + register4; WHEN "00000101" => register5 := register4 * 8; WHEN "00000110" => register5 := register2 + register5; register6 := register4 * 10; WHEN "00000111" => register4 := register4 + register5; register6 := register3 + register6; register2 := register2 + register5; WHEN "00001000" => output1 <= register6 + register4; register3 := register3 + register6; register2 := register2 * 13; WHEN "00001001" => register3 := register3 * 15; register2 := register1 + register2; WHEN "00001010" => register3 := register3 + 17; register1 := register1 + register2; register4 := register5 + register2; WHEN "00001011" => register4 := register4 + 19; register5 := register6 + register3; register1 := register1 * 21; register6 := register3 + 23; WHEN "00001100" => register6 := register6 * 25; register5 := register5 + 27; register1 := register1 + 29; register7 := register4 * 31; WHEN "00001101" => register8 := register5 * 33; register7 := register7 + 35; output2 <= register3 + register6; output3 <= register2 + register1; WHEN "00001110" => register1 := register8 + 39; output4 <= register4 + register7; WHEN "00001111" => output5 <= register5 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_wsga_description;
gpl-3.0
6e468f8746921555f324b17518a72e46
0.680376
3.415929
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pf_counter_top.vhd
15
8,369
------------------------------------------------------------------------------- -- $Id: pf_counter_top.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- pf_counter_top - entity/architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: pf_counter_top.vhd -- -- Description: Implements parameterized up/down counter -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- pf_counter_top.vhd -- ------------------------------------------------------------------------------- -- Author: D. Thorpe -- Revision: $Revision: 1.1.4.1 $ -- Date: $Date: 2010/09/14 22:35:47 $ -- -- History: -- DET 2001-08-30 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library IEEE; use IEEE.std_logic_1164.all; --Use IEEE.numeric_std.all; library unisim; use unisim.vcomponents.all; library proc_common_v4_0; use proc_common_v4_0.pf_counter; ----------------------------------------------------------------------------- -- Entity section ----------------------------------------------------------------------------- entity pf_counter_top is generic ( C_COUNT_WIDTH : integer := 10 ); port ( Clk : in std_logic; Rst : in std_logic; Load_Enable : in std_logic; Load_value : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Down : in std_logic; Count_Up : in std_logic; --Carry_Out : out std_logic; Count_Out : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end entity pf_counter_top; ----------------------------------------------------------------------------- -- Architecture section ----------------------------------------------------------------------------- architecture implementation of pf_counter_top is Signal sig_cnt_enable : std_logic; Signal sig_cnt_up_n_dwn : std_logic; Signal sig_carry_out : std_logic; Signal sig_count_out : std_logic_vector(0 to C_COUNT_WIDTH-1); begin -- VHDL_RTL -- Misc signal assignments Count_Out <= sig_count_out; --Carry_Out <= sig_carry_Out; sig_cnt_enable <= Count_Up xor Count_Down; sig_cnt_up_n_dwn <= not(Count_Up); I_UP_DWN_COUNTER : entity proc_common_v4_0.pf_counter generic map ( C_COUNT_WIDTH => C_COUNT_WIDTH ) port map( Clk => Clk, -- : in std_logic; Rst => Rst, -- : in std_logic; Carry_Out => sig_carry_out, -- : out std_logic; Load_In => Load_value, -- : in std_logic_vector(0 to C_COUNT_WIDTH-1); Count_Enable => sig_cnt_enable, -- : in std_logic; Count_Load => Load_Enable, -- : in std_logic; Count_Down => sig_cnt_up_n_dwn,-- : in std_logic; Count_Out => sig_count_out -- : out std_logic_vector(0 to C_COUNT_WIDTH-1) ); end architecture implementation;
apache-2.0
66b5556b16d6fcfadfcd0ec1973e3abe
0.422273
4.984515
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/metaheurísticas/fir1_femo.vhd
1
3,701
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:30:30) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY fir1_femo_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3); output1: OUT unsigned(0 TO 4)); END fir1_femo_entity; ARCHITECTURE fir1_femo_description OF fir1_femo_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register2: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register3: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register4: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register5: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register6: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register7: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register8: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register9: unsigned(0 TO 4) := "00000"; SHARED VARIABLE register10: unsigned(0 TO 4) := "00000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 and input1; register2 := input2 and input2; WHEN "00000010" => register3 := input3 and input3; register1 := register1 * register2; register2 := input4 and input4; WHEN "00000011" => register4 := input5 and input5; register5 := input6 and input6; register2 := register3 * register2; WHEN "00000100" => register3 := input7 and input7; register6 := input8 and input8; register4 := register4 * register5; WHEN "00000101" => register3 := register3 * register6; register5 := input9 and input9; register6 := input10 and input10; WHEN "00000110" => register7 := input11 and input11; WHEN "00000111" => register5 := register7 * register5; register1 := register2 + register1; register2 := input12 and input12; WHEN "00001000" => register2 := register6 * register2; register6 := input13 and input13; register7 := input14 and input14; WHEN "00001001" => register8 := input15 and input15; register9 := input16 and input16; WHEN "00001010" => register6 := register6 * register8; register8 := input17 and input17; register10 := input18 and input18; register2 := register3 + register2; WHEN "00001011" => register3 := register10 * register9; register7 := register7 * register8; register8 := input19 and input19; register9 := input20 and input20; WHEN "00001100" => register8 := register9 * register8; register9 := input21 and input21; register1 := register1 + register7; register7 := input22 and input22; WHEN "00001101" => register3 := register3 + register8; register1 := register4 + register1; WHEN "00001110" => register1 := register2 + register1; WHEN "00001111" => register1 := register1 + register6; register2 := register9 * register7; WHEN "00010000" => register1 := register3 + register1; WHEN "00010001" => register1 := register1 + register2; WHEN "00010010" => register1 := register5 + register1; WHEN "00010011" => output1 <= register1 and register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END fir1_femo_description;
gpl-3.0
19277d946fe196bfc935a0b0d7a597ad
0.675763
3.23797
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo_rbu.vhd
15
18,227
------------------------------------------------------------------------------- -- $Id: srl_fifo_rbu.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: srl_fifo_rbu.vhd -- -- Description: A small-depth FIFO with capability to back up and reread data. -- SRL16 primitives are used for the FIFO storage. -- -- Features: -- - Width (arbitrary) and depth (1..16) are -- instance selectable. -- - Commands: read, write, and reread n. -- - Flags: empty and full. -- - The reread n command (executed by applying -- a non-zero value, n, to signal Num_To_Reread -- for one clock period) allows n -- previously read elements to be restored to the FIFO, -- limited, however, to the number of elements that have -- not been overwritten. (User's responsibility to -- assure that the elements being restored -- are actually in the FIFO storage.) -- - Commands may be asserted simultaneously. -- However, if read and reread n are asserted -- simultaneously, only the read is carried out. -- - Overflow and underflow are detected and latched until -- Reset. The state of the FIFO is undefined during -------------------------------------------------------------------------------- -- status of underflow and overflow. If neither overflow -- nor underflow needs to be detected, the -- Overflow and Underflow output ports may be left open -- to allow the tools to optimize away the associated -- logic. -- - The resources needed to address the storage scale with -- selected depth. (e.g. a 7-deep FIFO gets by with -- one fewer address bits than an 8-deep, etc.) -- - The Addr output is always one less than the current -- occupancy when the FIFO is non-empty, and is all ones -- otherwise. -- -- Srl_fifo_rbu is a descendent of srl_fifo and srl_fifo2, -- but the internals are somewhat reworked. The essential -- new feature is the read-backup capability. Other -- differences are: -- -The Data_Exists signal of those FIFOs--which -- had meaning "fifo not empty"--is eliminated and -- signal FIFO_Empty is available to determine the -- empty/non-empty condition. -- -The Addr output has a different definition than the -- two ancestor FIFOs. (Srl_fifo and srl_fifo2 have -- addr=0 when the FIFO contains one element and when -- the FIFO is empty.) -- -The ancestor FIFOs inhibited FIFO operations that -- would have caused an overflow or underflow but -- did not report the error. This FIFO allows the -- operation (which puts the FIFO in an undefined state) -- but reports the error. -- -If the overflow and underflow flags are not used, -- srl_fifo_rbu has no size disadvantage compared to -- srl_fifo and srl_fifo2, despite the added capability -- of reread n. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- srl_fifo_rbu.vhd -- proc_common_pkg.vhd -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler for the enhancements relative to earlier -- srl_fifos. Original srl_fifo by Goran Bilski. -- -- History: -- FLO 05/01/02 First Version -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" library ieee; library unisim; library proc_common_v4_0; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.">="; use ieee.numeric_std.TO_UNSIGNED; use unisim.all; use proc_common_v4_0.proc_common_pkg.log2; entity srl_fifo_rbu is generic ( C_DWIDTH : positive := 8; C_DEPTH : positive := 16; C_XON : boolean := false -- for mixed mode sims ); port ( Clk : in std_logic; Reset : in std_logic; FIFO_Write : in std_logic; Data_In : in std_logic_vector(0 to C_DWIDTH-1); FIFO_Read : in std_logic; Data_Out : out std_logic_vector(0 to C_DWIDTH-1); FIFO_Full : out std_logic; FIFO_Empty : out std_logic; Addr : out std_logic_vector(0 to log2(C_DEPTH)-1); Num_To_Reread : in std_logic_vector(0 to log2(C_DEPTH)-1); Underflow : out std_logic; Overflow : out std_logic ); --Note: --ToDo, Num_To_Reread is a good candidate testcase for unconstrained ports. -- The user would specify--by the width of the signal that is hooked up to -- Num_To_Reread-- how many bits are needed for the reread count. -- If Num_To_Reread were hooked up to the null array, then the -- reread capability would be disabled. end entity srl_fifo_rbu; architecture imp of srl_fifo_rbu is component SRL16E is -- pragma translate_off generic ( INIT : bit_vector := X"0000" ); -- pragma translate_on port ( CE : in std_logic; D : in std_logic; Clk : in std_logic; A0 : in std_logic; A1 : in std_logic; A2 : in std_logic; A3 : in std_logic; Q : out std_logic); end component SRL16E; component MULT_AND port ( I0 : in std_logic; I1 : in std_logic; LO : out std_logic); end component; component MUXCY_L port ( DI : in std_logic; CI : in std_logic; S : in std_logic; LO : out std_logic); end component; component XORCY port ( LI : in std_logic; CI : in std_logic; O : out std_logic); end component; component FDS is port ( Q : out std_logic; C : in std_logic; D : in std_logic; S : in std_logic); end component FDS; --function log2(n: natural) return natural is -- variable i: integer := 1; -- variable r: integer := 0; --begin -- while i < n loop -- i := 2*i; r := r+1; -- end loop; -- return r; --end log2; function bitwise_or(s: std_logic_vector) return std_logic is variable v: std_logic := '0'; begin for i in s'range loop v := v or s(i); end loop; return v; end bitwise_or; constant ADDR_BITS : integer := log2(C_DEPTH); -- An extra bit will be carried as the empty flag. signal addr_i : std_logic_vector(ADDR_BITS downto 0); signal hsum_A : std_logic_vector(ADDR_BITS downto 0); signal addr_i_p1 : std_logic_vector(ADDR_BITS downto 0); signal num_to_reread_zeroext : std_logic_vector(ADDR_BITS downto 0); signal addr_cy : std_logic_vector(ADDR_BITS+1 downto 0); signal fifo_empty_i : std_logic; signal overflow_i : std_logic; signal underflow_i : std_logic; signal srl16_addr : std_logic_vector(3 downto 0); -- Used to zero high-order bits if C_DEPTH is 7 or less. begin -- architecture IMP ----------------------------------------------------------------------------- -- C_DEPTH is positive, which ensures the fifo is at least 1 element deep. -- Make sure it is not greater than 16 locations deep. ----------------------------------------------------------------------------- -- pragma translate_off assert C_DEPTH <= 16 report "SRL Fifo's must be 16 or less elements deep" severity FAILURE; -- pragma translate_on FULL_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset='1' then FIFO_Full <= '0'; else if addr_i_p1 = std_logic_vector( TO_UNSIGNED( C_DEPTH-1,ADDR_BITS+1 ) ) then FIFO_Full <= '1'; else FIFO_Full <= '0'; end if; end if; end if; end process; fifo_empty_i <= addr_i(ADDR_BITS); FIFO_Empty <= fifo_empty_i; process (Num_To_Reread) begin num_to_reread_zeroext <= (others => '0'); num_to_reread_zeroext(Num_To_Reread'length-1 downto 0) <= Num_To_Reread; end process; addr_cy(0) <= FIFO_Write; Addr_Counters : for I in 0 to ADDR_BITS generate hsum_A(I) <= ((FIFO_Read or num_to_reread_zeroext(i)) xor addr_i(I)); MUXCY_L_I : MUXCY_L port map ( DI => addr_i(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] S => hsum_A(I), -- [in std_logic] LO => addr_cy(I+1)); -- [out std_logic] XORCY_I : XORCY port map ( LI => hsum_A(I), -- [in std_logic] CI => addr_cy(I), -- [in std_logic] O => addr_i_p1(I)); -- [out std_logic] FDS_I : FDS port map ( Q => addr_i(I), -- [out std_logic] C => Clk, -- [in std_logic] D => addr_i_p1(I), -- [in std_logic] S => Reset); -- [in std_logic] end generate Addr_Counters; process (addr_i) begin srl16_addr <= (others => '0'); srl16_addr(ADDR_BITS-1 downto 0) <= addr_i(ADDR_BITS-1 downto 0); end process; FIFO_RAM : for I in 0 to C_DWIDTH-1 generate SRL16E_I : SRL16E -- pragma translate_off generic map ( INIT => x"0000") -- pragma translate_on port map ( CE => FIFO_Write, -- [in std_logic] D => Data_In(I), -- [in std_logic] Clk => Clk, -- [in std_logic] A0 => srl16_addr(0), -- [in std_logic] A1 => srl16_addr(1), -- [in std_logic] A2 => srl16_addr(2), -- [in std_logic] A3 => srl16_addr(3), -- [in std_logic] Q => Data_Out(I)); -- [out std_logic] end generate FIFO_RAM; Addr(0 to ADDR_BITS-1) <= addr_i(ADDR_BITS-1 downto 0); UNDERFLOW_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset = '1' then underflow_i <= '0'; elsif underflow_i = '1' then underflow_i <= '1'; -- Underflow sticks until reset else underflow_i <= fifo_empty_i and FIFO_Read; end if; end if; end process; Underflow <= underflow_i; ------------------------------------------------------------------------------ -- Overflow detection: -- The only case of non-erroneous operation for which addr_i (including -- the high-order bit used as the empty flag) taken as an unsigned value -- may be greater than or equal to C_DEPTH is when the FIFO is empty. -- No overflow is possible when FIFO_Read, since Num_To_Reread is -- overriden in this case and the number elements can at most remain -- unchanged (that being when there is a simultaneous FIFO_Write). -- However, when there is no FIFO_Read and but there is either a -- FIFO_Write or a restoration of one or more read elements, then -- addr_i becoming greater than or equal to C_DEPTH indicates an overflow. ------------------------------------------------------------------------------ OVERFLOW_PROCESS: process (Clk) begin if Clk'event and Clk='1' then if Reset = '1' then overflow_i <= '0'; elsif overflow_i = '1' then overflow_i <= '1'; -- Overflow sticks until Reset elsif FIFO_Read = '0' and (FIFO_Write= '1' or bitwise_or(Num_To_Reread)='1') and UNSIGNED(addr_i_p1) >= C_DEPTH then overflow_i <= '1'; else overflow_i <= '0'; end if; end if; end process; Overflow <= overflow_i; end architecture imp;
apache-2.0
b0ba1f6ed4fa57f59e110141fc264cdc
0.469962
4.483887
false
false
false
false
freecores/twofish
vhdl/twofish_ecb_vk_testbench_128bits.vhd
1
10,287
-- Twofish_ecb_vk_testbench_128bits.vhd -- Copyright (C) 2006 Spyros Ninos -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2 of the License, or -- (at your option) any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this library; see the file COPYING. If not, write to: -- -- Free Software Foundation -- 59 Temple Place - Suite 330 -- Boston, MA 02111-1307, USA. -- -- description : this file is the testbench for the VARIABLE KEY KAT of the twofish cipher with 128 bit key -- library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_textio.all; use ieee.std_logic_arith.all; use std.textio.all; entity vk_testbench128 is end vk_testbench128; architecture vk_encryption128_testbench_arch of vk_testbench128 is component reg128 port ( in_reg128 : in std_logic_vector(127 downto 0); out_reg128 : out std_logic_vector(127 downto 0); enable_reg128, reset_reg128, clk_reg128 : in std_logic ); end component; component twofish_keysched128 port ( odd_in_tk128, even_in_tk128 : in std_logic_vector(7 downto 0); in_key_tk128 : in std_logic_vector(127 downto 0); out_key_up_tk128, out_key_down_tk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_whit_keysched128 port ( in_key_twk128 : in std_logic_vector(127 downto 0); out_K0_twk128, out_K1_twk128, out_K2_twk128, out_K3_twk128, out_K4_twk128, out_K5_twk128, out_K6_twk128, out_K7_twk128 : out std_logic_vector(31 downto 0) ); end component; component twofish_encryption_round128 port ( in1_ter128, in2_ter128, in3_ter128, in4_ter128, in_Sfirst_ter128, in_Ssecond_ter128, in_key_up_ter128, in_key_down_ter128 : in std_logic_vector(31 downto 0); out1_ter128, out2_ter128, out3_ter128, out4_ter128 : out std_logic_vector(31 downto 0) ); end component; component twofish_data_input port ( in_tdi : in std_logic_vector(127 downto 0); out_tdi : out std_logic_vector(127 downto 0) ); end component; component twofish_data_output port ( in_tdo : in std_logic_vector(127 downto 0); out_tdo : out std_logic_vector(127 downto 0) ); end component; component demux128 port ( in_demux128 : in std_logic_vector(127 downto 0); out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0); selection_demux128 : in std_logic ); end component; component mux128 port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0); selection_mux128 : in std_logic; out_mux128 : out std_logic_vector(127 downto 0) ); end component; component twofish_S128 port ( in_key_ts128 : in std_logic_vector(127 downto 0); out_Sfirst_ts128, out_Ssecond_ts128 : out std_logic_vector(31 downto 0) ); end component; FILE input_file : text is in "twofish_ecb_vk_testvalues_128bits.txt"; FILE output_file : text is out "twofish_ecb_vk_128bits_results.txt"; -- we create the functions that transform a number to text -- transforming a signle digit to a character function digit_to_char(number : integer range 0 to 9) return character is begin case number is when 0 => return '0'; when 1 => return '1'; when 2 => return '2'; when 3 => return '3'; when 4 => return '4'; when 5 => return '5'; when 6 => return '6'; when 7 => return '7'; when 8 => return '8'; when 9 => return '9'; end case; end; -- transforming multi-digit number to text function to_text(int_number : integer range 1 to 129) return string is variable our_text : string (1 to 3) := (others => ' '); variable hundreds, tens, ones : integer range 0 to 9; begin ones := int_number mod 10; tens := ((int_number mod 100) - ones) / 10; hundreds := (int_number - (int_number mod 100)) / 100; our_text(1) := digit_to_char(hundreds); our_text(2) := digit_to_char(tens); our_text(3) := digit_to_char(ones); return our_text; end; signal odd_number, even_number : std_logic_vector(7 downto 0); signal input_data, output_data, twofish_key, to_encr_reg128, from_tdi_to_xors, to_output_whit_xors, from_xors_to_tdo, to_mux, to_demux, from_input_whit_xors, to_round, to_input_mux : std_logic_vector(127 downto 0) ; signal key_up, key_down, Sfirst, Ssecond, from_xor0, from_xor1, from_xor2, from_xor3, K0,K1,K2,K3, K4,K5,K6,K7 : std_logic_vector(31 downto 0); signal clk : std_logic := '0'; signal mux_selection : std_logic := '0'; signal demux_selection: std_logic := '0'; signal enable_encr_reg : std_logic := '0'; signal reset : std_logic := '0'; signal enable_round_reg : std_logic := '0'; -- begin the testbench arch description begin -- getting data to encrypt data_input: twofish_data_input port map ( in_tdi => input_data, out_tdi => from_tdi_to_xors ); -- producing whitening keys K0..7 the_whitening_step: twofish_whit_keysched128 port map ( in_key_twk128 => twofish_key, out_K0_twk128 => K0, out_K1_twk128 => K1, out_K2_twk128 => K2, out_K3_twk128 => K3, out_K4_twk128 => K4, out_K5_twk128 => K5, out_K6_twk128 => K6, out_K7_twk128 => K7 ); -- performing the input whitening XORs from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96); from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64); from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32); from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0); from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3; round_reg: reg128 port map ( in_reg128 => from_input_whit_xors, out_reg128 => to_input_mux, enable_reg128 => enable_round_reg, reset_reg128 => reset, clk_reg128 => clk ); input_mux: mux128 port map ( in1_mux128 => to_input_mux, in2_mux128 => to_mux, out_mux128 => to_round, selection_mux128 => mux_selection ); -- creating a round the_keysched_of_the_round: twofish_keysched128 port map ( odd_in_tk128 => odd_number, even_in_tk128 => even_number, in_key_tk128 => twofish_key, out_key_up_tk128 => key_up, out_key_down_tk128 => key_down ); producing_the_Skeys: twofish_S128 port map ( in_key_ts128 => twofish_key, out_Sfirst_ts128 => Sfirst, out_Ssecond_ts128 => Ssecond ); the_encryption_circuit: twofish_encryption_round128 port map ( in1_ter128 => to_round(127 downto 96), in2_ter128 => to_round(95 downto 64), in3_ter128 => to_round(63 downto 32), in4_ter128 => to_round(31 downto 0), in_Sfirst_ter128 => Sfirst, in_Ssecond_ter128 => Ssecond, in_key_up_ter128 => key_up, in_key_down_ter128 => key_down, out1_ter128 => to_encr_reg128(127 downto 96), out2_ter128 => to_encr_reg128(95 downto 64), out3_ter128 => to_encr_reg128(63 downto 32), out4_ter128 => to_encr_reg128(31 downto 0) ); encr_reg: reg128 port map ( in_reg128 => to_encr_reg128, out_reg128 => to_demux, enable_reg128 => enable_encr_reg, reset_reg128 => reset, clk_reg128 => clk ); output_demux: demux128 port map ( in_demux128 => to_demux, out1_demux128 => to_output_whit_xors, out2_demux128 => to_mux, selection_demux128 => demux_selection ); -- don't forget the last swap !!! from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32); from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0); from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96); from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64); taking_the_output: twofish_data_output port map ( in_tdo => from_xors_to_tdo, out_tdo => output_data ); -- we create the clock clk <= not clk after 50 ns; -- period 100 ns vk_proc: process variable key_f, -- key input from file ct_f : line; -- ciphertext from file variable key_v, -- key vector input ct_v : std_logic_vector(127 downto 0); -- ciphertext vector variable counter : integer range 1 to 129 := 1; -- counts the encryptions variable round : integer range 1 to 16 := 1; -- holds the rounds of encryption begin -- plaintext stays fixed to zero input_data <= (others => '0'); while not endfile(input_file) loop readline(input_file, key_f); readline(input_file,ct_f); hread(key_f,key_v); hread(ct_f,ct_v); twofish_key <= key_v; wait for 25 ns; reset <= '1'; wait for 50 ns; reset <= '0'; mux_selection <= '0'; demux_selection <= '1'; enable_encr_reg <= '0'; enable_round_reg <= '0'; wait for 50 ns; enable_round_reg <= '1'; wait for 50 ns; enable_round_reg <= '0'; -- the first round even_number <= "00001000"; -- 8 odd_number <= "00001001"; -- 9 wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; demux_selection <= '1'; mux_selection <= '1'; -- the rest 15 rounds for round in 1 to 15 loop even_number <= conv_std_logic_vector(((round*2)+8), 8); odd_number <= conv_std_logic_vector(((round*2)+9), 8); wait for 50 ns; enable_encr_reg <= '1'; wait for 50 ns; enable_encr_reg <= '0'; end loop; -- taking final results demux_selection <= '0'; wait for 25 ns; assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure; assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note; counter := counter+1; hwrite(ct_f,output_data); hwrite(key_f,key_v); writeline(output_file,key_f); writeline(output_file,ct_f); end loop; assert false report "***** Variable Key Known Answer Test with 128 bits key size ended succesfully! :) *****" severity failure; end process vk_proc; end vk_encryption128_testbench_arch;
gpl-2.0
a1c3f4f0aad09195bce7f8541cf57fd3
0.649655
2.692932
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_dc_as.vhd
5
10,777
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block TuTIgzswyfO1qFkkny/5zxOyAlx484Mbnf+ezNXCBatf/rY7MmjRpBC9C//G6svZHgv7O3ksv8b7 ws3dvePPZQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block nbe3BKnfOTiCQ32lYnjYz+CHLh5rGEsPGuwZaWw7GgleEIs7VKH/Rmvul8jT++TJ9xXQ2DLKYpx5 qeazr+WkkwjQu39PHiRPOBpMkGkDADClyAiH/zRFz6sd4lEYu7Q5sTuOo/Up4JU8Dt+UrwwVdK4Q X1ZQAbIHpFi0LEpxYS0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YFdHTGDSQh/v4Vg+WZmCdlA9Ir5DRTjyT2fS/to+4G8fz7XxplMWuaxLaqGho4+uKXj5QZatE41V 9kZcIFml117itXp4ZBByxaQ8lPDp+FqrFFUFZtxY+uhnuxGdgZsoirnu4IXcC5mq/iIn8V4mK+2B sxlMdcqZCHBRJno6hsZfuu4GUUn9jHjzxLUjDpsZsEMxQyTouuKRkrQpTEMhEdoDwN6BAgdsmPaS f0DwQDYtlOBXJjQItd0yDs5vEwNyW56n1jzzBJ0UVmk778KVUdHVGyVrxBrVs1l+TIq4aoCSJPWe uDXKmB2u/jdNlEDQ5LDy0hfrEPGNR9N+X5AWBQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block JCM38LfvuAakigUxdj6+eDxvvzGJu/R4HrsQuL7CyEj3U/n8Z6IHIkTOlbNqV5QEhtz8dEjF429G A1qxL5Schwh0Dcd5aOjzrUOLc8Lg2Xt+mknzqi3GE0sxz1XBu0avjtDuOgAszdl4lzGyzntncUqT smHo+8GnPGh2xVQn6uI= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block tMd7bEBVIZ2I+7kShBYOfQWu3r5kSFQzZkhKAMMrVUYKW2uGnyEXwIq1mdyZxqQPOYHXh13edCTr 7EmAUb3vHwMaW5inul8Up0+K0iARlSdJ2mIi0Ep4pq8ftpjTyeYtCw+X8tbigCoAw+nK86wKlmK0 mhS/0GNdhXqyHhPLQcxd9y21fDA9QGdvcRMPq1wWBSJW5YgEWXZS7q7owRIwOzYJCP9a+7q9KQJM 5rdrefm4VmBCWzJukdwhYLZ+Mqq2UeNvDY1hOyjmrMOsKYkG0MOufeT7d3pRKp2qhLUzPRRMlmkA BkeBX8vI5wJemhwP0oSx0UF2l5KRoGMatsJfig== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6240) `protect data_block u7an3AF13MqRlrXan/6lB1tM4AasUjS2t3HiOgi73PkvLmEt19WhJFmlyKnpKy/KSErJcNP3QH4b beHCn81BHmDFrPHU5AZ4OfV7wiejKSNi9XEO0Ojgbut0Xi6F6v6iTUlyRdTEdW6tIOZyxQSeKs19 /RnDoaYmfLWRMdIATGnbcp9ARI/YVwKOR66xd0hh/utyZY9T57lBKMtdKZ2nGT2uQ4Hv6j6jqdMo 9GNdn1iikd6+/8x8JL8sP/76ra8H+R026EFdyhZFclR1FhdfSSUJxlhO8L4rKB9IbxvWCtoA4sXO lq9LdQhEaHxnYSJlTainSTsZZ5v4crPQ1h4viKVnH1DX0E++ZVFrTteHHWokA6Jf4KkTlLhKhHue 1NdOE9B2yDQZOSqly7Y+FS3Si2vdWqG6CY7dzdq2lbYUfFhokB6Qp9UwxfDMEM8MgbuhOJ+9qtYt gpGalomzwVPh5O8XOuxmNYYDhZw3bmVs1iaRrC0w2xR/Rnl2obDzTW3At7C1M45NFbtL7RgtI1AJ khfQV29K3DjSOHVRCf2yiG3tUJ/g8JObDlt7Ghy9cRJkrW3AZqaBPReyBe0Ojv6A3D1y8g0iBrQ9 ayr6Fw18RyhRMiImcb+BiF07rhOH9nRGAB3QipRPp4w/6BxSwW1puc99YsRxbovqHetw14OnC4rz URppXGLDUknqGWwg/4QVv6CvvCnDSthCicUnrRiFDmvOMtxUxr/zKcoI1Wb2rsg7b19nsUa15QCh cv+XFs8uaM6107j6KrEuIVYGrGivTRV7s4z9KACw+jxI+Z5aKqPaJlAKw5ETx1DD7x7MNSUMzldl oT6zWdYVZYDcPL8UX+JxAhebRDvkc74oBhv35j4PBZ3gAnMJzPHXgjWahb6VomSfvqar4TXmQf3n P4nyrhPWmQPOMoHlg/snT2EGlfNlsnOLy6Q1WpJbGzwW4uvr+KhNwzMBVCQQpv07kv/EEfushf0Q Sn39JwzMyGfZUx2exNzXDK6g4AGhwWKUbiP5H04zqIoK1gFQrBhx0MNk3D64xG7bswefltvfXi/f RVtkIeAsXOD5NyMGZHO6ZHI4GVnATYZCkDhItxG2LW5rfS8D07nuJuAZo6Wrz3nCW3TniNwy88MA J8vPbzkphtGDKxc+YSSxH5ggw6TQm2vRpQn3LswxCgELNtcQ0ZnPeFEU+ercRJeBOQXJOUNPyBE5 azPrAzqFFBmd6VBVPT2xKCjOZb2/nyo1Y/1ePRn8DA7L24ZrfG4mWaELlXiEBchVW3N/ssCyDmQj nGG91LZCz0DyMCXF95Si6LGmYlUrVAh0iUmrsJiyVYFyhECkYyQ1yvfXsYSRLFeePqobNY74gCXT N8U9U6omGw20islsvkZxW/tqNpkhR1jwexpnAfBFX9yBGhzxaK0eN5rvuhKwEsoDpIjE7TkzORN/ ybZc6toMdYWgbteGp64JyPZC2hlr2LmlDwKEavxt/a6EznXaI/e+8/nm6QKNf8/NzHdCIO4k6vu2 iy8B++u05tkiJAMh7ISkQfu0iE9TTYmmV9sjM/OZR9rJG3hwQgbpil60fs/jDKHzuVi0w0Mn72Gd K/ZuiRyYX0glHS83ouQCfRvgEH9W09+Qnk237RdpUBLsiPUPO8cn82kFysvZiT8h0/6MVpoUqez/ ysNaHhxBQXyKqcCgf9CjLYvyNHaL7dI6jrnKKtvuWUh40psX7bbOTyLhsL4wMbi/2Vblyc6illY6 b+kD1SWGB0xI/NJ8HEiRU/yUd5DEyzErJcbkWfZ1LMjBKwfwvZFS/kQqYUriZnyPblAH/y9w4XDq TGhOlCMreQBLHlR5jGJkEOrMlyxCbktA/EkcKETL4x8g09eRl6PuzPWHZU1IbCpR7uCO0KFi7VFp MJkHsfVYgj+4PsTK/iUx1Ogh0D8Tl0CLvyik/2n6xugjDbikqHZ1F5YcB1hcnmvYcvNyJ6R2Ku8q gfaETFXXIVsJ6wqWq9UKCSu0wr2biaUsoRnW5RXjFzUcvahYiyosAHn4lIK1ofU+CLHHT9Yxk1JF yD7z0dPgG/Bgy3e0mUQrgjkvvXdb0gqoiu/8WjjHlTSVsmj6XLV/wliGR9fJHFCwbTHoDgAMvl0/ y10Xeyo4HOUWonqmm1yDANqdiECbbde6okbCzNaRNXp7FIbbCo1ZgNgJvRYU5J/lMmv+BdXtJSNB KkYB7nIr1uxrGvAaLPMa3vPQMZ2TvT8a1xn2gNr9QTQK19X7mllKBesTPU9Oby6FnIFEffaZH1t3 cVDUghLMHWZsmpRMo+EtNCyC6E2fKUjsqyy9lR/5nieMO9MVyoCPntQLAyLtwlOZ0+Y9xhh609Hb d9fRaMJ0P44PYEau+jp7+/VGLI/2WKXXkfbftWsjlKbAiiUNdEPrq5p/Csc4XyvbL94lc40X+6TV Ue/5/IGDLA+X7oRnnIWXB9JI+mxHQLOEYNLo4ob/G4zFB0l4DNxzyu4w3chgqI6WY2/62AJJ77Jb fu2w9mgPJWQ7JH7KshIaic2UL7zf8zeR0sWJngZ2TrohlektHz5CMp9FhbjEw0oeW/+0J+/7y+LS hxX+eU3XR1WrhoRvCaMNRZlkh8JoGe5VlRHTz9PIWgm+N5Jg4kaH+v2JIC5U1RNf9PWnu+znnOtF X9oEBLu+1Qj3jzjqhD0Dxj9Ey391VHidkgW0NwxP6mvodvfB5bQAtaouijr7AVJ8i/3VHYZ35fmC PWLGotRA08NX63/vaEascTdBMsQAFKWV30DGWQAu4ZZ/KWF0QwYXdtlugexPUWCaP+FR0YLJxALW iL5AcJ+g1SKKOf9dl/9XnRxQ/BY+WZEDtgcCQ6jfMY7r27yFaTad431GFYCboAEJk9Mc7/Zaoqyn 5HKoyVDgqcAmFLmRom1CxqkWU7AmuxUielFUnDw9vSF3RnhUYRNNm/c1RLC/+VJSMUSb/Q9QnTCS uRH/vudgnDOw/ZGas9w1wzKZ/CIalh1QxkJj5wbVi5cYnmbbt7N42cqiPQzsQ9/5Wqm+KrXH3gOC vjn0Agn+uWHS7A4xBmgq5xtIbAOkmRfChu+ObycB0zzD3u1vwvTPREt9gAlL1Vygkv6Hd6kaG+CX zJOG0Y31bzfPu2mI/ywR8HxGWX/o/x8YvW5yyfEH0+UJQhmOOXofFIQHoH0FvJpkkdooJ1/IS5n9 QyJm4HnU2FNvx+a+EtyKCbXJAQF5V/HKsV5Laqf1PK3JQpYYpBHxgoS96pKTNZzw47XOT4Mkuug+ eomXcW8potGA6mT8evvDiMFixFA9bqAhfDIQp3Z336AiLr8mD177zTlG3TNdI19ERzNYqPR80XDz VxMqk6PMo8lDT/9bSn4jVa/gM+/FchL44DVkWTkE3fDlp8R/iwoxmLre+spQPWiyjlogky7Kb+DX yzZqCH+y5E5vQG/E9AX8TSEkqJQAAUh4rnx0L3IMSCrmJEcc38PSdAKbB32n3kznDNlX/1XmuqxS pNQw8mBX/GT14C7m+8o+ynaSj9oV4/dAJufpCa+rFvmf6wKNym4k0E5C0Co6BpDPmI3jCFFi0pWf 1hoedGnTltjAHALIbWVqWQlNuPUrgAmF7U++7pu83wzdMx+mIUgPEdAEdDGxsDTnIgJpuL/RFdWS dLpzsMbbkjUkR80xXy4/Vjpak/H1a7RFbWriYvIv9s2iEeEiQZ3soNlEpdg6TXoF9716vJ3qIZ3l b0Mp2+E1ZUApzyGkgOt/CszrbBD/jPRhGOnYxmj7z87Eq/1rPoA35eqKBDftEEw27Pjjpmtsiu8I 3X8uWlVC5InueROrV3B85RynwTf9cCEJzNkb022tmwh+ouXrSEF8uTnnV35j6fUDj47JUnCItU+d LXG+udnf056ojov3Jmj7z7YJveYo6nwlTG0+/7Q2UYkWNa652RLUMlwRn/lm0gRplwCxlKrqk9eJ THwMBmbWPsfyXPMoQ9lZAKT9UPR1og+BY2a4c/rzm21bZz8gDUae8VBa2pyKK/7eDE6iV1oW/FNe rKDpUIkCy4tzk7R71yJxaxhzlF8yJJGqkRRlTmiIhK0Z37RcuQcJUVNOkvWM0V/zPXQk6MpitAPy fcy1f9R89ey4jMtyyEajW+E+d6GCt78nhOMXwCOot+Z8VFI5VIdBGA8dLKptk8g1BWxbFRMKbEDW sMoJqkVOs/gy6wZLEuM7nuVUzLnCIav9AjBYMs3PsHBDhYnVKWFb5/vPKq4rRA6iD3Of8cK+7lb+ Pk03rYQhU+rivNrBUzbA9PeLcX4rz5bfKOwqP+EYjn3vV4pj4eo+eNH9JN4L5MUEJt3X7IzjX1qr Dwd/iEO5qzymS6cQW9WtDXfRp7C521cnLN5SrLqRgOepk9PbbBC+izjSHbQvFC91GdnvYYRLvdm5 NLpB4ivtyreGwQFKTZnzNiATBaaQO6YoJpFlPyMwqHlY+SwLXZSQrCCR5+9CgpGDmZYom72RCvYU yyHctsNPcXrmfJpwTWTBBayWAbSnNC75c77LyDJ4eEmVv2lvLuqwCImw2R0pPl1sjoRMFogcsJQT pEm7Azoat9UZWDI5ymSEec66n9pAzifWkCBrpGLZpH1qYTo0LNqLK4c0iDnEHLurxIjWX8aOBi8T wSRkoH1jT35+6iwH3xH9op6X8aATbGsv7uSJWIA3UT3KE7Otmuwm7K7SjKr8TfYv2oq32RRJAqJL D3mxYNsPvn8puwtkTRNAZS/kQ7vUUeVwGiDuvo/3tDkaGnpJlKgwZoGs36EzhO+KXttivZUoyyei 8YrVPRHZsGDdS/MQNKMMkenoyM73ETdcsBEKzwckYt82LEXCzcwqH9oD4CogvjZL9cnc1OoIqRuf adHF8JMfNiaMwqhaWbaNw3IVeBekHd/SmE496QXEgABBtpq0F6P5pHedlBbirMPP1+CB3KfhhDxG i1TmOWj5bw/4j/A/2QxU+83Cjsthw1eP8YrO4gZrUusuZ/8wxO/NK3UQ5kYs2hjeFZyuwXNtcCCC St8FWyWLHB5ambTyzGFsvViaP6kIJcOa7MDEP8siWVYHZ2nfqdyIkmTzwTw4wEJ+unTcfzEdeKIa kyEKIl8HHkmZGAnU4K2zz4i7TnNEWqsK40ID2OZkyFeg+KENoOXTjMVfGib6y2QOseAcRh6duzj4 Pjz+ckGAjZUIwG/dNGGTwQCKTbwFMBb2y5R5JrlfgVbupk/YSrfZybeWzzMstclpirxGm+cRYQnV XGrP5I3CDZWyrk9ynrVCGNbSm22MebJ2iOeOWKtjbGMf4DO4Ck7tVWoQg3khA2du+qqYrKFvQmTN fj1/U9w65+ONVeg5q2TerDxmrMiawqwMAEqctlkJQpiv8T7KaVbAKEoQe1me7eqfcmDIcCZtldiV GL4GIi3kqTrUCqw4F7YRs83FFc5rly/CbK+0fEfh/3yqkWPOdvOKdJwKvBcJeUHr/ehWEr72roZh hTjm00BSRrvotGlRXJKgNsvCpBBGtDj4dKLVcF3bUEAGrOLFeY6EHrwg5qMwCwQa+CMsi6vIm/KW HG7QRVRZs2cW6Zk+6OQXBexqnNeVBghD1ix6Mgzn91yLvx9FWj5RcctsejYa4MRnMyurLUSW6bvQ MzqW7iFD0wQiLZkPgvLWwukXGrhYP9TI88QTTBgiUTF2eOUn1VYZSQ76CXMJ2UTdjxqMVx+wWKQh Gr4szFDxD4PUIHD44PGVsQx2+pPz0cwuEqliGz+WXlr92wWoO2k2zEqfbdWChYpHMnCyfaB8yXxH FrRQB+6u32uHcFnEUe25RaWEjsm8y2d3QgPj3tB95fwYmEe/N1IPp5cLSlPQq4UOVUEbGI2OJYqz 2dIzye+t0cUD3vGSU7Ln3HHcqJQnORJwkUJW43PrNxCpzUFnpDn4naA9M2nhlfyw9OqjrvZWztro bES19NEmPrbcx8O4BZzcegV1C2qKtEW6baVLpxF5kGK+pVRfT4b5H7I3+SITcT3H9mMnDuxVbTjL PdJz2lmtcJRIf5Ju1CqoDWJM1tfTNxFmKMgr+Do9t8MWvKZ5U4eZwd0Jyo7+2YX6XuYKYIXBpzIJ 78S7MWK9FR0a6JToU5Hvzdh1TMoIfzWiDcEyM7QY1fgTdL4UfyVcyt2MPfHvzYHCrqpsxbA7XfCT 4KfmJgtT5XdG/Y8xBAoT2WmVIiTjExNSPXDtZ4j19cg4xaCNTVEX5DPBija6O+8tbS4rfVtLyTdj xwvdbnmR1OiRW6g3mSSH+xOLoy2eyUi08s12x8VX2e5hYIu1eN1K/DExxXw0bJ4h6n/E9gwiiUC1 71ykqM31xMHq4Qkp4qTplvfi3VUHTsa5ATjE78iQUdA2j+rN7i8v35BP2+847Fd7B+I8zs6fAVMB XIV82Hju32SJLd9sbzaC7cV+qNGnZmkMTpRwTIPjm08cebJae/EsS9ywuySnItZKofRq2PgI65Ej OBPeMhSivL5GzR3zdp1/G4IyYdvoiM6poooFAsq3yiWgcR4MtTEoow5siaqE9fjJHFOuC2C9bwq4 3lxKaCEA27YIHUW/sE8bCRkhdwWsWWgEJgZHwvUWe1AbQlGnJ/9Dctb4LjZmY/kgrYcxzM1FSyPy iLGMgmqIDe59aifqhRKN1kUoldEObbcCzKB7s8QYhtvDfT/HgD9o4hjDwKfw9Vb/Yir6pt/xGPC6 12s9nEOKUZg9YTAqmn8pCDEwbXmQDTesATq9986pEYLSWbnFv4Xg1jH4DCr95khAU+I2wpLtlJe3 F0zYWJ93nFLdTWFRAhpE2GZzJmTHRHguRTaFqH1pXywG1u+v+7R6Cz9OIueHIOVZgtJcagb1jKES FLLgLx0fRuViV2fv7QtcHiQxk9sfQFnJql+3aA6FkSXwYZ9LsH0D55i3t4srAT1jXp8DRitePghU PXNrQuCdUcyjcreUf842g0XRNA52QFxl2j072T+DtK1CTVNkwvVjfdfXeBkz4xFaBs7LsxWr4MyV 4OjXht7xX7FxOFD59FGs7ueclXbK5FL6rGcVa6Qsb9JL5BzFacMZliJ643K86pUUw/I5RGesySdX kkGia+zinpCZrzO1ZEe3CzfAYEJW55jPX+9XEXvu6U8d/FYN7JIzH8LR+NOT/dQjPzYpfb0Mo/WU rTesXqNseC/2nhoehrSOio2ABu8VjV4FaDuo4hQccwepllmhS5o40jisx0ncdaUpPpeSrdyEDW7y 6I2rCRPu37KCW+DFDze0iqP/yciI7OrtQ4mqRtMf5+r18nsN0qq9f2AeDNsv+k4HGIyfhtSZ2i1a aQVmVurs6w29CAP/N1GVyTleUhODHK2cZE8XjptmcutK2n+w1t+spXetKjgfuhYoFuyyfyhUbPD3 w8VJhtH3tF5f/TRbla1+YTW6afqezIDUMtyJavUupl7bf4NOwoxkoSl9BHQ2t8dKRgjeY9D2p/X3 T+HNM6S1K9YzuwCUjfdwrjUVnhwQ60Ir/yFRkt/uPRWSYTOGvOKlRWj/20v2UXvDWhyKDeTzEz2W Ae7CITGiBihUCsfAdb0X0fE8fWMYf4oUbDT6Hw9GMEYlygh4PtmBEITVhYE4RHToyrFVjs7nESB5 KwRFclfWoiYxKumzYmveDEpOkR7mrvg3AQS7ZuUalg6Zfj6APNNchlwohcjcGLFwdJzV6cWgS3qV iDFv+6awbROZDVfq1LUzo5NlXa6Fk3T0fXSWe9BPKDtZWSPTEblo6HZ1l0GHPUK+KLnEnLOKPskk Zow1KFoPfbPHs4hZ2Ix/x2W0KgqIA6yzcupTCk+yldMwEgTk4ebskjlaaFv8tXd/k3xZKAM3JfNT mFNj86JWJ9N470WofmjSc0uEKrXi3cSETZKdVWhuO12i0/8r0vQoy47NMjctHg98A/1Y++EXcgF/ dE5tltf9rx5Xb9LS9RpvIvPpzjJUJRakTU/HP+p6y1ndwYhtHOm2q7rVp52IpLUdAgD45VV1jkcg Pj8r2ubjFtu6RW8hBsbNF8xvtum7e3elrAHj18i2+1/2k2GJNZ8fvrj/x91VWHsfcQPsa/BaCK0T GNSxWl6I3Es7XXo2HUGu3RYMFqQpmOq+JcfiDJmZc6fnx20NpqBnptgXZMLpbvPpi/WZGOaiW8Ww A3bB/ntSoQQV1faVq7g7VFxC5yP1vRJTKrZClO9HvfiaiDIjua62nuUxQa8cw9lcYZBGF+4Q2cET 9Qo4TNh/Vhcpplc161Bz+0ug382Qj1MqYHFbzMnJJO6lTmlj1SGLK5PPnF49YtlNDMpSVvxTcNOE jiADntshDGEBVJPqh5mn23mAOPKKXeu+vobm `protect end_protected
apache-2.0
51e5406f0038fdf5f77f1d63272c40f8
0.925397
1.881459
false
false
false
false
rcls/sdr
vhdl/downconvert.vhd
1
16,150
library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; use work.sincos.all; -- This does one half of the downconverter, either real or imaginary. entity dc1 is generic(minus_sin : boolean; gen_product : boolean := false); port (data : in signed14; gain : in unsigned(3 downto 0); product : out signed36; q : out mf_signed; phase : in unsigned(13 downto 0); clk : in std_logic; index : out unsigned(9 downto 0); packed : in unsigned18); end dc1; architecture dc1 of dc1 is constant width1 : integer := 48; constant width2 : integer := minimum(mf_width + 10, width1); --signal index : unsigned(9 downto 0); signal low, low_2 : unsigned(1 downto 0); signal minus : std_logic_vector(1 to 5); signal main, offset : unsigned18; signal data_3 : signed14; signal main_4, main_5, offset_4, offset_5, trig : signed18; signal data_4, data_5, data_6 : signed18; signal prod : signed36; signal buf : signed(width1 - 1 downto 0); signal buf_9, acc : signed(width2 - 1 downto 0); attribute use_dsp48 : string; attribute use_dsp48 of acc : signal is "no"; begin process begin wait until rising_edge(clk); -- Unpack the accumulator to the indexes and signs. -- Maybe we should have separate tables for -- sines and cosines... if minus_sin then if phase(12) = '1' then index <= not phase(11 downto 2); low <= not phase(1 downto 0); else index <= phase(11 downto 2); low <= phase(1 downto 0); end if; -- We are down-converting not up converting, so we want to use -sin. minus(1) <= not phase(13); else if phase(12) = '1' then index <= phase(11 downto 2); low <= phase(1 downto 0); else index <= not phase(11 downto 2); low <= not phase(1 downto 0); end if; minus(1) <= phase(13) xor phase(12); end if; minus(2 to 5) <= minus(1 to 4); -- Lookup the sin and cos tables. - done a level up. --packed <= sintable(to_integer(index)); low_2 <= low; -- Prepare the sin and cos. main <= packed and "00" & x"3fff"; offset <= resize(sinoffset(packed, low_2), 18); data_3 <= data; -- Apply gain(1,0) to sin & cos, & gain(2) to data. if gain(2) = '0' then data_4 <= resize(data_3, 18); else data_4 <= data_3 & "0000"; end if; main_4 <= signed(main) sll to_integer(gain(1 downto 0)); if minus(4) = '1' then offset_4 <= -signed(offset) sll to_integer(gain(1 downto 0)); else offset_4 <= signed(offset) sll to_integer(gain(1 downto 0)); end if; -- Buffer. main_5 <= main_4; offset_5 <= offset_4; data_5 <= data_4; -- Pre-add. if minus(5) = '1' then trig <= offset_5 - main_5; else trig <= offset_5 + main_5; end if; data_6 <= data_5; -- Multiply prod <= data_6 * trig; -- Post add (8). buf <= buf + prod; if gen_product then product <= prod; end if; -- Buffer. buf_9 <= buf(width1 - 1 downto width1 - width2); -- Second order accumulate, applying gain(3). if gain(3) = '0' then acc <= acc + buf_9; else acc <= acc + (buf_9 sll 8); end if; q <= acc(width2 - 1 downto width2 - mf_width); end process; end dc1; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; use work.sincos.all; entity downconvert is port (data : in signed14; gain : in unsigned8; xx, yy : out mf_signed; freq : in unsigned24; clk : in std_logic); end downconvert; architecture downconvert of downconvert is -- For the cosine/sine lookup, we take a 14 bit quantity. The first two bits -- determine the quadrant, the middle ten the table index, and the bottom -- two determine the fine adjustment. For cosine, the quadrant processing is: -- quadrant 00 : invert bottom 12 bits (rom index & fine adjust index). -- quadrant 01 : cosine is negative. -- quadrant 10 : invert bottom 12 bits, cosine is negative. -- quadrant 11 : as looked up. -- Sine is identical, except that the quadrant is processed differently: -- quadrant 00 : as looked up. -- quadrant 01 : invert bottom 12 bits. -- quadrant 10 : sin is negative. -- quadrant 11 : invert bottom 12 bits, sin is negative. -- The (co)sines are scaled to range from 0 to 2^14/pi (and sign bit). -- The average abs(sin) is 2/pi, after scaling 2^15/pi^2 -- Data sample is 13 bits plus sign, so worst case average multiplier -- output is signed 2^28/pi^2, [just under] 25 bits plus sign. (Before taking -- the shift due to 'gain' into account.) -- e.g., accumulating over 1024 samples needs 35 bits plus sign. -- second order accumulation needs 45 bits plus sign. Use all 48 bits... signal phase : unsigned24 := x"000000"; signal sin_index, cos_index : unsigned(9 downto 0); signal sin_packed, cos_packed : unsigned18; signal sintable : sinrom_t := sinrom; begin cos : entity work.dc1 generic map(false) port map(data, gain(3 downto 0), open, xx, phase(23 downto 10), clk, cos_index, cos_packed); sin : entity work.dc1 generic map(true) port map(data, gain(3 downto 0), open, yy, phase(23 downto 10), clk, sin_index, sin_packed); process begin wait until rising_edge(clk); phase <= phase + freq; cos_packed <= sintable(to_integer(cos_index)); sin_packed <= sintable(to_integer(sin_index)); end process; end downconvert; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; library work; use work.defs.all; use work.sincos.all; entity downconvertpll is port (data : in signed14; freq_in : in unsigned24; gain : in unsigned8; decay : in unsigned(3 downto 0); freq_in_strobe : in std_logic; xx, yy : out mf_signed; phasor : out unsigned18; freq_out : out unsigned(31 downto 0); error_out : out unsigned(31 downto 0); level_out : out unsigned(31 downto 0); out_strobe : in std_logic; clk : in std_logic); end downconvertpll; architecture downconvertpll of downconvertpll is -- Our control loop looks like: -- -- d/dt phase = freq + alpha error, -- d/dt freq = error -- d/dt error = -beta error - gamma phase -- -- (counting t in clk cycles). -- Eigenpolynomial is -- lambda**3 + beta lambda**2 + alpha gamma lambda + gamma. -- We are aiming for (lambda + beta/3)**3, -- i.e., alpha gamma = beta**2 / 3, gamma = beta**3 / 27, -- alpha = 9 / beta. -- For convenience, take alpha = 8/beta so we can use bit shifts. -- beta is 1/2 ** (beta_base + decay), -- alpha is 2 ** (alpha_base + decay). -- alpha_base = 14 = 3 + beta_base, beta_base = 11. -- [so beta is in range 1/2048 to 1/262144, might want to allow lower -- bandwidth] -- and we want gamma around 1/27 * 1/2 ** (3*beta_base + 3*decay) -- gamma is given by shifting and the multiplier scaling. -- We phase to number of cycles, and t to be discrete number of clock cycles, -- so that phase, freq and error can all be taken (mod 1). -- Around phase=0 [and gain=0], the normalisation of our "sin" function is -- d/dphase "sin"(phase) is 1/2 ** (-13), i.e, a step at -- bit (-14) produces a step of 2 LSB of the "sin". -- The overall mean multiplier of the trig multiply is halved and takes the -- gain signal into account giving a mean multiplier of -- 2 ** (gain + 12). -- gamma is then composed of that, the signal strength [in units of LSB], -- and a shift, i.e., we want -- 1/27 * 1/2 ** (3*beta_base + 3*decay) = strength * 2 ** (gain + 12) * shift -- 1 = 27 * strength * shift * 2 ** (gain + 12 + 3*beta_base + 3 * decay) -- Design for gain to be set so that strength * 2**gain = 2**10 -- = 2**target_width. -- Approximate 27 by 32, this gives -- 1 = shift * 2 ** (3*beta_base + 3 * decay + target_width + 17) -- shift = 1/2 ** (17 + 3*beta_base + target_width + 3 * decay), or -- The design above gives a shift going into error. -- It makes more sense to apply this coming out of error, than going in. -- Fixed point, range [0,1). MSB has weight 0.5. constant phase_width : integer := 32; signal phase : signed(phase_width - 1 downto 0) := (others => '0'); constant beta_base : integer := 8; constant alpha_base : integer := beta_base + 3; constant level_base : integer := beta_base + 3; -- Fixed point, MSB has weight 0.5. constant freq_width : integer := 56; constant freq_in_pad : signed(freq_width - 25 downto 0) := (others => '0'); signal freq : signed(freq_width - 1 downto 0) := x"642576" & freq_in_pad; -- Target signal strength. The signal level should be about target_width -- bits, after left shifting by the gain. constant target_width : integer := 10; -- Error (and level) are fix point with the LSB at position -- (- 60 - 3*decay + error_drop). constant error_width : integer := 32; constant level_width : integer := 40; constant error_drop : integer := 12; constant level_drop : integer := 12; signal error : signed(error_width - 1 downto 0); signal level : signed(level_width - 1 downto 0); -- These include an extra low bit for use in rounding. signal error_1 : signed(error_width - beta_base downto 0); signal level_1 : signed(level_width - level_base downto 0); -- For the error scaling we need to right shift by -- 17 + 3*beta_base + target_width + 3*decay - error_drop. -- For the alignment with freq, left shift by freq_width. -- We actually left shift by 33 by padding, right shift by 3*decay, -- and right shift by 50+3*beta_base+target_width-freq_width -- -error_drop by selecting. constant error_f_w : integer := error_width + 33; constant error_f_base : integer := 50 + 3 * beta_base + target_width - freq_width - error_drop; signal error_f1 : signed(error_f_w - 1 downto 0); signal sin_index, cos_index : unsigned(9 downto 0); signal sin_packed, cos_packed : unsigned18; signal sintable : sinrom_t := sinrom; alias cgain : unsigned(3 downto 0) is gain(3 downto 0); alias sgain : unsigned(3 downto 0) is gain(7 downto 4); signal sproduct, cproduct : signed36; signal sproduct_1, sdelta : signed(error_width - 1 downto 0); signal cproduct_1, cdelta : signed(level_width - 1 downto 0); signal sproduct_r, cproduct_r, sproduct_r2, cproduct_r2 : std_logic; -- For the error scaling we need to right shift by -- 17 + 3*beta_base + target_width + 3*decay - error_drop. -- For beta we need to left shift by alpha_base + decay. -- For the alignment with phase, left shift by phase_width. -- We actually pad by 22, and then right shift by 2*decay, and then -- right shift (by selection) by -- 39 + 3*beta_base + target_width - error_drop - alpha_base - phase_width. constant error_p_w : integer := error_width + 22; constant error_p_base : integer := 39 + 3*beta_base + target_width - error_drop - alpha_base - phase_width; constant error_p_max : integer := minimum(error_p_w, error_p_base + phase_width); signal error_p1 : signed(error_p_w - 1 downto 0); signal phase_a : signed(phase_width - 1 downto 0); signal base_phase : unsigned24; -- For some bloody stupid reason, the sra operator doesn't work. function ssra(val : signed; a : unsigned; m : integer := 1) return signed is variable v : signed(val'length + 11 * m - 1 downto 0); variable result : signed(val'length - 1 downto 0); variable aa : unsigned(3 downto 0); begin v := (others => val(val'left)); v(val'length - 1 downto 0) := val; result := (others => '0'); for i in 0 to 11 loop if to_integer(a) = i then result := v(val'length - 1 + i * m downto i * m); end if; end loop; return result; end ssra; function top(val : signed; n : integer) return signed is variable result : signed(n - 1 downto 0); begin result := val(val'left downto val'left + 1 - n); return result; end top; function topd(val : signed; n : integer) return std_logic is begin return val(val'left - n); end topd; begin cos : entity work.dc1 generic map(false, true) port map(data, cgain, cproduct, xx, unsigned(phase(phase_width - 1 downto phase_width - 14)), clk, cos_index, cos_packed); sin : entity work.dc1 generic map(true, true) port map(data, sgain, sproduct, yy, unsigned(phase(phase_width - 1 downto phase_width - 14)), clk, sin_index, sin_packed); process variable error_1b : signed(error_width - beta_base downto 0); variable level_1b : signed(level_width - level_base downto 0); variable error_f0, error_f2 : signed(error_f_w - 1 downto 0); variable error_p0, error_p2 : signed(error_p_w - 1 downto 0); begin wait until rising_edge(clk); cos_packed <= sintable(to_integer(cos_index)); sin_packed <= sintable(to_integer(sin_index)); sproduct_1 <= top(resize(sproduct, error_width + error_drop) sll to_integer(sgain and "1000"), error_width); sproduct_r <= topd(resize(sproduct, error_width + error_drop) sll to_integer(sgain and "1000"), error_width); cproduct_1 <= top(resize(cproduct, level_width + level_drop) sll to_integer(cgain and "1000"), level_width); cproduct_r <= topd(resize(cproduct, level_width + level_drop) sll to_integer(cgain and "1000"), level_width); error_1 <= ssra(error(error_width - 1 downto beta_base - 1), decay and "0011"); level_1 <= ssra(level(level_width - 1 downto level_base - 1), decay and "0011"); error_1b := ssra(error_1, decay and "1100"); level_1b := ssra(level_1, decay and "1100"); sdelta <= sproduct_1 - error_1b(error_width - beta_base downto 1) - ("0" & error_1b(0)); cdelta <= cproduct_1 - level_1b(level_width - level_base downto 1) - ("0" & level_1b(0)); sproduct_r2 <= sproduct_r; cproduct_r2 <= cproduct_r; error <= error + sdelta + ("0" & sproduct_r2); level <= level + cdelta + ("0" & cproduct_r2); error_f0 := (others => '0'); error_f0(error_f_w - 1 downto error_f_w - error_width) := error; error_f1 <= ssra(error_f0, decay and "0011", 3); error_f2 := ssra(error_f1, decay and "1100", 3); freq <= freq + error_f2(error_f_w - 1 downto error_f_base) + ("0" & error_f2(error_f_base - 1)); error_p0 := (others => '0'); error_p0(error_p_w - 1 downto error_p_w - error_width) := error; error_p1 <= ssra(error_p0, decay and "0011", 2); error_p2 := ssra(error_p1, decay and "1100", 2); phase_a <= freq(freq_width - 1 downto freq_width - phase_width) + error_p2(error_p_max - 1 downto error_p_base) + ("0" & error_p2(error_p_base - 1)); phase <= phase + phase_a; if freq_in_strobe = '1' then freq <= (signed(freq_in) & freq_in_pad) + error_f2(error_f_w - 1 downto error_f_base) + ("0" & error_f2(error_f_base - 1)); error <= (others => '0'); level <= (others => '0'); error_1 <= (others => '0'); level_1 <= (others => '0'); sdelta <= (others => '0'); cdelta <= (others => '0'); error_f1 <= (others => '0'); end if; if out_strobe = '1' then freq_out <= unsigned(freq(freq'left downto freq'left - 31)); error_out <= unsigned(error(error'left downto error'left - 31)); level_out <= unsigned(level(level'left downto level'left - 31)); end if; base_phase <= base_phase + freq_in; phasor <= unsigned(phase(phase_width - 1 downto phase_width - 18)) - base_phase(23 downto 6); end process; end downconvertpll;
gpl-3.0
2d4371b2e07d42d8ca8a51a40c815fa6
0.608483
3.382199
false
false
false
false
scriptum/geany
tests/ctags/test.vhd
91
192,381
package body badger is end package body; package body badger2 is end package body badger2; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity accumulator is port ( a: in std_logic_vector(3 downto 0); clk, reset: in std_logic; accum: out std_logic_vector(3 downto 0) ); end accumulator; architecture simple of accumulator is signal accumL: unsigned(3 downto 0); begin accumulate: process (clk, reset) begin if (reset = '1') then accumL <= "0000"; elsif (clk'event and clk= '1') then accumL <= accumL + to_unsigned(a); end if; end process; accum <= std_logic_vector(accumL); end simple; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity adder is port ( a,b : in std_logic_vector (15 downto 0); sum: out std_logic_vector (15 downto 0) ); end adder; architecture dataflow of adder is begin sum <= a + b; end dataflow; library IEEE; use IEEE.std_logic_1164.all; entity pAdderAttr is generic(n : integer := 8); port (a : in std_logic_vector(n - 1 downto 0); b : in std_logic_vector(n - 1 downto 0); cin : in std_logic; sum : out std_logic_vector(n - 1 downto 0); cout : out std_logic); end pAdderAttr; architecture loopDemo of pAdderAttr is begin process(a, b, cin) variable carry: std_logic_vector(sum'length downto 0); variable localSum: std_logic_vector(sum'high downto 0); begin carry(0) := cin; for i in sum'reverse_range loop localSum(i) := (a(i) xor b(i)) xor carry(i); carry(i + 1) := (a(i) and b(i)) or (carry(i) and (a(i) or b(i))); end loop; sum <= localSum; cout <= carry(carry'high - 1); end process; end loopDemo; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adder is port ( a,b: in unsigned(3 downto 0); sum: out unsigned(3 downto 0) ); end adder; architecture simple of adder is begin sum <= a + b; end simple; library IEEE; use IEEE.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; entity AND2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end AND2; architecture rtl of AND2 is begin y <= '1' when i1 = '1' and i2 = '1' else '0'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity asyncLoad is port ( loadVal, d: in std_logic_vector(3 downto 0); clk, load: in std_logic; q: out std_logic_vector(3 downto 0) ); end asyncLoad; architecture rtl of asyncLoad is begin process (clk, load, loadVal) begin if (load = '1') then q <= loadVal; elsif (clk'event and clk = '1' ) then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity BidirBuf is port ( OE: in std_logic; input: in std_logic_vector; output: out std_logic_vector ); end BidirBuf; architecture behavioral of BidirBuf is begin bidirBuf: process (OE, input) begin if (OE = '1') then output <= input; else output <= (others => 'Z'); end if; end process; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity BidirCnt is port ( OE: in std_logic; CntEnable: in std_logic; LdCnt: in std_logic; Clk: in std_logic; Rst: in std_logic; Cnt: inout std_logic_vector(3 downto 0) ); end BidirCnt; architecture behavioral of BidirCnt is component LoadCnt port ( CntEn: in std_logic; LdCnt: in std_logic; LdData: in std_logic_vector(3 downto 0); Clk: in std_logic; Rst: in std_logic; CntVal: out std_logic_vector(3 downto 0) ); end component; component BidirBuf port ( OE: in std_logic; input: in std_logic_vector; output: inout std_logic_vector ); end component; signal CntVal: std_logic_vector(3 downto 0); signal LoadVal: std_logic_vector(3 downto 0); begin u1: loadcnt port map (CntEn => CntEnable, LdCnt => LdCnt, LdData => LoadVal, Clk => Clk, Rst => Rst, CntVal => CntVal ); u2: bidirbuf port map (OE => oe, input => CntVal, output => Cnt ); LoadVal <= Cnt; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity BIDIR is port ( ip: in std_logic; oe: in std_logic; op_fb: out std_logic; op: inout std_logic ); end BIDIR; architecture rtl of BIDIR is begin op <= ip when oe = '1' else 'Z'; op_fb <= op; end rtl; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity bidirbuffer is port ( input: in std_logic; enable: in std_logic; feedback: out std_logic; output: inout std_logic ); end bidirbuffer; architecture structural of bidirbuffer is begin u1: bidir port map (ip => input, oe => enable, op_fb => feedback, op => output ); end structural; library IEEE; use IEEE.std_logic_1164.all; entity clkGen is port ( clk: in std_logic; reset: in std_logic; ClkDiv2, ClkDiv4, ClkDiv6,ClkDiv8: out std_logic ); end clkGen; architecture behav of clkGen is subtype numClks is std_logic_vector(1 to 4); subtype numPatterns is integer range 0 to 11; type clkTableType is array (numpatterns'low to numPatterns'high) of numClks; constant clkTable: clkTableType := clkTableType'( -- ClkDiv8______ -- ClkDiv6_____ | -- ClkDiv4____ || -- ClkDiv2 __ ||| -- |||| "1111", "0111", "1011", "0001", "1100", "0100", "1010", "0010", "1111", "0001", "1001", "0101"); signal index: numPatterns; begin lookupTable: process (clk, reset) begin if reset = '1' then index <= 0; elsif (clk'event and clk = '1') then if index = numPatterns'high then index <= numPatterns'low; else index <= index + 1; end if; end if; end process; (ClkDiv2,ClkDiv4,ClkDiv6,ClkDiv8) <= clkTable(index); end behav; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; enable: in std_logic; reset: in std_logic; count: buffer unsigned(3 downto 0) ); end counter; architecture simple of counter is begin increment: process (clk, reset) begin if reset = '1' then count <= "0000"; elsif(clk'event and clk = '1') then if enable = '1' then count <= count + 1; else count <= count; end if; end if; end process; end simple; library IEEE; use IEEE.std_logic_1164.all; use work.scaleable.all; entity count8 is port ( clk: in std_logic; rst: in std_logic; count: out std_logic_vector(7 downto 0) ); end count8; architecture structural of count8 is begin u1: scaleUpCnt port map (clk => clk, reset => rst, cnt => count ); end structural; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(0 to 9) ); end counter; architecture simple of counter is signal countL: unsigned(0 to 9); begin increment: process (clk, reset) begin if reset = '1' then countL <= to_unsigned(3,10); elsif(clk'event and clk = '1') then countL <= countL + 1; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(9 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(9 downto 0); begin increment: process (clk, reset) begin if reset = '1' then countL <= to_unsigned(0,10); elsif(clk'event and clk = '1') then countL <= countL + 1; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; load: in std_logic; enable: in std_logic; data: in std_logic_vector(3 downto 0); count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk, reset) begin if (reset = '1') then countL <= "0000"; elsif(clk'event and clk = '1') then if (load = '1') then countL <= to_unsigned(data); elsif (enable = '1') then countL <= countL + 1; end if; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; load: in std_logic; data: in std_logic_vector(3 downto 0); count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk, reset) begin if (reset = '1') then countL <= "0000"; elsif(clk'event and clk = '1') then if (load = '1') then countL <= to_unsigned(data); else countL <= countL + 1; end if; end if; end process; count <= std_logic_vector(countL); end simple; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity Cnt4Term is port ( clk: in std_logic; Cnt: out std_logic_vector(3 downto 0); TermCnt: out std_logic ); end Cnt4Term; architecture behavioral of Cnt4Term is signal CntL: unsigned(3 downto 0); begin increment: process begin wait until clk = '1'; CntL <= CntL + 1; end process; Cnt <= to_stdlogicvector(CntL); TermCnt <= '1' when CntL = "1111" else '0'; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity Counter is port ( clock: in std_logic; Count: out std_logic_vector(3 downto 0) ); end Counter; architecture structural of Counter is component Cnt4Term port ( clk: in std_logic; Cnt: out std_logic_vector(3 downto 0); TermCnt: out std_logic); end component; begin u1: Cnt4Term port map (clk => clock, Cnt => Count, TermCnt => open ); end structural; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk) begin if(clk'event and clk = '1') then if (reset = '1') then countL <= "0000"; else countL <= countL + 1; end if; end if; end process; count <= std_logic_vector(countL); end simple; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity convertArith is port ( truncate: out unsigned(3 downto 0); extend: out unsigned(15 downto 0); direction: out unsigned(0 to 7) ); end convertArith; architecture simple of convertArith is constant Const: unsigned(7 downto 0) := "00111010"; begin truncate <= resize(Const, truncate'length); extend <= resize(Const, extend'length); direction <= resize(Const, direction'length); end simple; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; architecture concurrent of FEWGATES is constant THREE: std_logic_vector(1 downto 0) := "11"; begin y <= '1' when (a & b = THREE) or (c & d /= THREE) else '0'; end concurrent; -- incorporates Errata 12.1 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity typeConvert is port ( a: out unsigned(7 downto 0) ); end typeConvert; architecture simple of typeConvert is constant Const: natural := 43; begin a <= To_unsigned(Const,8); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk) begin if (clk'event and clk = '1') then countL <= countL + 1; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(0 to 3) ); end counter; architecture simple of counter is signal countL: unsigned(0 to 3); begin increment: process (clk, reset) begin if reset = '1' then countL <= "1001"; elsif(clk'event and clk = '1') then countL <= countL + 1; end if; end process; count <= std_logic_vector(countL); end simple; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk, reset) begin if (reset = '1') then countL <= "0000"; elsif(clk'event and clk = '1') then countL <= countL + "001"; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk, reset) begin if reset = '1' then countL <= "1001"; elsif(clk'event and clk = '1') then countL <= countL + 1; end if; end process; count <= std_logic_vector(countL); end simple; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity counter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(3 downto 0) ); end counter; architecture simple of counter is signal countL: unsigned(3 downto 0); begin increment: process (clk, reset) begin if (reset = '1') then countL <= "1001"; elsif(clk'event and clk = '1') then countL <= countL + "0001"; end if; end process; count <= std_logic_vector(countL); end simple; library IEEE; use IEEE.std_logic_1164.all; use work.decProcs.all; entity decoder is port ( decIn: in std_logic_vector(1 downto 0); decOut: out std_logic_vector(3 downto 0) ); end decoder; architecture simple of decoder is begin DEC2x4(decIn,decOut); end simple; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); decOut_n: out std_logic_vector(5 downto 0) ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; alias sio_dec_n: std_logic is decOut_n(5); alias rst_ctrl_rd_n: std_logic is decOut_n(4); alias atc_stat_rd_n: std_logic is decOut_n(3); alias mgmt_stat_rd_n: std_logic is decOut_n(2); alias io_int_stat_rd_n: std_logic is decOut_n(1); alias int_ctrl_rd_n: std_logic is decOut_n(0); alias upper: std_logic_vector(2 downto 0) is dev_adr(19 downto 17); alias CtrlBits: std_logic_vector(16 downto 0) is dev_adr(16 downto 0); begin decoder: process (upper, CtrlBits) begin -- Set defaults for outputs - for synthesis reasons. sio_dec_n <= '1'; int_ctrl_rd_n <= '1'; io_int_stat_rd_n <= '1'; rst_ctrl_rd_n <= '1'; atc_stat_rd_n <= '1'; mgmt_stat_rd_n <= '1'; case upper is when SuperIoRange => sio_dec_n <= '0'; when CtrlRegRange => case CtrlBits is when IntCtrlReg => int_ctrl_rd_n <= '0'; when IoIntStatReg => io_int_stat_rd_n <= '0'; when RstCtrlReg => rst_ctrl_rd_n <= '0'; when AtcStatusReg => atc_stat_rd_n <= '0'; when MgmtStatusReg => mgmt_stat_rd_n <= '0'; when others => null; end case; when others => null; end case; end process decoder; end synthesis; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n: out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; begin decoder: process (dev_adr) begin -- Set defaults for outputs sio_dec_n <= '1'; int_ctrl_rd_n <= '1'; io_int_stat_rd_n <= '1'; rst_ctrl_rd_n <= '1'; atc_stat_rd_n <= '1'; mgmt_stat_rd_n <= '1'; case dev_adr(19 downto 17) is when SuperIoRange => sio_dec_n <= '0'; when CtrlRegRange => case dev_adr(16 downto 0) is when IntCtrlReg => int_ctrl_rd_n <= '0'; when IoIntStatReg => io_int_stat_rd_n <= '0'; when RstCtrlReg => rst_ctrl_rd_n <= '0'; when AtcStatusReg => atc_stat_rd_n <= '0'; when MgmtStatusReg => mgmt_stat_rd_n <= '0'; when others => null; end case; when others => null; end case; end process decoder; end synthesis; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n:out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; begin sio_dec_n <= '0' when dev_adr (19 downto 17) = SuperIORange else '1'; int_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange) and (dev_adr(16 downto 0) = IntCtrlReg) else '1'; io_int_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange) and (dev_adr(16 downto 0) = IoIntStatReg) else '1'; rst_ctrl_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange) and (dev_adr(16 downto 0) = RstCtrlReg) else '1'; atc_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange) and (dev_adr(16 downto 0) = AtcStatusReg) else '1'; mgmt_stat_rd_n <= '0' when (dev_adr (19 downto 17) = CtrlRegRange) and (dev_adr(16 downto 0) = MgmtStatusReg) else '1'; end synthesis; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); cs0_n: in std_logic; sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n: out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; begin decoder: process (dev_adr, cs0_n) begin -- Set defaults for outputs - for synthesis reasons. sio_dec_n <= '1'; int_ctrl_rd_n <= '1'; io_int_stat_rd_n <= '1'; rst_ctrl_rd_n <= '1'; atc_stat_rd_n <= '1'; mgmt_stat_rd_n <= '1'; if (cs0_n = '0') then case dev_adr(19 downto 17) is when SuperIoRange => sio_dec_n <= '0'; when CtrlRegRange => case dev_adr(16 downto 0) is when IntCtrlReg => int_ctrl_rd_n <= '0'; when IoIntStatReg => io_int_stat_rd_n <= '0'; when RstCtrlReg => rst_ctrl_rd_n <= '0'; when AtcStatusReg => atc_stat_rd_n <= '0'; when MgmtStatusReg => mgmt_stat_rd_n <= '0'; when others => null; end case; when others => null; end case; else null; end if; end process decoder; end synthesis; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); cs0_n: in std_logic; sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n: out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; signal Lsio_dec_n: std_logic; signal Lrst_ctrl_rd_n: std_logic; signal Latc_stat_rd_n: std_logic; signal Lmgmt_stat_rd_n: std_logic; signal Lio_int_stat_rd_n: std_logic; signal Lint_ctrl_rd_n: std_logic; begin decoder: process (dev_adr) begin -- Set defaults for outputs - for synthesis reasons. Lsio_dec_n <= '1'; Lint_ctrl_rd_n <= '1'; Lio_int_stat_rd_n <= '1'; Lrst_ctrl_rd_n <= '1'; Latc_stat_rd_n <= '1'; Lmgmt_stat_rd_n <= '1'; case dev_adr(19 downto 17) is when SuperIoRange => Lsio_dec_n <= '0'; when CtrlRegRange => case dev_adr(16 downto 0) is when IntCtrlReg => Lint_ctrl_rd_n <= '0'; when IoIntStatReg => Lio_int_stat_rd_n <= '0'; when RstCtrlReg => Lrst_ctrl_rd_n <= '0'; when AtcStatusReg => Latc_stat_rd_n <= '0'; when MgmtStatusReg => Lmgmt_stat_rd_n <= '0'; when others => null; end case; when others => null; end case; end process decoder; qualify: process (cs0_n) begin sio_dec_n <= '1'; int_ctrl_rd_n <= '1'; io_int_stat_rd_n <= '1'; rst_ctrl_rd_n <= '1'; atc_stat_rd_n <= '1'; mgmt_stat_rd_n <= '1'; if (cs0_n = '0') then sio_dec_n <= Lsio_dec_n; int_ctrl_rd_n <= Lint_ctrl_rd_n; io_int_stat_rd_n <= Lio_int_stat_rd_n; rst_ctrl_rd_n <= Lrst_ctrl_rd_n; atc_stat_rd_n <= Latc_stat_rd_n; mgmt_stat_rd_n <= Lmgmt_stat_rd_n; else null; end if; end process qualify; end synthesis; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n: out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; begin decoder: process ( dev_adr) begin -- Set defaults for outputs - for synthesis reasons. sio_dec_n <= '1'; int_ctrl_rd_n <= '1'; io_int_stat_rd_n <= '1'; rst_ctrl_rd_n <= '1'; atc_stat_rd_n <= '1'; mgmt_stat_rd_n <= '1'; if dev_adr(19 downto 17) = SuperIOrange then sio_dec_n <= '0'; elsif dev_adr(19 downto 17) = CtrlRegrange then if dev_adr(16 downto 0) = IntCtrlReg then int_ctrl_rd_n <= '0'; elsif dev_adr(16 downto 0)= IoIntStatReg then io_int_stat_rd_n <= '0'; elsif dev_adr(16 downto 0) = RstCtrlReg then rst_ctrl_rd_n <= '0'; elsif dev_adr(16 downto 0) = AtcStatusReg then atc_stat_rd_n <= '0'; elsif dev_adr(16 downto 0) = MgmtStatusReg then mgmt_stat_rd_n <= '0'; else null; end if; else null; end if; end process decoder; end synthesis; library IEEE; use IEEE.std_logic_1164.all; package decProcs is procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0); decode: out std_logic_vector(3 downto 0) ); end decProcs; package body decProcs is procedure DEC2x4 (inputs : in std_logic_vector(1 downto 0); decode: out std_logic_vector(3 downto 0) ) is begin case inputs is when "11" => decode := "1000"; when "10" => decode := "0100"; when "01" => decode := "0010"; when "00" => decode := "0001"; when others => decode := "0001"; end case; end DEC2x4; end decProcs; library ieee; use ieee.std_logic_1164.all; entity isa_dec is port ( dev_adr: in std_logic_vector(19 downto 0); sio_dec_n: out std_logic; rst_ctrl_rd_n: out std_logic; atc_stat_rd_n: out std_logic; mgmt_stat_rd_n: out std_logic; io_int_stat_rd_n:out std_logic; int_ctrl_rd_n: out std_logic ); end isa_dec; architecture synthesis of isa_dec is constant CtrlRegRange: std_logic_vector(2 downto 0) := "100"; constant SuperIoRange: std_logic_vector(2 downto 0) := "010"; constant IntCtrlReg: std_logic_vector(16 downto 0) := "00000000000000000"; constant IoIntStatReg: std_logic_vector(16 downto 0) := "00000000000000001"; constant RstCtrlReg: std_logic_vector(16 downto 0) := "00000000000000010"; constant AtcStatusReg: std_logic_vector(16 downto 0) := "00000000000000011"; constant MgmtStatusReg:std_logic_vector(16 downto 0) := "00000000000000100"; begin with dev_adr(19 downto 17) select sio_dec_n <= '0' when SuperIORange, '1' when others; with dev_adr(19 downto 0) select int_ctrl_rd_n <= '0' when CtrlRegRange & IntCtrlReg, '1' when others; with dev_adr(19 downto 0) select io_int_stat_rd_n <= '0' when CtrlRegRange & IoIntStatReg, '1' when others; with dev_adr(19 downto 0) select rst_ctrl_rd_n <= '0' when CtrlRegRange & RstCtrlReg, '1' when others; with dev_adr(19 downto 0) select atc_stat_rd_n <= '0' when CtrlRegRange & AtcStatusReg, '1' when others; with dev_adr(19 downto 0) select mgmt_stat_rd_n <= '0' when CtrlRegRange & MgmtStatusReg, '1' when others; end synthesis; -- Incorporates Errata 5.1 and 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity progPulse is port ( clk, reset: in std_logic; loadLength,loadDelay: in std_logic; data: in std_logic_vector(7 downto 0); pulse: out std_logic ); end progPulse; architecture rtl of progPulse is signal delayCnt, pulseCnt: unsigned(7 downto 0); signal delayCntVal, pulseCntVal: unsigned(7 downto 0); signal startPulse, endPulse: std_logic; begin delayReg: process (clk, reset) begin if reset = '1' then delayCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadDelay = '1' then delayCntVal <= unsigned(data); end if; end if; end process; lengthReg: process (clk, reset) begin if reset = '1' then pulseCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadLength = '1' then -- changed loadLength to loadDelay (Errata 5.1) pulseCntVal <= unsigned(data); end if; end if; end process; pulseDelay: process (clk, reset) begin if (reset = '1') then delayCnt <= "11111111"; elsif(clk'event and clk = '1') then if (loadDelay = '1' or loadLength = '1' or endPulse = '1') then -- changed startPulse to endPulse (Errata 5.1) delayCnt <= delayCntVal; elsif endPulse = '1' then delayCnt <= delayCnt - 1; end if; end if; end process; startPulse <= '1' when delayCnt = "00000000" else '0'; pulseLength: process (clk, reset) begin if (reset = '1') then pulseCnt <= "11111111"; elsif (clk'event and clk = '1') then if (loadLength = '1') then pulseCnt <= pulseCntVal; elsif (startPulse = '1' and endPulse = '1') then pulseCnt <= pulseCntVal; elsif (endPulse = '1') then pulseCnt <= pulseCnt; else pulseCnt <= pulseCnt - 1; end if; end if; end process; endPulse <= '1' when pulseCnt = "00000000" else '0'; pulseOutput: process (clk, reset) begin if (reset = '1') then pulse <= '0'; elsif (clk'event and clk = '1') then if (startPulse = '1') then pulse <= '1'; elsif (endPulse = '1') then pulse <= '0'; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; arst : in std_logic; q: out std_logic; ); end DFF; architecture rtl of DFF is begin process (clk) begin if arst = '1' then q <= '0'; elsif clk'event and clk = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; a,b,c : in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk, a,b,c) begin if ((a = '1' and b = '1') or c = '1') then q <= '0'; elsif clk'event and clk = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; a,b,c : in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is signal localRst: std_logic; begin localRst <= '1' when (( a = '1' and b = '1') or c = '1') else '0'; process (clk, localRst) begin if localRst = '1' then q <= '0'; elsif clk'event and clk = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; arst: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk, arst) begin if arst = '1' then q <= '0'; elsif clk'event and clk = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; aset : in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk, aset) begin if aset = '1' then q <= '1'; elsif clk'event and clk = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d1, d2: in std_logic; clk: in std_logic; arst : in std_logic; q1, q2: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk, arst) begin if arst = '1' then q1 <= '0'; q2 <= '1'; elsif clk'event and clk = '1' then q1 <= d1; q2 <= d2; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; en: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process begin if clk'event and clk = '1' then if en = '1' then q <= d; end if; end if; wait on clk; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFFE is port ( d: in std_logic; en: in std_logic; clk: in std_logic; q: out std_logic ); end DFFE; architecture rtl of DFFE is begin process begin wait until clk = '1'; if en = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; envector: in std_logic_vector(7 downto 0); q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk) begin if clk'event and clk = '1' then if envector = "10010111" then q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; en: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk) begin if clk'event and clk = '1' then if en = '1' then q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFFE_SR is port ( d: in std_logic; en: in std_logic; clk: in std_logic; rst: in std_logic; prst: in std_logic; q: out std_logic ); end DFFE_SR; architecture rtl of DFFE_SR is begin process (clk, rst, prst) begin if (prst = '1') then q <= '1'; elsif (rst = '1') then q <= '0'; elsif (clk'event and clk = '1') then if (en = '1') then q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity flipFlop is port ( clock, input: in std_logic; ffOut: out std_logic ); end flipFlop; architecture simple of flipFlop is procedure dff (signal clk: in std_logic; signal d: in std_logic; signal q: out std_logic ) is begin if clk'event and clk = '1' then q <= d; end if; end procedure dff; begin dff(clock, input, ffOut); end simple; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; end: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process begin wait until rising_edge(clk); if en = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d1, d2: in std_logic; clk: in std_logic; srst : in std_logic; q1, q2: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk) begin if clk'event and clk = '1' then if srst = '1' then q1 <= '0'; q2 <= '1'; else q1 <= d1; q2 <= d2; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFFE_SR is port ( d: in std_logic; en: in std_logic; clk: in std_logic; rst: in std_logic; prst: in std_logic; q: out std_logic ); end DFFE_SR; architecture rtl of DFFE_SR is begin process (clk, rst, prst) begin if (rst = '1') then q <= '0'; elsif (prst = '1') then q <= '1'; elsif (clk'event and clk = '1') then if (en = '1') then q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; srst : in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process begin wait until clk = '1'; if srst = '1' then q <= '0'; else q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity struct_dffe_sr is port ( d: in std_logic; clk: in std_logic; en: in std_logic; rst,prst: in std_logic; q: out std_logic ); end struct_dffe_sr; use work.primitive.all; architecture instance of struct_dffe_sr is begin ff: dffe_sr port map ( d => d, clk => clk, en => en, rst => rst, prst => prst, q => q ); end instance; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; srst : in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk) begin if clk'event and clk = '1' then if srst = '1' then q <= '0'; else q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity struct_dffe is port ( d: in std_logic; clk: in std_logic; en: in std_logic; q: out std_logic ); end struct_dffe; use work.primitive.all; architecture instance of struct_dffe is begin ff: dffe port map ( d => d, clk => clk, en => en, q => q ); end instance; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity dffTri is generic (size: integer := 8); port ( data: in std_logic_vector(size - 1 downto 0); clock: in std_logic; ff_enable: in std_logic; op_enable: in std_logic; qout: out std_logic_vector(size - 1 downto 0) ); end dffTri; architecture parameterize of dffTri is type tribufType is record ip: std_logic; oe: std_logic; op: std_logic; end record; type tribufArrayType is array (integer range <>) of tribufType; signal tri: tribufArrayType(size - 1 downto 0); begin g0: for i in 0 to size - 1 generate u1: DFFE port map (data(i), tri(i).ip, ff_enable, clock); end generate; g1: for i in 0 to size - 1 generate u2: TRIBUF port map (tri(i).ip, tri(i).oe, tri(i).op); tri(i).oe <= op_enable; qout(i) <= tri(i).op; end generate; end parameterize; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; en: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process begin wait until clk = '1'; if en = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF is port ( ip: in std_logic; oe: in std_logic; op: out std_logic bus ); end TRIBUF; architecture sequential of TRIBUF is begin enable: process (ip,oe) begin if (oe = '1') then op <= ip; else op <= null; end if; end process; end sequential; library IEEE; use IEEE.std_logic_1164.all; entity DLATCHH is port ( d: in std_logic; en: in std_logic; q: out std_logic ); end DLATCHH; architecture rtl of DLATCHH is signal qLocal: std_logic; begin qLocal <= d when en = '1' else qLocal; q <= qLocal; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DLATCHH is port ( d: in std_logic; en: in std_logic; q: out std_logic ); end DLATCHH; architecture rtl of DLATCHH is begin process (en, d) begin if en = '1' then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity struct_dlatch is port ( d: in std_logic; en: in std_logic; q: out std_logic ); end struct_dlatch; use work.primitive.all; architecture instance of struct_dlatch is begin latch: dlatchh port map ( d => d, en => en, q => q ); end instance; -- Incorporates Errata 5.4 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity downCounter is port ( clk: in std_logic; reset: in std_logic; count: out std_logic_vector(3 downto 0) ); end downCounter; architecture simple of downCounter is signal countL: unsigned(3 downto 0); signal termCnt: std_logic; begin decrement: process (clk, reset) begin if (reset = '1') then countL <= "1011"; -- Reset to 11 termCnt <= '1'; elsif(clk'event and clk = '1') then if (termCnt = '1') then countL <= "1011"; -- Count rolls over to 11 else countL <= countL - 1; end if; if (countL = "0001") then -- Terminal count decoded 1 cycle earlier termCnt <= '1'; else termCnt <= '0'; end if; end if; end process; count <= std_logic_vector(countL); end simple; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity compareDC is port ( addressBus: in std_logic_vector(31 downto 0); addressHit: out std_logic ); end compareDC; architecture wontWork of compareDC is begin compare: process(addressBus) begin if (addressBus = "011110101011--------------------") then addressHit <= '1'; else addressHit <= '0'; end if; end process compare; end wontWork; library ieee; use ieee.std_logic_1164.all; entity encoder is port (invec: in std_logic_vector(7 downto 0); enc_out: out std_logic_vector(2 downto 0) ); end encoder; architecture rtl of encoder is begin encode: process (invec) begin case invec is when "00000001" => enc_out <= "000"; when "00000010" => enc_out <= "001"; when "00000100" => enc_out <= "010"; when "00001000" => enc_out <= "011"; when "00010000" => enc_out <= "100"; when "00100000" => enc_out <= "101"; when "01000000" => enc_out <= "110"; when "10000000" => enc_out <= "111"; when others => enc_out <= "000"; end case; end process; end rtl; library ieee; use ieee.std_logic_1164.all; entity encoder is port (invec:in std_logic_vector(7 downto 0); enc_out:out std_logic_vector(2 downto 0) ); end encoder; architecture rtl of encoder is begin process (invec) begin if invec(7) = '1' then enc_out <= "111"; elsif invec(6) = '1' then enc_out <= "110"; elsif invec(5) = '1' then enc_out <= "101"; elsif invec(4) = '1' then enc_out <= "100"; elsif invec(3) = '1' then enc_out <= "011"; elsif invec(2) = '1' then enc_out <= "010"; elsif invec(1) = '1' then enc_out <= "001"; elsif invec(0) = '1' then enc_out <= "000"; else enc_out <= "000"; end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; entity encoder is port (invec: in std_logic_vector(7 downto 0); enc_out: out std_logic_vector(2 downto 0) ); end encoder; architecture rtl of encoder is begin enc_out <= "111" when invec(7) = '1' else "110" when invec(6) = '1' else "101" when invec(5) = '1' else "100" when invec(4) = '1' else "011" when invec(3) = '1' else "010" when invec(2) = '1' else "001" when invec(1) = '1' else "000" when invec(0) = '1' else "000"; end rtl; -- includes Errata 5.2 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; -- errata 5.2 entity compare is port ( ina: in std_logic_vector (3 downto 0); inb: in std_logic_vector (2 downto 0); equal: out std_logic ); end compare; architecture simple of compare is begin equalProc: process (ina, inb) begin if (ina = inb ) then equal <= '1'; else equal <= '0'; end if; end process; end simple; library IEEE; use IEEE.std_logic_1164.all; entity LogicFcn is port ( A: in std_logic; B: in std_logic; C: in std_logic; Y: out std_logic ); end LogicFcn; architecture behavioral of LogicFcn is begin fcn: process (A,B,C) begin if (A = '0' and B = '0') then Y <= '1'; elsif C = '1' then Y <= '1'; else Y <= '0'; end if; end process; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity LogicFcn is port ( A: in std_logic; B: in std_logic; C: in std_logic; Y: out std_logic ); end LogicFcn; architecture dataflow of LogicFcn is begin Y <= '1' when (A = '0' AND B = '0') OR (C = '1') else '0'; end dataflow; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity LogicFcn is port ( A: in std_logic; B: in std_logic; C: in std_logic; Y: out std_logic ); end LogicFcn; architecture structural of LogicFcn is signal notA, notB, andSignal: std_logic; begin i1: inverter port map (i => A, o => notA); i2: inverter port map (i => B, o => notB); a1: and2 port map (i1 => notA, i2 => notB, y => andSignal); o1: or2 port map (i1 => andSignal, i2 => C, y => Y); end structural; library IEEE; use IEEE.std_logic_1164.all; entity SimDFF is port ( D, Clk: in std_logic; Q: out std_logic ); end SimDff; architecture SimModel of SimDFF is constant tCQ: time := 8 ns; constant tS: time := 4 ns; constant tH: time := 3 ns; begin reg: process (Clk, D) begin -- Assign output tCQ after rising clock edge if (Clk'event and Clk = '1') then Q <= D after tCQ; end if; -- Check setup time if (Clk'event and Clk = '1') then assert (D'last_event >= tS) report "Setup time violation" severity Warning; end if; -- Check hold time if (D'event and Clk'stable and Clk = '1') then assert (D'last_event - Clk'last_event > tH) report "Hold Time Violation" severity Warning; end if; end process; end simModel; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process (clk) begin wait until clk = '1'; q <= d; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d: in std_logic; clk: in std_logic; q: out std_logic ); end DFF; architecture rtl of DFF is begin process begin wait until clk = '1'; q <= d; wait on clk; end process; end rtl; configuration SimpleGatesCfg of FEWGATES is for structural for all: AND2 use entity work.and2(rtl); end for; for u3: inverter use entity work.inverter(rtl); end for; for u4: or2 use entity work.or2(rtl); end for; end for; end SimpleGatesCfg; configuration SimpleGatesCfg of FEWGATES is for structural for u1: and2 use entity work.and2(rtl); end for; for u2: and2 use entity work.and2(rtl); end for; for u3: inverter use entity work.inverter(rtl); end for; for u4: or2 use entity work.or2(rtl); end for; end for; end SimpleGatesCfg; library IEEE; use IEEE.std_logic_1164.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; use work.and2; use work.or2; use work.inverter; architecture structural of FEWGATES is component AND2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component OR2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component INVERTER port ( i: in std_logic; o: out std_logic ); end component; signal a_and_b, c_and_d, not_c_and_d: std_logic; begin u1: and2 port map (i1 => a , i2 => b, y => a_and_b ); u2: and2 port map (i1 => c, i2 => d, y => c_and_d ); u3: inverter port map (i => c_and_d, o => not_c_and_d); u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d, y => y ); end structural; library IEEE; use IEEE.std_logic_1164.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; use work.and2; use work.or2; use work.inverter; architecture structural of FEWGATES is component AND2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component OR2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component INVERTER port ( i: in std_logic; o: out std_logic ); end component; signal a_and_b, c_and_d, not_c_and_d: std_logic; -- Configution specifications for all: and2 use entity work.and2(rtl); for u3: inverter use entity work.inverter(rtl); for u4: or2 use entity work.or2(rtl); begin u1: and2 port map (i1 => a, i2 => b, y => a_and_b ); u2: and2 port map (i1 => c, i2 => d, y => c_and_d ); u3: inverter port map (i => c_and_d, o => not_c_and_d); u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d, y => y ); end structural; library IEEE; use IEEE.std_logic_1164.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; use work.GatesPkg.all; architecture structural of FEWGATES is signal a_and_b, c_and_d, not_c_and_d: std_logic; begin u1: and2 port map (i1 => a , i2 => b, y => a_and_b ); u2: and2 port map (i1 => c, i2 => d, y => c_and_d ); u3: inverter port map (i => c_and_d, o => not_c_and_d); u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d, y => y ); end structural; library IEEE; use IEEE.std_logic_1164.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; architecture concurrent of FEWGATES is signal a_and_b, c_and_d, not_c_and_d: std_logic; begin a_and_b <= '1' when a = '1' and b = '1' else '0'; c_and_d <= '1' when c = '1' and d = '1' else '0'; not_c_and_d <= not c_and_d; y <= '1' when a_and_b = '1' or not_c_and_d = '1' else '0'; end concurrent; library IEEE; use IEEE.std_logic_1164.all; package GatesPkg is component AND2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component OR2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component INVERTER port ( i: in std_logic; o: out std_logic ); end component; end GatesPkg; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; architecture structural of FEWGATES is signal a_and_b, c_and_d, not_c_and_d: std_logic; begin u1: and2 port map (i1 => a , i2 => b, y => a_and_b ); u2: and2 port map (i1 =>c, i2 => d, y => c_and_d ); u3: inverter port map (a => c_and_d, y => not_c_and_d); u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d, y => y ); end structural; library IEEE; use IEEE.std_logic_1164.all; entity AND2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end AND2; architecture rtl of AND2 is begin y <= '1' when i1 = '1' and i2 = '1' else '0'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity OR2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end OR2; architecture rtl of OR2 is begin y <= '1' when i1 = '1' or i2 = '1' else '0'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity INVERTER is port ( i: in std_logic; o: out std_logic ); end INVERTER; architecture rtl of INVERTER is begin o <= not i; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity FEWGATES is port ( a,b,c,d: in std_logic; y: out std_logic ); end FEWGATES; architecture structural of FEWGATES is component AND2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component OR2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component INVERTER port ( i: in std_logic; o: out std_logic ); end component; signal a_and_b, c_and_d, not_c_and_d: std_logic; begin u1: and2 port map (i1 => a , i2 => b, y => a_and_b ); u2: and2 port map (i1 => c, i2 => d, y => c_and_d ); u3: inverter port map (i => c_and_d, o => not_c_and_d); u4: or2 port map (i1 => a_and_b, i2 => not_c_and_d, y => y ); end structural; library IEEE; use IEEE.std_logic_1164.all; use work.simPrimitives.all; entity simHierarchy is port ( A, B, Clk: in std_logic; Y: out std_logic ); end simHierarchy; architecture hierarchical of simHierarchy is signal ADly, BDly, OrGateDly, ClkDly: std_logic; signal OrGate, FlopOut: std_logic; begin ADly <= transport A after 2 ns; BDly <= transport B after 2 ns; OrGateDly <= transport OrGate after 1.5 ns; ClkDly <= transport Clk after 1 ns; u1: OR2 generic map (tPD => 10 ns) port map ( I1 => ADly, I2 => BDly, Y => OrGate ); u2: simDFF generic map ( tS => 4 ns, tH => 3 ns, tCQ => 8 ns ) port map ( D => OrGateDly, Clk => ClkDly, Q => FlopOut ); Y <= transport FlopOut after 2 ns; end hierarchical; library IEEE; use IEEE.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; entity INVERTER is port ( i: in std_logic; o: out std_logic ); end INVERTER; architecture rtl of INVERTER is begin o <= not i; end rtl; -------------------------------------------------------------------------------- --| File name : $RCSfile: io1164.vhd $ --| Library : SUPPORT --| Revision : $Revision: 1.1 $ --| Author(s) : Vantage Analysis Systems, Inc; Des Young --| Integration : Des Young --| Creation : Nov 1995 --| Status : $State: Exp $ --| --| Purpose : IO routines for std_logic_1164. --| Assumptions : Numbers use radixed character set with no prefix. --| Limitations : Does not read VHDL pound-radixed numbers. --| Known Errors: none --| --| Description: --| This is a modified library. The source is basically that donated by --| Vantage to libutil. Des Young removed std_ulogic_vector support (to --| conform to synthesizable libraries), and added read_oct/hex to integer. --| --| ======================================================================= --| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights --| reserved. This package is provided by Vantage Analysis Systems. --| The package may not be sold without the express written consent of --| Vantage Analysis Systems, Inc. --| --| The VHDL for this package may be copied and/or distributed as long as --| this copyright notice is retained in the source and any modifications --| are clearly marked in the History: list. --| --| Title : IO1164 package VHDL source --| Package Name: somelib.IO1164 --| File Name : io1164.vhdl --| Author(s) : dbb --| Purpose : * Overloads procedures READ and WRITE for STD_LOGIC types --| in manner consistent with TEXTIO package. --| * Provides procedures to read and write logic values as --| binary, octal, or hexadecimal values ('X' as appropriate). --| These should be particularly useful for models --| to read in stimulus as 0/1/x or octal or hex. --| Subprograms : --| Notes : --| History : 1. Donated to libutil by Dave Bernstein 15 Jun 94 --| 2. Removed all std_ulogic_vector support, Des Young, 14 Nov 95 --| (This is because that type is not supported for synthesis). --| 3. Added read_oct/hex to integer, Des Young, 20 Nov 95 --| --| ======================================================================= --| Extra routines by Des Young, [email protected]. 1995. GNU copyright. --| ======================================================================= --| -------------------------------------------------------------------------------- library ieee; package io1164 is --$ !VANTAGE_METACOMMENTS_ON --$ !VANTAGE_DNA_ON -- import std_logic package use ieee.std_logic_1164.all; -- import textio package use std.textio.all; -- -- the READ and WRITE procedures act similarly to the procedures in the -- STD.TEXTIO package. for each type, there are two read procedures and -- one write procedure for converting between character and internal -- representations of values. each value is represented as the string of -- characters that you would use in VHDL code. (remember that apostrophes -- and quotation marks are not used.) input is case-insensitive. output -- is in upper case. see the following LRM sections for more information: -- -- 2.3 - Subprogram Overloading -- 3.3 - Access Types (STD.TEXTIO.LINE is an access type) -- 7.3.6 - Allocators (allocators create access values) -- 14.3 - Package TEXTIO -- -- Note that the procedures for std_ulogic will match calls with the value -- parameter of type std_logic. -- -- declare READ procedures to overload like in TEXTIO -- procedure read(l: inout line; value: out std_ulogic ; good: out boolean); procedure read(l: inout line; value: out std_ulogic ); procedure read(l: inout line; value: out std_logic_vector ; good: out boolean); procedure read(l: inout line; value: out std_logic_vector ); -- -- declare WRITE procedures to overload like in TEXTIO -- procedure write(l : inout line ; value : in std_ulogic ; justified: in side := right; field : in width := 0 ); procedure write(l : inout line ; value : in std_logic_vector ; justified: in side := right; field : in width := 0 ); -- -- declare procedures to convert between logic values and octal -- or hexadecimal ('X' where appropriate). -- -- octal / std_logic_vector procedure read_oct (l : inout line ; value : out std_logic_vector ; good : out boolean ); procedure read_oct (l : inout line ; value : out std_logic_vector ); procedure write_oct(l : inout line ; value : in std_logic_vector ; justified : in side := right; field : in width := 0 ); -- hexadecimal / std_logic_vector procedure read_hex (l : inout line ; value : out std_logic_vector ; good : out boolean ); procedure read_hex (l : inout line ; value : out std_logic_vector ); procedure write_hex(l : inout line ; value : in std_logic_vector ; justified : in side := right; field : in width := 0 ); -- read a number into an integer procedure read_oct(l : inout line; value : out integer; good : out boolean); procedure read_oct(l : inout line; value : out integer); procedure read_hex(l : inout line; value : out integer; good : out boolean); procedure read_hex(l : inout line; value : out integer); end io1164; -------------------------------------------------------------------------------- --| Copyright (c) 1992-1994 Vantage Analysis Systems, Inc., all rights reserved --| This package is provided by Vantage Analysis Systems. --| The package may not be sold without the express written consent of --| Vantage Analysis Systems, Inc. --| --| The VHDL for this package may be copied and/or distributed as long as --| this copyright notice is retained in the source and any modifications --| are clearly marked in the History: list. --| --| Title : IO1164 package body VHDL source --| Package Name: VANTAGE_LOGIC.IO1164 --| File Name : io1164.vhdl --| Author(s) : dbb --| Purpose : source for IO1164 package body --| Subprograms : --| Notes : see package declaration --| History : see package declaration -------------------------------------------------------------------------------- package body io1164 is --$ !VANTAGE_METACOMMENTS_ON --$ !VANTAGE_DNA_ON -- define lowercase conversion of characters for canonical comparison type char2char_t is array (character'low to character'high) of character; constant lowcase: char2char_t := ( nul, soh, stx, etx, eot, enq, ack, bel, bs, ht, lf, vt, ff, cr, so, si, dle, dc1, dc2, dc3, dc4, nak, syn, etb, can, em, sub, esc, fsp, gsp, rsp, usp, ' ', '!', '"', '#', '$', '%', '&', ''', '(', ')', '*', '+', ',', '-', '.', '/', '0', '1', '2', '3', '4', '5', '6', '7', '8', '9', ':', ';', '<', '=', '>', '?', '@', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '[', '\', ']', '^', '_', '`', 'a', 'b', 'c', 'd', 'e', 'f', 'g', 'h', 'i', 'j', 'k', 'l', 'm', 'n', 'o', 'p', 'q', 'r', 's', 't', 'u', 'v', 'w', 'x', 'y', 'z', '{', '|', '}', '~', del); -- define conversions between various types -- logic -> character type f_logic_to_character_t is array (std_ulogic'low to std_ulogic'high) of character; constant f_logic_to_character : f_logic_to_character_t := ( 'U' => 'U', 'X' => 'X', '0' => '0', '1' => '1', 'Z' => 'Z', 'W' => 'W', 'L' => 'L', 'H' => 'H', '-' => '-' ); -- character, integer, logic constant x_charcode : integer := -1; constant maxoct_charcode: integer := 7; constant maxhex_charcode: integer := 15; constant bad_charcode : integer := integer'left; type digit2int_t is array ( character'low to character'high ) of integer; constant octdigit2int: digit2int_t := ( '0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, 'X' | 'x' => x_charcode, others => bad_charcode ); constant hexdigit2int: digit2int_t := ( '0' => 0, '1' => 1, '2' => 2, '3' => 3, '4' => 4, '5' => 5, '6' => 6, '7' => 7, '8' => 8, '9' => 9, 'A' | 'a' => 10, 'B' | 'b' => 11, 'C' | 'c' => 12, 'D' | 'd' => 13, 'E' | 'e' => 14, 'F' | 'f' => 15, 'X' | 'x' => x_charcode, others => bad_charcode ); constant oct_bits_per_digit: integer := 3; constant hex_bits_per_digit: integer := 4; type int2octdigit_t is array ( 0 to maxoct_charcode ) of character; constant int2octdigit: int2octdigit_t := ( 0 => '0', 1 => '1', 2 => '2', 3 => '3', 4 => '4', 5 => '5', 6 => '6', 7 => '7' ); type int2hexdigit_t is array ( 0 to maxhex_charcode ) of character; constant int2hexdigit: int2hexdigit_t := ( 0 => '0', 1 => '1', 2 => '2', 3 => '3', 4 => '4', 5 => '5', 6 => '6', 7 => '7', 8 => '8', 9 => '9', 10 => 'A', 11 => 'B', 12 => 'C', 13 => 'D', 14 => 'E', 15 => 'F' ); type oct_logic_vector_t is array(1 to oct_bits_per_digit) of std_ulogic; type octint2logic_t is array (x_charcode to maxoct_charcode) of oct_logic_vector_t; constant octint2logic : octint2logic_t := ( ( 'X', 'X', 'X' ), ( '0', '0', '0' ), ( '0', '0', '1' ), ( '0', '1', '0' ), ( '0', '1', '1' ), ( '1', '0', '0' ), ( '1', '0', '1' ), ( '1', '1', '0' ), ( '1', '1', '1' ) ); type hex_logic_vector_t is array(1 to hex_bits_per_digit) of std_ulogic; type hexint2logic_t is array (x_charcode to maxhex_charcode) of hex_logic_vector_t; constant hexint2logic : hexint2logic_t := ( ( 'X', 'X', 'X', 'X' ), ( '0', '0', '0', '0' ), ( '0', '0', '0', '1' ), ( '0', '0', '1', '0' ), ( '0', '0', '1', '1' ), ( '0', '1', '0', '0' ), ( '0', '1', '0', '1' ), ( '0', '1', '1', '0' ), ( '0', '1', '1', '1' ), ( '1', '0', '0', '0' ), ( '1', '0', '0', '1' ), ( '1', '0', '1', '0' ), ( '1', '0', '1', '1' ), ( '1', '1', '0', '0' ), ( '1', '1', '0', '1' ), ( '1', '1', '1', '0' ), ( '1', '1', '1', '1' ) ); ---------------------------------------------------------------------------- -- READ procedure bodies -- -- The strategy for duplicating TEXTIO's overloading of procedures -- with and without GOOD parameters is to put all the logic in the -- version with the GOOD parameter and to have the version without -- GOOD approximate a runtime error by use of an assertion. -- ---------------------------------------------------------------------------- -- -- std_ulogic -- note: compatible with std_logic -- procedure read( l: inout line; value: out std_ulogic; good : out boolean ) is variable c : character; -- char read while looping variable m : line; -- safe copy of L variable success: boolean := false; -- readable version of GOOD variable done : boolean := false; -- flag to say done reading chars begin -- -- algorithm: -- -- if there are characters in the line -- save a copy of the line -- get the next character -- if got one -- set value -- if all ok -- free temp copy -- else -- free passed in line -- assign copy back to line -- set GOOD -- -- only operate on lines that contain characters if ( ( l /= null ) and ( l.all'length /= 0 ) ) then -- save a copy of string in case read fails m := new string'( l.all ); -- grab the next character read( l, c, success ); -- if read ok if success then -- -- an issue here is whether lower-case values should be accepted or not -- -- determine the value case c is when 'U' | 'u' => value := 'U'; when 'X' | 'x' => value := 'X'; when '0' => value := '0'; when '1' => value := '1'; when 'Z' | 'z' => value := 'Z'; when 'W' | 'w' => value := 'W'; when 'L' | 'l' => value := 'L'; when 'H' | 'h' => value := 'H'; when '-' => value := '-'; when others => success := false; end case; end if; -- free working storage if success then deallocate( m ); else deallocate( l ); l := m; end if; end if; -- non null access, non empty string -- set output parameter good := success; end read; procedure read( l: inout line; value: out std_ulogic ) is variable success: boolean; -- internal good flag begin read( l, value, success ); -- use safe version assert success report "IO1164.READ: Unable to read STD_ULOGIC value." severity error; end read; -- -- std_logic_vector -- note: NOT compatible with std_ulogic_vector -- procedure read(l : inout line ; value: out std_logic_vector; good : out boolean ) is variable m : line ; -- saved copy of L variable success : boolean := true; -- readable GOOD variable logic_value : std_logic ; -- value for one array element variable c : character ; -- read a character begin -- -- algorithm: -- -- this procedure strips off leading whitespace, and then calls the -- READ procedure for each single logic value element in the output -- array. -- -- only operate on lines that contain characters if ( ( l /= null ) and ( l.all'length /= 0 ) ) then -- save a copy of string in case read fails m := new string'( l.all ); -- loop for each element in output array for i in value'range loop -- prohibit internal blanks if i /= value'left then if l.all'length = 0 then success := false; exit; end if; c := l.all(l.all'left); if c = ' ' or c = ht then success := false; exit; end if; end if; -- read the next logic value read( l, logic_value, success ); -- stuff the value in if ok, else bail out if success then value( i ) := logic_value; else exit; end if; end loop; -- each element in output array -- free working storage if success then deallocate( m ); else deallocate( l ); l := m; end if; elsif ( value'length /= 0 ) then -- string is empty but the return array has 1+ elements success := false; end if; -- set output parameter good := success; end read; procedure read(l: inout line; value: out std_logic_vector ) is variable success: boolean; begin read( l, value, success ); assert success report "IO1164.READ: Unable to read T_WLOGIC_VECTOR value." severity error; end read; ---------------------------------------------------------------------------- -- WRITE procedure bodies ---------------------------------------------------------------------------- -- -- std_ulogic -- note: compatible with std_logic -- procedure write(l : inout line ; value : in std_ulogic ; justified: in side := right; field : in width := 0 ) is begin -- -- algorithm: -- -- just write out the string associated with the enumerated -- value. -- case value is when 'U' => write( l, character'('U'), justified, field ); when 'X' => write( l, character'('X'), justified, field ); when '0' => write( l, character'('0'), justified, field ); when '1' => write( l, character'('1'), justified, field ); when 'Z' => write( l, character'('Z'), justified, field ); when 'W' => write( l, character'('W'), justified, field ); when 'L' => write( l, character'('L'), justified, field ); when 'H' => write( l, character'('H'), justified, field ); when '-' => write( l, character'('-'), justified, field ); end case; end write; -- -- std_logic_vector -- note: NOT compatible with std_ulogic_vector -- procedure write(l : inout line ; value : in std_logic_vector ; justified: in side := right; field : in width := 0 ) is variable m: line; -- build up intermediate string begin -- -- algorithm: -- -- for each value in array -- add string representing value to intermediate string -- write intermediate string to line parameter -- free intermediate string -- -- for each value in array for i in value'range loop -- add string representing value to intermediate string write( m, value( i ) ); end loop; -- write intermediate string to line parameter write( l, m.all, justified, field ); -- free intermediate string deallocate( m ); end write; -------------------------------------------------------------------------------- ---------------------------------------------------------------------------- -- procedure bodies for octal and hexadecimal read and write ---------------------------------------------------------------------------- -- -- std_logic_vector/octal -- note: NOT compatible with std_ulogic_vector -- procedure read_oct(l : inout line ; value : out std_logic_vector; good : out boolean ) is variable m : line ; -- safe L variable success : boolean := true; -- readable GOOD variable logic_value : std_logic ; -- elem value variable c : character ; -- char read variable charcode : integer ; -- char->int variable oct_logic_vector: oct_logic_vector_t ; -- for 1 digit variable bitpos : integer ; -- in state vec. begin -- -- algorithm: -- -- skip over leading blanks, then read a digit -- and do a conversion into a logic value -- for each element in array -- -- make sure logic array is right size to read this base success := ( ( value'length rem oct_bits_per_digit ) = 0 ); if success then -- only operate on non-empty strings if ( ( l /= null ) and ( l.all'length /= 0 ) ) then -- save old copy of string in case read fails m := new string'( l.all ); -- pick off leading white space and get first significant char c := ' '; while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop read( l, c, success ); end loop; -- turn character into integer charcode := octdigit2int( c ); -- not doing any bits yet bitpos := 0; -- check for bad first character if charcode = bad_charcode then success := false; else -- loop through each value in array oct_logic_vector := octint2logic( charcode ); for i in value'range loop -- doing the next bit bitpos := bitpos + 1; -- stick the value in value( i ) := oct_logic_vector( bitpos ); -- read the next character if we're not at array end if ( bitpos = oct_bits_per_digit ) and ( i /= value'right ) then read( l, c, success ); if not success then exit; end if; -- turn character into integer charcode := octdigit2int( c ); -- check for bad char if charcode = bad_charcode then success := false; exit; end if; -- reset bit position bitpos := 0; -- turn character code into state array oct_logic_vector := octint2logic( charcode ); end if; end loop; -- each index in return array end if; -- if bad first character -- clean up working storage if success then deallocate( m ); else deallocate( l ); l := m; end if; -- no characters to read for return array that isn't null slice elsif ( value'length /= 0 ) then success := false; end if; -- non null access, non empty string end if; -- set out parameter of success good := success; end read_oct; procedure read_oct(l : inout line ; value : out std_logic_vector) is variable success: boolean; -- internal good flag begin read_oct( l, value, success ); -- use safe version assert success report "IO1164.READ_OCT: Unable to read T_LOGIC_VECTOR value." severity error; end read_oct; procedure write_oct(l : inout line ; value : in std_logic_vector ; justified: in side := right; field : in width := 0 ) is variable m : line ; -- safe copy of L variable goodlength : boolean ; -- array is ok len for this base variable isx : boolean ; -- an X in this digit variable integer_value: integer ; -- accumulate integer value variable c : character; -- character read variable charpos : integer ; -- index string being contructed variable bitpos : integer ; -- bit index inside digit begin -- -- algorithm: -- -- make sure this array can be written in this base -- create a string to place intermediate results -- initialize counters and flags to beginning of string -- for each item in array -- note unknown, else accumulate logic into integer -- if at this digit's last bit -- stuff digit just computed into intermediate result -- reset flags and counters except for charpos -- write intermediate result into line -- free work storage -- -- make sure this array can be written in this base goodlength := ( ( value'length rem oct_bits_per_digit ) = 0 ); assert goodlength report "IO1164.WRITE_OCT: VALUE'Length is not a multiple of 3." severity error; if goodlength then -- create a string to place intermediate results m := new string(1 to ( value'length / oct_bits_per_digit ) ); -- initialize counters and flags to beginning of string charpos := 0; bitpos := 0; isx := false; integer_value := 0; -- for each item in array for i in value'range loop -- note unknown, else accumulate logic into integer case value(i) is when '0' | 'L' => integer_value := integer_value * 2; when '1' | 'H' => integer_value := ( integer_value * 2 ) + 1; when others => isx := true; end case; -- see if we've done this digit's last bit bitpos := bitpos + 1; if bitpos = oct_bits_per_digit then -- stuff the digit just computed into the intermediate result charpos := charpos + 1; if isx then m.all(charpos) := 'X'; else m.all(charpos) := int2octdigit( integer_value ); end if; -- reset flags and counters except for location in string being constructed bitpos := 0; isx := false; integer_value := 0; end if; end loop; -- write intermediate result into line write( l, m.all, justified, field ); -- free work storage deallocate( m ); end if; end write_oct; -- -- std_logic_vector/hexadecimal -- note: NOT compatible with std_ulogic_vector -- procedure read_hex(l : inout line ; value : out std_logic_vector; good : out boolean ) is variable m : line ; -- safe L variable success : boolean := true; -- readable GOOD variable logic_value : std_logic ; -- elem value variable c : character ; -- char read variable charcode : integer ; -- char->int variable hex_logic_vector: hex_logic_vector_t ; -- for 1 digit variable bitpos : integer ; -- in state vec. begin -- -- algorithm: -- -- skip over leading blanks, then read a digit -- and do a conversion into a logic value -- for each element in array -- -- make sure logic array is right size to read this base success := ( ( value'length rem hex_bits_per_digit ) = 0 ); if success then -- only operate on non-empty strings if ( ( l /= null ) and ( l.all'length /= 0 ) ) then -- save old copy of string in case read fails m := new string'( l.all ); -- pick off leading white space and get first significant char c := ' '; while success and ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = ht ) ) loop read( l, c, success ); end loop; -- turn character into integer charcode := hexdigit2int( c ); -- not doing any bits yet bitpos := 0; -- check for bad first character if charcode = bad_charcode then success := false; else -- loop through each value in array hex_logic_vector := hexint2logic( charcode ); for i in value'range loop -- doing the next bit bitpos := bitpos + 1; -- stick the value in value( i ) := hex_logic_vector( bitpos ); -- read the next character if we're not at array end if ( bitpos = hex_bits_per_digit ) and ( i /= value'right ) then read( l, c, success ); if not success then exit; end if; -- turn character into integer charcode := hexdigit2int( c ); -- check for bad char if charcode = bad_charcode then success := false; exit; end if; -- reset bit position bitpos := 0; -- turn character code into state array hex_logic_vector := hexint2logic( charcode ); end if; end loop; -- each index in return array end if; -- if bad first character -- clean up working storage if success then deallocate( m ); else deallocate( l ); l := m; end if; -- no characters to read for return array that isn't null slice elsif ( value'length /= 0 ) then success := false; end if; -- non null access, non empty string end if; -- set out parameter of success good := success; end read_hex; procedure read_hex(l : inout line ; value : out std_logic_vector) is variable success: boolean; -- internal good flag begin read_hex( l, value, success ); -- use safe version assert success report "IO1164.READ_HEX: Unable to read T_LOGIC_VECTOR value." severity error; end read_hex; procedure write_hex(l : inout line ; value : in std_logic_vector ; justified: in side := right; field : in width := 0 ) is variable m : line ; -- safe copy of L variable goodlength : boolean ; -- array is ok len for this base variable isx : boolean ; -- an X in this digit variable integer_value: integer ; -- accumulate integer value variable c : character; -- character read variable charpos : integer ; -- index string being contructed variable bitpos : integer ; -- bit index inside digit begin -- -- algorithm: -- -- make sure this array can be written in this base -- create a string to place intermediate results -- initialize counters and flags to beginning of string -- for each item in array -- note unknown, else accumulate logic into integer -- if at this digit's last bit -- stuff digit just computed into intermediate result -- reset flags and counters except for charpos -- write intermediate result into line -- free work storage -- -- make sure this array can be written in this base goodlength := ( ( value'length rem hex_bits_per_digit ) = 0 ); assert goodlength report "IO1164.WRITE_HEX: VALUE'Length is not a multiple of 4." severity error; if goodlength then -- create a string to place intermediate results m := new string(1 to ( value'length / hex_bits_per_digit ) ); -- initialize counters and flags to beginning of string charpos := 0; bitpos := 0; isx := false; integer_value := 0; -- for each item in array for i in value'range loop -- note unknown, else accumulate logic into integer case value(i) is when '0' | 'L' => integer_value := integer_value * 2; when '1' | 'H' => integer_value := ( integer_value * 2 ) + 1; when others => isx := true; end case; -- see if we've done this digit's last bit bitpos := bitpos + 1; if bitpos = hex_bits_per_digit then -- stuff the digit just computed into the intermediate result charpos := charpos + 1; if isx then m.all(charpos) := 'X'; else m.all(charpos) := int2hexdigit( integer_value ); end if; -- reset flags and counters except for location in string being constructed bitpos := 0; isx := false; integer_value := 0; end if; end loop; -- write intermediate result into line write( l, m.all, justified, field ); -- free work storage deallocate( m ); end if; end write_hex; ------------------------------------------------------------------------------ ------------------------------------ -- Read octal/hex numbers to integer ------------------------------------ -- -- Read octal to integer -- procedure read_oct(l : inout line; value : out integer; good : out boolean) is variable pos : integer; variable digit : integer; variable result : integer := 0; variable success : boolean := true; variable c : character; variable old_l : line := l; begin -- algorithm: -- -- skip leading white space, read digit, convert -- into integer -- if (l /= NULL) then -- set pos to start of actual number by skipping white space pos := l'LEFT; c := l(pos); while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop pos := pos + 1; c := l(pos); end loop; -- check for start of valid number digit := octdigit2int(l(pos)); if ((digit = bad_charcode) or (digit = x_charcode)) then good := FALSE; return; else -- calculate integer value for i in pos to l'RIGHT loop digit := octdigit2int(l(pos)); exit when (digit = bad_charcode) or (digit = x_charcode); result := (result * 8) + digit; pos := pos + 1; end loop; value := result; -- shrink line if (pos > 1) then l := new string'(old_l(pos to old_l'HIGH)); deallocate(old_l); end if; good := TRUE; return; end if; else good := FALSE; end if; end read_oct; -- simple version procedure read_oct(l : inout line; value : out integer) is variable success: boolean; -- internal good flag begin read_oct( l, value, success ); -- use safe version assert success report "IO1164.READ_OCT: Unable to read octal integer value." severity error; end read_oct; -- -- Read hex to integer -- procedure read_hex(l : inout line; value : out integer; good : out boolean) is variable pos : integer; variable digit : integer; variable result : integer := 0; variable success : boolean := true; variable c : character; variable old_l : line := l; begin -- algorithm: -- -- skip leading white space, read digit, convert -- into integer -- if (l /= NULL) then -- set pos to start of actual number by skipping white space pos := l'LEFT; c := l(pos); while ( l.all'length > 0 ) and ( ( c = ' ' ) or ( c = HT ) ) loop pos := pos + 1; c := l(pos); end loop; -- check for start of valid number digit := hexdigit2int(l(pos)); if ((digit = bad_charcode) or (digit = x_charcode)) then good := FALSE; return; else -- calculate integer value for i in pos to l'RIGHT loop digit := hexdigit2int(l(pos)); exit when (digit = bad_charcode) or (digit = x_charcode); result := (result * 16) + digit; pos := pos + 1; end loop; value := result; -- shrink line if (pos > 1) then l := new string'(old_l(pos to old_l'HIGH)); deallocate(old_l); end if; good := TRUE; return; end if; else good := FALSE; end if; end read_hex; -- simple version procedure read_hex(l : inout line; value : out integer) is variable success: boolean; -- internal good flag begin read_hex( l, value, success ); -- use safe version assert success report "IO1164.READ_HEX: Unable to read hex integer value." severity error; end read_hex; end io1164; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity asyncLdCnt is port ( loadVal: in std_logic_vector(3 downto 0); clk, load: in std_logic; q: out std_logic_vector(3 downto 0) ); end asyncLdCnt; architecture rtl of asyncLdCnt is signal qLocal: unsigned(3 downto 0); begin process (clk, load, loadVal) begin if (load = '1') then qLocal <= to_unsigned(loadVal); elsif (clk'event and clk = '1' ) then qLocal <= qLocal + 1; end if; end process; q <= to_stdlogicvector(qLocal); end rtl; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity LoadCnt is port ( CntEn: in std_logic; LdCnt: in std_logic; LdData: in std_logic_vector(3 downto 0); Clk: in std_logic; Rst: in std_logic; CntVal: out std_logic_vector(3 downto 0) ); end LoadCnt; architecture behavioral of LoadCnt is signal Cnt: std_logic_vector(3 downto 0); begin counter: process (Clk, Rst) begin if Rst = '1' then Cnt <= (others => '0'); elsif (Clk'event and Clk = '1') then if (LdCnt = '1') then Cnt <= LdData; elsif (CntEn = '1') then Cnt <= Cnt + 1; else Cnt <= Cnt; end if; end if; end process; CntVal <= Cnt; end behavioral; library IEEE; use IEEE.std_logic_1164.all; library UTILS; use UTILS.io1164.all; use std.textio.all; entity loadCntTB is end loadCntTB; architecture testbench of loadCntTB is component loadCnt port ( data: in std_logic_vector (7 downto 0); load: in std_logic; clk: in std_logic; rst: in std_logic; q: out std_logic_vector (7 downto 0) ); end component; file vectorFile: text is in "vectorfile"; type vectorType is record data: std_logic_vector(7 downto 0); load: std_logic; rst: std_logic; q: std_logic_vector(7 downto 0); end record; signal testVector: vectorType; signal TestClk: std_logic := '0'; signal Qout: std_logic_vector(7 downto 0); constant ClkPeriod: time := 100 ns; for all: loadCnt use entity work.loadcnt(rtl); begin -- File reading and stimulus application readVec: process variable VectorLine: line; variable VectorValid: boolean; variable vRst: std_logic; variable vLoad: std_logic; variable vData: std_logic_vector(7 downto 0); variable vQ: std_logic_vector(7 downto 0); begin while not endfile (vectorFile) loop readline(vectorFile, VectorLine); read(VectorLine, vRst, good => VectorValid); next when not VectorValid; read(VectorLine, vLoad); read(VectorLine, vData); read(VectorLine, vQ); wait for ClkPeriod/4; testVector.Rst <= vRst; testVector.Load <= vLoad; testVector.Data <= vData; testVector.Q <= vQ; wait for (ClkPeriod/4) * 3; end loop; assert false report "Simulation complete" severity note; wait; end process; -- Free running test clock TestClk <= not TestClk after ClkPeriod/2; -- Instance of design being tested u1: loadCnt port map (Data => testVector.Data, load => testVector.Load, clk => TestClk, rst => testVector.Rst, q => Qout ); -- Process to verify outputs verify: process (TestClk) variable ErrorMsg: line; begin if (TestClk'event and TestClk = '0') then if Qout /= testVector.Q then write(ErrorMsg, string'("Vector failed ")); write(ErrorMsg, now); writeline(output, ErrorMsg); end if; end if; end process; end testbench; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity loadCnt is port ( data: in std_logic_vector (7 downto 0); load: in std_logic; clk: in std_logic; rst: in std_logic; q: out std_logic_vector (7 downto 0) ); end loadCnt; architecture rtl of loadCnt is signal cnt: std_logic_vector (7 downto 0); begin counter: process (clk, rst) begin if (rst = '1') then cnt <= (others => '0'); elsif (clk'event and clk = '1') then if (load = '1') then cnt <= data; else cnt <= cnt + 1; end if; end if; end process; q <= cnt; end rtl; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity multiplier is port ( a,b : in std_logic_vector (15 downto 0); product: out std_logic_vector (31 downto 0) ); end multiplier; architecture dataflow of multiplier is begin product <= a * b; end dataflow; library IEEE; use IEEE.std_logic_1164.all; entity mux is port ( A, B, Sel: in std_logic; Y: out std_logic ); end mux; architecture simModel of mux is -- Delay Constants constant tPD_A: time := 10 ns; constant tPD_B: time := 15 ns; constant tPD_Sel: time := 5 ns; begin DelayMux: process (A, B, Sel) variable localY: std_logic; -- Zero delay place holder for Y begin -- Zero delay model case Sel is when '0' => localY := A; when others => localY := B; end case; -- Delay calculation if (B'event) then Y <= localY after tPD_B; elsif (A'event) then Y <= localY after tPD_A; else Y <= localY after tPD_Sel; end if; end process; end simModel; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity ForceShare is port ( a,b,c,d,e,f: in std_logic_vector (7 downto 0); result: out std_logic_vector(7 downto 0) ); end ForceShare; architecture behaviour of ForceShare is begin sum: process (a,c,b,d,e,f) begin if (a + b = "10011010") then result <= c; elsif (a + b = "01011001") then result <= d; elsif (a + b = "10111011") then result <= e; else result <= f; end if; end process; end behaviour; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF8 is port ( ip: in std_logic_vector(7 downto 0); oe: in std_logic; op: out std_logic_vector(7 downto 0) ); end TRIBUF8; architecture concurrent of TRIBUF8 is begin op <= ip when oe = '1' else (others => 'Z'); end concurrent; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF is port ( ip: in std_logic; oe: in std_logic; op: out std_logic ); end TRIBUF; architecture concurrent of TRIBUF is begin op <= ip when oe = '1' else 'Z'; end concurrent; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF8 is port ( ip: in std_logic_vector(7 downto 0); oe: in std_logic; op: out std_logic_vector(7 downto 0) ); end TRIBUF8; architecture sequential of TRIBUF8 is begin enable: process (ip,oe) begin if (oe = '1') then op <= ip; else op <= (others => 'Z'); end if; end process; end sequential; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF is port ( ip: in bit; oe: in bit; op: out bit ); end TRIBUF; architecture sequential of TRIBUF is begin enable: process (ip,oe) begin if (oe = '1') then op <= ip; else op <= null; end if; end process; end sequential; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF is port ( ip: in std_logic; oe: in std_logic; op: out std_logic ); end TRIBUF; architecture sequential of TRIBUF is begin enable: process (ip,oe) begin if (oe = '1') then op <= ip; else op <= 'Z'; end if; end process; end sequential; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity tribuffer is port ( input: in std_logic; enable: in std_logic; output: out std_logic ); end tribuffer; architecture structural of tribuffer is begin u1: tribuf port map (ip => input, oe => enable, op => output ); end structural; library ieee; use ieee.std_logic_1164.all; use work.primitive.all; entity oddParityGen is generic ( width : integer := 8 ); port (ad: in std_logic_vector (width - 1 downto 0); oddParity : out std_logic ) ; end oddParityGen; architecture scaleable of oddParityGen is signal genXor: std_logic_vector(ad'range); begin genXOR(0) <= '0'; parTree: for i in 1 to ad'high generate x1: xor2 port map (i1 => genXor(i - 1), i2 => ad(i - 1), y => genXor(i) ); end generate; oddParity <= genXor(ad'high) ; end scaleable ; library ieee; use ieee.std_logic_1164.all; entity oddParityLoop is generic ( width : integer := 8 ); port (ad: in std_logic_vector (width - 1 downto 0); oddParity : out std_logic ) ; end oddParityLoop ; architecture scaleable of oddParityLoop is begin process (ad) variable loopXor: std_logic; begin loopXor := '0'; for i in 0 to width -1 loop loopXor := loopXor xor ad( i ) ; end loop ; oddParity <= loopXor ; end process; end scaleable ; library IEEE; use IEEE.std_logic_1164.all; library IEEE; use IEEE.std_logic_1164.all; entity OR2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end OR2; architecture rtl of OR2 is begin y <= '1' when i1 = '1' or i2 = '1' else '0'; end rtl; library IEEE; USE IEEE.std_logic_1164.all; entity OR2 is port ( I1, I2: in std_logic; Y: out std_logic ); end OR2; architecture simple of OR2 is begin Y <= I1 OR I2 after 10 ns; end simple; library IEEE; USE IEEE.std_logic_1164.all; package simPrimitives is component OR2 generic (tPD: time := 1 ns); port (I1, I2: in std_logic; Y: out std_logic ); end component; end simPrimitives; library IEEE; USE IEEE.std_logic_1164.all; entity OR2 is generic (tPD: time := 1 ns); port (I1, I2: in std_logic; Y: out std_logic ); end OR2; architecture simple of OR2 is begin Y <= I1 OR I2 after tPD; end simple; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity adder is port ( a,b: in std_logic_vector(3 downto 0); sum: out std_logic_vector(3 downto 0); overflow: out std_logic ); end adder; architecture concat of adder is signal localSum: std_logic_vector(4 downto 0); begin localSum <= std_logic_vector(unsigned('0' & a) + unsigned('0' & b)); sum <= localSum(3 downto 0); overflow <= localSum(4); end concat; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity paramDFF is generic (size: integer := 8); port ( data: in std_logic_vector(size - 1 downto 0); clock: in std_logic; reset: in std_logic; ff_enable: in std_logic; op_enable: in std_logic; qout: out std_logic_vector(size - 1 downto 0) ); end paramDFF; architecture parameterize of paramDFF is signal reg: std_logic_vector(size - 1 downto 0); begin u1: pDFFE generic map (n => size) port map (d => data, clk =>clock, rst => reset, en => ff_enable, q => reg ); u2: pTRIBUF generic map (n => size) port map (ip => reg, oe => op_enable, op => qout ); end paramterize; library ieee; use ieee.std_logic_1164.all; use work.primitive.all; entity oddParityGen is generic ( width : integer := 32 ); port (ad: in std_logic_vector (width - 1 downto 0); oddParity : out std_logic ) ; end oddParityGen; architecture scaleable of oddParityGen is signal genXor: std_logic_vector(ad'range); signal one: std_logic := '1'; begin parTree: for i in ad'range generate g0: if i = 0 generate x0: xor2 port map (i1 => one, i2 => one, y => genXor(0) ); end generate; g1: if i > 0 and i <= ad'high generate x1: xor2 port map (i1 => genXor(i - 1), i2 => ad(i - 1), y => genXor(i) ); end generate; end generate; oddParity <= genXor(ad'high) ; end scaleable ; library ieee; use ieee.std_logic_1164.all; use work.primitive.all; entity oddParityGen is generic ( width : integer := 32 ); -- (2 <= width <= 32) and a power of 2 port (ad: in std_logic_vector (width - 1 downto 0); oddParity : out std_logic ) ; end oddParityGen; architecture scaleable of oddParityGen is signal stage0: std_logic_vector(31 downto 0); signal stage1: std_logic_vector(15 downto 0); signal stage2: std_logic_vector(7 downto 0); signal stage3: std_logic_vector(3 downto 0); signal stage4: std_logic_vector(1 downto 0); begin g4: for i in stage4'range generate g41: if (ad'length > 2) generate x4: xor2 port map (stage3(i), stage3(i + stage4'length), stage4(i)); end generate; end generate; g3: for i in stage3'range generate g31: if (ad'length > 4) generate x3: xor2 port map (stage2(i), stage2(i + stage3'length), stage3(i)); end generate; end generate; g2: for i in stage2'range generate g21: if (ad'length > 8) generate x2: xor2 port map (stage1(i), stage1(i + stage2'length), stage2(i)); end generate; end generate; g1: for i in stage1'range generate g11: if (ad'length > 16) generate x1: xor2 port map (stage0(i), stage0(i + stage1'length), stage1(i)); end generate; end generate; s1: for i in ad'range generate s14: if (ad'length = 2) generate stage4(i) <= ad(i); end generate; s13: if (ad'length = 4) generate stage3(i) <= ad(i); end generate; s12: if (ad'length = 8) generate stage2(i) <= ad(i); end generate; s11: if (ad'length = 16) generate stage1(i) <= ad(i); end generate; s10: if (ad'length = 32) generate stage0(i) <= ad(i); end generate; end generate; genPar: xor2 port map (stage4(0), stage4(1), oddParity); end scaleable ; library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity powerOfFour is port( clk : in std_logic; inputVal : in unsigned(3 downto 0); power : out unsigned(15 downto 0) ); end powerOfFour; architecture behavioral of powerOfFour is function Pow( N, Exp : integer ) return integer is Variable Result : integer := 1; begin for i in 1 to Exp loop Result := Result * N; end loop; return( Result ); end Pow; signal inputValInt: integer range 0 to 15; signal powerL: integer range 0 to 65535; begin inputValInt <= to_integer(inputVal); power <= to_unsigned(powerL,16); process begin wait until Clk = '1'; powerL <= Pow(inputValInt,4); end process; end behavioral; package PowerPkg is component Power port( Clk : in bit; inputVal : in bit_vector(0 to 3); power : out bit_vector(0 to 15) ); end component; end PowerPkg; use work.bv_math.all; use work.int_math.all; use work.PowerPkg.all; entity Power is port( Clk : in bit; inputVal : in bit_vector(0 to 3); power : out bit_vector(0 to 15) ); end Power; architecture funky of Power is function Pow( N, Exp : integer ) return integer is Variable Result : integer := 1; Variable i : integer := 0; begin while( i < Exp ) loop Result := Result * N; i := i + 1; end loop; return( Result ); end Pow; function RollVal( CntlVal : integer ) return integer is begin return( Pow( 2, CntlVal ) + 2 ); end RollVal; begin process begin wait until Clk = '1'; power <= i2bv(Rollval(bv2I(inputVal)),16); end process; end funky; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity priority_encoder is port (interrupts : in std_logic_vector(7 downto 0); priority : in std_logic_vector(2 downto 0); result : out std_logic_vector(2 downto 0) ); end priority_encoder; architecture behave of priority_encoder is begin process (interrupts) variable selectIn : integer; variable LoopCount : integer; begin LoopCount := 1; selectIn := to_integer(to_unsigned(priority)); while (LoopCount <= 7) and (interrupts(selectIn) /= '0') loop if (selectIn = 0) then selectIn := 7; else selectIn := selectIn - 1; end if; LoopCount := LoopCount + 1; end loop; result <= std_logic_vector(to_unsigned(selectIn,3)); end process; end behave; library IEEE; use IEEE.std_logic_1164.all; package primitive is component DFFE port ( d: in std_logic; q: out std_logic; en: in std_logic; clk: in std_logic ); end component; component DFFE_SR port ( d: in std_logic; en: in std_logic; clk: in std_logic; rst: in std_logic; prst: in std_logic; q: out std_logic ); end component; component DLATCHH port ( d: in std_logic; en: in std_logic; q: out std_logic ); end component; component AND2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component OR2 port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end component; component INVERTER port ( i: in std_logic; o: out std_logic ); end component; component TRIBUF port ( ip: in std_logic; oe: in std_logic; op: out std_logic ); end component; component BIDIR port ( ip: in std_logic; oe: in std_logic; op_fb: out std_logic; op: inout std_logic ); end component; end package; library IEEE; use IEEE.std_logic_1164.all; entity DFFE is port ( d: in std_logic; q: out std_logic; en: in std_logic; clk: in std_logic ); end DFFE; architecture rtl of DFFE is begin process begin wait until clk = '1'; if (en = '1') then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DFFE_SR is port ( d: in std_logic; en: in std_logic; clk: in std_logic; rst: in std_logic; prst: in std_logic; q: out std_logic ); end DFFE_SR; architecture rtl of DFFE_SR is begin process (clk, rst, prst) begin if (rst = '1') then q <= '0'; elsif (prst = '1') then q <= '1'; elsif (clk'event and clk = '1') then if (en = '1') then q <= d; end if; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity DLATCHH is port ( d: in std_logic; en: in std_logic; q: out std_logic ); end DLATCHH; architecture rtl of DLATCHH is begin process (en) begin if (en = '1') then q <= d; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity AND2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end AND2; architecture rtl of AND2 is begin y <= '1' when i1 = '1' and i2 = '1' else '0'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity OR2 is port ( i1: in std_logic; i2: in std_logic; y: out std_logic ); end OR2; architecture rtl of OR2 is begin y <= '1' when i1 = '1' or i2 = '1' else '0'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity INVERTER is port ( i: in std_logic; o: out std_logic ); end INVERTER; architecture rtl of INVERTER is begin o <= not i; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity TRIBUF is port ( ip: in std_logic; oe: in std_logic; op: out std_logic ); end TRIBUF; architecture rtl of TRIBUF is begin op <= ip when oe = '1' else 'Z'; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity BIDIR is port ( ip: in std_logic; oe: in std_logic; op_fb: out std_logic; op: inout std_logic ); end BIDIR; architecture rtl of BIDIR is begin op <= ip when oe = '1' else 'Z'; op_fb <= op; end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity progPulse is port ( clk, reset: in std_logic; loadLength,loadDelay: in std_logic; data: in std_logic_vector(7 downto 0); pulse: out std_logic ); end progPulse; architecture rtl of progPulse is signal downCnt, downCntData: unsigned(7 downto 0); signal downCntLd, downCntEn: std_logic; signal delayCntVal, pulseCntVal: unsigned(7 downto 0); signal startPulse, endPulse: std_logic; subtype fsmType is std_logic_vector(1 downto 0); constant loadDelayCnt : fsmType := "00"; constant waitDelayEnd : fsmType := "10"; constant loadLengthCnt : fsmType := "11"; constant waitLengthEnd : fsmType := "01"; signal currState, nextState: fsmType; begin delayreg: process (clk, reset) begin if reset = '1' then delayCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadDelay = '1' then delayCntVal <= to_unsigned(data); end if; end if; end process; lengthReg: process (clk, reset) begin if reset = '1' then pulseCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadDelay = '1' then pulseCntVal <= to_unsigned(data); end if; end if; end process; nextStProc: process (currState, downCnt, loadDelay, loadLength) begin case currState is when loadDelayCnt => nextState <= waitDelayEnd; when waitDelayEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCnt = 0) then nextState <= loadLengthCnt; else nextState <= waitDelayEnd; end if; when loadLengthCnt => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; else nextState <= waitLengthEnd; end if; when waitLengthEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCnt = 0) then nextState <= loadDelayCnt; else nextState <= waitDelayEnd; end if; when others => null; end case; end process nextStProc; currStProc: process (clk, reset) begin if (reset = '1') then currState <= loadDelayCnt; elsif (clk'event and clk = '1') then currState <= nextState; end if; end process currStProc; outConProc: process (currState, delayCntVal, pulseCntVal) begin case currState is when loadDelayCnt => downCntEn <= '0'; downCntLd <= '1'; downCntData <= delayCntVal; when waitDelayEnd => downCntEn <= '1'; downCntLd <= '0'; downCntData <= delayCntVal; when loadLengthCnt => downCntEn <= '0'; downCntLd <= '1'; downCntData <= pulseCntVal; when waitLengthEnd => downCntEn <= '1'; downCntLd <= '0'; downCntData <= pulseCntVal; when others => downCntEn <= '0'; downCntLd <= '1'; downCntData <= pulseCntVal; end case; end process outConProc; downCntr: process (clk,reset) begin if (reset = '1') then downCnt <= "00000000"; elsif (clk'event and clk = '1') then if (downCntLd = '1') then downCnt <= downCntData; elsif (downCntEn = '1') then downCnt <= downCnt - 1; else downCnt <= downCnt; end if; end if; end process; -- Assign pulse output pulse <= currState(0); end rtl; library ieee; use ieee.std_logic_1164.all; entity pulseErr is port (a: in std_logic; b: out std_logic ); end pulseErr; architecture behavior of pulseErr is signal c: std_logic; begin pulse: process (a,c) begin b <= c XOR a; c <= a; end process; end behavior; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity progPulse is port ( clk, reset: in std_logic; loadLength,loadDelay: in std_logic; data: in std_logic_vector(7 downto 0); pulse: out std_logic ); end progPulse; architecture rtl of progPulse is signal downCnt, downCntData: unsigned(7 downto 0); signal downCntLd, downCntEn: std_logic; signal delayCntVal, pulseCntVal: unsigned(7 downto 0); signal startPulse, endPulse: std_logic; type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd); signal currState, nextState: progPulseFsmType; begin delayreg: process (clk, reset) begin if reset = '1' then delayCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadDelay = '1' then delayCntVal <= to_unsigned(data); end if; end if; end process; lengthReg: process (clk, reset) begin if reset = '1' then pulseCntVal <= "11111111"; elsif clk'event and clk = '1' then if loadDelay = '1' then pulseCntVal <= to_unsigned(data); end if; end if; end process; nextStProc: process (currState, downCnt, loadDelay, loadLength) begin case currState is when loadDelayCnt => nextState <= waitDelayEnd; when waitDelayEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCnt = 0) then nextState <= loadLengthCnt; else nextState <= waitDelayEnd; end if; when loadLengthCnt => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; else nextState <= waitLengthEnd; end if; when waitLengthEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCnt = 0) then nextState <= loadDelayCnt; else nextState <= waitDelayEnd; end if; when others => null; end case; end process nextStProc; currStProc: process (clk, reset) begin if (reset = '1') then currState <= loadDelayCnt; elsif (clk'event and clk = '1') then currState <= nextState; end if; end process currStProc; outConProc: process (currState, delayCntVal, pulseCntVal) begin case currState is when loadDelayCnt => downCntEn <= '0'; downCntLd <= '1'; downCntData <= delayCntVal; pulse <= '0'; when waitDelayEnd => downCntEn <= '1'; downCntLd <= '0'; downCntData <= delayCntVal; pulse <= '0'; when loadLengthCnt => downCntEn <= '0'; downCntLd <= '1'; downCntData <= pulseCntVal; pulse <= '1'; when waitLengthEnd => downCntEn <= '1'; downCntLd <= '0'; downCntData <= pulseCntVal; pulse <= '1'; when others => downCntEn <= '0'; downCntLd <= '1'; downCntData <= pulseCntVal; pulse <= '0'; end case; end process outConProc; downCntr: process (clk,reset) begin if (reset = '1') then downCnt <= "00000000"; elsif (clk'event and clk = '1') then if (downCntLd = '1') then downCnt <= downCntData; elsif (downCntEn = '1') then downCnt <= downCnt - 1; else downCnt <= downCnt; end if; end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity progPulseFsm is port ( downCnt: in std_logic_vector(7 downto 0); delayCntVal: in std_logic_vector(7 downto 0); lengthCntVal: in std_logic_vector(7 downto 0); loadLength: in std_logic; loadDelay: in std_logic; clk: in std_logic; reset: in std_logic; downCntEn: out std_logic; downCntLd: out std_logic; downCntData: out std_logic_vector(7 downto 0); pulse: out std_logic ); end progPulseFsm; architecture fsm of progPulseFsm is type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd); type stateVec is array (3 downto 0) of std_logic; type stateBits is array (progPulseFsmType) of stateVec; signal loadVal: std_logic; constant stateTable: stateBits := ( loadDelayCnt => "0010", waitDelayEnd => "0100", loadLengthCnt => "0011", waitLengthEnd => "1101" ); -- ^^^^ -- ||||__ loadVal -- |||___ downCntLd -- ||____ downCntEn -- |_____ pulse signal currState, nextState: progPulseFsmType; begin nextStProc: process (currState, downCnt, loadDelay, loadLength) begin case currState is when loadDelayCnt => nextState <= waitDelayEnd; when waitDelayEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (to_unsigned(downCnt) = 0) then nextState <= loadLengthCnt; else nextState <= waitDelayEnd; end if; when loadLengthCnt => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; else nextState <= waitLengthEnd; end if; when waitLengthEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (to_unsigned(downCnt) = 0) then nextState <= loadDelayCnt; else nextState <= waitDelayEnd; end if; when others => null; end case; end process nextStProc; currStProc: process (clk, reset) begin if (reset = '1') then currState <= loadDelayCnt; elsif (clk'event and clk = '1') then currState <= nextState; end if; end process currStProc; pulse <= stateTable(currState)(3); downCntEn <= stateTable(currState)(2); downCntLd <= stateTable(currState)(1); loadVal <= stateTable(currState)(0); downCntData <= delayCntVal when loadVal = '0' else lengthCntVal; end fsm; -- Incorporates Errata 6.1 library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.all; entity progPulseFsm is port ( downCnt: in std_logic_vector(7 downto 0); delayCntVal: in std_logic_vector(7 downto 0); lengthCntVal: in std_logic_vector(7 downto 0); loadLength: in std_logic; loadDelay: in std_logic; clk: in std_logic; reset: in std_logic; downCntEn: out std_logic; downCntLd: out std_logic; downtCntData: out std_logic_vector(7 downto 0); pulse: out std_logic ); end progPulseFsm; architecture fsm of progPulseFsm is type progPulseFsmType is (loadDelayCnt, waitDelayEnd, loadLengthCnt, waitLengthEnd); signal currState, nextState: progPulseFsmType; signal downCntL: unsigned (7 downto 0); begin downCntL <= to_unsigned(downCnt); -- convert downCnt to unsigned nextStProc: process (currState, downCntL, loadDelay, loadLength) begin case currState is when loadDelayCnt => nextState <= waitDelayEnd; when waitDelayEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCntL = 0) then nextState <= loadLengthCnt; else nextState <= waitDelayEnd; end if; when loadLengthCnt => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; else nextState <= waitLengthEnd; end if; when waitLengthEnd => if (loadDelay = '1' or loadLength = '1') then nextState <= loadDelayCnt; elsif (downCntL = 0) then nextState <= loadDelayCnt; else nextState <= waitDelayEnd; end if; when others => null; end case; end process nextStProc; currStProc: process (clk, reset) begin if (reset = '1') then currState <= loadDelayCnt; elsif (clk'event and clk = '1') then currState <= nextState; end if; end process currStProc; outConProc: process (currState, delayCntVal, lengthCntVal) begin case currState is when loadDelayCnt => downCntEn <= '0'; downCntLd <= '1'; downtCntData <= delayCntVal; pulse <= '0'; when waitDelayEnd => downCntEn <= '1'; downCntLd <= '0'; downtCntData <= delayCntVal; pulse <= '0'; when loadLengthCnt => downCntEn <= '0'; downCntLd <= '1'; downtCntData <= lengthCntVal; pulse <= '1'; when waitLengthEnd => downCntEn <= '1'; downCntLd <= '0'; downtCntData <= lengthCntVal; pulse <= '1'; when others => downCntEn <= '0'; downCntLd <= '1'; downtCntData <= delayCntVal; pulse <= '0'; end case; end process outConProc; end fsm; -- Incorporates errata 5.4 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; use work.specialFunctions.all; entity powerOfFour is port( clk : in std_logic; inputVal : in std_logic_vector(3 downto 0); power : out std_logic_vector(15 downto 0) ); end powerOfFour; architecture behavioral of powerOfFour is begin process begin wait until Clk = '1'; power <= std_logic_vector(to_unsigned(Pow(to_integer(unsigned(inputVal)),4),16)); end process; end behavioral; -- Incorporate errata 5.4 library IEEE; use IEEE.std_logic_1164.all; use IEEE.numeric_std.all; entity powerOfFour is port( clk : in std_logic; inputVal : in std_logic_vector(3 downto 0); power : out std_logic_vector(15 downto 0) ); end powerOfFour; architecture behavioral of powerOfFour is function Pow( N, Exp : integer ) return integer is Variable Result : integer := 1; begin for i in 1 to Exp loop Result := Result * N; end loop; return( Result ); end Pow; begin process begin wait until Clk = '1'; power <= std_logic_vector(to_unsigned(Pow(to_integer(to_unsigned(inputVal)),4),16)); end process; end behavioral; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_arith.all; use IEEE.std_logic_unsigned.all; entity powerOfFour is port( clk : in std_logic; inputVal : in std_logic_vector(3 downto 0); power : out std_logic_vector(15 downto 0) ); end powerOfFour; architecture behavioral of powerOfFour is function Pow( N, Exp : integer ) return integer is Variable Result : integer := 1; begin for i in 1 to Exp loop Result := Result * N; end loop; return( Result ); end Pow; begin process begin wait until Clk = '1'; power <= conv_std_logic_vector(Pow(conv_integer(inputVal),4),16); end process; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity regFile is port ( clk, rst: in std_logic; data: in std_logic_vector(31 downto 0); regSel: in std_logic_vector(1 downto 0); wrEnable: in std_logic; regOut: out std_logic_vector(31 downto 0) ); end regFile; architecture behavioral of regFile is subtype reg is std_logic_vector(31 downto 0); type regArray is array (integer range <>) of reg; signal registerFile: regArray(0 to 3); begin regProc: process (clk, rst) variable i: integer; begin i := 0; if rst = '1' then while i <= registerFile'high loop registerFile(i) <= (others => '0'); i := i + 1; end loop; elsif clk'event and clk = '1' then if (wrEnable = '1') then case regSel is when "00" => registerFile(0) <= data; when "01" => registerFile(1) <= data; when "10" => registerFile(2) <= data; when "11" => registerFile(3) <= data; when others => null; end case; end if; end if; end process; outputs: process(regSel, registerFile) begin case regSel is when "00" => regOut <= registerFile(0); when "01" => regOut <= registerFile(1); when "10" => regOut <= registerFile(2); when "11" => regOut <= registerFile(3); when others => null; end case; end process; end behavioral; library IEEE; use IEEE.std_logic_1164.all; entity DFF is port ( d1,d2: in std_logic; q1,q2: out std_logic; clk: in std_logic; rst : in std_logic ); end DFF; architecture rtl of DFF is begin resetLatch: process (clk, rst) begin if rst = '1' then q1 <= '0'; elsif clk'event and clk = '1' then q1 <= d1; q2 <= d2; end if; end process; end rtl; library ieee; use ieee.std_logic_1164.all; entity resFcnDemo is port ( a, b: in std_logic; oeA,oeB: in std_logic; result: out std_logic ); end resFcnDemo; architecture multiDriver of resFcnDemo is begin result <= a when oeA = '1' else 'Z'; result <= b when oeB = '1' else 'Z'; end multiDriver; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity scaleDFF is port ( data: in std_logic_vector(7 downto 0); clock: in std_logic; enable: in std_logic; qout: out std_logic_vector(7 downto 0) ); end scaleDFF; architecture scalable of scaleDFF is begin u1: sDFFE port map (d => data, clk =>clock, en => enable, q => qout ); end scalable; library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; entity sevenSegment is port ( bcdInputs: in std_logic_vector (3 downto 0); a_n, b_n, c_n, d_n, e_n, f_n, g_n: out std_logic ); end sevenSegment; architecture behavioral of sevenSegment is signal la_n, lb_n, lc_n, ld_n, le_n, lf_n, lg_n: std_logic; signal oe: std_logic; begin bcd2sevSeg: process (bcdInputs) begin -- Assign default to "off" la_n <= '1'; lb_n <= '1'; lc_n <= '1'; ld_n <= '1'; le_n <= '1'; lf_n <= '1'; lg_n <= '1'; case bcdInputs is when "0000" => la_n <= '0'; lb_n <= '0'; lc_n <= '0'; ld_n <= '0'; le_n <= '0'; lf_n <= '0'; when "0001" => lb_n <= '0'; lc_n <= '0'; when "0010" => la_n <= '0'; lb_n <= '0'; ld_n <= '0'; le_n <= '0'; lg_n <= '0'; when "0011" => la_n <= '0'; lb_n <= '0'; lc_n <= '0'; ld_n <= '0'; lg_n <= '0'; when "0100" => lb_n <= '0'; lc_n <= '0'; lf_n <= '0'; lg_n <= '0'; when "0101" => la_n <= '0'; lc_n <= '0'; ld_n <= '0'; lf_n <= '0'; lg_n <= '0'; when "0110" => la_n <= '0'; lc_n <= '0'; ld_n <= '0'; le_n <= '0'; lf_n <= '0'; lg_n <= '0'; when "0111" => la_n <= '0'; lb_n <= '0'; lc_n <= '0'; when "1000" => la_n <= '0'; lb_n <= '0'; lc_n <= '0'; ld_n <= '0'; le_n <= '0'; lf_n <= '0'; lg_n <= '0'; when "1001" => la_n <= '0'; lb_n <= '0'; lc_n <= '0'; ld_n <= '0'; lf_n <= '0'; lg_n <= '0'; -- All other inputs possibilities are "don't care" when others => la_n <= 'X'; lb_n <= 'X'; lc_n <= 'X'; ld_n <= 'X'; le_n <= 'X'; lf_n <= 'X'; lg_n <= 'X'; end case; end process bcd2sevSeg; -- Disable outputs for all invalid input values oe <= '1' when (bcdInputs < 10) else '0'; a_n <= la_n when oe = '1' else 'Z'; b_n <= lb_n when oe = '1' else 'Z'; c_n <= lc_n when oe = '1' else 'Z'; d_n <= ld_n when oe = '1' else 'Z'; e_n <= le_n when oe = '1' else 'Z'; f_n <= lf_n when oe = '1' else 'Z'; g_n <= lg_n when oe = '1' else 'Z'; end behavioral; library ieee; use ieee.std_logic_1164.all; use std.textio.all; entity sevenSegmentTB is end sevenSegmentTB; architecture testbench of sevenSegmentTB is component sevenSegment port ( bcdInputs: in std_logic_vector (3 downto 0); a_n, b_n, c_n, d_n, e_n, f_n, g_n: out std_logic ); end component; type vector is record bcdStimulus: std_logic_vector(3 downto 0); sevSegOut: std_logic_vector(6 downto 0); end record; constant NumVectors: integer:= 17; constant PropDelay: time := 40 ns; constant SimLoopDelay: time := 10 ns; type vectorArray is array (0 to NumVectors - 1) of vector; constant vectorTable: vectorArray := ( (bcdStimulus => "0000", sevSegOut => "0000001"), (bcdStimulus => "0001", sevSegOut => "1001111"), (bcdStimulus => "0010", sevSegOut => "0010010"), (bcdStimulus => "0011", sevSegOut => "0000110"), (bcdStimulus => "0100", sevSegOut => "1001100"), (bcdStimulus => "0101", sevSegOut => "0100100"), (bcdStimulus => "0110", sevSegOut => "0100000"), (bcdStimulus => "0111", sevSegOut => "0001111"), (bcdStimulus => "1000", sevSegOut => "0000000"), (bcdStimulus => "1001", sevSegOut => "0000100"), (bcdStimulus => "1010", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "1011", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "1100", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "1101", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "1110", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "1111", sevSegOut => "ZZZZZZZ"), (bcdStimulus => "0000", sevSegOut => "0110110") -- this vector fails ); for all : sevenSegment use entity work.sevenSegment(behavioral); signal StimInputs: std_logic_vector(3 downto 0); signal CaptureOutputs: std_logic_vector(6 downto 0); begin u1: sevenSegment port map (bcdInputs => StimInputs, a_n => CaptureOutputs(6), b_n => CaptureOutputs(5), c_n => CaptureOutputs(4), d_n => CaptureOutputs(3), e_n => CaptureOutputs(2), f_n => CaptureOutputs(1), g_n => CaptureOutputs(0)); LoopStim: process variable FoundError: boolean := false; variable TempVector: vector; variable ErrorMsgLine: line; begin for i in vectorTable'range loop TempVector := vectorTable(i); StimInputs <= TempVector.bcdStimulus; wait for PropDelay; if CaptureOutputs /= TempVector.sevSegOut then write (ErrorMsgLine, string'("Vector failed at ")); write (ErrorMsgLine, now); writeline (output, ErrorMsgLine); FoundError := true; end if; wait for SimLoopDelay; end loop; assert FoundError report "No errors. All vectors passed." severity note; wait; end process; end testbench; library ieee; use ieee.std_logic_1164.all; entity sevenSegment is port ( bcdInputs: in std_logic_vector (3 downto 0); a_n, b_n, c_n, d_n, e_n, f_n, g_n: out std_logic ); end sevenSegment; architecture behavioral of sevenSegment is begin bcd2sevSeg: process (bcdInputs) begin -- Assign default to "off" a_n <= '1'; b_n <= '1'; c_n <= '1'; d_n <= '1'; e_n <= '1'; f_n <= '1'; g_n <= '1'; case bcdInputs is when "0000" => a_n <= '0'; b_n <= '0'; c_n <= '0'; d_n <= '0'; e_n <= '0'; f_n <= '0'; when "0001" => b_n <= '0'; c_n <= '0'; when "0010" => a_n <= '0'; b_n <= '0'; d_n <= '0'; e_n <= '0'; g_n <= '0'; when "0011" => a_n <= '0'; b_n <= '0'; c_n <= '0'; d_n <= '0'; g_n <= '0'; when "0100" => b_n <= '0'; c_n <= '0'; f_n <= '0'; g_n <= '0'; when "0101" => a_n <= '0'; c_n <= '0'; d_n <= '0'; f_n <= '0'; g_n <= '0'; when "0110" => a_n <= '0'; c_n <= '0'; d_n <= '0'; e_n <= '0'; f_n <= '0'; g_n <= '0'; when "0111" => a_n <= '0'; b_n <= '0'; c_n <= '0'; when "1000" => a_n <= '0'; b_n <= '0'; c_n <= '0'; d_n <= '0'; e_n <= '0'; f_n <= '0'; g_n <= '0'; when "1001" => a_n <= '0'; b_n <= '0'; c_n <= '0'; d_n <= '0'; f_n <= '0'; g_n <= '0'; when others => null; end case; end process bcd2sevSeg; end behavioral; library IEEE; use IEEE.std_logic_1164.all; use IEEE.std_logic_unsigned.all; entity ForceShare is port ( a,b,c,d,e,f: in std_logic_vector (7 downto 0); result: out std_logic_vector(7 downto 0) ); end ForceShare; architecture behaviour of ForceShare is begin sum: process (a,c,b,d,e,f) variable tempSum: std_logic_vector(7 downto 0); begin tempSum := a + b; -- temporary node for sum if (tempSum = "10011010") then result <= c; elsif (tempSum = "01011001") then result <= d; elsif (tempSum = "10111011") then result <= e; else result <= f; end if; end process; end behaviour; library IEEE; use IEEE.std_logic_1164.all; entity shifter is port ( clk, rst: in std_logic; shiftEn,shiftIn: std_logic; q: out std_logic_vector (15 downto 0) ); end shifter; architecture behav of shifter is signal qLocal: std_logic_vector(15 downto 0); begin shift: process (clk, rst) begin if (rst = '1') then qLocal <= (others => '0'); elsif (clk'event and clk = '1') then if (shiftEn = '1') then qLocal <= qLocal(14 downto 0) & shiftIn; else qLocal <= qLocal; end if; end if; q <= qLocal; end process; end behav; library ieee; use ieee.std_logic_1164.all; entity lastAssignment is port (a, b: in std_logic; selA, selb: in std_logic; result: out std_logic ); end lastAssignment; architecture behavioral of lastAssignment is begin demo: process (a,b,selA,selB) begin if (selA = '1') then result <= a; else result <= '0'; end if; if (selB = '1') then result <= b; else result <= '0'; end if; end process demo; end behavioral; library ieee; use ieee.std_logic_1164.all; entity signalDemo is port ( a: in std_logic; b: out std_logic ); end signalDemo; architecture basic of signalDemo is signal c: std_logic; begin demo: process (a) begin c <= a; if c = '0' then b <= a; else b <= '0'; end if; end process; end basic; library ieee; use ieee.std_logic_1164.all; entity signalDemo is port ( a: in std_logic; b: out std_logic ); end signalDemo; architecture basic of signalDemo is signal c: std_logic; begin demo: process (a) begin c <= a; if c = '1' then b <= a; else b <= '0'; end if; end process; end basic; library IEEE; USE IEEE.std_logic_1164.all; package simPrimitives is component OR2 generic (tPD: time := 1 ns); port (I1, I2: in std_logic; Y: out std_logic ); end component; component SimDFF generic(tCQ: time := 1 ns; tS : time := 1 ns; tH : time := 1 ns ); port (D, Clk: in std_logic; Q: out std_logic ); end component; end simPrimitives; library IEEE; USE IEEE.std_logic_1164.all; entity OR2 is generic (tPD: time := 1 ns); port (I1, I2: in std_logic; Y: out std_logic ); end OR2; architecture simple of OR2 is begin Y <= I1 OR I2 after tPD; end simple; library IEEE; use IEEE.std_logic_1164.all; entity SimDFF is generic(tCQ: time := 1 ns; tS : time := 1 ns; tH : time := 1 ns ); port (D, Clk: in std_logic; Q: out std_logic ); end SimDff; architecture SimModel of SimDFF is begin reg: process (Clk, D) begin -- Assign output tCQ after rising clock edge if (Clk'event and Clk = '1') then Q <= D after tCQ; end if; -- Check setup time if (Clk'event and Clk = '1') then assert (D'last_event >= tS) report "Setup time violation" severity Warning; end if; -- Check hold time if (D'event and Clk'stable and Clk = '1') then assert (D'last_event - Clk'last_event > tH) report "Hold Time Violation" severity Warning; end if; end process; end simModel; library IEEE; use IEEE.std_logic_1164.all; entity SRFF is port ( s,r: in std_logic; clk: in std_logic; q: out std_logic ); end SRFF; architecture rtl of SRFF is begin process begin wait until rising_edge(clk); if s = '0' and r = '1' then q <= '0'; elsif s = '1' and r = '0' then q <= '1'; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; entity SRFF is port ( s,r: in std_logic; clk: in std_logic; q: out std_logic ); end SRFF; architecture rtl of SRFF is begin process begin wait until clk = '1'; if s = '0' and r = '1' then q <= '0'; elsif s = '1' and r = '0' then q <= '1'; end if; end process; end rtl; library IEEE; use IEEE.std_logic_1164.all; package scaleable is component scaleUpCnt port ( clk: in std_logic; reset: in std_logic; cnt: in std_logic_vector ); end component; end scaleable; library IEEE; use IEEE.std_logic_1164.all; use work.primitive.all; entity scaleUpCnt is port ( clk: in std_logic; reset: in std_logic; cnt: out std_logic_vector ); end scaleUpCnt; architecture scaleable of scaleUpCnt is signal one: std_logic := '1'; signal cntL: std_logic_vector(cnt'range); signal andTerm: std_logic_vector(cnt'range); begin -- Special case is the least significant bit lsb: tff port map (t => one, reset => reset, clk => clk, q => cntL(cntL'low) ); andTerm(0) <= cntL(cntL'low); -- General case for all other bits genAnd: for i in 1 to cntL'high generate andTerm(i) <= andTerm(i - 1) and cntL(i); end generate; genTFF: for i in 1 to cntL'high generate t1: tff port map (t => andTerm(i), clk => clk, reset => reset, q => cntl(i) ); end generate; cnt <= CntL; end scaleable; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "101"; constant Backoff: targetFsmType := "010"; constant S_Data: targetFsmType := "011"; constant Turn_Ar: targetFsmType := "110"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => null; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "001"; constant Backoff: targetFsmType := "011"; constant S_Data: targetFsmType := "010"; constant Turn_Ar: targetFsmType := "110"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => null; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "001"; constant Backoff: targetFsmType := "010"; constant S_Data: targetFsmType := "011"; constant Turn_Ar: targetFsmType := "100"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => null; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(3 downto 0); constant Idle: targetFsmType := "0000"; constant B_Busy: targetFsmType := "0001"; constant Backoff: targetFsmType := "0011"; constant S_Data: targetFsmType := "1100"; constant Turn_Ar: targetFsmType := "1101"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => null; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "101"; constant Backoff: targetFsmType := "010"; constant S_Data: targetFsmType := "011"; constant Turn_Ar: targetFsmType := "110"; constant Dont_Care: targetFsmType := "XXX"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => nextState <= Dont_Care; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin -- Set default output assignments OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Stop_n: out std_logic; -- PCI Stop# PCI_Trdy_n: out std_logic; -- PCI Trdy# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; type targetFsmType is (Idle, B_Busy, Backoff, S_Data, Turn_Ar); signal currState, nextState: targetFsmType; begin -- Process to generate next state logic nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when Idle => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_Busy; else nextState <= Idle; end if; when B_Busy => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= Idle; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= Backoff; else nextState <= B_Busy; end if; when S_Data => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= Backoff; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= Turn_Ar; else nextState <= S_Data; end if; when Backoff => if PCI_Frame_n = '1' then nextState <= Turn_Ar; else nextState <= Backoff; end if; when Turn_Ar => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_Busy; else nextState <= Idle; end if; when others => null; end case; end process nxtStProc; -- Process to register the current state curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; -- Process to generate outputs outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; -- Assign output ports PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; -- Incorporates Errata 10.1 and 10.2 library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(4 downto 0); constant Idle: integer := 0; constant B_Busy: integer := 1; constant Backoff: integer := 2; constant S_Data: integer := 3; constant Turn_Ar: integer := 4; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin nextState <= (others => '0'); if currState(Idle) = '1' then if (PCI_Frame_n = '0' and Hit = '0') then nextState(B_Busy) <= '1'; else nextState(Idle) <= '1'; end if; end if; if currState(B_Busy) = '1' then if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState(Idle) <= '1'; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState(S_Data) <= '1'; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState(Backoff) <= '1'; else nextState(B_Busy) <= '1'; end if; end if; if currState(S_Data) = '1' then if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState(Backoff) <= '1'; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState(Turn_Ar) <= '1'; else nextState(S_Data) <= '1'; end if; end if; if currState(Backoff) = '1' then if PCI_Frame_n = '1' then nextState(Turn_Ar) <= '1'; else nextState(Backoff) <= '1'; end if; end if; if currState(Turn_Ar) = '1' then if (PCI_Frame_n = '0' and Hit = '0') then nextState(B_Busy) <= '1'; else nextState(Idle) <= '1'; end if; end if; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= (others => '0'); -- per Errata 10.2 currState(Idle) <= '1'; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; -- defaults per errata 10.1 OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; if (currState(S_Data) = '1') then if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; end if; if (currState(Backoff) = '1') then if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; end if; if (currState(Turn_Ar) = '1') then OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; end if; if (currState(Idle) = '1' or currState(B_Busy) = '1') then OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end if; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "001"; constant Backoff: targetFsmType := "011"; constant S_Data: targetFsmType := "110"; constant Turn_Ar: targetFsmType := "100"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when IDLE => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when B_BUSY => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= IDLE; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= BACKOFF; else nextState <= B_BUSY; end if; when S_DATA => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= BACKOFF; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= TURN_AR; else nextState <= S_DATA; end if; when BACKOFF => if PCI_Frame_n = '1' then nextState <= TURN_AR; else nextState <= BACKOFF; end if; when TURN_AR => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_BUSY; else nextState <= IDLE; end if; when others => nextState <= IDLE; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin -- Set default output assignments OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library IEEE; use IEEE.std_logic_1164.all; entity pci_target is port ( PCI_Frame_n: in std_logic; -- PCI Frame# PCI_Irdy_n: in std_logic; -- PCI Irdy# Hit: in std_logic; -- Hit on address decode D_Done: in std_logic; -- Device decode complete Term: in std_logic; -- Terminate transaction Ready: in std_logic; -- Ready to transfer data Cmd_Write: in std_logic; -- Command is Write Cmd_Read: in std_logic; -- Command is Read T_Abort: in std_logic; -- Target error - abort transaction PCI_Clk: in std_logic; -- PCI Clock PCI_Reset_n: in std_logic; -- PCI Reset# PCI_Devsel_n: out std_logic; -- PCI Devsel# PCI_Trdy_n: out std_logic; -- PCI Trdy# PCI_Stop_n: out std_logic; -- PCI Stop# OE_AD: out std_logic; -- PCI AD bus enable OE_Trdy_n: out std_logic; -- PCI Trdy# enable OE_Stop_n: out std_logic; -- PCI Stop# enable OE_Devsel_n: out std_logic -- PCI Devsel# enable ); end pci_target; architecture fsm of pci_target is signal LPCI_Devsel_n, LPCI_Trdy_n, LPCI_Stop_n: std_logic; subtype targetFsmType is std_logic_vector(2 downto 0); constant Idle: targetFsmType := "000"; constant B_Busy: targetFsmType := "001"; constant Backoff: targetFsmType := "011"; constant S_Data: targetFsmType := "110"; constant Turn_Ar: targetFsmType := "100"; signal currState, nextState: targetFsmType; begin nxtStProc: process (currState, PCI_Frame_n, Hit, D_Done, PCI_Irdy_n, LPCI_Trdy_n, LPCI_Devsel_n, LPCI_Stop_n, Term, Ready) begin case currState is when Idle => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_Busy; else nextState <= Idle; end if; when B_Busy => if (PCI_Frame_n ='1' and D_Done = '1') or (PCI_Frame_n = '1' and D_Done = '0' and LPCI_Devsel_n = '0') then nextState <= Idle; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '0' or (Term = '1' and Ready = '1') ) then nextState <= S_Data; elsif (PCI_Frame_n = '0' or PCI_Irdy_n = '0') and Hit = '1' and (Term = '1' and Ready = '0') then nextState <= Backoff; else nextState <= B_Busy; end if; when S_Data => if PCI_Frame_n = '0' and LPCI_Stop_n = '0' and (LPCI_Trdy_n = '1' or PCI_Irdy_n = '0') then nextState <= Backoff; elsif PCI_Frame_n = '1' and (LPCI_Trdy_n = '0' or LPCI_Stop_n = '0') then nextState <= Turn_Ar; else nextState <= S_Data; end if; when Backoff => if PCI_Frame_n = '1' then nextState <= Turn_Ar; else nextState <= Backoff; end if; when Turn_Ar => if (PCI_Frame_n = '0' and Hit = '0') then nextState <= B_Busy; else nextState <= Idle; end if; when others => null; end case; end process nxtStProc; curStProc: process (PCI_Clk, PCI_Reset_n) begin if (PCI_Reset_n = '0') then currState <= Idle; elsif (PCI_Clk'event and PCI_Clk = '1') then currState <= nextState; end if; end process curStProc; outConProc: process (currState, Ready, T_Abort, Cmd_Write, Cmd_Read, T_Abort, Term) begin case currState is when S_Data => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; if (Ready = '1' and T_Abort = '0' and (Cmd_Write = '1' or Cmd_Read = '1')) then LPCI_Trdy_n <= '0'; else LPCI_Trdy_n <= '1'; end if; if (T_Abort = '1' or Term = '1') and (Cmd_Write = '1' or Cmd_Read = '1') then LPCI_Stop_n <= '0'; else LPCI_Stop_n <= '1'; end if; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when Backoff => if (Cmd_Read = '1') then OE_AD <= '1'; else OE_AD <= '0'; end if; LPCI_Stop_n <= '0'; OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; if (T_Abort = '0') then LPCI_Devsel_n <= '0'; else LPCI_Devsel_n <= '1'; end if; when Turn_Ar => OE_Trdy_n <= '1'; OE_Stop_n <= '1'; OE_Devsel_n <= '1'; when others => OE_Trdy_n <= '0'; OE_Stop_n <= '0'; OE_Devsel_n <= '0'; OE_AD <= '0'; LPCI_Trdy_n <= '1'; LPCI_Stop_n <= '1'; LPCI_Devsel_n <= '1'; end case; end process outConProc; PCI_Devsel_n <= LPCI_Devsel_n; PCI_Trdy_n <= LPCI_Trdy_n; PCI_Stop_n <= LPCI_Stop_n; end fsm; library ieee; use ieee.std_logic_1164.all; entity test is port ( a: in std_logic; z: out std_logic; en: in std_logic ); end test; architecture simple of test is begin z <= a when en = '1' else 'z'; end simple;
gpl-2.0
1ec3b10fa91fb04a8bf002fc7691ad9d
0.536539
3.497264
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/or_muxcy_f.vhd
15
12,722
------------------------------------------------------------------------------- -- $Id: or_muxcy_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- or_muxcy_f ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2006-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: or_muxcy_f.vhd -- -- Description: -- (Note: It is recommended to use this module sparingly. -- XST synthesis inferral of reduction-OR functionality -- has progressed to where a carry-chain implementation -- will be selected if it has advantages. At the same -- time, if a rigid carry chain structure is not imposed, -- XST has more degrees of freedom for optimization. -- -- This module can be used to get an inferred implementation -- by specifying C_FAMILY = "nofamily", which is the default -- value of this Generic. It is equally possible to use -- a reduction-or function (see or_reduce, below, for an -- example) instead of this module. -- -- If however the designer wants without compromise -- a structural carry-chain implementation, then this -- module can be used with C_FAMILY set to the target -- Xilinx FPGA family. -- -- End of Note. -- ) -- -- -- Or_out <= or_reduce(In_bus) -- -- i.e., OR together the bits in In_bus and assign to Or_out. -- -- The implementation uses a single LUT if possible. -- Otherwise, if C_FAMILY supports the carry chain concept, -- it uses a minimal number of LUTs on a carry chain. -- The native LUT size of C_FAMILY is taken into account. -- ------------------------------------------------------------------------------- -- Structure: Common use module ------------------------------------------------------------------------------- -- Author: FLO -- History: -- FLO 07/06/06 -- First version - derived from or_with_enable_f -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_cmb" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- library ieee; use ieee.std_logic_1164.all; -- entity or_muxcy_f is generic ( C_NUM_BITS : integer; C_FAMILY : string := "nofamily" ); port ( In_bus : in std_logic_vector(0 to C_NUM_BITS-1); Or_out : out std_logic ); end or_muxcy_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; -- Makes visible the function 'supported' and related types, -- including enumeration literals for the unisim primitives (e.g. -- the "u_" prefixed identifiers such as u_MUXCY, u_LUT4, etc.). library unisim; use unisim.all; -- Make unisim entities available for default binding. -- architecture implementation of or_muxcy_f is ---------------------------------------------------------------------------- -- Here is determined the largest LUT width supported by the target family. -- If no LUT is supported, the width is set to a very large number, which, -- as things are structured, will cause an inferred implementation -- to be used. ---------------------------------------------------------------------------- constant lut_size : integer := native_lut_size(fam_as_string => C_FAMILY, no_lut_return_val => integer'high); ---------------------------------------------------------------------------- -- Here is determined which structural or inferred implementation to use. ---------------------------------------------------------------------------- constant USE_STRUCTURAL_A : boolean := supported(C_FAMILY, u_MUXCY) and In_bus'length > lut_size; -- Structural implementation not needed if the number -- bits to be ORed will fit into a single LUT. constant USE_INFERRED : boolean := not USE_STRUCTURAL_A; ---------------------------------------------------------------------------- -- Reduction OR function. ---------------------------------------------------------------------------- function or_reduce (v : std_logic_vector) return std_logic is variable r : std_logic := '0'; begin for i in v'range loop r := r or v(i); end loop; return r; end; ---------------------------------------------------------------------------- -- Min function. ---------------------------------------------------------------------------- function min (a, b: natural) return natural is begin if (a>b) then return b; else return a; end if; end; ---------------------------------------------------------------------------- -- Signal to recast In_bus into a local array whose index bounds and -- direction are known. ---------------------------------------------------------------------------- signal OB : std_logic_vector(0 to In_bus'length-1); ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component MUXCY port ( O : out std_ulogic; CI : in std_ulogic; DI : in std_ulogic; S : in std_ulogic ); end component; begin OB <= In_bus; ---------------------------------------------------------------------------- -- Inferred implementation. ---------------------------------------------------------------------------- INFERRED_GEN : if USE_INFERRED generate begin Or_out <= or_reduce(OB); end generate INFERRED_GEN; ---------------------------------------------------------------------------- -- Structural implementation. ---------------------------------------------------------------------------- STRUCTURAL_A_GEN : if USE_STRUCTURAL_A generate constant NUM_LUTS : positive := ((OB'length + lut_size - 1) / lut_size); signal cy : std_logic_vector(0 to NUM_LUTS); begin -- cy(0) <= '0'; -- GEN : for i in 0 to NUM_LUTS-1 generate signal lut : std_logic; begin lut <= not or_reduce(OB(i*lut_size to min((i+1)*lut_size-1, OB'right))); -- The min -- function catches the case where one LUT -- is partial (i.e., not all inputs are used). -- I_MUXCY : component MUXCY port map (O =>cy(NUM_LUTS - i), CI=>cy(NUM_LUTS - 1 - i), DI=>'1', S =>lut); -- Note on cy handling: As done here, the partial LUT, if any, -- is placed at the start of the cy chain. end generate; -- Or_out <= cy(NUM_LUTS); -- end generate STRUCTURAL_A_GEN; end implementation;
apache-2.0
4613518e30fbd0af4486402f291067b7
0.414164
5.574934
false
false
false
false
witoldo7/puc-2
PUC/PUC_567/PUC/mod10.vhd
1
1,114
library ieee; use ieee.std_logic_1164.all; use ieee.std_logic_unsigned.all; use ieee.std_logic_arith.all; entity mod10 is --porty we / wy Port(outp : out std_logic_vector(3 downto 0); dir : in std_logic; carry: out std_logic; clr : in std_logic; clk : in std_logic); end mod10; architecture Behavioral of mod10 is signal temp : std_logic_vector(3 downto 0); begin process(clk,clr, dir) begin -- wyzeruj licznik oraz pozyczke if clr = '1' then temp<="0000"; carry <= '0'; -- licz gdu narastajace zbocze na zegarze elsif rising_edge(clk) then --jesli dir "1" liczy do gory, oraz sprawdza stan licznika --po przepelnieni zeruje sie i ustawia pozyczke na "1" --analogicznie dla dir "0" if dir = '1' then if temp <= "1000" then temp <= temp+1; carry <='0'; else temp <= "0000"; carry <= '1'; end if; elsif dir = '0' then if temp >= "0001" then temp <= temp - 1; carry <='0'; else temp <= "1001"; carry <= '1'; end if; end if; end if; outp<=temp; end process; end Behavioral;
gpl-3.0
868b109cc1d35bfa5ce6edd842a5f3b6
0.598743
2.710462
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/dynshreg_f.vhd
15
15,946
------------------------------------------------------------------------------- -- $Id: dynshreg_f.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $ ------------------------------------------------------------------------------- -- srl_fifo_rbu_f - entity / architecture pair ------------------------------------------------------------------------------- -- -- ************************************************************************* -- ** ** -- ** DISCLAIMER OF LIABILITY ** -- ** ** -- ** This text/file contains proprietary, confidential ** -- ** information of Xilinx, Inc., is distributed under ** -- ** license from Xilinx, Inc., and may be used, copied ** -- ** and/or disclosed only pursuant to the terms of a valid ** -- ** license agreement with Xilinx, Inc. Xilinx hereby ** -- ** grants you a license to use this text/file solely for ** -- ** design, simulation, implementation and creation of ** -- ** design files limited to Xilinx devices or technologies. ** -- ** Use with non-Xilinx devices or technologies is expressly ** -- ** prohibited and immediately terminates your license unless ** -- ** covered by a separate agreement. ** -- ** ** -- ** Xilinx is providing this design, code, or information ** -- ** "as-is" solely for use in developing programs and ** -- ** solutions for Xilinx devices, with no obligation on the ** -- ** part of Xilinx to provide support. By providing this design, ** -- ** code, or information as one possible implementation of ** -- ** this feature, application or standard, Xilinx is making no ** -- ** representation that this implementation is free from any ** -- ** claims of infringement. You are responsible for obtaining ** -- ** any rights you may require for your implementation. ** -- ** Xilinx expressly disclaims any warranty whatsoever with ** -- ** respect to the adequacy of the implementation, including ** -- ** but not limited to any warranties or representations that this ** -- ** implementation is free from claims of infringement, implied ** -- ** warranties of merchantability or fitness for a particular ** -- ** purpose. ** -- ** ** -- ** Xilinx products are not intended for use in life support ** -- ** appliances, devices, or systems. Use in such applications is ** -- ** expressly prohibited. ** -- ** ** -- ** Any modifications that are made to the Source Code are ** -- ** done at the user’s sole risk and will be unsupported. ** -- ** The Xilinx Support Hotline does not have access to source ** -- ** code and therefore cannot answer specific questions related ** -- ** to source HDL. The Xilinx Hotline support of original source ** -- ** code IP shall only address issues and questions related ** -- ** to the standard Netlist version of the core (and thus ** -- ** indirectly, the original core source). ** -- ** ** -- ** Copyright (c) 2005-2010 Xilinx, Inc. All rights reserved. ** -- ** ** -- ** This copyright and support notice must be retained as part ** -- ** of this text at all times. ** -- ** ** -- ************************************************************************* -- ------------------------------------------------------------------------------- -- Filename: dynshreg_f.vhd -- -- Description: This module implements a dynamic shift register with clock -- enable. (Think, for example, of the function of the SRL16E.) -- The width and depth of the shift register are selectable -- via generics C_WIDTH and C_DEPTH, respectively. The C_FAMILY -- allows the implementation to be tailored to the target -- FPGA family. An inferred implementation is used if C_FAMILY -- is "nofamily" (the default) or if synthesis will not produce -- an optimal implementation. Otherwise, a structural -- implementation will be generated. -- -- There is no restriction on the values of C_WIDTH and -- C_DEPTH and, in particular, the C_DEPTH does not have -- to be a power of two. -- -- -- VHDL-Standard: VHDL'93 ------------------------------------------------------------------------------- -- Structure: -- ------------------------------------------------------------------------------- -- Author: Farrell Ostler -- -- History: -- FLO 12/05/05 First Version. Derived from srl_fifo_rbu. -- -- ~~~~~~ -- FLO 06/07/15 -- ^^^^^^ -- -XST was observed in some cases to produce a suboptimal implementation when -- the depth, C_DEPTH, is a power of two and less than the native depth -- of the SRL. Now a structural implementation is used for these cases. -- (The particular case where a problem was found was for C_DEPTH=4 and -- C_FAMILY="virtex5". In this case, rather than use an SRL, XST -- made an implementation out of discrete FFs and LUTs.) -- -Added Description. -- ~~~~~~ -- FLO 07/12/12 -- ^^^^^^ -- Using function clog2 now instead of log2 to eliminate superfluous warnings. -- ~~~~~~ -- -- DET 1/17/2008 v4_0 -- ~~~~~~ -- - Changed proc_common library version to v4_0 -- - Incorporated new disclaimer header -- ^^^^^^ -- ------------------------------------------------------------------------------- -- Naming Conventions: -- active low signals: "*_n" -- clock signals: "clk", "clk_div#", "clk_#x" -- reset signals: "rst", "rst_n" -- generics: "C_*" -- user defined types: "*_TYPE" -- state machine next state: "*_ns" -- state machine current state: "*_cs" -- combinatorial signals: "*_com" -- pipelined or register delay signals: "*_d#" -- counter signals: "*cnt*" -- clock enable signals: "*_ce" -- internal version of output port "*_i" -- device pins: "*_pin" -- ports: - Names begin with Uppercase -- processes: "*_PROCESS" -- component instantiations: "<ENTITY_>I_<#|FUNC> ------------------------------------------------------------------------------- -- predecessor value by # clks: "*_p#" ---( library ieee; use ieee.std_logic_1164.all; use ieee.numeric_std.UNSIGNED; use ieee.numeric_std.TO_INTEGER; library proc_common_v4_0; use proc_common_v4_0.proc_common_pkg.clog2; entity dynshreg_f is generic ( C_DEPTH : positive := 32; C_DWIDTH : natural := 1; C_FAMILY : string := "nofamily" ); port ( Clk : in std_logic; Clken : in std_logic; Addr : in std_logic_vector(0 to clog2(C_DEPTH)-1); Din : in std_logic_vector(0 to C_DWIDTH-1); Dout : out std_logic_vector(0 to C_DWIDTH-1) ); end dynshreg_f; library proc_common_v4_0; use proc_common_v4_0.family_support.all; library unisim; use unisim.all; -- Make unisim entities available for default binding. architecture behavioral of dynshreg_f is constant K_FAMILY : families_type := str2fam(C_FAMILY); -- constant W32 : boolean := supported(K_FAMILY, u_SRLC32E) and (C_DEPTH > 16 or not supported(K_FAMILY, u_SRL16E)); constant W16 : boolean := supported(K_FAMILY, u_SRLC16E) and not W32; -- XST faster if these two constants are declared here -- instead of in STRUCTURAL_A_GEN. (I.25) -- function power_of_2(n: positive) return boolean is variable i: positive := 1; begin while n > i loop i := i*2; end loop; return n = i; end power_of_2; -- constant USE_INFERRED : boolean := ( power_of_2(C_DEPTH) and ( (W16 and C_DEPTH >= 16) or (W32 and C_DEPTH >= 32) ) ) or (not W32 and not W16); -- As of I.32, XST is not infering optimal dynamic shift registers for -- depths not a power of two (by not taking advantage of don't care -- at output when address not within the range of the depth) -- or a power of two less than the native SRL depth (by building shift -- register out of discrete FFs and LUTs instead of SRLs). constant USE_STRUCTURAL_A : boolean := not USE_INFERRED; function min(a, b: natural) return natural is begin if a<b then return a; else return b; end if; end min; ---------------------------------------------------------------------------- -- Unisim components declared locally for maximum avoidance of default -- binding and vcomponents version issues. ---------------------------------------------------------------------------- component SRLC16E generic ( INIT : bit_vector := X"0000" ); port ( Q : out STD_ULOGIC; Q15 : out STD_ULOGIC; A0 : in STD_ULOGIC; A1 : in STD_ULOGIC; A2 : in STD_ULOGIC; A3 : in STD_ULOGIC; CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; component SRLC32E generic ( INIT : bit_vector := X"00000000" ); port ( Q : out STD_ULOGIC; Q31 : out STD_ULOGIC; A : in STD_LOGIC_VECTOR (4 downto 0); CE : in STD_ULOGIC; CLK : in STD_ULOGIC; D : in STD_ULOGIC ); end component; begin ---( STRUCTURAL_A_GEN : if USE_STRUCTURAL_A = true generate type bo2na_type is array(boolean) of natural; constant bo2na : bo2na_type := (false => 0, true => 1); constant BPSRL : natural := bo2na(W16)*16 + bo2na(W32)*32; -- Bits per SRL constant BTASRL : natural := clog2(BPSRL); -- Bits To Address SRL constant NUM_SRLS_DEEP : natural := (C_DEPTH + BPSRL-1)/BPSRL; constant ADDR_BITS : integer := Addr'length; signal dynshreg_addr : std_logic_vector(ADDR_BITS-1 downto 0); signal cascade_sigs : std_logic_vector(0 to C_DWIDTH*(NUM_SRLS_DEEP+1) - 1); -- The data signals at the inputs and daisy-chain outputs of SRLs. -- The last signal of each cascade is not used. -- signal q_sigs : std_logic_vector(0 to C_DWIDTH*NUM_SRLS_DEEP - 1); -- The data signals at the addressble outputs of SRLs. ---)( begin DIN_TO_CASCADE_GEN : for i in 0 to C_DWIDTH-1 generate cascade_sigs(i*(NUM_SRLS_DEEP+1)) <= Din(i); end generate; dynshreg_addr(ADDR_BITS-1 downto 0) <= Addr(0 to ADDR_BITS-1); BIT_OF_WIDTH_GEN : for i in 0 to C_DWIDTH-1 generate CASCADES_GEN : for j in 0 to NUM_SRLS_DEEP-1 generate signal srl_addr: std_logic_vector(4 downto 0); begin -- Here we form the address for the SRL elements. This is just -- the corresponding low-order bits of dynshreg_addr but we -- also handle the case where we have to zero-pad to the left -- a dynshreg_addr that is smaller than the SRL address port. SRL_ADDR_LO_GEN : for i in 0 to min(ADDR_BITS-1,4) generate srl_addr(i) <= dynshreg_addr(i); end generate; SRL_ADDR_HI_GEN : for i in min(ADDR_BITS-1,4)+1 to 4 generate srl_addr(i) <= '0'; end generate; W16_GEN : if W16 generate SRLC16E_I : component SRLC16E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q15 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A0 => srl_addr(0), A1 => srl_addr(1), A2 => srl_addr(2), A3 => srl_addr(3), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; W32_GEN : if W32 generate begin SRLC32E_I : component SRLC32E port map ( Q => q_sigs(j + i*NUM_SRLS_DEEP), Q31 => cascade_sigs(j+1 + i*(NUM_SRLS_DEEP+1)), A => srl_addr(4 downto 0), CE => Clken, Clk => Clk, D => cascade_sigs(j + i*(NUM_SRLS_DEEP+1)) ) ; end generate; end generate CASCADES_GEN; end generate BIT_OF_WIDTH_GEN; ---------------------------------------------------------------------------- -- Generate a MUXFn structure to select the proper SRL -- as the output of each shift register. ---------------------------------------------------------------------------- SINGLE_SRL_GEN : if NUM_SRLS_DEEP = 1 generate Dout <= q_sigs; end generate; -- MULTI_SRL_GEN : if NUM_SRLS_DEEP > 1 generate PER_BIT_GEN : for i in 0 to C_DWIDTH-1 generate begin MUXF_STRUCT_I0 : entity proc_common_v4_0.muxf_struct_f generic map ( C_START_LEVEL => native_lut_size(fam => K_FAMILY, no_lut_return_val => 10000), -- Artificially high value for C_START_LEVEL when no LUT is -- supported will cause muxf_struct_f to default to inferred -- multiplexers. C_NUM_INPUTS => NUM_SRLS_DEEP, C_FAMILY => C_FAMILY ) port map ( O => Dout(i), Iv => q_sigs(i * (NUM_SRLS_DEEP) to (i+1) * (NUM_SRLS_DEEP) - 1), Sel => dynshreg_addr(ADDR_BITS-1 downto BTASRL) --Bits To Addr SRL ) ; end generate; end generate; end generate STRUCTURAL_A_GEN; ---) ---( INFERRED_GEN : if USE_INFERRED = true generate type dataType is array (0 to C_DEPTH-1) of std_logic_vector(0 to C_DWIDTH-1); signal data: dataType; begin process(Clk) begin if Clk'event and Clk = '1' then if Clken = '1' then data <= Din & data(0 to C_DEPTH-2); end if; end if; end process; Dout <= data(TO_INTEGER(UNSIGNED(Addr))) when (TO_INTEGER(UNSIGNED(Addr)) < C_DEPTH) else (others => '-'); end generate INFERRED_GEN; ---) end behavioral; ---)
apache-2.0
e4454bbaa7af0ad21b7a90404776653e
0.470275
4.546906
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mmu_utlb.vhd
1
55,025
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block BFQe65uLaUA1hQEUwDrJgSjQgxzrzXMtK55ZA/zGPGShlrVjG4RE1t45LaBzKZX1C5uT2H7093KJ Wlb4xAmpkQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block cUDbCppNb/8duBBTK0e5Nw2UOo+/DHXhEeqfyt+xS1Lj/egokDKfhRCTmc914nlzUDcDodZe42Ix RHH/HKR0liQD50NwLrz6L9FxoGgG/Ub3Ldji/pWhmNcmaJt6HKq3DPjqFmC7bbjBECaFLV+FG0pE hzfFetI40XMksO0dQx8= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block ld/yNR23WhXhPeEeR8mA4uMyzsyxkLK6qMoZOHvDjE/liw2eNo4/IKPGUYPcrPDZNSh9MEpxpXAL 3RebVtTLXvHigR3p9RlIoAdOPRErw4FIP6HwpsYnj02ajtOsMX9pGz4wFDlh/sHXmKV1fAfi1rn0 IjB8/+y0CytPnCVUMIZQqS6WkT8d39+Bu19+nA+ncmk85Pdjl6IaIU3FSoa41CNH4+Wx4v1bqVL+ 8qWfFJLVr1Vi6YpxgTI+JsuqJG/TVqMXZ5R7Sybjqhnizr82bVxSp41ypqfH3sC/VODA8L/7bAPQ cBe0qo6V335PDRHnGu3Ns5Ci9EGR+J0ktE6UUA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block BnOms6+sQSP9XIrpxVuKBYr3URiYKQhhBLPJCbKYzm4YnRkFWsy4s7bPB+qrhKP1skz5RvqrBsYa c+MU4R7gZ7zt7PEhMS2fZwXAYgd7zm4JOWuG6pz0Pt8NgjHvrz+g7pOUY/c1DD+su5MbnbtzvpxY x78JI4JD9tkd7zVLhJk= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QFj2IDmqi7AG+d+iKNysBBRjicnj34cmvC7ZIt3yDlxaC7a1mTGbhweB5zQ5qFJf7mgT1MTIywy2 W3vcaBCQlL4p5TR36iloJ96lWO1ByduAbw4oqtpPdb9iQYIVVr1AyXsvFxDhighgiP5R+XjPC+Jw UDWAEbtbrYSf6U+7x6EqDFVR2o1rPvOZKIVd3tTr0d5ZtxlabT63cHtRS0ZtjV4NuTqy7AClfmLY n4MsyKnIhCVay4BeHAxhGVQD+4HBD+paQgcRM6AQ3I8t89SbqrAlQb885tBs6XrPsg19AUTfTGQw DYMoTVC7KSkb9SkMwi3GHeXu6Us9nrp7Q6F6Kg== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 38992) `protect data_block duPXmLA7z/+63FbEmDPlMXgSmYsmrB//mON4E9GDaZLz8EG4mTRwjVeIKrlBF/tw1JKT8Fe/4NqS KNhAWAlaDifrygpM+UIFx36Hkt0bwT6kr7J9dCfV8zXai+J3N3pnHMn3JZI220l+vKO/hR8AqEe7 4Z3xDdfDx+L/BLYEgwF2aC/VKN96zZHYrckqx/R84tnZrgtnc7RrfmE86LcVXEzNKaQvDB/rZTp7 q7MH9qczRtsm2k9uYqbEFMgLegS4JXqh3GQIcOgBUdXYGJDJxriwObxtYPR1acMgCsdRaXx7jN91 bROrfAJPzBc+UssjRfVBDYG9PPAw74hQaqNO72c4hRFAMr1wJSsuYq0pcJVOGXDFOJ7BaN/uBOg9 QkmkOCJ45jREQeFQ1Ve19YajownUFdjd0StsGclgMMo57GDY49C84S3ljN4osnXE8RN6eufezDrL UnR0sYq6Oyxk4751ESkTQcbPyDgjPRwlXQeyCiG69PHB3+kDHBqO8MF5CQaQ3H1FntdPPuX7dF8k lit/WtCdvwgtv0iRm5qLYvNlQhuPBchxmilyWndsc1aZ5jSaurGvwTsFgOLuc8VpXVivUJAzpj3e h7ht0WXSYJOrgdRE4hMzGlJ0ntxHqJQOWKiVBtUh3W+5QhK2COYi8M2KO5x8iWgbuSohGJC42eE7 +Fz42hOlkHzaICQMs6KwMyleNh9jNbTEkwpajdQuo6aXlfNI9ybWuoEg4j5Vgas2S+/FD2JGhmzv uZ2dewmKRm9Q0X28tOOvs39NAzA8Tb/gVuBsoHFrvxmjna5gXXIWemwsXIzpetA/e3xaORAXTeVH a8Zu+0nPsPAkChvbnjs5Qs0tkQN8KNjG6VbCttWLPvHyw84h9jEcujJTZ7kHgT+b6YhDGTgi5Gwq PQL3BZ1j/UsOZIbSkYG9QKkCkiY/t3KqDqK0Hn4ztG3pLtN73H9Css0tLwH4GGdLu573lmEW89rB eD9axsl/DCESw9sEOlBODU+w/1N+QZ/ghrXmsCsFJ1kk+PeKZs+kGbULqHT8+YbXORZKVRFug/JI q13aTBkC2oOuIxmGbVQ+zxL0mNR8Wnx3qSMMcEzrfoo9zeltV1ERrUJrxpyrS3WG+gvaJuMydZWd uUGFDy7CEqIJKIGzlXKz6KdNI6v0B2vA/PSSqYvvKmgEXFbWB5m7hPAv0qjgfcCahyq3NMC2bu9d BImO57Jv6DRO+Az6q+8GHPCgjWyUE2X+iKHHivVRBGSuWEwsyXX6I3IXk49aW+nP46BmiMlWrtLI WZHbbxUUhyIoGuIO4WlAunmM38fr+AzB5AfjtOC24F14V0hTc3KhkqLN3skllXEJN0jDDTYY9Wiw SszDC8I8HM75q1/huKXj5wQeMArTgutYpMEHhlK8cfFnofUqWiUQVQ4iHLJqz4aRc7c/LDccfr1w apEpSS8HEUmzPHQkodc4mIApTs0DGSLfaT8k0G/jz5Gi8QucmmW9dXcWmnz6bMAHeESmVAvotNkC R2c98FcG1sNl9XIwsi7H6Q4ClEXd49JFU7Ox4SzuFPd4Wn5RWoWMIXdIvKMrCsB9mww8xG3KUZC0 dbazBmhta+KbkOSgwZrhEmFpjnQK5oFYFor2oHqe59mSjRQDhQ//yi8/AsTfQqLmjP0Tz04XjN8k ExhRhlCxF5Wk/lOEod/ZPo+nKJjfcBgcINCaeLb5hPCw3L50RDeLTw4XC4bMWr4sQbZLMOgZDNkd MU88++PeHt9SP6BcH9fE4NaJVduIR6I7L9ywVGKOJ2NsnYvK5AJklkj7fFKbMFzQOmfBX0dtTMpj swENf2+dapNJvWaXa04jzVxXyG6CWjr9KiTgLlQ6BdxUF5aFFgm07l2aj3CS0pKB/pEaJtZ1zuWX srEtoPtjJ82Fdglv27SaiTd5rykM0CtrzTbCrme5o/XZCtQ38PpgWWJDRIDTRyl7CnwOorEFAy23 InLB1f3zdj7HdM9FryDMAil/PuwYiOko7aD/D4hre1YTvorjT4CV11tjfyYZnW0qcTHgrLDiFRMh LqbWq7dgl+m7V54G7orukovBUXcupGiyIUzEKlmEqvRFksCcRmY4OZCzcmNclwrpgMpnv68hpeFH yoJxGseDkQtvP5LvQ8eF+uI6EaQyQCChuX2v0ZePjTAjDelpBEAIEJSrFhLJwKHRZIPSA0eJpFiJ HzpmHWgOaXzTmrl5+/4jS9cYm+/4ENgs0djKWvHXMN1K4CMZaIKojtSKfrdYKQHBpXu6fmMuClBU 3L44N/M/J71hy3567VY1aJm22dqTfa3vou8bBun6Cvqm3KjBQQy3HovdZNdAm9RqQvyDX8Bu49gD niALaFbRDXeRP5Y1LoeS0s8jXBypAHfl+OYQztwMF8RzeJd865kfYDvCdwWIc2IuF7cK6b6ywmZ9 ITwdyxckVTqYj+2I5AgJBKv4S4d7ngoudmNzZG2OIghr/Ie56prqYJm5DiDAhalqBZYkY2HzIRUM 9Ddf4JmxfL11inkLeqoAc+lVOCjFACJgkdaO+OuupXC5b04S8lsXrBNhmfqQuz11lbfZMy8vJQxK Cphb0GV+xld9R5YuuaxMBAea+C5c+qEcwDz8lreI018YXf7OEbi/5x0zkswaQcU3MFOrW40ylhwF zn/XWFyhv/Zxl3lMJAe8WL47PJFNQqEaa29yRn+ezocS65nSEEci7ScD2TxC8aAhh04UYuiqdKOb 7wW7vvjwEl6l5Tjr7lTkfWO9s+jHDJKZw+DJsGacNQYaW9Qa/fhArvR178RTkicxHb3zP5TwhQl3 dXMqnoU7/5QiGQlyDwcteyVO6TPZGgUnuP5or9ltuxMb4EMsE96Y0lnNEP+Ln6pU9H4z/cXrqJ45 XKs0mT2l9erURJjEykaoIpiBoJOimnLp8Aveh7kLGJQwxrON0KfJwYcFFwasAPEYq65Sx2fHR5Je l/Smo2IcpsW1xiwHMrxR+5yaIHlcEr1BP7RXj054a1DfLLSEWTiNRROsayFmMzDryPqSapu3dE4/ 7WN8H4V9nzf99iFr+31WG8gwgborCK1sYLNCbgX5rjKu0+23oUVn25p2otsTiuh/Ra0FzGD/SIRB iDoqTkZQ2l/7s/tUhbJXHordwjdzvfsnvKg4gkzLo7A4XKOOX7WHO34TVEN3mlBAPJuda6C/dSzm 9DrhoeFSafNRqaeMiTthK9nHZfrAuadCwwtyn9SDN3WtWjZfyyAg5FFGtpvxaFQZ45TVaNlQ/2O8 ikZXCVqo6l0rYsMh3ytzwh3g05yeavXA0Qed0QwdZAaHp1MmozEbdyi8jadPen6AQTrOD2yzh8Kk MrtqzNVwpxoDyzBYsQcfYNskZwt97jpsBD7F42ohiNnisRKApwCdR5FKfQiCQzDi2ObEgoUz/Yp0 i5KfTvfMiLAO6SB5DBgxDIE8EBWFWbjqZlL14V4FhG6TczPvZPE/TQ/RfzlykI+UKw2pT5cyuV8y RKVSY4m3Z7nflXq1m/RV7KGk588pgis83VQ/DScTJVYZ9eyzV13Yoq9BQ4T91I0GqFZXczvxP+QB fry5g0+Ppa8KxKDThO9ziPkbgLNGv81e3bd6wFRMrWCfQMZXXh2pPbM5pkSDBQUrTgrX4w3Sdm5L MI5fNdTbj3EbF7hQT1yU4h91aDiUEJBjjVjEORA4HRDsQoJCQebQLBntSJjdZFsDRwF3jKaHCfI8 IjEQiC+kQRwa9mzM54tSzx+qVntbucRPrNmLrpZhImUhOpfLSpTDlrHd095xb1gqCiZsrJhunhj+ moXR9P8Dwpah/6XBCRxKl/1k8TubDxWFDAfEnZRmIrLmXhdXBZtlZfZ+ElRfzyIzdKF4OPAD7TPD gOKP/9zlvQngQW+SiebxquyvdQm5oskXTtJLOP1C9ygtdWSo/IVNUz6jboH7TtAlRDam53JU/FI0 0WMG4rO8y1RawztzqH6EdgCILwAFfPvclJw9T8z+fNhEPPjIN3fxpyFFdpvExkOjyVjV3AoRCUwl INhlpu7Ml3HAZ4OpLz3o+ZCTu9t3Ws+n/Eth4/g2lubDbMpaMELQCtKIp1ZtNd21tOADZILK/1pE qxKsEog50kd9iCusaTgx8WUsAWmYP4SIbb/B0dwsSqkmuLTvtcHnR8Pxt6YMEiqG7zzMmjlFBoBG kk+Kgb+8Ni6jgxYCPMLWh0yVJcDXedAeIUHnbydK4C8fmlnNfJumI+RdlnYqUxIQ6/gzJLOf+uYF M2MnCtGOUAowvKcoQ3gPa7wgbVdeYb5KWG58PFsNAKVJf7hbBtkNVnd7O0AV98UGIxuG78/7hF8j EYfrkg4MGMH4opPahQLNxmfIM70nGkBgxmLO6O6hbUucgzCZyn8VKY/wowFpKewy0TjSCgMUmcjB A0PGi/eXqqlFQnKV+nwr7ACRvAWw5qj+HZjWmN/J4ZRBta0zSxooJzkGej4wcUGs36K04wFl57jv p7+R5MXQdkxu8R0frpj3llLxMT0tcxQEtQN2bF9fZQdJNz8mwFHsmsxLQr+21ytuK6T6zJ1YOTwz NpuPOSfagtpwd+aNIJBiGVfvSk6Wkjnb54JnCfgREFnRkRZAYdpMIv3Cp4I5SaB95YyXNsHYhhiN zGSaT3clqx9aIVzXUG00n5zJp/E3L+TgscQGUKvxSPmjQzecoYPqxCErAEEDthHdEz7/rRcvQIao gbQIh4DYyHpI4+kxEfP6FHqt8AIHJydwNT19aok6ZmHtVLn2BshonQ+9X2hzeZ9pTcImRUmQ1uvR 27CbQuHOGVqhmYexm7Fnj26C9YDKvOlHJZBIObVeUguEE8E3RYFHeBZk+Y6lg6dil0oddrmbvIde T2pWRs/vmYqb7Y0RZrkY58h1MqEvXcK2aLmxLUOPXVSZyP4wOGv0NuRtUPvf6cAOxN/rtjBZ/M4x F8gsmJ80zb9ci3WMoQ13XxFeLfB7HMfNpOjlfoRQRZDx+bLjokGuCtAIY6bGVOzXzgkCKXYnAePo ebn+d3Yut9F4/qVVqR5zAAU1CTIh11yG12RwMP6wwoZ0v9XyhgvuLdiIeTIAN+2WN2h6mvbxKxKu M+6G4IybFgqWwPorCALOkF98ISA0heal8+Dt4PR5n0FsDUY4SND7dIwoVvVDvf3hK4DYt6CZSZas CDPt5mmckmAgmWGHCEWr443nWNbKyvCrM1mY1HbhvM28OMRzkwsRfk8E68lFa7X4rZ1uDs9QQGkN PCM5Umdw7x3vffYonaRuid+gyGkgDIL59S9YWMQp5YIW8JNKTS81BhXFPjj1JDzvIoCng3uBVV1a taWl+CJhX7M7ng+aLxNY5TqO4l9gHCmdIlJxgEv5tDzTwVmMJov5u32GHEJmvaR1Bf9Gtp+V5YCF SVgbtZfsq8EJ2h0JKd3quMAUVrIpNKYpdpoB5O38hT85a+GaM+B3eOt51GTDNyIP58HzCeYox6gf DyMMul0Wc4kqas2knMWzp/ypXJMG8XlpQa6koIcX69AA1e1xIl5OHvA/7U+7F8GlGDhv81HOXt2M OmemnV+kiiQ224hXDksWS+ZTgTwb1tStzwgEyYoerlEpRlytuHMGqekQmUDHi0CX+/qdAbjNC4R8 mhOXnfnIuCObj7MSE3c44gRASrPwYtzrblDubqg/QOAaDKZJ38fd81Q4maOwtkaBhGtj0ULyuvSX vXb+7g1v9EhQzDni9Zb4VhKVKrHfSXdQaxdK0h5zAy+7ZjZKGig0MtEAfm5YOPiO3i1/jIs48KZW ExVzIfv9z7IXv5mPfNOeoSenYmtkdctLrujAs0Z9iOdtdrE08STDX2wfMhoRL+Qn1YWO/OlQbM2Q xCVRJ2QoCGLRpXHtyKaDFn5wyxc9AAGMnPK6J2zpigG4umVwGuRcAPUBGXhvJz4wjqOCeVGRpuP6 RO+iRHEJ8lXHCt/Usstjv8FMK1l1FSydxMSrLTlt1x/iD8lHAnImkknAwa03NZqoRZnyW4i93Aba Ah2GRKRtMN7dAjMd5epC60qGhGJ9PHOBvUykgVGgbESGpO5bBtcWsV7VNKIsJCDKRF1w2NbT4ceS zEShLVXyKq+c2+q6TTqU9gKKsVmjILTcwPiBemtyWIPIF6DsxC2cfAqkoTq9/oITTtpKHsHzK+fJ jpqD2vDDr01wILK/Gpye4vq5Lw/ZpUFIsknjzTlqJqlh1upe+PE6WocjpzEDAQH9qhAvpektGqn/ RbQYLEaWxuGduDFgKF1WWHk089vyrWFGX+gRvAL+F9PI7JxRAiBMkQq9SX9wN2USNsx2YpsLuKI6 MBFHcmH0kG779lJXdUjBbp9gxKSR43ENaeNC/MOuG/iJK1rhZ9zANbnNtXM8O8vazrInz1Cw1IqV HKV+LDuGSn5AKJBJeuclkqZKo7MaIRCBDbCXRDSLRlfSTkVg8dRo9CSQREZqp9N17l6GItutkEMj xU/Mw+B9xfG1y6Oj8yW/CIUfIP5Y3HdYtELdpGksYIf2chG8sqlQr2ejYGe0stfGN2WxAOLSjJ+v 8JKhvRbml8u4UQKZi0L8y0dzHKnw7/bFr+HEr9I+L/ZfLON32MDf4Q8YWe+P1OfXF4Azi/g23GWm moXWGb05ZvnhU5GfrgH3QQHP4O60mdweZ15fpie4W6EDOM241OmY2thx2mAkgRE7HYnZ766TW6yg ay8jWeeVyECaHcqa+LQDn3yCebapJckhOrBXpb4OfaVsFChGFNZ1TD2GoiCa/vkDEyYARvazLZlq 5tnUjPnsycb0RW8QacjlqJsSpL7weShklfIk/J+dUZ3y3bw921Z3XnucmRfD18VCDOnfvnZIumZo vaYYHlq7uwb69cinP7QdWuBeqk4Gd+2RqPRl7H0QTc+jcWv8EQNEXOh9BAazYNfDsSFpXv6t/1k0 ENiRUIj9nfBZc7VKMDtJnh9x9zkQAtknlQehHqNy8+srlU5Uw/iFwFnylo8sMcNyOHkTZeQvwzIt Sse10RhUMHeCMfg3ju8itRcWa2INoeepjUWcfFA6E6i3+mt/qSoyoESpvFIl3430EqFBE0RBrTTW Ey5Gpt7BB/5/Aou+FBFATuZf14buU4gZCoNiwtdzb/J41oKXIoUYEDkm/97Nq1g5xZ4KciazosHQ kvn571XtKCyQerIZv1qFXWLYHls7pPbkN9Nts+daOB+szt/SiugN9Pqk6uHYdt51eREwtzypiaGh uoRMj+U0o+sYeX+tEe8aIW9poyTHNIi41SkS3q5TJ6SVyQ8lc1F+NpjCbZLNNMZ+e70Jy9NvfUzJ PJnHgcLporx+vv3PVhFU3MHMXhsUbVFq6zuoZi+MwP/JYEVjOzkuER23ZmfTvJErkkSkH3t7FxJr ZYTEv0upNCvB3ylgT0fEVQX+Ij8br2rnQiUiZATHoacKCRyWIWRTtxI6+LmheOlgDWKaJkty2hpj k7Eh8+yJBROnXNpewmJeQVeBn+Sd5Rmhzxc6dNn3oU0QGSFYjdWbhXqcJAaSZBSk4H15um8KWMmN ZASfmSNcIJbHajim/UkPXMFq47WkGDwgsDMtbIm76xDz/H1BzdWI142OrwojLMUDTYKyUx1zZr2F e3rD0cKZUZU041iTxnjsl44tZ1NV2WzRzwRVhd735DNNSCaloJud2E+rNzTXS1LoX2Lxdkxlq7vQ SMPMvfMPhGOiGxK+13sYGQXCY6RT1KXYrxc7xYVyJXOVIqcz9Bma+0mgyTKu1FvcIwc43u49hm+p Ya5UiWsB50fOt5g9Db0hiwot4lafSRDFsR6jR0WIjER8o/RrCtr9gbEtw80J03m3LMikKzFf3PYf jvGgQKLCaCp81R6k2mgrSVyL0wlaX8pct3nV8LZtlpZKTaqAL8+H8kAmK2K/0vgKKK80eDfDDmrP aVNTTGtPABwn5bsOJf+Xu9dvQPxgIwMijfk9Gg2cW3WtNn3oLf/YdniUf1ggaAf53rHJm0E0dIa2 3hjs34lE9445hQ7pQ5i9/JEjegZQ9Qt29tmE3ch4ICLfXpbAAvm281CDORz1+0shuH7NxIbuQtJs jYBQFIT6oQPVNr1GdOTzxteLQsosF9tpL+RJ6zUWt0J+JlHRGgiDLm40B7qRx9le+OijzLEnmmjN +rj8Jw+llcT+sDsRG5FDw/EI0YMkRqWLYyZDTo6qsb6zeGyMjKMdn1HAtf5B8LvGUZwj4opHM74D jfJOjJB0OxODML54DAVn2NT0o4vYAJtnM2b/puT1xGYc0MefBnkQXg6EnfooGggIkfmhGni6zrZl Vzoi3V+jqY45n5g0wvD6GxSOvu2penRX6+xcE370zZomRYb9ZVV24pIYYtCbKQuqgPOGjdLDQW/p 5RSEvITILvQysJ6pkRLRoEA8FFnwmCS484Nh3kJWA76fybOyrMWYCa+zSrVGW1RUCx2ReKMnF8S6 Xy+LUmKismSdu+iNSf/2lEy3B4wTKxDJH0VO1D/BP5nOXHl+30lg37oZFRYBVSTimLcBI6aq4Iaz sufNKUFVTIaPTWDg3AAdrO2ddI2pVOQuBLQVCb4fEQebENzSh/7ZW9yhyJR4m4lAsrpwkEBf+9Ul s9ZROqQ2KHvGTOawzrw6Q7Sk8pmrfP8pyrQeXkkOlZF/jlyqzpYbKOVeBiFGbyQ6ZrGGYLNOTLXi AqQQX+y7Ws0x8fwynjEIbouYuqb9/KrR6wBdU2of/a4DQlQ3g7vUNOrpAQf4ZLi24m4n48tx7bhi ib37WqJ1QYomRyytyYhpa9gckEermdWAAwl96NaK6cofXtgMRq6fc9dn5HrEWNHSGBvFt5KTvos6 tUnirYDYIbKvnxWIe5f4e+KQeS8EhLk+aFMnZPrEtwuzLzjdMPEFkBVRQiF3yV5LfJIIP268nv6x uYJdoHxbjPcW2z4dLfVg1KjBE2u0MixeE5BSujkX6WrCWmngrySnaYplejFQcSKvgti5CjDKsWdN 50Tx5x8/JtOcoR08QEs6ozpufcz1+YQxLBn3tvGKZvg1bV9gs90+mmw83/srVyslQZaUSI42Lmoo La+DgR9nz/5/UYQDDz4pcvBOBK0svCUNr+d4s6LOhpNmtz++tuOHnYel3S45lFCkLfPTck9Dhcck L86ueNzoePG54a8siJJ/ZduUnYMI6bqwauxUbkvyYmL3xxtfslF4iEKMPVs8wQDtC4cyo82HHEhR 3OLdF4zETOIh8hyBg60vP+Lum/bhbzwbAOhqaWdpg8+p7XqrioL87M13hmg0zscYVupj5S2+9PG3 OvIaB1uaYLNzJTwfsHWDX7/sTsm3Qpn3F/pemn6JjenBQqLx9VP46O5DPEo4wyAWNoG5PTTAV1Q1 Mo7iw6lCn6aMan4nzt0/mQaI2jubK8d7f/Gzyam5C5c5xmLjUMBK+x5xFe6o7PvZgKt14KW0gdFA u88HBjRbeJvgiGmAh6b06pYMRrHk6Ac+TM4QO3BeNZKnte/7yyEQf9blmU73SsrNuyQZMH9yEgFP 2JuXUrBW79MFiInvh5hEIL029kTiHN6zyIVeMZkVULSRz+YHRVB7cov3l3nyME5aJDpD3o2OeRNr 0o7XxKB38LEAjxHisV82jThsYmJzW6IgkeRTrapxm5Rb6XK9ZWgAcI/lKF7oajhNe1PdYyeUGniv zMYXWDSSSAm+F4NunowSahTJqsMttz/88tDmrh/Jn2+AcwQm8S8l2oNSlqujeM7uJJxw7utZ/ceS +MODtAu5468+yJ38p8ZyAq68drUJ6UEU4ZmUYmztJ5HYiYMvUc9NTOh0YoMr0V3yQh92JeKuFx/j CpWxqIjFlScxZhyEOnNognXughvT0bfq/TjwBFKgESbw2fopFS6oWgjd5dGcZFr+UtIMrvRftxsD 02L2NWYZJRiV9mrhFqAPpl4K9zDcPQ809UxTyISer6474VZgEu9Iopp8HZ9FQkv4COv2TA/+cMaY 7ZbYjAopXZkpIprqgbBb7ZlYBJv6P8WyGDiar6K0N/HBvmwy+40Qev/HweDbPwvFjyV2tgSoDXuA CUQ6gSvhIk3098ptcvhxwFe2qkAtfuL1fgad5I0DTcJraXixpsPRQ2q/R0fJlVs0+bRAlg4vWS1O XyV6GEpQJljDocqWrjN+p0wicoLyEsCYX6bFR7P1pOVx5d5Cd41aMm4HFP8E4Q/oUCmDF4Gik4NV gMCXnisL9sO85n5R4HBhB0nMhryAE+MlQ5XYQCEyI8wgeU1YO9nRcJjZ5IWQizfBxejAdvdxcwVq S0bjxl3viEa8HOpvYADBKSkM6KCy9NZysNwsm1+CTOFsftpweOkMNpUVP0L23xqfLN2SH9xJ0/KO mqUZcFzrXzwqIMa7rjsst73CiJcWKatVPUuZwJIi9uFoJrtbCzWXvzB7iyysR9ZxzOsO7K4hmM03 j8Jp0VFAzPjY2N8b7++dt+nASrNAUOM76nMEsRE8m3aD/Ilnicn6h5KSXEkr8BdGgqMzPO91P2Og FKewQa4w9XlTwd2cUaI8L5tQ6xVKaCmcL+4O/7wgdS1vIBMJTDSsxuchFn88YcdUcU+3fDGuqm8p roH/KcGgbJ7i8+hj615koGMlzbO/KTbzR3zaY0M2YAVrEKbKQfZ82CvNgxkwUfJdbEv2IdRVsK2k KfCJFszjuylrVYqKHsLFjLtk/ACqnij2Mlv2O/C5TssCleh/yZYVs8tumVqsXGVp1J9lw8dUSXi4 Hv7WT/cCypdswgDwmRryYH8Kb89T0eshYHQhBJRdpl5wC5yYteSlkUgAhrFcJHytm/LjBQ7n2ad2 pJe6uYEEpuruRiENqYSFZ1o1/A4Hu3iS3ftN1gtmVWYSE6RmbqdOD6CE+/AsptouIpU7acvzfrnD I5G6gBDuecvVK9qlHQkbkecUgO/kw723peEn4QSxr+j1Y3cr086vZdKCDKfRCLTIhU6qBgQ0aD9C 9KZweYrLwEjHzOaS3nGDSiyAJBQAeKZ3q6FyeDjI6pl+FYFeGbjyLjJgc4/r6nZayL1OPS8evVjP eB0fHl2vfBYBSK+lwqQtJtLZ/xsRNidLkLfqv58LnQZr4SoKYdBflHP8YH+zFckzoIun+fAeBR4Y bHvMIGDggCRRdhfK7HykdwdExD/SreyPuE5jyRV/Qi/0nhxtdzpPLnN2cQWkUDtz90qU5tYSCRmw rXXgRR9nNvbkYAJxlRtKsY3jIOhhF29/rB2sNxRug/kDmaqaOtTAC/oBlAE3SrzllYy+dby8xcnz XpJPrM2XL22hA/1XT+dhLRdpSme6C9xUjADiynEgnKmLSI+97i+kPjxHjSNV8Ymi7KDL38RYSvcm HTjSrryNukeX6vobUZ5iGhQf6DebFN0rdNumUQD5oYiZT5zFZnwqCjYMKu8wFe6LwZlSaM1rzRtd ViE0bgEx3dYNxpOyFTg8ciMnUW5Juh0S7C230JuIh1w0sSZGktAy5+OyX9AbVsBIdWlGt7vQVPru xsCxVGigzAOPAkUhv3PoRrn//XYStcVjFyRURxtO5trWHsc2SQKqaAHOhzG4DuryTwTo9Vx8p4ub pQCOvN63NeB/dBaRcqUVAtyotXIFF6ac9ZyXW525MboC0GJepikcFZEViEE31qnQXXeq4cun2qx4 0elRyKP1sm1y2PvZd6DCLS3I7MaCXAksT1xIZD6yzavNsgdS2HqW9EIsGTRs0DTyPzPaLb8VcHlv RD1K9ayxmGfqvC/kiuFQwhBpAN7/YpznVJledBxg9DyGulylLT+0VxznoTuPWxTzoRxD0VotpWWX mqCUer+h/XGnxjrczmJ466rESHfGZG4zBEQ1pNcCyL9FH9U+9Fs9vYUoRNErFi4ZHFZOQsFZsbnY 1RSS0vL2ZFIMkoMhG8O4AX8CdEsr4cCRq/F4WLcBe1s+gqusiEXP7IeU76PfeZffZZLt7pCI0xHv 72SRxHTK95hE/RcZIzzYMQWfnbo9uBMzhzywyH0abMVxp5zHXqaV8jAnHvqEvhNGmUWUj3Y+hR0g X3yjTrR8a9JGOnLN/59+PjPb0hGy2XhXGowr4zt46mxlAnxPsnLL3s5mfCUej3kfGzLotLEaHo3M qd0UAKmKfadEr3M+8FcO/HUW3Y9p4EgZgIv36VHMFbLMnFS3H+2OVGU2umcj9Dq8v3bqBgBpicRr u9KHhf6QNgVm635QPnnHrKwlKrU5DyTtECsdCrSYrWTYhSiMlL2+plDYopJTZ6xJxOHgFBWaO4Ga tZ4oAr9DfuJtWmUk/oAXmSMeMAmks7XZKWq2vGVJL2lcv72y72bsOKqEGNtZWRSAb4O3D0RriIB4 v6fQFwITS38D1Ydgz5Dd33mg72MzD6WmwArDg2/t7XNsYu6uk6wJLzDKwImNYZxzYTdJNiBJzPGI rlbgZGS4iztr26tUpknSi9jSH6fCj3g98Az3PtrXcVZX92dAiTY026uYNj/zVfdQhBd9QWjHitZ5 CkOcfGL4vg0MvdvncgYsnIHG4Om5Px6tu/QKJ1HcqbEwRmiPDhQW8Q1ggbGnb7wy627y9Tn+226R 8wIXI+jyoL/G2Ki32O2tXJacPKSHt0Xs5IChuFWCw3RbGO8zw8oK09wncWVwGAvHIlIuCMj7llMO asNql79JdsZKtU9qr49uAXDkUi07TCNwfVeMylsw1aTviTTOle9hHejM2gPq5k/WcW7S+HkL1kSv hDwtIzlWE8XyZL30oegj3rrhip3tHS3P5lOdUf8fmhrHqoqMkrpngJchFKIDAD258LuwBxA6IU0i 5Z8MN0Oj1s+2rNJWPM1GC3DUxno4uY9SkcSX9gi2M2EurC5EtzPgfRzkAcCrbmWUki7XzViMu/h7 XX8OGEpaOCpDFAPEuILy4B0HlxQrissbW09FUuqxml14geqiqd9obV7i2wH+9C8o5X+7icBgSa8Z EjqcmU10b7CAsJYfDhQMRSSHoeF1BEW2QwCSKtnAUce5kQmDrQNcMxKYGzzT6qOV9UedomjRrHZO 6stcq4BLiRPpnLN8GfUEOuZ1a7Khx1cDTkalQ/AEnRK5k88BQ9ExX0qBJXLsqDbQASRBbgd76FPq rtrbdKowzN278KNsG1F+9aofmah1NEsD3BCIpNp6OPwOCTlV5FD0pOW+/nm9IEImRq3h2pQhmO6h B/wsE6KCW6VCj2SgK0K7SptxZzdzCMFGQXmpmM2NdX4Pd7dD1dPG3F50Ht9jRK7tGiviP3TOMzRy P1uVifKOkbFhX/XeESA2yvkxAkTDSKL0IHUnyMD9rWVl/4/arqd2VI+mV6huOviPLus2cWRKWObU vEg7PBhywf2rMwrMEhhuqTkB/FRXq8K8NBANJDQA31p6i6WdIqmgbaa9eMYNvuxjohvZzsgIqPVF mvqiTTvgoDl6ZlJ2eF8tTsQeoQ14gzw/uzH1IjZ7sWw8gtTWj0DrSBjHlzWQGWtm5DadwmVcRxQO 7LEiRrDtYHbACpOXFFCCDbYHeQU/bKamBrZhrDBQ7DG8tafnCDlM9CLg/EzAD067QoS2+7wEjT0N YF0hkqVB99tYdYPhuryn1Gep+TjQDfmI+VXg3ppIZ5K0D+oOgq++lKb6hRxf3CODZiApYf48N9+W 7AdTkyD+oQoNhEDuV/duuOHyJLUpa4Fx1KAVjV3R/KQJrQjVhakbLWML8ZDni3Tm5rhxT4dcmkRK iwlQZDNXtgOVC2IV2Ddy1eL4l7DOfu4uWBjYaE4ph93w+Ik6OabQRxK0h1/1xTX9mgTNfTljHMSH hm88++suyaYOX4szoR3oi+yA9RHzAz01zSTGU1Y93Lvdy85usQg/12uOmLTCswNNEoBjfo4kHB6G GsPSOzi1/d15SwmjOKRLh7FwYfJyZNWobiDdUA6vwxjN99kLErLqEtOBs7sSkXJLZUIeNNExpbXP go+piURKVgP2niTJop1UhCSeqiu8Dm/VJ83ymzr67n/Rm7Acss5OGM8crRzYN9Czugz00z672SHR fH98xloq3CIhkAB+5fWLTfuUBo8h66579tdWb7RsRw7n8pGXtg+RsisYPcRVgiOsSBtWqda5aUMX tFEbOyk51Kxdu/qsIc819350InJJjpWXbloUi+gr8g+1xaGB17YBgBgw7p6f4LlyABjWFqfnB4HA 8flokfbJcgn1//3KhfukgGBsJUgQ+NpAaxGhgV+Kt4KOu+cGiYVwWjzTpFfFmCXSNp7EG2o8/Eks cJJquhN3uGWrv4QKvz07vep8ZkslmYnYbpecEYxTMxrnXgch3vXzJ68ViwQQFfj51BiWiL+qrawG tNFAUXQeBlnhuGpSshoU/IqM5fwl5RydTpXIM9mYK0NkdWSRlsSVhMBbjEp9fELPCvFLBmVCUZCV CDq9jUBx5Pml/rfZsSesfI4zBeAWhY3gwgoNxl0bENmC4Gv/VXlEGyKrjEx/X9NqO/+ahxkzW8pL pZwltotYJBGgm3rl5YNUjfQLQOQhSEEgberuezoHEPMQ0YBiZpnKb+thYjGpSWHNbEjmXcRypItw iWAfEHPEnGoM9459cD+ERk1SojUOW9HjZC/hCXR8185BspVkagSCSEGrbXMcG1OOrOtOz/DlO2a8 hZA/9mcuES8p2XbEes7RcWifxemIfAV6e3Fw/qFbRCEqXhARRETz8JoT+RDBxpnmc3WQSWQ1Tztl p8WrU+6SgkQ6eJOGqf6lHsRlWbWMNaYy5oDrLCt/gN0Vser11e9s/KeSi1ozkWiQVV0PWd6Bsy0A 4RmttO/2Pv8mRdQsG/YWXehVzC29qmNRlKmlYU4dabj9fY8kJpDXF7tW8zTdSHhfUhLplnA+Rtx5 Xw5o35xlRXvTnwnTGEeNBqdsKQv6s/E7Nsy4bbF24lWyHDhh1Wg5JPyQmMQBdbhvqszc2SGNEdxZ BblKety/47mLWPqtWZPqcY+hYwgcL6DBdOFHVO7IhCZ9EpP7ZwziLavaJBQrU+zXGxuE1hTeV3AF WuPmVK+9+bldf/b2QooaaKNxrJ4PyIdK21zGcZIobr3eJjYqD4V+zLgTAf6hmved6OCFS0dXH7NE XeLevFIUKx14EJ/XhtUv+8JnkO91Fc58a61081hFArALFW5JWyuS9EjsH+GSf74zYruNe39s5Ksb 4Z7rI3VBzh5/UQJ550aI78oMCNdjU3M8V0t3e9ZfAj7yjhsm7RJP4opCCw/tf8bMi+MvphMez5kN seB0rMm+aveyNrqRa6Wdh0BMl2AmzyMPzTBHCNsea49VBYchH2C0GXAeNbJLbB6mMd4PN9Z+cf60 yp1wDjmbUzJKdiXAw9B+1COdfn4MM6qGO+gVfcaiYe9YJbMdeGCClXSGlqyXbhUgMHftzvGbxyDI 2X3OXGcgaAG+P6n7ZSW7KNcxRpPS2d+GPJ6+XCchORFrXDPrZWQ8fCyKovpa2T7fMHtSOCvNsJXY Xt4+PZfO6HcQPOqFFOVhbcMk3BEK7a7/M65wKpXyQU57LiKx9p3V2ohfdrDvgmFNTU6WHT3tgpec DKvRdBB7Zk/RumK/JKYWexUmy2SqsV/VBUGdrf0dru8s4a44TRLHWgiqq8D0X/6u2TUXwypskHc4 LrpMSL0WCXBoWIWA3OYaPAZQw3nQ3k32FYHWwsH2Ba+uO8W5yTjtBRfBNzszTetvP62JGdVolTHE Z7UDhv2ibbn4I2mrEzvXq28+1AfzL35dm4o9KOtsgBp3gBD/dcivjK2ucrjPfRZnEFsOG45/jXd5 WKGrBAxn/diqENGnqhs0MHE4QCmWWgI9K9bRog4/IdFyjrbiwPxYRXFuRW88gZYtf+sdYdmZTGYT 2HXn7duCagxjiAdIapZ6J51hNWNFOPTdt/poSE57PQ4mTTrP4zuGZkWXtD1QeQUOXBXIUyKZFkFO kDIC81+UsalqEtwFRszaXV2u0nnvzWDva7xY6rQNjcMKLNqJm0cxFL6pd/9SRnqKUM1sp8CRWLGd g2OP8HsC/11ujAUdgCTvFNY60F7+CcWG9qL+Qohg+cYAKBhUPCKvc8ws3wnIF/q98lGHVs1f/j0Y o07UH4y6CIc/bPftnW/Lxdu8EM0amVS8M8LQb9PLJLouo1ztkO61pN0zEUNBme+QcLTfRc1bMyEU ZNQ1FPKukgBF2lmYMIRjtvBrMukw+DMqnqyM50QVnnYFYVghYrR78G/AeK6Yrpa3h3I5nw+u4t4h gA3NsagvUXg0uRIfGNv5C6VK1hYQuV31PlydvLxwqIHqlqol+KLH37E3pOquB9C/BtWhX621xxwC wEYPgQN0fDhGtksMiYkUiGgpdDH1bYnMqIwTF50V3O/tClNa9SHIh3rNzRkxMWP9Gc+EIvlyniJv FALSKJJIFpX0LYBJ+1HQ9ubv36TaXVSCesS20toxx+LFVii4KLfycZrUN+EWxnL9u+PIUQvqX0zL FjI59SVv5EwPUE/td/etkP24QQpj1qncKoLyGaE0qbNpkSVnxTUuo2KjzHJLwiTNK3tmEfvtCrAH 3Bv6bT8XwQ+qalQ+Vj35zk629yOp3ChaqlhgH6cT/upM+qZVeEQLyMgfeUFUKMWBXDllVfm9O2Jv t97HZChzEWX47KdEi3j+YEIIeMFsWgOR5GFKykinBqFOJp4TDzBFrVRStrG0HilfUIc5uVWSrDEY nqYb5tj8+mC2IhpwBhs6UGqMP4vGEv2yt4DaerTHLS0Pea18HqgfMsBEtbiV6ExBFg4hhw1phBFg 7lFRWIDi5GfNrPMsU/nhrGzNqGOkO5xcTI+a4XN2KexQyvVwnUyLoH65Pz6eSzDdhNA/RIeu6DLE rBb/jZHpZIKBlRrquHxDqiFoAyU2YT0hJmFhzEjkCw93T7ExLVwnpQi3mcpSQiECcE/rmqoPnJB4 9lP5HH58lmaNCIo/Nim2c6keYqYhVaCI+RGZ1qMa/CM0l8N7qD2N4BzN3SRQqKtLl1o9w0j/w3Mi a6aCuVDiZuiQRnUIyo5jWVTR7OwaWgScC1nRDreZ2TBE6KcnWzxvutilzudpiOht2aK6bAQWoI2T QzN5qmy9NQO9hbpt02i9HqZouKqap+A16iwnhF3/m+Q+UPD5FSoXf1Nerl8ZbzTGNJLNLgRBqIYJ IbQ47QIa7o6Uu+dDX/f2Y939W8sGcUS8PzoKDnI+SyPqWKVRcy8xD6fOvp7dPxNrj1JGvQhK35yi NMCCXFZYFdsApGhJRpDohYkPX6QqstnhQ5eGW9pustzhbg5hK9QOLH3YUESet4BpAc/zk9+0gVa8 bNXOsnEz1ndAoPuuN+RVN0xYyDv0k3EodJgQPjQAPd3bGv5g6eUy1AMUS4Sus3nSrYojHVpEm6+7 JSae/Dz6zEzbXJsGsTwh4s97B5T85vEEFf7dFc77HvBzSUAePJSWOR5FPeHs+fCusKr2DNjP4Fmc O9+nMYXPb+Dd6Q4by6lVA2Ru+VEN5Zn7+SIcs1BslwicvAKd1ALcOkExeOVkkyIo7HaZarflU6ga YBHnTyqTcfPsBwh1m0EPilzoMBX4wbzLQqad1mnaatm55/MHnzr0ZQQnc18M07lct85MRSEi/nt9 zA90K/LokSe2PX5afDiutkLOWSRMn+bPF34xXJwY2Fr1f/6gWLOTEsmIZfob6zEVLqSuQaUPnv1y oc5WO8Olg19cWI9b4cbzpRHU9KRCgIc7Cr4XJ9ZeMKIPYVQyj/c0HZFKMCVNfT7i94rxyZktNIOt s16LawHXQG+QPFIPqiYlsaKN5Ak+um0eGnuYa6VA5TxKThGWhcf52j/eQ+mTOO/z+ZXGE0VSkXGU tVgCeMyZMcZuFjjzapWVBLqW1aF8OrPlXGYH+U7maWWWQRUj8o62k9NROQdJJqv9LcMThOfOLkCX YYKfrpTPYSWGYJsfw7KZH1lbtECaUMo6bEEulyLStyRXtpB3wR+nHgHSNHYmt56CTcN1iBU1wcvT 5jo0Sq73ouHTwXEEfus6Z8/xGzwhhaXX+ZYBGO7LcFnviOtSd74sE1bjoeskAVi3c4Bne67cxTXk 77oGgKrRwLttS08zTtGmytsOYfsgcTbxMPm4jrX6F3m4r8z4MPhGXuC9ht5/sdMZNU5qu0MD8uOb 7If9m2q/zy3MC+ruJBqb88iFtT6ahNw+DerJKQGxrp3uqk/atSlH5fICGB5pLQ64men3GDDDQYbX ZL65gMxZeuD6FOG2eT1Ab82JWh0Pi/pc1Kik4NcNuQUZ5tZ/U13BpO5Mat8jfqz3s+VvovtJdH2+ RaQdTRx3vCA8NlRRi6C2ug5aw0EQ+p3MoZ3IOVHrwhAcdEsZlij3l+6kPDlTDB0oCzmHpW6itrHj MqPAmHCoZMBi1+gTJYO69+jK/U540jmxsDVuEP94dh25yeOkTYtsb7mMO41TvP4b33qNZPss0w5X g3zX0g+t9qgGE/GHK0VtSH1Th06DEsBOeg535p8/x/kHip3zPWDxxprOBHGszBTpHZ58u1bU+EK1 BcQY3KhhQtdLt0PthYPo/ZQ0bmLHFe0j09au48FxDAQIGsQr+6oT+k8mJAuasbVuiK57cXGOnyd4 hxNqDUuiw5iZzeA/TLRcvCTtfvvqMhBTPidn7LSCa7epkgq7EOPr1TPgYhIXRBna6OI9nUqYbALs 8dgnIkGmLKT3VumgZdZ9kprL73Ii61sfOcIp3F1PUQH7um82607H3UXwNk1yuOP3E4rYjFPMVpY9 RJlVGREolssQplij5ZmW1Epq5wRuA5ghmnoDpnBJdGlDYQxri+TTxphbpU4RflE4tJmstqaZJIxg hXhlEnRcuIuFOC9TQ6jQzjbw+nPQWretYZkHYhyOVa6RPrCqDe6Pf1ojhKVVd4npNCfLdnYIX33R 96mhyv9bzT2ZkeTzC/5108vRNyLF1t0YTUhM8fCFeaecU4M5Dzmn/XUlGLy4Kj1J+dUuLeB/ZCDK oCVY+3sa6k7chzIzQPgBUP8ERARCULR96GRkydSad63elc6cwfLbHuURScyyKmB1AsAe4F3YFIYw TIc3q6fVBNrnbYfujqmAG+/WJBp7u6I9K5zO8ESkUswDINx2m7FTm1iqZtVglZv93+gLoJyilpXB /jQqREJpNQXK5FFtAA89nNFJPSqrcqa/CLv1q4Gwqvu/3KUNTDvvcrYEliAukqcyqpIm2CmfHZga 1RGbDF/7XB9gHgEpETjjEWWHRfaAzS3pPtA1h4RlK8ZOLwDB5oKjHlTgoHs73yZNf1E8l6N9hADw bvoioqHMLOHxj1ffcfIIjz7n6HIWsJXtVqHfzGA6kDNstKm7FcRKcHR8IxTx9hCWE8GhZq7kcF77 bBah2w97Ics+7//mHBOfrHc1yUqmRUS3du2duzStVC/tM97bZoC5pGznvUtgrgB/4DSOUmYmdFba vESyzpBjm3GTopOYtW5ylfzdYg/Ltsux4pUt7LRAP3eMJ+jrOo9ElpXxUAfla5x1BZmNLMzvmyPi 33/BQF7yXdd5yfanzBQy1DVDY6siAgWlxb+/syeCZ0NgwiyuRU2A1sQzyjMPaQJjj4rhc2hT31W0 frn2WLToPYwpMdvo7vXH78/k1/tVlAbeN04HKj8I0+VQgcWfpyoOt39b0Euz7oW7EaKbDSzNIdn+ SknAT/hj9zgnmXM5TAnSCZAImMOV7p1G9c4ne0KTu3uOCgxnrKJRbhW3RUEScdZh4wAv6EG/tOAK c1tYNKhVKlPmF2RngoOk7/02gBHzHLKeDAxVEl8IOePjSIyJz8pdxEM65143UxNHrXRT+l2Hctzt u/r3tCpxqxH7rD9R802j9zYFBP3iqv0n2w1tOtRd7Px1dyqQwTRY0DvtuCMcQMSpbepock9WDs9K wPzm1oylXoh5VGIP8D7oixXPLD5IQDmKUsis8wTWYf2VVKttfnBhwuwrrVEBwTaloa+Y1tgG2HaZ wdwWs17Sd905QbefTw0caC0UisJkV6tTC7B1mrjATL5/p983AkaV8U+d27HojIrUhVSKPifxE+Qn XPJFPwQhZFz9OtIhEzrxUMvvTaJ4dbgJQZZzFRhbOMXigKRW5FZQXFeKR+3NanSZKOzmUcyqkW9s VzZKeRUPTy5FVwjhpRSfzVpJn05ZdaAMqJZtm7TL6lVMIAx3h/r2RWJSql/sW1xsFa46j6LS8R23 K6KpfIpp5XUgMGVIi4WYOtpyUXdf0V+Kfgv0hP2z0Pd+ix1W/7YH3EOCJjfHU0cJviIwpN0qKDRm xu5AqwWvHgCEwJpy6cdhD/UL7ZXIqPSb37g8MLRBCBRBRyJflSrwAcRe6rdFS8sLQCsoF7eZf8y+ o1TP6dy/4kAkVnil1PcSg1mIdY8DgzC+y0MvUUeV0jIcqkDP2vwRNRsOqG1Y2RkS2WPsgNWRdAn4 t/sFNcSGHNE6kqDaogI9xqTMSrfy67uZrialpa/MGBO2DHXFO9Dfj1H2QeBEU5SUSuqPi+P6Xec4 2mQWY3isLn2cpxPiuSIgj+1LPMBNsVeHuUfyMlGeGRme7cmra8VQxvUFxo7m9LJG/I6lu0DiTKfk rN7fQv4VhZziWAQbPakCdyZEOg1MTURxcM1mrWMXJYt5Ri3k82EAFK94Y81VeoSnh66IAKsnO+6F X+s67+FvhUmnfKO3hfNwn3Yq0T3TJaK+QRrpZ/WsbD3L4A9ph5KUiXRbpvG5SXdfSm8h7nP8Odc1 2d/n/2Vm13NOotbNEHq4eNhaXbUgv5JpuHYq/LT3ZWRJc9FEbXQJ7iq6r3FxzgH80jmkS5U+WbuQ yixsPYKCX648I8vUmfv4OZGpoJyLBBP66lBfmcOwM9xU1CEzwYkV3ieLY4WERY4vBNeuJrNmvsGh Hk15eojZFIqF8Osd0E2uSAz2q0kqvtEo+IPL5ZRfqeiauM3fosIigEi/N4Y301enYQpIGaLSUpFs DgoxP2bxvSuiyE4BJaz6T+ma/uxnYLbzJzLxGuUDHDvjSyHaoI6hX8mOq0IDFyekqtosGgOVge39 2Sh8Qm9lfkoZF5cDVsJp1u0Tt8JotWG9f9XOzdScJ/yD3sR/uWUzknnI1YQp5sUfuey4XqdbIbyw B6hVZStDMm2AoHPUVIi4Zbnr5Ko410+FdyhDk3XOnsxnlpxF8m3yBLv/axAAQ8MKpIYQXJCeL1q+ dg7rqg0WuJGGppYyGiif+3SYdr7rhu7KCKEI/Q0DFgHvsJVj6wTpmIdQfIl6EwhbuDAJmjCTO0cL zG/BA9oGeCaLwUowepgZB1DjqTjBiSdEIGiEnfD3EB/3A7NXx5hpe3T3VpQXqCnnZdvcqo+1wgKF Q4mkd7XbxI5LTCPKvf9NRSbyuLZLr2jNtPY0S8TeKmJCinqfnNLE8e4oTTSPkuIUX/xw3ca+Gayh 12o9/+KnuOYs+GWREi+j+MipHBan+uKEaA+s7ZrxnO+9fIQReUJUBH/6vSL0P8GxDeVFNnswc8iN jHZTyO+s44feuhHrUMuyRiUZ6rl56r6WvgDwCW3EFvwja/2SATx2t/TDyf1DqbgyvmspHQsfHe83 GflFdJhwLXjwnATlEQ+qfhaL2DYQ053jVvxrYnc3FdRxuDU9x3yTnnau1bQYG1YJYxPudsNGUdww yFlK+li1FNGBP11ms3Hc+Nnt3aXhwjm8X3PGbWx+0AeiMixG9OXPgspHAJ8bBoP7dZ66xfjwYPRS 32o0HlB5Na+AMB0a475HDbprlr4YxcSY7YD14SieD1gPw+2kgbgF9aaD8HAAGjf8x6hQtH/84xhF pfbCEjMFRiP/MEjAdQtIiyC0a7Sj0gfX/bYmJqTlinQGLiEWLpyg+JRwcv+WjL60D5094Hnkp6C3 j+W80/3FKmH6pSKtyXE2kCvSR/pDSvmx0emVlSyJCdVByYP6fNfSY5mffT65b7EdCihx/wTkkjkz 05xuTqYDUbfiPxrMt62jY1+JcfbD/kK5wugHhygohtkJLUm9Rel+ikkgwmYYLY5qaHFUADzNg3Ka 0s6D0movOAdCGkIG89a+UMLXXG/v04C8yI1RHZOQUWAfVsGyM/gdn4VPoTNCM5LOELNFmdwCsS// BnG/NBRu8ty+mpSYWjIwLQi1RW+d2VMQkfyie4lmYmAIEUf+7pCped/+0Q7DGefaZYt8wOVwv9Ze cIZK4k+GjBrfwJwHS4CHKVND/RFw5ZEkP7PtYrM9DLTUuxdu+YvGWq1C4fPNQzbaxHBp8LyiuvW3 Qjvl7AyVditos+L3uk/hk8joi82QYphnz2l/TpUO01CUf3vQmXr65KIAAEnb59pxzXnoYWEIU7sv pae4lUwjcpJ4BERjQseD7TZ/Z4528Wr8jb141MtgJz0ig0d6WARknRS6ibBVTpgF9WWs98i7lghU NNHGVjNNscfCi6BbyQhKXqf/h1yuauEg44ez4IvQNmW0Rf1BpJBtH7rCj+2YqxBiIxsMyXESL5V5 IfgB1aJ+tXjHouaXn7LCg3qczVDYjbSliTsTlDbjy0yPICd7GtEO4bTXtg3BxW9HhFNcKTcAhbv7 ypvtcfrDTyhYIa9L53q4Run2r+A2IriD/jMavwCtjrVbvmqqvOYZ+PYrVFmkpmKOLAN8rAn7fmEe nuSwzF0zF5Ci0J12fHiXDhOzm/KZg6CEsHrh2PKa2L0CDUmr4zJSOkIHvBSwRioDHIflQavx7/k+ HUKmQyxe/z0i8n5r3hj3khLkIgqe36TrfihOobl80NqkB8TSwnmJxIeFggg4tOE1Ht/PjiBfE4bT jxli3GTEGIIFNkj/9MS+hAN2Jw3k5hvaehwnOytsG+SaHKr255ntIqsMrpdLvbSB/Ea87IbxJE8X vGLIQIgoKHpot3ApeqCoprBS9uGrIzdEWJpV8MMeIA5RI/GlTJ70L3BHojtMJXjD0LR7qakPhRL7 OuFg/iGLqpgs+RsuKzhRb6cY2jOF8pR5pm7xFN024EI0LKyWDuXreBdlxuBhPXnOuz1BBfahWtYm dTnqqX5+LGNzGI41oNMI6M3xpGw2Ety8B7jbo46bcMPg7o4xRfWWyV75j9760Lzuoktfcfaf91Cy lGZW682AMhaiFktgkszCWDe+OaU6zqq6pyUtsSa2FkgRlI1gk3EnE8pi0IWMQ0rZ5rWBO34rnvBQ odvRntSrl2VwAR6rkQVQceirVOJhRAvEEEcOZUr99nRFm3QbvP3O1MQQUTZkXLubEO0jW6Jvb7f2 jxeH6JmEIzOI5u6Jb6AfiTVAp7fz7wc2aWD9KKMoY/zS99v4ygI3IIGKc2Bx6d5qi7ZrgyM2Uc8v G13GuUqy1sXYw0x0MBR1y/nmCEZJhDbTD5LQcE1z+4Z96y6nekrB+YOZhYnML9sGFWD74HmWlql+ 42G3BzyhMkp/YC/gdIgkhmDlSDvu7Y6Ny4AMqBIK+B8mzM4T4hXqjLO3qCOiDVX9fr5BkYb3oyRR CxvvxC+d5/0NMb0zNrhGi4CjO1QjwlO5dHYcTx/ukOSPX2KGxSd8Q56vBgaa9EIRec0+5epEM0UW NPP90OOn5/D5qRkkqUMEuStadL/fvK5/rJ3Lu/tsbfMdKd+/FOJmWimC4H5dCpvFaX5+WwWvs8ww T92uhipoRCRfDWdrvMfXTKPnpIS6uDl7vudpyXid3zcKbZCuLejk4zI9Vz5JUGrxySdk3fuipzx2 UHV/fRDvOKQHCZsmVqig/BP8yAPncaS6gdvesTwUhBLAopzrXRhVYKnSU0ZfsFwubzDWiWC3fce2 J08h2wnoU11cN0VoKB6YuqPlclOszX2n7u3qS4pe5zB4J6w1/mRNPWvEkSCTPlNIC80MviTgwJnY YUXLk85k4k5Rl7qHMYh66ovetL03n7IiXKlOP/VF3FIJG11P4xTSfgG1lcC9ktM1eSy/7AaJBCyg CQ14GepPLAKqvOGSf5XYqVguiI7L4x4hs9xIZH8B1qEVjw1vk2d6AaAMtqAQq/1y/8g78ERD+WA9 9ztWkWNjJqo9hj1aaK/sRcact91ikGpneEJaoGdaqf6ZdIp1CloXLRL34/UOkwLvdsIE4M4anqen W4vl+i32f+zTya7iSrO9719Cm3ECFWm//J9tSp2UIHi2Fwh8gMKl0rLMEV8sYdHbQIHdpqXMSAF4 SMsM8ZA9XfoZfJI8DCHIei3ZdlwZxtk7vmEAL3iI4JxS+AGzfAU9wdL3J6rP2wYDAcITe25IYYb0 Cx1aBNVhLEbRs38eDowsGTGx9G3vHSshJuuW9RZYooloTt7az5Coi9I7z4pvhGxGFABZAI8ZeKTu zMECPnHDzT+zBY589Nu2KK9YDRdypPCyW8yP20LA4ESjKVyrkvq1d0M8Bpm7BSH5DUyRRDM9oNcx DL9F2JLTtTF07taRxm5qPwmM0dBcCevc0TeWtKvzQsLKNAp1STioTvpdwfEnVVs24cZ2uUXLlRhd X+vf3xy5yjx/jm3TFc5yc3lqle87/oaxaxqWOOh9CRyETgFlcP/2R5XLgfGC37gL9yF72+RHUVng rIAvoe/e0HIaWxcfNq9raTBijgI8Wtp0U3sZmyI7dIQTnsjJ/JMRKuhtRwBwhV5yWoPI6slPo3mp wLlaLFy+CMPsn/FdlvdF6s+l+qpEFxPDhl/KEgDgMM7XVcVg0S54fiAkIbdkugrW7+6Syrjxo8nT Scgl+KqE+ZNpAolkSq+lToyfbSNgHH1pXdX+76bbRUTE3peZfk1CLMhco+3yJEmsKbF9l+fwGPgS yT4PxIkSyyfYk2aerBbupFIQRoMiKWzsYhM+omNIUa2AnfOnvwvWvUiZm6Ov8TBPQVsbDPwUrNHj Hxn6RQkiX00yPLd6xF/6Pqn3bi4NqyaCiG1CuTHvr9jtgg1RMxObOWB+X77QiuIoFXaj8bynH+Oa Qj/1NGWocdK6mzQiQcg9kJF3PV93pZxNwRwRglQ4qpGLE8TzdtvWmwgPavTpjHUra9iOj1gUn9EN JPWSvn8M2ExrqFvv/60ClaOam/wF2p5JObSqHFl/T294Rt3t9Z/PbSXNXzy/mNlVLWDD0WALZ4Fd sX+qPAluMsDG/9IUUOJt1aXuREjLVHrPj85mD3BPnkIIJpjMTPw61F+8jQH2E1XTcnPD5fe5upc6 h0ZmHAegxvwOZFcxMjWbbzlcmP7O+w/mMAxFCUK2Q0ueNGv0lkwQ6jZmqUm6xRhY/0vwZP318RaC pGT8BTjYaFD8svGXZQqtgaHvLF/J4gsU/PqiCT8ZSjF98cMmc6QM/eXMuGZ7BOy4w/a5YYuxe32L SkRDeMN3mEAUH8+YHpvwBSD0thtbUnID4rmTJksWsdDsOXoYfCZb3tZm3DZXHdjgRID7c8cw5OrR dtKk89/W9WxmObk8S0TOKe6hAhZkqYeNddVzKICV7zRv60iO4prbIeAJkMX/k9MQMnvO0KinKxNN 4L1sSTmKABMrPIBF6Y6ptIqenWAn6VVwqFTwcVqW7ThsugRm952LFGbai5eH7uuQVAhLfug56xSy nB1KVkhBuK2lsiHyAsLt8mJY4CCPRK00h4rkO0chW0uHBNYLzQqJqP/bK2hm6YWaAb28BpTZePq9 d8SUK6t06NBTPfTNg4gLfMGcknbX5/rQdF4mn5+ZZz1IpyYRrhadW8gj8UvH9PbppcUGMpm5L3po rLJY5fU4W3IX7Sxj2MnD/FilA5fuX+h+C+1UvG4y2P/UpovYVk2dlKq5JSROvR58QdI3pdGuRDtR X70raUEuYLNEtLKozogJ0krSXdWYCWWAWCcYdoxHNyQoknAv71WhdB7s51BH5tfDN5ZYXTdkMWF1 JShNTSZHLxrywlAQODK8HNTQ5BqxysnmvutMWvc9Qf1/LGX8XAwYU0iKNJpkNfScbzJd/z2TJo8p uyZ+OEzqeQW+lOKiHxSmL7DRr2xX51sQaefTH4RUgabnTlJBgECFyCljUFNm24g1lTpEAFdp8JBT NCR4KBqUkX7XG0nvWrrVsi75ElKyGbmFxB9JR1O/xsRRCehXJlnDSJIPt4Q4DtqQT4SXEF/BfEqp gHMues1CaG66bdt2/jpa7BsiliLVLkGOMhmUQEtLdWhLZ88cP65R2xUIS2WODD+IVuznl8HIqKRK XSch7Jt0XiWtqS8fJJEzXTNOUaEo63kVjaFpbV542p+UxYczlzR/0T88upD4g9SJlYPQmuSFy77N WSAQTuWcT6DQ0qj+t9DyTtI6FkAqp0ygSUIe/bMubQkcEvkLG4C1KeW3TRKGP6a0wVmHPVpI8LE5 4GweM9U4dveSxBotIRjyUB43NxySzmYE4SbYRTWbeHISY3ytkscbKG3aW5ZirxeBMSufOkxw8aYa qCtU0p1IdAW9Jr7j7F2djLjjCdCHixUejpZmQuEL6dMDc1Cnjf/q/h5sKQ3HsoIKY2ry/Q5dy7Jp L0SlRr7DU3WLxMjZYSBFxnhrWATHOrU8FFmsWFyrF2BDlvOs1kBVNDTnutyM1NCeF5M7ei9kfhaK mlAcPzqpVFM0MOPSo/mmZaDtKi4bJ71tKBE5HMLYcOkFs/C+DwTlBonH12JojzJt9U0uGAp4FM1U FG1BPHnZz7Eo0Gn7v26lulhqs8iTfWCc5vjHoLmo6PJV2zMhWxJZ5nAxBdZJpvtny9CgaIdfDHOZ D0MYvmP8bGvKAQAQYBQOujYRoKlGLrRNLVBj4jJ8SHNowQ1L97gGqw63Nz3urBF5ZLWdMu2RcaGP eiV3J5pFjUXKdf7yWbvUXde2ROvPllCqPiI+uBqAwB//U+//4ZM+nqZoHqtXdvx28qkv0x3DhpVI iFVttcM0EY1L4aCT4x/ngd50pKfIyTjoqTtRd+f/xcVRL6HouXBE4D46bwYV48smuiA6H2uK+MiR Ro3wNEUltQMvdhUogoBEWQ1EYIxymd2g1+I7T6ECjEH4W3mTjYf6RUmPQ0SlOWEolyAREtEtpxIV oQkrQJNAbyy2tXzqxahhc/80aWkkJQs8juVKa6uI+ieUX2L17rh4aGRC5sf7S8fVyOTrmnlkQ8a7 LETaJnUnTKPtte7xvZeSL8XmmFMtK/aS3pWzkNxlzxzFcn6sT+fZf2zfFPLSV9qRg1SjUKaY4z6k MBHXUcLbKPgEUeGfskjFwZylpt+E301XAnSGjPUrum0dFvicc8P+7nNUB7otrgWifoNRWV8GGQrJ WP8/sItt7p5bXxx2+fHv7KdHZQofJGSSGtbFXjLxvVU9vKoZYBlD9w52R7/Bw/xOd/J4MMweNBe2 ypNgy1t+Cu1InxY+EbK/nWguV6azcSw4Hq4UyRWxmr818rx9ZU/3OWSFaU9ertioGdd49CDtMGG6 EvG5Mj7PSYtF4AShcTSHLSCETecIwZr/XHlF/XY2sF7PeI8ZzWYR0dcoMTj4tcRvnP1ZWqAvTSeI alrDXPQAIyPKywelq7xz30+6lxSirVxxk1RHqTwh8j430mNQKZ41fL2mFTC1rdBTgxWghJtyB9bv xZKrMJvvgbiG19wnDS3Wzx6FTn8Si/o14/GkAf9SCPS1d7aTUqAI5FVOBJ/PYQMJnQt2YEuXnsoU TlP+lA7iDzqkJn76H5lTdSdx7VHuOb+rNXpXe8kv5Dk00HRT2+Y9uXg+vsz0FLXAvUB5PlDG9Btu mDuxAE/vXWcEJ+CK4dzZk8S5VaB0v/qSsZzWKri6g+Wo07oEtfG05cmOLkjHLj+wH3/VYYmQwi5d h06YFYELBoOcOMaLEuQEDK6q5XmtP8P7zv62+P+xV923w8ngH/gqwPTsH1WMwlVLnGbXMxcmahqt 6twDB3L9I/+LjqfKEvJx6EA9X4MeILjDw2+SNT9sGsdEZRNYDMiwDq7+aGDJj2LU3Dz1fFgk6SP6 YFpFAfyBQxSUeywRqardaLWNjGwOA929eUI3gioqn5au8gwem32O6EvRvelg38n0ZJTJpycBfMlc tDnyi0pCxyjuQsYLRa8zxcnez5JgQMlymt/TV9WNoEm/4+wc+WfklXyx2mqt6nzY/roWxLr1AsnP UkfC5+wxpDs54EWhohVoOjFTb+7egKiXdfUrGZJ6NuxFVKU7R6V7HVtyVgq82mQBLM5Moz7ki9ot As/FrBmpx741lNCp2u+choCH/rNxhXrHZCq+uEzWLlOyCWyWl//tHBqG5BsdNRwuBQSFZs2eYT5G jZBNNLcXUklp3WnvMPCMXVzl9PXBxf+pJHqu1c6SJ75xw80pZuyzyAd3t++OSIkSUMfmajvbJ6FT MNGPxV178ta4XX7pZfME9HHGPjZkDWp0M8FxEPUYBb0lWLPOvTrvRyVaTZBeE6WqvzR+WVQzGey3 5JMkwY9vsLN5TI6fUvDoYWWyPrGr75d9NLFwT0T276fg2AQcFabEzDPDDNjrn1XKcr0zcZSijYNq YT5lIXKfS1Hn/+l7OLvYi7e213kcUOG5J7D1Poo+YjecMZqHPF+fScA6h1IBxVWaFsLIScBJC6NT Alots5YKi+6GKI+tCZvcL3i+VoUWAhn1T9fefm9n5N4q04k/KB6gGZMJC6W8/04qMu6Io1t9FZIT OZkplKb+JZKgeQ/wBKBfxVOBHhWKnZk7+6BsVTXIA9WQ+8KFRPD+DEvaZPIlCRmqBctsfh/qWWWH pSQrERa9Jg6ia3HB5XH2DvFQp4rvYXqc2cJ6YDhX/i5pH/4lbksmDO5E0Q905h4J+6dhIUQrX3pW FnisopVjFnpIdqSP6Jj5OKIKIwdJPpqrDQHZTm7gPRwCmq63BwgoGy50mKSWDMRO9gnTQ04rq8lH OOxY4oOLPJAWWJuO2Lj1OHwogp1UY24VoQWWGM19tUWAItMBrNCRGd9aaJqcpblSO/fri1blWgiL k1h8rZHfDtkJF85Nmd+E/0PAO0cwqFuibhDeIFUObZBciEx29baJ/GuRBRLgbrsCRTaqqoGEfRbw TZNza7ZGtRtxqvUladu6N5Q3Twl6jkgqD4A3e3t3S50QLp7CNjFK3Oy+oFY8MFrbskEoecx7bcFn p2akXn4vVhs0xBydU/CsB3bpRwqUPzE/tZwtE09zTL0GcOMndyK+jRB1PO4ehYQfYR3UpGf8rRFS 7U6W4H4T9y2a8wW9HKCwNIMw431spytBXQpWfRmQ5V7WwF8xeHnarcMHAwXaKyfbjZE2bfI8wLqA lYJzQywutf5dJJrN9qWNM4rYoh5Dnkm4dmZHO1de8egy+90aeGX1rXGF3txtEY4Kal79EeyNSv8I FjD+vQjaIVKOpXfpE8Yz7NUMGJah8qAkt9PfVcSnCAg2HgTfw34H3q7gWeQZi+neBXOEfM5SgsWi 8+esr6lgrlM1bcArM4lSSV20FysXPE6144+OZdqHYbwaI1+p6Y+/lJZ7Y7Ru8O1oaKmjWFUa+uBM +R4zmUk1H/vuEY0xH85PWVFEFw9QIasdrL30JBStovFdHK6KzngXCRDea16zM4qQ1NuJjPOUrkwh YUjeTsQWue92FNTUZ5Q/sS8mpxWRH2HqM2+TeVUFThRHujgpcDSocisCvw3prQ8z9Sam7ejkkWdA J+k04IkNf/jLf2hq13HWwQo6HvkKMuscehjQ97ZAd/eJUpcKVdci57I2rbNyf2XQO7fDU3xWAZQb K+1XlmEh8Bl6EBElfUZR3HasU4oMYbdmhu7fQJxHnuPUAi7VUQ7n0EAoIzC3aFtu1r0ZJtzY7n3w qXBGHi1Gd/U0NM9HiTMFFEfohgnswxt8hPnMh2J4Cji59Z5Y77BkHQ6nUuqKOX3yWUBoKJYaTYgH 3HlMn23nb5tSdG3o4LOB46LGppDzDO2uuPILVLKPPf5l046pLAMFBwLhKOoFyPSzKu34gTnput9Q pBlG3N3+K+lO8uQTQsVV8x/IO1xO6jBL+Q2liAGTHQO0CLdX6/RLH/ZL6zc9eRbZ9dbuA0u/ebtt ifPGnE9gKlxFOfi7RCfPWT8NJ3kbSo5Fsoor7MsZac0wMp1lXsIVO3fAM+ztxaolMVLo7an5LNkm VNbf4tCuPy8ZNaD+5v3wkLywcSvReUM5ibW4Gw/FaIr9dpwtJUfjnPYW0ZqN/1DS9FK8nz9Umr3k Eqjp2f6NJtzDvV5oo5Beiidyzf7FnTRYYLKkHpTXIO9dd0J/6TEkI5rGicxEVI2PLVaAVcqIJLb4 0XA0bJYfkrjy0csmVCQPrNYfrfcC4dOEzlOKoVzdeR+5JoGvrOsGtMoHJa+9hAZEV6GkmBbXssGw kooj0L2xr75dBvyoRcOemHP4z0j09soYCUttU3u9BsCnFBv4Ph9FuN2w81zCm8tX+RfN5/27bOuA 9EXEWV2d4bo4AQflg/ENv8yAWstHzquF0egK7LCFT6JOLFjU+vg01Cm9pDqyPgIt6tj7qaXGec8C izc7l7YGE+6nbNJEYaTVZOw47cKcbLd/ThB/jddb20P4jXX7uAGL8aTmduW8jK6IfbE99f9RA/oH DWugRX7MH1GldnGjx7JMksRCmOS+McRWw3WzcGJIY8z6DTU6NN5N3tkmR49HGSQcMl0UECFgbIjU DwTAiSBLFyPZMgDd/OXf5NgKyBwXuO6mjRZ7H2XClMT1XKWB7ddTZS4v06aejpGEK9CiIHHo4f28 toVljNHImCVX4729YIHGBL6zkR+pOCYvhmlvLlbZQxwDZ9I1HqC2aTRV58+Yq2RL0AXWabhD1LUj 6l73Y6nJxU7vmGaEV2mbsb1+sIjfdndEf6vmcgY5eJIUtAsx0gt0LkWnQAJ9VeZLBkSRvywsngDJ HsEBzHTOv1c9jjFRUZU7bYYHtNmgW4wB5hnYstZ/vABiZfkGIezVPyCmK8v0p3E4ZWWAwRe8ctZq k0nF8MLAt+gfGAhHkzsGLiiLnB84RkDcJk3A60lNsD5kfbvgVtnuPKgCF8YO99mlqA0LaM1ThNJP dr3MJ1IslzqA8b3XsvOs0ju5FoZP3oUsJCekauhqr4uUx8e7jhIghWD7FrVpZAJP1G+2/1QxCFRA 75H16WnmlZl7NIA+ls/3nKGyrZCZM+RPE464GtzxjphMOdV+E/CsYtKxjQrtaLajydTU/eAaVNfH nFdknMeJjt6EDc8DWAQwLlqnGGqnMVumZp8NOymGHcnhdXRgr6kYhKG6iAsYYZ1iOPLMoFLH8hgU YQcxlh2RQfzZKaU831CFwrxv2s4KFrmLSmo+ZLnxymd7GEZDw6bU3BeNpP4aXAGP4tvyxdBY/jQg uGQo5co0Lv7aJLBZkEel9IXcJc3TnLBX1Qxs4RFdm9UGTmfFor4FjpMKeGSMtJ9ORxJ88oj5Gr6l wp3N1snM/WwjQ4rLm/+3BnbAArij0xob1P0Wm3QHP+wndssvfm3i+LE7LEekEoX3pZMag3o/Efmq 7xn7wc+NAugZyLsRkrFjZGcZvALPMjz1/yz2QoGZP85IN0APll43Cf9AiqpcCaLgDx87Y68ZVD5R I2HW79rZT8gvkdn2xQaGbHDeHSsMTQdirFp8PW6YmUOXFc88pxJovts/72FVXzBiPUFtShip+zDc HyHfc9842c6CEAF4WPnoFe43iJB7rTg1xffw1gx06ryL0kv4uECDfxrGXEmENKAAGHI2bm57ZERC PJRh/oZnOPmhxB4UreUhaB7SDp3JFur5s8VDQB9eHGbJV+DoGM8q4/KibSg6RKrXdoGwd0XCEsUp Z+36a1lbU0Gx4basfS2xqOm8cWNM8UgZeGl6tJ4W0Nge/ydMYeS0lmz1gVF3zKkttlL3ibJ2eZAk doohbvIPXX7tSt4OYAtFt3LiVl62lG3aA0bO4Nig8v249lKCeX79dOGX5Z4cwvKZCeH5JcjFKWVt xWeUa4bCR4aIkMmepKG1LeWMYUEyyumrvyw9Reb9ofswYL7K2XcQLSVQUa5/eNpWeoyBy1gLmoBc HEe4iOp66do2mCgBVKyPaL2pG1gNTisVgaCAb8cTKY+vKx+UHsh4f51KPOcjNClTyAbKalfrNQUt k3QWDjUyTYy5jrn2/2knpSy4Zqew72bui17x6gZ4hKgCT02RVZa+xoucqR3HpQtCuPs5vXJfwNV1 ge3S0bqbpuobAEiS6BpspMe+EHnnmb4JFUG9lSDwOWHtO+w/NxTcvAcINw+CEptekmc2RzHW8T23 zexkOr0PkfEx3M4+4yxzKOz1RXJs3GlNY8TO1Zq3l+2tGUFiYrjlD9R19/0jTgp5BXlCpTLylca1 v3aqejQPzT+P0V2TVhrr7fi7UfOgGkiAWVrETnRjH3AaJlfWqBK1h3xc85DLIDjQpvxgGvVo+Ako L9nn0tPXB/TL/IL2Axpohnm1y2aTDaMdF99VYoBrNU41DY/l2hunARQa2uUpGnH4/KlYJ9HQC+ul Q+GRaughWjCtJXAZj05/oGJoEXl+R/6X9usa7vXikYWcmVgwOQB4ntLtwXehf0dTzb0jJ1K7T++l Od0NztvUKnJaFzLAUInLtIvUfNIaSUqpORtNgOy1wCPl/JFsul74m8IQQu9eMQ86MShwMvVP5fZg HZfEVvyVU7GNuJ73WJPu0/iNMLUJX3ajl6+dUYAO0/jcXq1LnqA+T3ATLX+pD0VjmDvZBKwelkaF Y6YlfJb87udppSgfDZHr1yH0V+OjVghlzBG/9ClXroFRQ+/twcpzwXmJrGRAzYJ98cTqsCcQhN6c Z1fzqAYsmhad3J+Byh8Q9Kwwl5md7kV1pjZoBJn+jKhYYG0Se1eu10t2RobnHZOB4UAGS0iBcidK dAjB+EcWxarcGUK42hiV1CZPIXZJrPqdbGJ1U53k41qzL53RWLtwe4Z1Ju+iwpi8bZcvgS/ob60n Ct9OjIF+tOYV3O3uv9CE8t0jMrUd/w72vzv/Ea57r9VeVfu/WUMlf6TXAHZoGLEYi7TOahH42lS4 XTKr8sDq3ns6f2SkvemZteAntMN7PF9FMHDYsagygdwuI7+Bi9mZiTYwlvkI6o27jtZG2jGF+YDk D3/IDONsSIlvH1cC7FwRcxBRX2yE6AuyS/w164pmf3RVTIH3aIW5jAQT1jPa8Kc2NcFMLZjfXJw/ dB1E4/xIVDKbQPbjxoXHPD6ggitnUEwSaicTUo+CuU7Y7BIdpcMiXjW4dKrH7ERreLbhdi0uUxu0 vTSX4KgfbGcxPMmseHWRNr2VHYBrcf+aEXEGlrtlAwoOKLNQEeR402mNc9bXlA14LjcLQaFTf/77 HIOnGsGf4VKWbQF1XkWuapI3mifSn9Ds7xWxUn0d9C1UMeQrXijkdeQTCmk93GO0aSvqBgMg+pAT GD9giGGLfu5Y5aLYtRnHPLV85qVqEsx8hTT0wyA/BgaPRm7lKzu6Y57gWK70+jjMheuI13PFyKD8 mmGacdleotM1MlyQGR+GkG0SmWnS73N0JIAonVNw5y9JIsP03PL+ITVV7PwACJ7nFhz/HN/3eaUX 0a0qpZZNLXDnDhXcmDS8TMqCA3R/ajWN2t5YjpzpbByoLz7l7l7iKa7DpvyG7b0PuQqViHrr5vtJ LA2fQ4KB8x6+V8oOx1UaFEBRzoJOhguGRybLBROaBhiqEW6TO9N4xUYpurYcp88dTaefQ6cPR5EN yTl7i1mJ+xeC1TxUy1FjPx2AaONgofcW2Jzsn2FkrLjr/sPr+edchKIM5NqtDjanieqSXRQCRyJb sMer58gOjStU0cCA3iLcvwnSIv/AhpnMpuA0Ux+mX4y18ySZzQhLEMJH2hnm2oUWmjVkRSSymp2a /VLt8KuP697FAOK7oRUHogKF03f616WnF906AaXf/EoKJcYhfh3XW1kJITrMHe8QMjCQLgHvMviI 9vvQb2aYMgV5y73O9Qgqg0gX82qjnaAt3CbnhRigkmbrZJG4lN1jKqTZpN7RWb1eBj5JkZxr5i3i CEq0lhcKUaxBnjA4BbL0jHPwIV5NhTJKnJovPmFdogQc1MFFvG/ULKbxGBTWOnjSIfeMw37I52hu lUvv3Xo5iqAWST/yL8AhGPnTQjJt6o2HnpQ7iApX4BPNftoiL0MI6Szm6PUbn7p3AcWlj10A/hmR CaYNL85yjWCJ5hN40SzjWWdZ1qDHYbJXK1edBW8UR3vye9Qj02nEfSAOo8eJIYy8iMs8g7RKBiRf nULGhtJyIAeQ5xXSlz2M0m6hrtoELgu0kKzwY/WRswMesTGON0/nc2lbSHrgKJoNeFeJOiBhj9ox 4+uFrdLcdRYDIUPoF7gfjO/gDyh30IxwYqm6dbVEOqJpLyUAnO7pMHWLLij931dcu/TVROHsRzeY Ir5r8o1sbAH/uK4JVh1dExyvtO0yd28I3lVKCTlxhHsbN9Yy+gtiGa5G73s88utSnzBrJPlLYzMy fIoBcXGn2swfaXsnZo3NeZ/KCCvH6388y1tIWksHpoVECcmBcsp7aG6VMj8wR2lh0igHifT5NlYO e0ntgJevDOm9jGS1EWZE2LFj012kwvk0qaOEGTM51ZUX6qgKxdwNHkoxcnYGjueD9ztGNFDmsTmS ZLQJ90gZSTqslQn7uw6rNJErxtG2UhQQ9pn6DbY9N95ed2GchQNgdKNcsmPrsIMzKYnCpdxKDaRp J0CSjdns8YPm2QRU+kOYO2s6AdeBwYcYnLlU/lOuUe0LyBlSnIOkh0Lxf91Rtz7kkkaVyL5d4YVv NeUIhqpDLvbKxPnlIsQIKFhqb9Qi/5zMe58sTviIU+pLnsBUrAn/mkoAQnVAFQJTCHPEmZCAmrAE siFuus/7fe/A36IbjjmEMvDA11zd+Rma538OsKTsAbBi/ag4PEG9QJPbBbYnbUkSBXBdDGvj1xfW jxY5+zaUhLlDOyQCtR9tjIg9zMuXEgua5Pi3/uXwrmHUSj9sp5hsKNTu67qdeyYFelxsubh5Gowt mQaUXHqjwVlJ33Cru0zKQjh9iAxzL9IZZqzW6rvGJJ6qxnXeZuMJsMoHY8vWvS5NvAMsUC49iqKW PufwHO0A+CK+LW9WW/xRafDzPWOPCHACu8/IJMJJ6qhnMQupz3/LoYWgVKufKx9kFKJsaWEH3Vfb jFbs8RU7j9PWKzoSG5+0aAlOmQli4c0mw+qYLp7B1cy1ehqSohcOZUl1TiTXH97ISrgHj7wVlVB/ KUh4mXuKe9th+ZJdW83fkyjcnzAS2j73cK+WXCUk7X/hhGSGf0HXFb14UWwxOSyrBKun4wwUsgcN 4NnlyOnDCu1tMEXAxQOuNoRCiVr3/RKjimB0p0WVfSrX9uTUFsZPUC7OEU+houO62fYcC5yyZzTr MG8FsTcjV2OU2+67IyA+HFlXkSjnm/4lLM/3X0PV6KRHNQ1Oy/4H84ozIWXwxZethAxppt30zdpQ tgdPsTNc7N+GRKMESwKYnnUsGApYeGlHxes8VAeZM2kYeCsx8WXTzeMSP0MKhEXJf+a+lbSbV0hu cLRTcTkHYNK9xW3qTZHSlUx5E9knJbA9wyF+37bgjGM0nshMqCzqXBEWzwaaxt1R/IjGZiUW8U8j D49RvpbwXtGqeZ2tnIgcS2ivhzpXfvJKlscVvrESBVnwpqWvmOr9JH8jBWRVpWKkK7U+xJakFf9D Yw4Bk6WE5l2K47PMe1WO9RkRYQOEsz0lI3HRp7YsA5LCWV6mF7kGj612lmmXsR6JJbyMd2PDZ8jK ejz2IgMOxBQfwz3iZLeoqccQnkcc6zdMSp2SkhWSqZQ4f6BUldYzauiqwyGZn6pOPrWY2ppXhjZt eMXjLtYHQs54yUR/RIAVv3MSSXiNvRGWRc+aB67N4uOx8VUa/1xOrG6YvvzSxdNqGJA1KFezeRAi OQ5l5hrYVDClTcs93RSLNtiaJ6MKgUrqMK94pFOZUO34EdpS/1bh5RXN4JOQXt5l96wN30qGS4Ja yR2Cno7MKNzxbteCKKUlWfiv3YOKM/ohWmzwfskVeIJgwscCF6FkrHbChSs1CzTWxVei3tBc7ZBr WIODXZTZP2Lsu+tVf0dpsIm5zIML/UY40n01rXGZPUELVC4D5oSbY9oLayXVgLsAtMIA3ixDlH4k jOZRY6SVF7iXDDyd5Gq/GoUvn6XEwtST6oEh2czC0SknYSDpXZTGrFAP/qW/QFSfcDfOtdTdu+AP arBU9nIvUjWmNksOOugbP/W/3fu0JT3mRj7F+xx5XzWo1dLVJXGLK1dS/spXkMRoEimhN45EWJum lXvC/8zEQ7fljALhoGnBJIP8ufro7u/1+vx1GxT0WdamOkvlYLlyBEozWGg6kIYdguZml4XNvljm jRFeqZbJTEOegPbdo5j0ohfhKAue1jB1m2nYvneVBh8V8I4dOmipadkGjt1KmsFHmR2XZGIDuXTD glFk0ctOp8F9syD4OZb58ELOzo91da/WU+UtUxskeO/iWhzx+tZpczgtoOuQ9tHa2Rd0Mr6+3UHt UyYTtfnQ9p0+hnn9M8euehj/qWnl2z0hpDoa9uF3dGLN6Gg8NbXC+j/GmHQhaQIotyyMfQ+QVl4c HGkC/HBiVXQE8ovtJXE+UINmzleG6WU+iIrK63seW2q0YiRabJQSoTERt/B6AA0dPzgAM/+qXCGF z77oZersOANjPtZ+qdJ4IkxGXr684SqqKeSXfMJKaHQiTMppOSBve+Ne/1H8xT+AUz+7fDvr3sg2 eFzolwNgDO8SemYbllqsGGPxRNRqf6cz4sE676e5FVoEQknMYPZcVmFZEg+Oo8v7A40qW+AE8kiU 81LHnY7HLL6oK7DruVU4g1jMoSmOsRfeVZy/oX5Vr/yWivtHt3aUJpknjIr2K19fB9qzVzS1WJQT C/Oau4Q1p9561C6r42mECzlLxZPKIBAiUr9+zh4X8usu3wmZUV6kOSPN6/e/cmzJyPrmc62F3n7a PxRoa9r7vumusPep1YHC8RNEcPQ48M2FRjrNMCog5IKDNFtuXX81guoqvBl+3EhiIPVbHiptEPL/ AEWIWkj0rAvTiv1aPisgTU7qTFSl1UWy+7sqj2pi7vYvwWXh+vnj0pKS5kq4K2ndLYGSpfA41T4h GYZo5JIpl5ysa/0HvJwvN9Wm7HJUtpgMhbraHzeARWa4FC56/pHu5VZH3f5QdMtavxXOzbVnjH75 f5C00WKelfTBwBTa+FtSZHRGpsZxQK5HO4Iy8n0Z3fLFmAfXPLTlIUcU+4w3/DAgGjtT6hTN5nUz zlOYcYSQYpNMO5/B/Dk05AHWz8SRm9twQvdfldKXj9TQLRfDk74SHZc9JF7Vs9PVd2eB7v/xIuKr MxKsR1SJS/N6KgN14gLC5+XLgXazCffNHo0k7US7Ir//+r+ghnJbEDBklQCe/NXKe3+KMSPZ2KEN vApOgqG3KA7hpDxYIlp8A8TzwuYTOuV5OMzlLl8nomKt6fhZe0v+e1Uv6dFQApm0wOg1xXYt/BIX YFh3XrIlp93PaUE0go6v7lYX0jasKrmAFbPcn4OkBX2kY9ALSICKXGro0SncPJ/7wJNAEambXpRv G8IDltSHYhmrmRG6ORaN0P7Bv8HE2QULc+1tWO5+GdPsDHb+WqambujBBg94y5VsnVmDU8Mavn8C 9BTLEU2+wsxzzM02XJuUdBi4OZdozErMJRg1J8f6wCFKpTdLQ0UR6mClZI11NiDO/CSbPK4drh5v WeNnuqYqLUD3f4pKWoocW5RU4U1PIQlM4tlrEF4HnfQRUjHL7DtFgGL6qTWy3CuU0aMibNcRfeRt QwFtFnZF0eYjIwxFnaIrFERjoBbb5MOBvltSt0EV9dKx07wJUigaEB2kHHuL+7T5cj+M0+l4w8n+ 5n1QjFGiv9wVhy8vkNzF30mNIH68oyYW9w7tHe+aExWiZVXODCMd+W8RqkAcVCHPJDUb+Fz2mven KaW/93Of/xq7LoQ+V2KCWeQVu83Y3Avl3+RpCsmkRcM5zmoLZHhT5bN0xBNes5L2evRHfTzR0byd AiOCCEOlJuAizw2VVn4U+kC0bzRpJ3mSDKsCvNrzSKdXsyRHPBvxvniXSs3DcX+vEL8CSapkR84i qdSuZ1NZwjqwJwMdHMRyTr74xhzrBTCjEo+VsHYRyYI+zfv/I/GERiwkv12yTZPysGxgL59A/6yI n1s63z4WX4WV+PJohn6RMeropDTdeBf3PvJm5rkwJ31VP3wrAjKiQpFwH7otP6Wlx5lm3HgYz89n hMy50CPmhzyRN/N+FaYtPuJIhA42BWlQLrnpF84Q2R1EqxB9SeJ6IGcY2jKirVISSc1TBQ9XGeXA pVEzHPyat3duWFlNJrwd3CxTyjjEnx2ARrcpEDkLaAX5msmqHvA8IM+ED/4/stlPTD57Bv0UYKBW idSLUgCJt/80vZfH4YsdbFAwQUyoJq9x/cz/ZLqrzv9eeK32yGw6Y+cwWtAWfG+54AABTObgPu0y 1efokfLyQLkmuYGaj1LS2D1zqYoH6ukA3JjcshgDp9XVkDDo/a5IPSqGZRy/XgsykrsShH6UyX87 l4FNi+I8xAA5goUxhUdlxRVfBLHxmtStXknfuJ2Q+yDRTgo4gnrCw0Vr2xUCsFgjhpds00qTgEzs soaUsCcyOMIElUpa5+j8qJW89FhYoTmwXdTIIgbeZkT/pQGqPycnBltBZbOXYEMRqaTHIWXXIggA Il5jGiS6d7glBL4XEtonpw7NqZavSdmIM77hXTB34yOAAesl5LfZX6vUj3dyB1PDATEwkRzsxOvm RLu7oggO9vWwu0/diNeFWrMYtD/px47x9mHiK1Yr+7EA/AAGp24rTzLPHyE4pEqmWzH/CGVMPLOn Fru4mCMEjfx7B41s5AD5WzwJhbtIONCUTmMy68ZjlnjKK08B3GQqn5irdUlsNUJ3/mT3rU/jOjVx hkGSMLQ5yQ/Pxb+ddbvdJ3WBGaarlxMALinCHdBUqUFikGbTyYKJfrPfQ6/cwyZ39CiOWMBgS4je Bpo3cAsRXA49zoI+AxRaJql612VU1dy0HCBlgn7wK7rvzfrSfdY3JgizKj4+TleAdBobbUTYKkrL F5JLTg7w1hzzaDhRegtKUF6DFtGg1T1pEy8Lx7gwCZcah0EnuHVeORxLW24G4EhuX7TL9EcNjm3m 3wZCITnKf/PhlGP9lyOzFXk6TZCCUppKtstQIyPdyBDGVv/+RsUTKy3LVgVDjcFIuL5//Wy2it+R bAX3pfY4LUewlz3nqfZZ0pNbRYcPZ3tGRI4yZiGA7PygqKIGB4Jp5v12ltkESyShI/UZ5r2OQ7Hr r2CgYBhr9PIaxXjIlg3AOUupbbpMUk7WvFxtUYuaGVahuQkLJBZ+e5l6ZoDgpsL6hRcsnj+qfnsw EgrJkGmex/yD7638TdVU8qSNtxRJ2/MtW9zMFE6l01KOAxaFO71p4cJ6vZLmuosnnfSuq3CDR3Fm v1eMMA7ksIUw4qccMpSPHJVOBwO2H5oT86mF0ImiPEa3s4BF+3dn9Io5ZZbktVYVCRfrRPMK/2JZ vG74x3iYheAdLHnQS6ZIojCcYZpt7BEBFCObMci5saX0gt65YlpxAwF9u9zJ1A+f+qP5WfMSUqbL RYS7Apnk5OX08e7rbJLqsWIfsrWGPWlwf7GXEiBtFyeGpo+S+hsa8PGs6Uw3f6JejqXwy+vQcSR6 fQxTs00fEHS7Kpv9Sw5iexH+yEXQl2bkbFoSglve7yzLfszpRLYg8V7Ka9ULePeck1dvRoqjQtiK qZTjlyWTrjUzxQK0r8HvGZM6lfsIr2wMDTsdjyvecchMcmQ9W4tw+3lxmbHeriSXhgvOwGfH7anB cMztOpY4LeeXXxsXRZ92byj0fxngId4kzGb5iKSDYpC2Lafb5heiE46c0f5zJMZrKcAPsr56jPHh OMkk4WYbRhnoyjPynnjIMRzqHrkmuCDz83MuQqon8dcsusomY/OGLrGAETdbgs2OPcDrjxYJd1oJ TBtY/E8cVqCFqdUmoesDlP0Kwe+OuXHEN93lR6fDzc+aWWrKVsnwmvL4vljmY5wEUgyp6PnVzZj/ kuG7pC+OKAwzxBSmaqIG6mCrt2zMsF/v+ph6WQG5mfP0wta+MwL1MtbzdHQs2OtAOPDMvHTlp6ND 4sqYx8cN9wNM8lg33CaBeUZqDuEVojNHPV7qUqBjjdAAorX5WgnPaXr6fy85TgeJkUs0jwNazMIS A6XQri3nQj+eXjER7HfB/A76Sa88L31bm7Ogiu8hxRflkOU1rLAse/J7kxj3BPZjfOoU+eZu97v2 S68/upNdJNwVEOp1pinJlxTAu86VKJ9i9OywfYuU4Yr5agsDBLE4B21/lj7PK3CWP8BUqRWqkva5 YkD4JIhpFcTdtvaHcPRAh9VDRj6i9DuRjS+1BtcnSaR32xNG08+zsEyVINlRF6b0z/YFz2W/xSOi DVTFaf4Xnw8Ir8ygf75ZMIkUyx1RZDZPOmxCYZec3qr9LdYA0EAY9rxBG6vGQ6WdVV67FGTM46DF ljt8AhbnrLlVDigh70vLLx8wpHQJwQpxax/Md+pmr6rTtjlPJo1UiwekE0V68cP73ZjPiBYDk1QS 1OeX06g5E+FF8rwvAkLJ/0jCAKL1bceDZ3fIZTDtKE5gKjoVlVcFtFKZSyHN/tXXlWEP+l71a9CE OFkFjZxSnGhPSiHrXJqKpDotJi1eJtTIOqOzVBbydBHdNwiKe0EtQhGO1kVmX36qIeyh5L1dRYp6 x9C1r3WUgiKTQT+TPfaRzWLiJTDt78lzD9Fb6gnTposij7Dw+GZjizcNFI+xvn/fahdPy9GKci+4 GNADlrDASaVFvS13+ivqVlu1DBNpYHi7/3dCeML1bIqrhDXAVN7t5UGRvK0pZ3NikHkEbwWnfo6+ ILmenzdSin0Uxu5kVxaaHo7GUqtccxw5sb6KEh9ahj7GZmdl57dcyBqudzlbwIdRf+iecWB8pnHP Jt+b4KFn8k69wEMZgXIBsxuu/GIzuV5fE9zcGDIDYkBHb4SL1TqXj1KmR4L1Jk39I69Ls9D4AOwS lcmcKHRZsxRa5/3kEpHU3ShyrlyBuh4Q4uRC/VyVBfXmQmALPqsfBZ0khiv8odmxnPbudazcZr3u hblR7hUcNXDroos+QhBS8GRFL0fPiBGHhrAor3cWW1rR2bFEj5szHu73rddJhgyPV6TtXD5JJDTd YmyEKMhtRxOh5EGJTlu5BIv96/050crG0Ys+BLQpgTrF5RRTCg8yfiDhrnhzqSWqEiU5Ww9t7NlS 1QdrHsbhBoU/aegLWjEA278oP9dmSJXYoS6PlV/SFcsv/lOXoma39L990Z64fslkUTK5joc9kzlH PMlW2xwA2AMSXE+q3M7iWEX8b9U2i5E3cZsUNkUOIRgaCGEvyprQ0uzBKTC49mClohTI8ywOa5xG cd/BeRDFSXJb2Bpiu/yUMdU6eLgT7isdzKo9k64id02/GYSbor7GYjVH4FghFDbaVzWmbKGdfmpk StSgryDYvbv4OSu/5sCuwG4PYkyBOaDBNSXgkcdR5BsSflrZEGZWgUvawJYtfTm7UVsehKfKvohj xOiwS8QwlM1ASIwZjW6p8SEyTH9ZBe5oF+GidPJrLI3X7M3A9qCq3B0R1NJfGcazyP9JJPfyHz0P m0+rHc+m4RsnG80yhVZCI3/HAEn4jsvsHe4enIGfCTXrSa8oS2bzJKI6auRnGEsEQLzOxvxzDf26 Hb6RaPV91IEKhGBN0CUwAUVdh/ecE51Ab7LrvjyWOx8rxNiY72UEfYyOw95kEtyLFKocDd7aWUlM NCyluWPR0G3hVzHE/SZRJ5QgE7tMzA2mzVLuC9DUOeta56WPwI/PV8nIU+tnVbnKRRbyQfrSxxUb tdFosO+byGXeqNAqKP5jwYyL9zmt/H7RPBpbD4vY+6+b70103pC6WjqOoaXkmTqvDvRYdiqubzMr pqDqJEU4msxMooemFXOc8BrTENQJqT0Dggh3DBqgByACnFli/HJl9z+rJqjcl2g/xGfJG1Tkv9Pu GtVUm6SS19+xtJfU7EqpOxD/9dYKX7NNPzjSeXrLSM1gbvSdst48PhhIpDOhacy23fHyY/whZ2l2 UAS/okFewefH45Zm0fSghoXI+wsopUAeMHrQ7fvh6RkFuEBKrFff2rDoKtxZK2TWzocKsTVDZF14 CYDmIQNbs+U3leu+pKH4qYArjxxLZ/OxFf9kz8nUexnV2pCowKeeM8Gb9I1nCkMHFP5f7aZ2tDR2 a4C7/oQTU1dN0l2d8BRliISdRC9DcTQcdM3VUxzW6rN5TJ4ZmBUE/0C7G45GcEegvjD31xw/O1Ag wZZTkGYGtO/G30l+v+Lf9r8S+fJnITt3pVv1GnrpHwIzPoL2zuAzMZsCxjtnqMm6f8AtiGTQ3n6v 5MdGm7z5wHwSuH3D8h+rBiyihwmdps+jFngFbtASBB1zFJXXkhTmfRtjCF6SfIVvOWcxH5avo3TE bh5o8V+7Pq0BppAgh5iJHii+FDOAJitoFoeV0c2OSE1i3wlGrUA8uTCxHpWH6ygqQDks9ZViEOxy j0QlPdq4+KbsWTmudmGvTc3z8vTt8hn9dFTL9AV9znQ6832sdjhwXVYsvD12PP0ichqImt4nydWJ rN03y4bmmbxViDQF9uaGPTAnojXUxcdCmhsrrTatIz/+SRfNVQ6ReuIjsIX4driifOJ4aJQGzQ0q OTk4gYTy2WzwpdLA1za5C8vav+vlmwpEYmY1DUZPp50ZFzy0Y5O84mTHi5doHGaDDKfuljnwN8O3 aWJVJm6z555AsOx5MoVYK4mYY5ZE/A6i410lytgNMkZRjToeCWH8wStjEzAPjkNVMxHmW/Jomo6v z2DUNFYyncg89su39c5MqKjWrInrzRniRm4p8yj06Dasf1tJm5EySEvST5nN3nbpEFHH91Zqn9X3 Ms1bjc0zKNzMTLd5BFWoegueSm7JIwR8i5jCPCVNB4vpKkTwRBCkk/l8BRVRfE7ri/BkucOzlHlT 6STR9PvGLD3BtkdJKZPFxxpNV3/s9xTdPp5Oqu0o8LhuohX5t0uE5gTKDuWfl3Qf5TzXmBK19s5h Labdzpp9hHKDtpJPdRq1jF1J6iPOGODpRTCAlNE4OfD98Fo12IQ5qd2NhJbQfbiP9z+awbc58T91 mbTNIs4e2m0LMQeR/lbjhRRLbYJbiYfKS6IeoJ86XBVpWVBwnVjstfQTrwFcQKpjE4NhSYXsVXDP 3eDf/vU/BqsWJYP3EF7tvOjS2cYbyB/8QvyF50a9pVe6YeBvXiEpvCPg3lI4buxPKuMGwdArImLs rSx85/ivlHuBuSumZa7L2ZcJk16F7waw/eX1ndmXJfXoq0rouz7lWzVl+l4gsCcRw5zxjvLLQtpT DiMwxoV7sdzq6Y8BjC9IkJD5+k6E0q0alq+5vp9ekvcV+RzPfRzIzQo4tQUbqK9+cGdEwk5isIjr FnEtL3KYCcpQFZaNJq6LplLj7NLm/dGqubhIwK4G3h9TA594ZPWlSfKYjKJzF4QD0eJES/ghKd1L eVSqWqJCxES3oPVePly11qsAfIvrRjFeoVC7ImwV/dfqi31A3voJwP0ITTf+GfPovDpanvEogNT7 UxbZbXQcXJTaLR9Fo+xhIZQBx6JeKtczz+247F4qxHYFkstgE5QN6Tu8q5rBjn1MVrdrtrsasS1I kvYskndRHMkkfZmGpX88kX5nhOLVOIb1ovmSmJEyt+yIHHmC3lkLLMfRqYOukj0GAFm/nk8G/Xj4 FP0hcIKAIFDZnhlZHr24JstZfan/E+U0LFncNIBuheZmNwqoUyC7pB/EIfaTNuuPKj8uNuxA0yhX JDK4cNbvxVW2T9CbsUV0YMkF5xci26PURWj5JWHLL2tWXoGtcxppYjOEPx/XWx2jfWGAheyYPtwe /yCL8WUklQX8p6tsStElotG+QKYfduqeXGOC1ygO3kAm9ZNjooCFOtNahJaLw8gGDF0xAUDFb5/i 01MmH5UhVCryVh2oqNP19cfKZIUHLNnWlmTsJW/lVrvx78XNpW/2kUdN9wdX7Ul0JK57QAh5pUxX fmpWEPtaSCSIdOY3wKjmmU6g0YYA0Z2inLunOqODh6PYHOA4Fqj//hZFGSA7jk6fAMWqRY0KSHNR /nOZRxDq0yuB6E2lOApIWIYFOHKra46GJeNZ9xyruNGXQYAd/3JL6mNzRnaJlLIZZD/DmafVtGdf NGHc6YMeJnEMlRZcMpxgIDBc4TaL7obHkH7jdLLqJA0y1yhxfzRp3nXUdYraNfvx4BYpRQo8ECx2 SUGSuZyrk2Oxb9oIokNP3SR0YcCiv5qcNDcwMRNxX1FCdSR6i1MxqLzHuIzFDD0nm4e78Sbv7GTC U98eqzPuTJAgCO7Hs2HxAouRtjYFG48/zwVwPVp0F1mSk3o8iSBtfFuwmVFtx/fjnH7V8tbank/C HXgSNmr3qfdNN0EOV0d4AbhfwY5u4WBaRR68/ZhlQVG/jeTTbg+hOju5o45uWzoH5IzeHPha8vJl EcNKf503jQhuoQGAOELB1lCvFcfoAih9Nise+syoTYWhimiYgXpb7XzHgt/8h1vgeSypHz4HpkCA jy7lQj30c10usdGuY23I4jjnLykMLSSkRYLM7LRyKTDjVB8dL91ejaYi1SEQvsclsQYgiIoclQ1I GOJJynw7b1Gw+4I3pGIjogEb5JSnikAV9pFZL2fRbtbwKiNUbv/lnu8OyhI0MJ56JWDR7od+P1SY JBbR7n3W3JIdlIYVPf8hoQ0oux7ic0GbwJIJjIcDG+mDJL49bAsbGj7F62YWpkChzKrfXOJgoonn spdBaTQw05BYCswE9y19pVodgKOsb9OSNHCVb/2tnPRio469D78/XRNzPngBtjrLy4ldwX9n8zsW unfyNVERIP7Hy1t/JoxNfnbqWjNabBi1I8SkdtIlMl2ooDwXbNHIFa4eaqhzXOOzKGy61EcqbIKw dQ8JOw16ny4HagRncLjUjepdUubI2gLICxU1NyviTXZqslr03hfQz4ZIoPRJaE7UAWWRzEp4qyfs 87jqMEyFsDB32/OCZDCefgPFt98vurhi1rSp2nCxOncqAsHVD+INIYDazKyb4eVBq3M2MCXZsJgh DZCRiZjMzgrMTsmwivNxYJjTVmHWqSxBGNLZ/cZv3Ft2HN6ufuIlIlRPOQQNiIv+qSpCSe0LKUMN xoKzyl7MaGUXnVnla7E61Offy7o4vLUam0XmJpzuUT1ChvaynkIQs/T0w2kPdeTeLFKOFymN0PlX WHwJPesvK/ctrkGKDcfgG4zm7V6eMl/vVd+zTCimpYYe2KUE+0TkQugMapEhHrVD2pziCl5QIRav 2huPHAONZDnjqmrgV+EWU2MYwwM6/JghjkpxX7CBg4IqInj77hNd7hABnwZOnNwS4IArQ8GHuOo9 iTiLytULhrhWGZDkUW2XX36pHquBRwiy3tN0EPjnrj4hHISA4MSRewCGE2i5+D3p0C+kU8TOfjfr sPkSaz/BOj4TLt2PTaB+BNGhOThNBer6J1wzMoPWA23n0AsJBeuq+U0Ew+ab2GMz6JGl09OsAJLQ 8Xzw0YSaiOf78eFcgdTJq6dwoO1PD6kZC1pkQsQWw4vU4Sqa4ijB3s+ZXPVp/cWsnsmUBDBdRHsw cTSKQp6aHkjPlu+FcI4rGvR4hKuLe3qf15SQQBuJozlL6+919ekTl/pk1Zg2L95Qn1K5zHh8TDpY mhwRaqvPNEwWVTHoW0CrEUhDtrotKChKIIw1PJ5qJboFvz4t2IRCRjwH7mB/VomYYB+GD4AgYScJ zYp23oRkHNkvXfRuDro7PGnde/0SUmWpb1jD8LbSmEz2eI48X3/IvSVY1dTxtXKd9ClvHqyRoXcn B0v73Vh1/A23aCRb6ctlFGjh7FB/KQ9UiC1PbXrWI6QXUigmUQWlzh+JeoKe9Guvg2EXhekxEE55 tYGwO+EpA2sUFR9rrlUxDnlba4J/taMLAMLbQOBMElz54AXXg88d0vA9g9vNDUPGAxWlm8e96Da7 Z+K81b+J2QLUPHS65Sp8w+e7X6oCKqoJqoepkiqbrR7B22q4O9LxxuBYvSr4DqGdIJeaqUmfsELi GQiX1j4yKbIs919Rq4thnkqKqObfCxaPtVv087t1HaUTrhLFCQzyo8ztpSi5ww8hEM+ROHValm+y iL9Fd13EG/FEeakwDwm92/uXtRTJ58d7fYpSqU39ugoGqXzizXVvxxgYbUursCcXh3Cs5jkSa2DR e7nJbqItZ9ks5oiGxp/jTmKCAHR7mjoca4sULClKLd9mU8QuM0bgY959d0aNLVGeJiFJPp5UQsbK ryJb9cg0kcOMCNAsh0LfcUSkyl/J1/7spTRx9Sk0TzxAiQN9scItRFRqOSAm4vadbGLVosJbrbQG Io36Nfe8lFiPIGLnjy9tKpBN0NQd10kMfdu06kXw/Q+N3339kGIS1x9ceuEFeQ/vtnMiwUN7VZSz SStTDh+pxRKq7Vi11+3xKAVWn85UK5kp2nNDVry+6JEkXsi0RhkE944T+PRppSXPtr15nu1Z6vfJ CIaznU3dvT1viEt2XcwuFc3jCEj/PmNSZ5n71KfWg2VgYP/puFkD717uEFK437vPcfSmwSmozayy i17f+X9wTz8R41UMS0AAaxAqTRUtvDmNxxbuDxqZjV4QOATg1xhmel/VyGz4TN2yBtbVSZXUNQ5H NJHufHf7E8LUuT8uiohAUCPGAhAhdpFGUSPhDVY08rgu1aJ0/3IDCDNe/54Okw4UPO14nXrCeXBh jSrO2KaL/PwnNkjChEtMOrl4DGNkT7bC7DMlGZjKD3HyutNahGSvQkfs/MO3ZWDy56/tzrwfTu2l /QzS+CJyeRhsOB7WMygmnULa7EmD29JVc9S4W0BhhBkDqkFyStbjoyqMKQdZ+ncI06Wyr8Xduowb jbnCCkXwPn7qpbqDTc18P4jRzDh60ZaPjwH0Xs/PBcatrGlB2pgh55jtnrbnmIJ6qe1e4Qox5PlJ SLRZAKno4uAh5KEdI5Mmh2oxkUbPytLlcP8M5CuTYzsXDaaqY4huyv9N6rjlpRpJxukGpPBOIcTB W9bdeJdu2q/bTrPYRuGyQSUIxW8Zv9aFrOhCvzgPbd5vtLqSGEfmVd56mM52SbbMmR5oGInbsYpA fwH0zV5WnTEcWM4SqNL5TgKjiKi3lyU8vXW4p9xJYdpnbIPqvcJrV26mQAprBJ5v5nsXBlNPBH8L A1rBHGofEDwO7UOYlWr4zZ8WsKvJ2A4JqFtFC78dzTKJ1N3Us1yfeIaK1DMPNl8slElCMWv8Eafc dBtSxFSNFmIiswrRzJ+L8dm/xhbq8VVmZcdybuyHYOlqFSbaNRB0mZOi7AerossMDEFSiP45YmPc RIZ2qt9ZqOzGAQU32j7bVwNPmlv/+oZmAC1bhg6Za2gZGm8KsqTmwJKKDSZL7llkH2lLqlhd8bVH qvwd3Omdfy/R4BaArX/HAXLmpq9czSl8iEYjxfQ7NmJd6iGN8GHU4a3v9taeNlrMD7Yv035G+IHp atw1MZnrkeBG7dRPNKSnh/iKzU5buokwWQd+VPrp68yXfGIcT1uTApoiQ1di7TGixRT0owOufWgu WgYqJC2PBF9Uk8Uq6nW4FAjjXM43mECHO/flk5yVo5di5LEl297ONuuubvVzRrA2KiPc1M3eqHgZ bdG+F0UyCsJNmXidZ5/1Ry8tgL1Vna2+QHY1Y80zBgpWhOvGI2entapbsegv0Rs75PDGyfOpg7HR e0kgih4xGu4hYPJYxvt9RqPyu7WsKwPpH+1ccG5j16a8tagBRiroGWZwzovvFH2GwgvV+AimE9Bf 5vr8S7oHN9m/IsXreCbVz3+lF2xOm+Q7M6J3QmfcpRjw5YDigLWL14RiSdKw2xdjL0rkTNNWMq4m edTkG8BDOp8pHYwLuDWoEGC4i3U8KmN7wJaVB30csmW8cZFFrgBBnWWgoL3V9DR3FdDjsdkiV2EQ tMmgk2DZzCKnNkyp16zaW9Yd2r+xtOOs+vByE0wzBoRXL6wyLyG1JTcCTNGiSi0cto+c23EqTw2w MP6/kwzai2USwQyBo9atpsy5FY0xcgBNgt6AiHmxpZJpniSrpYM30LLkDzgrgpJ92prohGojQuvr wDjHbxsZRGg+A5OujFVZYFlXmQNKSG5TqjlOIGvr0SJjSpBDdUfuDvJh1319kURDX2Dsos5TLOh6 2nWzzHcSO7hCRy+lvTmzLEeuDycEas0vh4HEfQhWdCaFZ87hcVrGWMWMShTNSzg84xQ7SUtThvwG xnpATCJljvHKmzu9JZyzCHDN7AMFDqs4TUSE4t4g5edGFU/1lfntEin+H8aO2cAnhwF+OmbFyaBe dxr/Xg8V5FglMo/VxsrJTACW15KVdXx60WpUp4Q3DG0Rvm3a/wpsV1SVLpPtgsvqjJAXFWJIqHl8 FN0nG2gzxCkIPzKBjmSOwleSkejblcx4iC1RnQ4NTB8Bmp3II87TQGen3USANJhTKKLDn3TKke/e zTvRPEa6bD/mujAWIOqqAyqDuC8eyhK1MlBra45eUHnA+FO1Qy/sP7hPXP/viS+f4exdioKsbVYB Nb5KmfEZ/ywMlrbaS4pLjetznfjbIPIzQeaUd9zp/TucjN0GudnyuvC1+EbWs+niiEYKvxTMUPOq JDWCfJDRbkXiFHF5GRQDBXpUkK1oyh/ihVnbOHgjrOtmwmF855mXpmGHvbfQND9FQOJrwC5TJZlL Kje+tOADVDAAcDFJdDiI0pnBCfulkJPFG5KjxtubXc+1MSd3y1Lg7WDtVMqBODyOdxrlPFIaw88x 398A3NPsEhVp7sCaZFUrnj1UcCovE95UbFAvIL3jSJY7N/kwP37hKkeJcvDqDGbmX7/R2nEkke/p UP+XCWWV9bwQ3JEMgRvPhtFUAfSZ1V26Mmbzs7pyrJhdQkXvMJtOqkNpZzfYdHpcxEG72El8uUip jNDdLnEy2WYNABiKcqqMiROoSl6vCn9t3mFr3KV42mQx6S6qUvIrOfFXW7Y365ApC3NJM6uI3eRV BTbaSeoyMvKAjs0veS3v/xZ9p+G148Z9XyYHm7CWjI9/OrX4TBGd9zm9N/QIcQhcjvSxHVFRSuMa DTrRycS5QheG3sQ5nADlCQvWKtNO43lQc9llFAxaVj5O8II4JY8uNqZHrmuZrXz2RUtTm0O/JFrC 8dKNtCOlmU5f5HON4x1HHKiTva0/4mgSsJ+f0nI7XchQobYEzn4KATkwGZqPu2JsFvsBJjTuyN6x qFR5s+CfQuvWcacZaLmujJTF2nsU8Edhxvf1VL8APlUInDZCn0T47y1ERCokOgtK1vdsx69WnkUH I1vhnETFUJQEx2I34Hoel8iUclCYR5VZ6gQNr1lIpED9+lp3OEK+2sVkBoSsPSnGT6K+brsCEzfr wRZ1C28p//JYOyoJrFKwkyh26VnIgNE1ILJnHYeBG6oVhrzKuJFzPbDEbY91sHu2jDjA/dvf4APf /whSjyVWWHL9KssbTGZtyjzpWf0tMIqXIvWpGO79l8F9LVvQQi2vqCZIMvOdqy8/MGbwoORTlLDj 5Hv7SBmLwSdzyqNfJN2hbzkriadrzZ85Nrp11xXrBgPgmsKgW6pynRbSJkC9ewrWPNiX+1kSesMG elj2RYEtJZyaob6AVdi68eYCFNfAbAAzaMKxEclkTVqyMSA1MbDImKlaSKeCELDvB+6j0WXIxjhN y4v/GWFzwrJLzQmkCF84KfciuY7XZzhszk5LXNFLT4ZYpBhL3UIJOj2bXpYtgsdqA/iIfZd9Fz2V g4okKcedQXyWdy/JJL38gQlLLvredBuoFO6yFZ6ALiJwUi4yEfs2j5KKAFhvKXeODpOmeyNDT77d hmF5crr0WdiBWXiVcvM9YzAeRlOTHw8PQMPG0r6vxrc63huK5BwiSnF3CyYejeE2JRif+8etAAL3 wa660Xprn3efNJz92eYZ65GinU47PDB3/EHi7XiLQbfwE+c05r7G0l4rYnqhVw0AyrgcfvyUjypL x0wsv1scd+/Vu7yQz8op9Y2JqQ3NjNSgNmYdQ/9abM5kNXQEK98LZ4G2OBf1sUuV7v5L9yM9nmLu Mds09k493Fa3bXvYydF2tdORRYyejFAToU8IAV/qOn/9VaWI6Y++PN3gZbpdXZutB2p21V9DE12L q8akIzno9MblzDHxNl4xw3v5uNlEoPurYWMNI9Jd/lPZ3zSF7vQiqWgEqcuWBFoTKUWD6V5IIzET yudyfn9JvND7NLulQOwTiwL0wLWNwxzmws5XAoejdGdk5esI+t9zKg//ekPuATp5KmWTyYNQoCrD XXOzye1FKFtjx2di+m0qsk8rYRXIZgyIcznAXV9gqb3lr/lnlqISofRTS+uXN+oJPyp3BIJ4Sf+f riYVcrC+5KTSmfW6k1m4Tb8zjsC5+tIw+ljBftSD8t6FK52UjKwzlydHTPJr+nDW5IfAHNaaeZXC 5rg96ek54l46+DuUWG7vox1bOydCXgy97TgJk3fpXpME4WsYGaTZueuMjSoHW50fguFPMhaPixLT uSkZGlorxblNjnFqgbGYbicIjZ9bAjTZesUM9+pu7X/zXjULGJnUBdbxc0Dg/j24UWoW7qy9sm9G jWACzlP9Pe2/y8U5mWnFIHFlnWa4ddxRB3In/snzMEHUaDH1CxUVyFUIu/FhisU0wZErqFvCbf13 2KB1YWYlqdxwf1R0d2O7NgiKvljfWkcFAWd8/pGItYjUC81s0pookHKdaRl3OgPvr2Bm4d6yhO6l s/FJidIXDaZkt4lSJq2yC7o4Sf4cSekQgwSmiYiP6FZ6JOplkUxNXzInlDk5jtnjbrQAHc2aOWwt Cgb/bIoQNCwwjZ7TdBMY7QRpFok4uP5HHS4q4wN2WXtlhJQozFQ8gdRB1oPE8oiceVNpx2xrL2U0 iMTLkihgAB4CwQAFeBcGX/7K76Wp3nFugIQWf2dEJYofrK4WBz4bONCYM5Jhk+PrEVd9kGlUNK9r iW8anPh0z+A33TqIwJxEfgagEp1Wh+k+Q18KkDw1wBOyHmS/+vMJAxwvngdp3KknGUUjf8kSHqPS OnBNxClEjBrNhjtDrNfIeMDzU4QVbkkbMaulItmxNyQyIZ/8rMTLIZZIO229r6fk/5lyTXP8u8Se amyZjJjtWmuxV4rXA+rFuOm75y0FTGkgiQ77tfkNknrZ+1jqZ+/6ap4dPr+coiF2alySW9YY+O0X cb0uj56MH0j3lNHmtPUUgnXyb1o2xqjDJ7s27T/IQqFEb/kZyusrbpf8S6KL76DnE7p4AgGs8jUr lq+neIzhRd8zvHYUFW7Q/mI8eNiHSd/b49b5gt2jZspcFMBR3wqVZUxmKlkOt0gK0for5Mg5LGtL cjXx8D2SKlFJo4SU2bVF5WhohVL+RST+HTt/AmBirdeRQJe3CmdPZzCSLBsE3bQcvAAegDUz6toA kkq9/Tqu9kYAluCYIeNSYVt0d+nicJpOTnvDQnKEr9brZ2IITG9wfrJl4/d4DCJ/WMY3pEpyvm1M DjWGdBXzfL56Mu7flbLZ3PUzTjQQLD6sU4rt7kGzuvpo2mioubN6wHPkpyrCxSUcr28pLgzn15RY dH4lUmeYk6xchI8JRrbYNnBJBeZ8PlP7LvAO/MJE/ZBKWvDRITChKZErV5TZOSuPYg3WiNXgQyWA nBklQv103CuZ5EE9w+pGqpPF66fs4bMOCYURVuVQS03ZgRRozHuyv2Sa/gIIMrnAZ/DHl2lu9yFx 8yTtjatieYfKQnq8nZ7QBJmqpY1IOmE+Eqo+2gWFAbOK8iDSTYIJONVM788d9d04X76x6LUY5rE8 Ofcq6ZNl/PZ7L42BnRGd74wLfuRxAGwNwTt5CK6se9/ifSj3CgcUyEt3yoIrrLSgN+/LXLzxScYL zCWZS98W4gcyHcqEypEGDD/jAgUsW+rWrYmvAEN/+XrokY+NLloPop8ctWyrWpdqey6SzrXR0m1Q 3Hyn6nQgma6zuRe9S+lMlIcWhALogOuyu3qwGP/UhPZdcPfUOdBat3rkjroZr2dVA3Me5nGRhYaU S2YDoQ== `protect end_protected
apache-2.0
6064ca79fdf4adce59f7c02e2121ca06
0.950822
1.829714
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/async_fifo.vhd
5
33,343
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block Ro9Uy6Pv0undFi4fHs6c8yhpOwPRZ6Z2m1F1+8SP0JOt1KXqaubbf1mCOZdKYaaSmtQhF5ycT3kA gEkisnzvww== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block IfFFT2r559os9FAUMtgrdhputqUfsl3j6+jBJe99FmkIN/7QgiANUNq2Z3cT9CElrO162qLsucWn ZIxxBOL/tZ9URaimBvt93fOjqr5B/lURUSbg6kIJHd2/fHb7KjG7hjYN3//m/JkYkMVUnd8qxV+a RTnd0/DuGlHYHqre47U= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QT9M9Zk3tRRumRkGhXlo4JpDgHjRf/7iQiBMFbiFz18pwf7KBYTyFabrCLexxFmdviLRR+KB+sfg S1SB+8/3qkpI+pxC6mvL/Nhui4ydEeO4ETkbmFnr07fT8JqlqiD8azCXFZhwaiLYq1ZfE8RVcqBA 774lVlATL7RcuQcMdpds2/1fC/p2ckCPtZZPPBX9Vk//yzgs9arEK8QJESGrh5l0bGxbjHmbk+Ld nBUmMCky9Q2ON54nTSslEXpDEOvSZvCOISpjOvUVJnAPvFHK8dnrPIiIpWaxFImHY7K857exsj6q V9DYD4cBhHT9qEIEMpVECyomTSY2SfsE6g8SUQ== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block V1hyvAJS6HBsYEZvVzGZjF2UzwgBpTL6oMWziSiRZ7uQuBDfIvdXP77FPPoCF82Jbcgwu/HlKzzk gopOBrL5mylaKNAZiIRegEfdehuX8Wj4nacsEB9jFj3vNmuWB+/tLmcS519djJKFm4VcIE+BVjcq EXxdICjzvVHQIvhi8Tg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block m2El048nrbQICF14If5+r9/kPY5L5cnMPZy51iwOZjjE2aeL3EP6yt4piTMyzdSyujWKKskwrzKv m+j1qQMbL08JgO0FiTPOydO1Ae8xU/IfcCfmWLnH5MOKpBfnnDlmD3H1D3MJq7W3FFkFFt4mTQ7a z43HMQOct4gVfg78njNNbiR5Gnyu9MELmpB/jMFuOYxfOL2JS4zC/SyHW/ZxKFJw2EZdZTCkLjyo H0nseCZNMeRJkMs26fUj5ukkQT2S6m65G3v5xwDYG8waHOkS0QpDYbmdM4TDg8EWX9NCJDzSl2Z/ J3orzClpNwF56lfR2etX7uwXACyX1jIYZH9N8A== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22944) `protect data_block 4i8V/PWWkhIICmI+bBHVFPZ0aE2toc+lsDu/untaHTq/VMKJ8ZUOtVi92QuHrmNYwDCslQhx0R+h ECGcD1bO0nPe4i+MpgUiHuwRaQgrSCjjN3+qNLsszKFF54ZO9g1rHbDKMu4o2Gh+f02nOH84Y0Gj lfT3KSqI1jrxWPxzxtY1dTQnv3YJTkuSBawEaxl4nUBb8X07akLeNDfLIeRwXLDxIx/KHgxYjSMw biJWPAESSsdcPQUpieOE3fJ7i4ByqUQ6FGMs/RsYWjpk4f5DRHDArPU0n/bvCN0QQr68ufe45k89 mDayaZx32SytWx9qiG8KH6O5ymVou/iT958u/Uqp58S0LT2XnVVVtrWr3ePXgZ4lU2jVDulSTeML Zbx7/DATUDOneKuwetM8xaGwNMtoCgOLs7kWZelFjOcWulXa88iPsO1yCo+FGUyJ5x/PZYPTC+i2 ABc2o9+0ZFpN3AdJYZA/YJqBey9+kPNQHTmGrrP5UI7N2uKiucp9qUvAUjAGCzM3LYhv2b7Jur9k CX99Q+pRvsL4Df9ZKz+zgbzYt99mXRLw1LitfnmPRo991VY1hYm5tasXhqaRNlmAQgrp3qZGvuba qAf+Ehjr9hxHABdzwTrcVLUTdbs723cYLSF5XZJRTSTA3DIK7jrKi+h9KPJjjODjrCIgDHqo/+8j vRDZYj3KgVBRhesBDbCGKSMFam9pOuZOfYvg7EBOCsJjk7KRAE7FIPESnbqb/My2JdLEt6YxmDPO gMTi8Df+x9av1B8R4ykRLxfbvXjBqyh7C6l7gT7P1ZHqB0HOVf262sFyhp9VljzMjYCDYnpQRjl7 XEKs6MqvszTyeI+juQqlIyBHdA10wmato+UIxi2GNVChCdl10fbFplR469PlvDtp41T1if6YfySD WPcrE7aCvPGPrk8eUO7EHN2F31rIrotciqC/A280Mo/E7hB6YS8XyVmPHMS8I1JvHQVUThwWH5A7 OM6ntHmd04vNFxKrEsFYsTO1Rdu1dlwhKKHkpFjSem3noi0gI9lWSh0lsWNz9rIBQyUbXQw1zlgD poZcCC0AGYnZwhHelPWrgbMiR//K3z1pSGnYDE/BfL3dcvHDBDX2zueCbeX7190NBgJenQ/kepCa lpbP0qeGE6Vp33aQmCcEY5MTmu8eGFOZadwekStIrnGAX46tu7bFgKvXL6Mrz6SiNvIPLR/I4U7r GducBm52zkSOrSvbrGYLqtkLPeTan18IN3BwtPUFIiC+eAadUXZ+fqeCyA/tnoQiMPlkNplwoM/R 3BBUJAEfGV7m5jGyUdp5be3SORWtEu4pds8PIQRhmyys6tLoVIZfiCiwMi4+JwTLzPhtIhdjRTk1 0aGYP5DGW1xgXBrWNwmnnZYvKkdHcdBMi56AMi4WRFZ9gIM04PujoK3oBI6mQ46kJ2n0vHIb73qV MqSENHLjDL0uwlwmgnI73QeRAfq+qneUDBf0L/zccwSpaouhoglqOus7iE+D7v4FImL+/e/1Wf7P VCdak0Hlg3YkY6pKl4msj5drwXXJL9NhutzB4kOEW0wmYkgEzayJi1JPdUw94KRh01yyFnBn9C3r lBS/pNf5e7tE5gTN3z0NWj3bSbwvIIyxVRmn4JUyTM09Z9UyC3pgI892Oo3Yscc6GrzeqHxJHOma jQ9JEELvibMymdBK7vR54MmkWRvHjfuFJOmLg96h4zF2DJW45TDyhTlHULkg8YJy2qsSM3Qgea59 iqfJqHzYZUjWwJIPR51uccCRWzMpE5SkIQx+pJPkJFi3EQPTf06cKWMrdGfJIR1czVxvLow/LxJu oMMd6lOGpbXvDYMmhmQUErR78GRILPZQE2isJHH5f70sWKhA1WEbgs+vJQx7KEMS9IntJbvqgdeb norFo0Q2A+7ZH0Cb3YZk6voV5Dh51YAaYek8LQnbipF3PGjM/0IZFfbu8MTN5kJ4u10ODdYN07/1 EKcXFn2Qvb6vuQGLjMRe740OCPL6mLNPypJGBJjV3Gyz2woIYyAlkAlWrzhzlJSVApqPre+ap8t6 inWxBwpx1gsDkpa6B4XQ7GbyHWhZlfwQ7sbecr6tG0jZRJv/lRbobGBZ6UG7wIpGhVTFoZHyaRpD /mdHbadwEqmjZxKbyILKr/d3rJPqptUWA0N2Bg1GFGXXpMs6UG2inL5SZZ8l8YUlc5MPSLOec+HA gb1GW9xPDp/TwHxzAMINdk4iWLYE6XHORqarz9pochkySJs0hIJtjuvLXSY0Rq8T6herxBz2yPpi KtCCnSd9UjW6LjdPpGY3ES1JTZ2srT4VMKAhIOFE/SBPeN+kv6ys7myJgjaX3Vassk/mMBrM6O7m Obefp7NO52ePKSs2Q7WDxh/jGhrBPNVEN/HkW4bl7tKI2ooTjgdmXcDUlf/mMJY1WNgAUw1O8UfZ UW6ypmoXciZKrGqH46/WsAVJJGflYEYPWibnU58I/Q8fb+WSlZ+e9Ab+DSHUu/bJFP7JCV7CFiOY YsdmgMIGaJjtDZ/vKdVZuRSzVBjhLQJyYdkZWlFUn7kMyfY9z12K6ZszsivLIGYakN8HRz2isn1I oCadesddwUfF4Q9ptLyT1Pube2u6ta89v471h0EVMiQZaSG0LQuXR1ZlAvOLTzw6J3NSEuwNiMQt CBVFmZgLofFSwLQ7bQrAda7GCnPUc/EKTjmDMma4rWPqSmRKBhCl4GFjpfl24kkStK0MqfiC8P4l TZN9kRFi/Ccq9FwVbLXPdAnyjVEeEcFftIpu2QAjLo3geR6yfhZLMj+dfH1XWxxnw+D5UISSN/do YXMDiswLkxCGx32HjfFmAIXyq8TxKgzzmFqGdjt91tDWY/3mlNXSK/KvCV2jh2GJbqv6qjANTckT 5VsNkxlIZFN2Wf1dFGff+Yr6pEXGZ0MVdM1ixPEBg5ZBmFA3aZVDkOvDgwtg2e2pr3mLzQK0gsMD 6HFXi1QRcATlDnaZSCR2Uy78rvvuE+JPd2S/vO2ITP0CIfBCEVKdy/vIk1jtF67w5TMTrEXzjKvV 6h6lSa1LEY11hW6CXq6jRa+p68HjY5eH/C3/omnPNzw7ETcSl22i6kCek5KJDCUNAI0ai3p5zZTj aAhQSof0gVC5frD5beS0fRvURc/2r9eny+otUHCSImVpIjrcln8ZuAvLLmUfMcwNQ/3RlPcPMskp i1erRTTF2+hEtEmY0jPux8Y6yYQAOVDL5A4Z2Z9Qn46vWW1sDdkuoxxT6B7fzUeOiD1Op0FW2NZ3 7Fa3Pqrujh/sMI3a/XCv7NjzPAWJRYiJgO5VoxD8BWAKj0mF/LoRNkg1PJbKn1/7/kDi4ewZ0j4v 6loAmNSgZfy5SsAUccVRU4/0Go7lGzkrDGMP2C6TACDZjyZCxlVrGIaEDsNV0fZ3pYiGg7toRvRN lzgstu9Y36eqNeL3xdPZ3wx4LoDuhGBRM2Q322JCyZQ/rp4/xh4BXcDimkEb+2mRy3ABEDhOcB1G 0v+frqG4P9z1Usky96plBNo3MoA0oJmGTtur4LvxGQpg/OlIp/zMRt1wobDLgSiYOk5TBSP7O5Jo ysdsqeHc7G1TeI07TcJZR/gDzJ3wOfrOgNan9EK/l2exWmAXf9Vakyw0FTmgNJIhL4ZIU+CKWYSO RAum3/4YU13t7Z8jd3fSJFDGuNBWmfwpnLv90KUO4iETugsTa9s44rJtJ8I0kXQBWatmd/KdAjIz 2ONBJtdFpIP5Tj4A1T07W5hW6nqboCVbqxQ9u7OUy3IUGU1WXMdQpPHtZDKQ3TdTK+ylfx7jQl7c btG2c2SlYSQpN1Qzo1drhofqnCYJf7uR567u5Ar6aFSAvSKf3XDuXLyIdRIT7LpMOFX5ivGKdK9+ eF4H7P46c4GTBBCbS7dDNAlInG4fCy8Itk+4vDysmIU3JmlWYZmLa1LB6MnY95CPKxlWxg8S9NHj yPTuirzkvbt+0DdAA24pp/BAMuS/UY6ht+6Z+OHuJBNXoIbSUiRjq0qONCn8+mrBpC7cNHnY2+Pj Fy53DL2yGhGb7z2s/dHNOkJMO3boM4V1Op0hMvJt1LcGkXeM9V1+Ac3w3KzKSMiWIaMxb0XmEp12 qO5cTcuRq9VAbZqdD1Kx7XlHT3d0u7Rr31C6QCl/xlVNZeSOlEGuZ+JGHZtJUaqJK0vJLqXiWhRv XmXMj4dGORrDDJi4fUR+BTxjsJy7nfLuhRLqVSbjspjsHEJBGE2ZuMEnH0vV/Lyp/Fm3lrf/7pv8 DUx/evPLUoMMc40HbxoZdfILt8LddYZvxy3ncEv24oVRu9hJi5xoVjXwTver7WWtGn7rltrkeNJY yWoCEdTO5MFfBNwDxwMwXOtVgyaOUfHnfHpmYa3ympWApuobtUP6sBrcE1BvT5L87ItDOyoT/+ws NHPDa/YbFk9S6nQWqCACKCHg91HKhhRAGV1AipIx87vDMOSs49QSgsCWdFzHkG4kCCAc5eJMvH3c 9eN77ASXtQU/Rtw/Bs1lcDJ9DYfCtgY0sAcp1hy5gg5mdKqfiNzHaQGRgMxHYFusHYF5AP5zPDr3 RTHy4uYgIecmmsN4UfBOAeMwoJ4PwvmW0Nx9UZtL/NQYIoRYi+ZHXQh3ZCgn+hBYLXzJ7X7Ox7Um yvZqD/a1/QgOun7QqZwF90Eb4LXCw3m/ZoPi2xIaElmtKqsM+J7CrPcvgkVAr6OhsyXcbryxg05h qWqQc0USvPLnY0x/1fD+6LCY1IZuVTd42Cy04Nrsbuth9gX3oSzGaG8j4lHLdgP2pzsQjG4n6Exy Evf31LCFtOjIjLcul1RT9t1mkRjhtBubWgOehtuvDRB7uD16xGTNbfeP9F+NFeVVOmX+/47rwRJ6 V6L0wS+BZN8bbJzcSn3xvSOaOgqu4ZmqUxoTn7VvWLJfo9lYbX9meQw/IUSnKOW8nRWvDfz8UmPi tCPCgieE150Xc778TlPpCF+HMjsXaaVdjEH0ED9Xp3ov9Mt7/yft5LdVh+3RIuh/uQLThLKwx85B nFptVlTRTl3sZQC+MP/6Us/lw1RlTuoliCUYxJjrfrP2hGZ4rfnT5RLjdn4bYgVTbqwCFPKhIfQQ AvUtyP2qXVcVGzvc5ns10f0c4fRStf+w/6rM8R5YXqAmLDWWZJg355/DcN1axcUJlXNE8Xa9nDlO Lqjo6FsDzEhrKkXueYaWHPulFHVyk62ElEa/VIFtQ1dhOrkfw0bVt50Ecf0PQPHctQ3+8YL/4sqg 6DdmREGp1Cdwls/d/4Sq0tfnyMs+GdkeXdqLlscaVfNGp1S1ynVeThLah+6ypntaXZoO7WFqvBmO KHWo4+V59JU8PPTXW4gpXis9iadOsqBcjGDuwjGt/rb2Cu7hpoHKg3MS1GzFsrRzSv+HRWzFxXmI heQGLy781hkcw+KjTf/Nbp5fVHRNV9wXGaWpeIedzWxjPE2Tb7iB3LXktrEzJA4tZ8bnkz1GCiAe isCGhCN/6TqVQBiAQ52lHrp/8A26YUq6Raoz1OlaOWe0Zre811X/aTwZAa2QWLmvHuafNdIpbyA0 L4BeC0T7fPjiabmHHSc9a+cMf4wEt54ksr41b3EMzaRIq5D71eCgCUOC3lQK8EM5R+P9rDTFC4sP jewe8F7ujpHChsDLJbtvjyJo+7rkvCiEfcK8iMoubsvu60yY3pzA0aKjeRYGbrgZ3b0idUCo89H+ vz3hmfHK4IPFuo7ROo1dbiAQSyG38su6+RYaNrxF0MAweEVfAKrtzIpkjLatYSFoa+Dq1W4b7Gne C4tLICHwRBxL4OC09IYegA68glipFplaGoFNihQWJ22DXfu08X3Bey1w/KvqToSdkOjwDivwye2N YtaHjMnyTBNRObLwXANWLn5o80vd4jr0LuorNehgtUNp3NreFe1enDmHZ6skgWGnI4ksKXribplB Sauv1cvUE31QRyhNjYrDLmpId0tdvb0Wrylik52ZyJfk0dFaGbdbZBBsrbwnQleapJ46Z8QWCAAf ZHFpUZfpx8YdNe5WnqN7Qmzu3mXmbEvH25+wkp9KiIZ2CAVwDyqRCpHonLOPXLZZ240ZWHrKa/lA EI3bfrdTk+QJhmKwQ+2jIRGHUCOrZEZm7LxVfo4HbDDYxO7EqpyyK86RYviiVDQ0LDiJB9X8zw1Z tRxUOpETx/k73GHxulN5cP33aj6XCld4XeZ66XbvaJSUYfTZ9mggbRBBXPv/3k/labx2uXnEfPdS JVOrda31qrnP/RhwmADxR2EnZHYt0bnbeW3ZPxvjo8caYZ2iZQcLRrPuPtKK+nG20CSPOoW9CFBU 04NZGMC8VZvNRAb202N4avtvoQASyF4ITeIgVetM+ugq2UHa5AWHUOMInPXxONokvIMXUtZ9bBTo Ok9xxeuFvVUXovbPzjrddWL5FLGbNHh8+dCQDHR7iUEJs+9wLZ9Wy6YasNEwbyCMAaL8iVsBZtsA jumKmQHlUyognDSbBQV60ZO/8BK392OC0nyNACj0P3tpsqV5eFVkhvHOA+oaZEdJ9PLgxmC7+Tua GL6UiZ0YV7QG6h89uuW9CahPnfBIvBSR+ijP8G/iEUP5ci9g0G1zqEL/5IvZFDsCMJgB8SgEUXm/ ZRvphHRlFi12fTSXOoMaY1RabqRdVSKMEoNeYekUYSBrAgaBx/4bucBPmyBG/5T0pZM+q0agTCwE E/+CTeepnzq9osTnMx9d5WLMSEAlbOikf/hldhTwi5zkwAKctNTQAi2UCCLzNnlgTTovdjUFFSUx ME/TOK1P2++mENntZHqWNlmUm4Nda8w6aJfSLW+SV/PNPUAHVsTgVfVXmbu0fxL/f8EGFPoqGuL7 dXcnUDFvqtvZRO103FLuMJJk2f29Hv6JeTnuDQ9irGsa9enVCmzyj+ItlclNLYzEmuHpaYU6Z2YB 52tNwA1KmE1yQwQoMvNhqegbttv1X1hn6zQDtft+uYI+kKw6ArbUjMbLJTi7hoMQww6a+A+ebnnB BybHNTxTkq/YHihZ9KdHeNFSJN8BXyDetaJCtJMdxm+gmSzxO+DTYljQY/mtu/bBKd6iHFsg0h0/ YmFA1/l9hs9EhDoIocOZPk9U76TyoiQoSEYEwxax09d1SseALNcUd5Q87xhUVswaq6UGwZheMTwS ke6cOZ7Z235/620rkR5Me3lwcMZz1KPNvFvT7G097501rGfY3L3PHKWnymiWjYFcrft3cz9yf48D 71FVmMg8EFuMr0FW430yboAgx9JG/YVdk9t5qcnBQSl7XgjYxZSs85w8+1ysz66U5ZmaQXY3STRs f5iui3zKVWT+/5abO2hyPEiF7scvCAegie+x/DtJR0M+F4H+4bCDX8NkgEpDuuG/1nIe9HglM80I JOJTfmBeaVUiiRx3FbF6PPyALjiA6/7GuryY2w7JatBaAN3NJUm8MTtEMwTEBYcbUo3KdVnM1Xyy lN+5aICXFRAMKZWy5OP1PBZnx5hnO9/GVdPAOahASJQ3Vim9wGEIlJ+ZJ8VXyFpQASu7riMlcR+q utlbvsSALQ8hwI1+JZ352BBFlbcx7KEjB9SMHmKo0dYYlGYnkyAs3ToKmWwpmsqmV4Omb0ekF0lX cpTWPiQhOfv2ypgmKU2r77j6bPcj9NQgr/RPabrKmFOHeDPKWkDoiMI4g1oNmGeIPQqE16QAHtMb MFO8UA0p1SZPDmo3NoQb4iQJClyRrPE4H4k1y1CKFpn5lfAfJ+Ubpsk5lvL9LdT2dsvLyTRKhRV/ QbAoav9CvN862stjIwxq63lBuZOtzps9my//RU1qpsMVUm/mGStK+NOa1zvsn5HuYFkQ+2KOas1F R5U37VAUg8chkkFtVPtORJnnYD55vJTXhT2x41ALMYL0mAg6Iw5F57zpwPi3H+LOYzZb4lVy2t4P +IkEAUnynRjqLQxnlIDKb4nxl3TGoSUmBB1HtGgeTSIzJ6/nHDyBazS/oLOgW7ShUJLnD0dFjZlZ K9n86+r2mrOhFEyRDcNLmDQk0QbHuyg0DSPhPJeu0jxyIPvt5vtNDx8HwK8TJ+T/cRWNmJLkxcIQ 0oMrF/b6YDQYiBXdj/FgfZMzRam4fU4E//32CAxlNMsdcF2zBi/e8EQccXqrYSX6D58GIeAbddnU VJ0iLE0vB9aD9F+hiidZilAAg4Rd042NODBzbGBxJZ1FgvDj2BHcSzNjgjxVAbAEYZuzmWwornsp jMOvU9WdZWcFwEhk2s98a6E3YXycWMJn+3YviyiLm0S6Ovr2+S0Hw84ahIocmu07CRdcyjRDjztP /nKqXkf8feWcBhgw+2A22YSdM0GGzOEzvIYvhcmxouZguFBQhbQbyVxYtuX8Po0owjHGrn98UwQA gUMHA3xBHDUX2yRo/MUPAkb5Ef6Eo+9sJA3aJb25dzsJtFhfqVm0J0qy+jCu4w+UALyl1VoluedS +mDlFu+o/Qq1By0k1gDuJwU/ReojZr+fJ4C53vXwbmk6ZEDR+3cqdTIy0CWxrFFBOwqs4bg4NI+G rwPytRvz341UXAMQ8Ow34YfTZK4VgYyOaC/LziJH0q6rgvTeVg+HyX/em8lqvS4qptyYg91mpyYJ 9wXO2LRQo0Eq0gr6r06fz48YsEsSqkBGSMThLpCTDujKzKh/8A6q9yOWQstW7Bi7kbANzOUJrK5I 4In7iu70QNzU7gM9FHRtyTLY9Z0VirlBxzDWAgxWOgM+Hk+5qef9gr6H0IraWLk3PluLLAqgXJdN EKZuP7M5Cp0aYewM1Z2ed9Fy68ffaHregMqUAG/PQmfxMzItGv4mVAMNaCOemFQEBZQRM2CyE96Y odk/FB0pg6JTx+LINZ9AdR2cFSPWXJ7Mi8MLBTGOqXfykVQnQLsRyW9L7BHw+cCAasxMADJDmTFe zfNCYQ+d4uG2AcEs4NbAf9eI0sQmzCUb3IC4/R4apfWk5Ofz2AUJ4zi6MbuDQ6VHntpDRLy31qiA z/vFUN4ku1QVaQCmMGO2A7SbdjtH/ueWqcmCS27G6aOF2ZpgZ+UgIM3m8D9AsvjO/QsdwK/x7a9L hHYIrlpEWYnIZoD5ngQJAHDcZk2BCS1gX6YGsJfm3IEqEBQW7Rc8PTnmk5RuwktEhcol/fl+Pai7 YEOsjKcmRpB8kOB1nuArkuXOcMu8ZHU84Sphgf8EJYqRwwBBCG28Gp2Xr/4wTb4ZKWHsi4J0DjuF XycEUSq/KHHlcUx5SEbG/K5JFIfZV8rOy90c4tijNA4dUN3Gcbj0aepYTviCEZivmgVKetaDM1rI 7hvaNzAEqLfhJM6zJXpd76GSSnBwuID6ikOrhurtK3GKeyT1Z4vh75QF/p1XMMcQwN0F1ueZz5As fp2dxli8rE+D2qNqvB8umCkSd6LU5ig8pw/l2YMoIoJhBsot53QsRVdYmc8HvMmRI/X1B882aJs0 v9VRCGN3urw/9qqDtCCBH3A8E44ia2YOr4QzHOUoM7YI7tzgbQGHkXHOtn4DRIsEVWbnDOw3pdPg r37OKiDPi9OmWkDCRoZoGK6zxQ0myJPIBJ0cO0I3P5/vZYofX8b5DW8a3pWnmdK5EI/VzJWHG3Wz F5FbcNUYRrpQFP2UhbBtbNbJJYklj8w7Ku3CxqqdQz6A4obzCPzFzXcnEACTdBJ7/8GiWQI1/2kd AwncWqHMJ/mq12uGJXp0w0Ht9j2JHF64wxzl6u0kZeKh796FCXM/PregqpAx9/ldMalnYOfbXAl4 Nw5PWBEVYe1wi/2u4ULdriPlJBvWq3kUum+dtJlambxSp2wwTU2bHY+vTebgyc5LhP5uf7jPq6KA yEiwfbVFqoE8JnQF1NFsVesYDOGk9X2bf0mB4CI1AXcksWJjxLPH8ZHc1a5glr7ahAGB5d3sYqUz O8ZNPT0a5V0zOzVS7Uxg9bSVMQDOEgRP78UX5xDSPOjmQSwgy4UQ1AaYqbAkSE3aOvjKc2xXNJLB nodBoR5pohxHvQ4iBWtD5bdy/y2tUvx7sZErMDWxZPIOMWxo/gEn+JyLCkOzwZAlgdwQpm3/rpC0 lYDH+o3K9rwgi/2q8fHRg4LVbDGoKQMdrw/kL1eIcBUtlS4EjKXH9U1kWKhA08EApqqWmzCamb8g +NvrFJQ77N+IQGOCygCu7nvmVBSOC6flsCVuOtID7Kdi8zbeCUIHQFVbVfK9NJaIbSDXv/JOV+H/ 3s6VI9Iqi3b65coKl46Mcdziir5Czja+qBnESNCKpN1AjNpmICE4qm6K6ZDdCc6GFOqj7jxRcwV6 zgKAJ1T/HYc2Sc0YQ4A709ZAzJHgrePcY38EGeD0vEoBoe4/yoT2WaTOMH0uRy6gJE42LfS9vD/3 ZcTzRfL+BAy/dgGH4ZigOti32y2TT6x+7oo7GLIs9g4ev8zZbshUApiDNFYEmQ830UDNcGpRvUmz i6Y8zfrRuBTfBgxjtwm29raCdMMHtfX2i3b8hSdvIlzTAZycpTTO7iOD3wuzHO1rHdS4c+YAyl8b 3isTMiyYJ+eqy45PcPGSzXrus18cQzyToF9lurXhaSBJYzvLT9p7CnN1QsWiNY73tKUNJ/rh/+Wa I7FnVWVtGGJqCLmq80EEPDy6uUDEF8YqxaWHBQQHuUPZWLWn5G1iyn3BaUq7rynTpXf8g8rrUmQE p330TwxSVKjnPp9CKUFf/eSDUK0s1dWUaMuHg0diflwE5gWla5v+gX7xum5LmVMqCi6n/+DQIvLG F+r+5s2a8UXo4PRfcQO0fp34FJzmzLQe1kJkgYeidfJRu8XtBiI8yk+MesL23R1jHHi/MThcVlvN tPl0n+ZzuO5YTPfOo8fwfsecnNfQnutL/FIe+mqT8z9IKV+Ph2QMZh8wfM+3SS4seiRyL2odpngv nWzdr/50ha/ctV+hpcnYEc67PzLyjTEVUXWV9hXxNUJLcKR8cBYF9N6tt5XQ8wTWu3qdXbCSaYV1 isdupsYYn0XtFkHo0WTqE4WjWds6FCpdDmFzpXF4CeXEDr3J8GkTQ6SQaxuHSNAmfo6SFoOt/+r0 XVwVLGYFqG6a2TD4j/Zr/a5/wV0OBfdvnjPfVzuB2tdchq0CN03n59V5oXK5knaA+sdJp02Y2okN s7ugRVlK+yEhRZCZTHxCC0tSfGHvQglFmdL+Cd7j6pS26dZen8Y2vANYT7P7pLN8WXs1ezyipKop OXV7xa3pBS+rY+1TnXTfCD+1SRPrTCfiFBBMKSjvuh+fC3ScKGtWlIKrr8eEFuXK7LgSBynX8I1s 0nfTua9izpQuPOSvuNkUCKwsNNDr/PRNsAMGkRV51V60n8C1nOOHMAwJK6kaZwNgEAoFUl7Rk81n h5v0QQmFODVe+DKACZ3HXHlpIG/KLBoC1snkAeskXUd8YbTr8LYbEXnO7uRkOEFk+KMPIEw7nMI5 wOjcK4OEFU51dCtokc5CUVLKTzm2ba7H2wY+4jcSDq1Gm0c+H+C58lpRcPj7TF7qd5xTIPRhEJI8 XmULlAUQLpEg9/5xlcCdAPkrF/sZOpt9yCUUQFdjrpIqgNz4hGO8kcm4/Hv4yodEPHLwS+1t81ma DfXHet1JtAbgc1qS7psG9kslYKMayWIUigsPkUyr2gCoYfwXLX+9eeesKW7gT5761A0OwuW9uJZ+ WlYXsiPAPRQiAko0S7I/91WLtWPXWPlQRNGUmBhYM9F3hVI0L0gdIFxHr7RrNY4oahl4OLl9TNwg vphQ8BOygIVyn6OFoIy7RUdpjhiDRzHhmOWhDM/VhJdJOvkWJqcUOQK70mdfWrXVeBhFdgf34D1Z TmIBQv7FHkRbtEvnW7SflRNhQ31t4zWm0+FKSXP9zgzdHm6i+Rw/1nR8aqgMNC5IK1uUwlpl1TrU P/HQ+Q4Z6A40N9ECTDFO3oNRvoto/rlg8CZfz9tFnVUJR56cGoy2PZn02yHMP2I2cn0UBIndQIWf mw72rzCEiZKfbb6z2ZhnanghnxCLCyPGLuDiZ8Xje+j84P9VNgN3fKeXW5AuynhF/L3CqJK8I3UA mPaW7x2JEIhmAFf63g2HF1ptB2eCaiH7SOXmQsIOVIROJqP3qVbeT45ana5+pU1V7H4omyeLGM93 RkSr1nhEgyt7dZC+iMlYLjRn1D50FiR+/YaSjvMogJmrooh8gx6bhIYljJvhFd45TiqFzepIwSh+ rSCU7VGOH+B9ryAeVnjCiMv/1eXLBfdD9/UZLc7eeOWKWhMt1R35EhtoPL+aiv6fO5R6rj+bEXWJ I6b55J6XU59QwfWFUw/UX8ER5uUtZxoB1ilH8zBvA4URKm7WsFQE3fiV850K5WEiQvqhm9May43g Mk8NtORT+08Rdw/9SbXayJG+j4XCcBCzV0Gav7fk9WqFR2p8CUbeI7SqjBaUt4oW4ax8ywmTo2Z7 Km2JlbTAGOgoffnNg7+Lp3B5UbnGfC9pubkeVBmGUEmLx6umxn9M8H3XtBfxp8gZQUAlCeBUMXM1 2w6i9j/48awL5E/xr0T/PjLN1o5s22NRKxYOpGzvtj4Qj9zwOPLfBehAoO9ujvik2KnvPqaDOaB9 zt5jmHAmito/jANsGctfuMas8fXw9e+zl13qWmQ3yS0/uH9s+hp1tITIgU2FD+OoegRo34DkDmSm gqZXTaUuc+58tu8AxQ70lO9Usbc4odnh+GlgiOjbO9psR0RX/psBOsIdt4FsDKnJKPk9BqDu0yyR r0rEB4LTuCeNAecoe+1UNe3/7EFaTaSttuU1t0WxGsUnyKYK3PYqGPzfIprEzE8rIMWNygIVKtrj V50QEHP798y0NXW3sVS0qt/940YtOptnmRcyYPOvbPxr1VfXTFRl26MkyIXNsBAQWoOskDxk3Fud fWQElVWEqvhBzrHhKmhloC1ldTbw3iIFXnAxkq9SbWUGhX4v4c+YBfOXZHTxscjD24kT6Es5wWdr vKEFd+kg8V7MfpcL704zKGPfpar3PZ6kE8KSKaj82QM3v8WS4grqcOv/VG4CHTkfChdP8i6JjVo5 dcotAXbCZTWAl6uk0WFJ3q69qKCF4fyy80C3YmGqx8XkJUEtkdYF+k2ZBjW5EIidOEuePKZxkQv6 ElRQwc2I+PC4bXBXGKXpCodDiMSYHr+iyLSotYgdhAyYeWhCb2WqzhlJstG5PkTsVk4vsshKdIBq ylWAv/udY3A4M8GGqSuvUcPPZNftpc1ILs/bJ53kqJKnncMS2IlvuZupQEPlQIyAkT1T76tTkHBX wn/iNUgY63vapVv+HiiTqTOQwd+jZYW+QCravQywYVg97WJzg2eV/iw1/q5GU6Kmgg2wLtvGGDmp 9ZxcpK3sZC0zNXYTTcLo309PTcqGYN3qdZG7eaR8CGWxl5PhZMglhuJG3hcVYu6AH1l+ABfoHXSs XABNc/q0unYRasArJqv9yiLDqTZrYuy+sYNLxjOIUKbuJ9WLXGl+kE3l9OGYLXn3omTmDv9g+G8H Pq9/XGtT8c9k/S5kMiN9fQBAg9AFWDS4/uLqjHUpkG8pl+erMOwzwYBZs/kTnUikMhBOgM5LOpgC k7eUfj3f7XGW4URr3ab45A7SiKPuRNdrmF6w1V/wbhOnAv2IHtdHrubc24NQKuW85Sb/8N7MuyPW /ZH5NDSH8gpF8S5HbBdEPBYe8Mit/aXrzNzPAlsVcGP8YlwOG4WKhCVnJg4a5JBMmySaaXEJ0zZ1 kS0aAtLevQtIhorIf6dcAAJ30b234Mv6QE21Ej4oluibSlHJDPBQa3iFDli5VFXol5QUQ2ruwY7S 27U+x0v1mRc37FgkwKCzm0D3YEjjHdjrJ8yHpKooKn1/1WioVamr33iSGk6ERipXymvx6emsf5dX FwzwjVbNLk3q27Xu9B1cPfS6jqKLVcZgkXWxc7hZwXaBMosJzb2DpXldKDt6UMfBg5xJHkafA/+f 0bJf0VUXHXXcBGC/9Bw1Gq3sw9ljI0W1atlI5NXnG3RVgKxK8zHdxSu2kyt2iMgs2P8DIQs/XLXa ekCEHsvt4YI+8wGTRcDMMFtbAHSN9UEAqrZDDxoZYqKvlpSWYJPAP6/TzZtgoq5Wm8Dj1Wl2V5xB og87JMKb6IZs5/YB2K8YWnT5E6fA3/otVGqJ0S+2s3OJBQ00UTaQyajusgkot/RpD3vzlBKU2Gye 9OVs51NznhdVA+mTa12YjHwFCVPe8jmLg0y3f8vokBKEJEmXniDnP7zva9KhBErPt0s/WXQpc7jD 7aUp/uAdzqurNJ63Abb79b9MMS2vpOPYN1zNNfAIBEHCcpjg41mwN7EZsIrR2Minu7jltZyliA00 uApSrbrvyXVvbkJBFYYNkCyrNWQs9NkZJtAQyUpG6DdhPJ6z0XE1xqSYpEcXgmw5KuoQ3WgA0jdv Q/1m1644NczqfxkVhYyLKvleDoJ30y+hIOlvzEC6hwT/VOTgw+KM7CeOJGETTIYgsUDpArZvg0s9 UsV0K80MXABiTPmIOOPH52M3Fnqn7iPV7Yk5b94NDzjwOpO9hZ+t8lbzIJnjIZ1DbIlrnHmFnPZ9 UuyCFVrH95C0bBJxHCRgoRgqN5UdDp248y2lrJPb0rrKQ6/7CO2FUZQdz5A87x1PAchGsANQc37j JgLIIbYgT+Lnr3h+hiVcZ021bpPZROQppK2PU0jTDTkgESHLk0lh0Vq5z+XzHg66yhld+fddwvmF OPwj406vkeye3+tnk8eA8gfLvbQGQvnxwyC0xEzRUqkucJ1kIGAPt0aj5C7vvShwz9AZIJErD/L6 ChgZjCLQck9eBVIua+EkiGfMgBLBbzVCFFMWWECb+csogn1H61w9VOWicqGHoU0N4wELyej4Po8A C82hHQmfS31CeRwWeKng1gVrQ6QyOnc8oLwjBu3VUtJ62mTULLvI/oonufRlQgrwPGrzLZ/u87sN s2in12UF/POylp+v+0bYOIz4SZSupNXO4YN7+fmykwqY+vsdv8NNrbybVwZxMpFqWxqD0wJ1x9MU OKf6JGtiGVNpW4ban1aFxq39PxbMqisq+gD1z+oQz/AC6K1Aq2Db5x2uW2MhPCCc2aSu3WFzVwO+ D3nv7Tk3sEnb9Aso6uR+bWJog1Pm0uvVIk8dvg4LD6M83nN8RXtfPRPyFW4ESpejKLQiGI9lVl0b 2CIRnXSGLTcf/VtbTiIgMvYPz5zJu/fSXbDtixQ101xpGi6VJFKUrOzb3vM3chAlXQoygurSiXCE bDjqa+KBmZKclOjeYozWx6bVl8+nj6W4pDPiVraL1tveelkpIFPW4K7Jf0+qkW+yNlCvRH2Rd77j 93aRv9yd6zgTh+QTEqTo1jS/LP5D3QPgRi1GRz+7ig548/jqC6cCgR5P24YooQDwXoMRra/wser5 sAf998RyxFQ6bDkIaRxu8RjIkHyQnYog4zItTYoC44Dy+vbMYMOBiTb5LqfvAU383mPCaqr41gI+ 90iFfi3pG3k5wsHxKPELRYrs1Jaz78n+4GMEstHotg/2Gwx4UqH8Wi0+HXxmeLd9KejwptA6BAZg VM76vq3r7MssP/pHOTf5yHowWdt/HqtjCoovDM/I+uNPh/TH0wlC6+dJ1Bc5suy1eemhtpKCZjbC 2O8daUpGBYh/PnPVX+pfBcbzVS27s3I8PZXAkLgdDoW4FOKDzVoJn5/MTfEDzIV6dlufSKDoIY1q W4wuAYK2Cifr+aB4RvCVMG0hOW1oKyrbkz7CBpC9RJLKvO78plP9ebo8RyGsRknTAr+CyUfr5J44 bfdKVoRfnNCWxlLlq2MV5MqkkJyaXH0o10QKtm4pNfugX4mus4XIJzk0PpedkiXQ39wS05XhJBQ9 uk3ENUpHe6y5CyXdOGPvNCP1L4isABrKPlha+C1NE5mg+ZBQTyQcEKAvYDpe6B4+HskLW4DeEyxi qjy+hCYMY9cl0yyJrEOsgvbZ6aLHdJhTO8Uu2SMQUB7D8AF9qxxsIe5dnLkL25rRPAPlrOUb1Ud4 Z0+u4rLJIhDvjOP96cWguBG/vLlIJWa8WvkL0YJKvH05HdObflWEkerwTcle5VwG1fUIF4GRFb5a 34bywiryZ0zogphWAmM3aekru1Pyc822XaJ4ey2dkadSUSVX21GEci68ped3OjiBsAe/KYJtavxq mOePUlQeclN0JmdCocvrHAmn+SRVuVfrdGDBGcWqK2rsijzwr0uByulsk56wIcaYfsI/H3hZejJ5 a9Yj/9yFQYxAE09ZXMM4jKkaD5bZWRCrkOTl+D2SlqyyYK9i2QX6yi13iB7KKUkwvzOetxVfMKta MdpWmVW4Pvn++mkl2XiESJAqWPPMTBKE8H3b/e392gg5zcAgiv+00xe1+4YCcNrYL/yqAO+aV16r og0cmzMQS0S/z7nTGnvPJxz3M2wIoWQ9hMDl2EwlCJ/Rsk2muj4AvhS9iNsOM4RoEvfTRbZBVSaJ wkwLPBrEHUmEjm4IwtwGwicZhHzcyWUqOGmp5mbWZUitiCLJfEhnwhe992RFQR7iLT/yiWaGI+N3 eBfVqpxsUGzHqn1wRml7raPv89Y7JXPjRLzf/BHeY/xpxdd475Y/clOOL3em3IccPGPcyJ3o2Ejf BsX3k+93M9TwvwOYfjePCaJbs5xmyf2RUU/bL2qQbcHcj5gktXLBN5aubMdkHQhce6GO5liCeQoF umwolsKCi4l19MB88VsUdmnUGrWIQYT9r5M+mCgISILmbVvio0kN4Ff8vNU1OE9oIdH6swd/XdHH NUsPpxetnW8XfEloTdSx1NWtjcMCrmsdq6+yme2X+yTn3Mx1vmgFqLE2qrO5B8BDx4IJVCipiZOi nn6BwpmEunZnUKFjD83QAWNtVUYfTTEonmwLGDg+hOoj8lV6s6wswpl8x6c1wJUk8ue3fZ3p7m99 yJVxU/O9iow8UkBc92eSep9uA2OSnpi+PMXnKM38E9y9vjyrHDcMIxXudMqVpv4r/nehIJlJcYyX oKylnTk3JzllShS3wxY6PRpiy9akMYyb4SEEHEg2mFZcPJdvgq9iXvGcjRDX+ipU3CcTASVU0LDI HfDNCvbr9VBuuW5U0ePfNDh5HQlyPDKfmnIXgilG70YD64XrYi7nmtaV1kplr+2eMMxuKMEXPXQN FUFiD3sB3od8g1MrlczOlRmyOYFvdPFkcTWKEIRftpaMbCHzdeGGMouwjEbBSYWk/IXuobBRKkAG LlNOXj39tHS/GJ6Tgv5BgnG7vm5s9jsQtOcVcxlg1HpgN//o+XEV1tcTuDTY4Q4iRdB0Nx+IZOEu E+WClUWCkDvMi5j6AIMDqKSlCQHSLcZe0+TKI5jVMPRsH+hLlOTbTiYf+T21bOXXIb1GGagROtCB CHmh5dCTJ+ye0Y+qTOFnHDyPzOVMxIrBwnd+q6yb+ZGCs6mGy+jHwsX1TfNY204EfZD9Gap7C1Sw ZSZgIH1rj1rxvkVCrQVJDwpKq1jedXF7J5rDl6SWVsqVwwilrg9XOyOVe9EOpMBdj1tjc6nLF8dO Lcls/NusmyKfdikht2Iq6PO6iMnj4gWRgNcRj08SksU5exS7I7eBVTc5MH8y8EIqxrA7GjEsDRe7 E6R9cObHYSNCVRVBjO9qvsxjaEtVcbBTxLN3/+1HWIum2actgEUxaEHxq5ZCdfhTvqSN/mrUFk1t Y5auBCgrCH9lSM7TShyHbTJBK9bWNZ6ch0GaLX4QyLgo/LEHcId+6oqCetduAEDebj/lanmwSPvN wgil0a0ifjEKxbFIhg/IdOi/GoEVlqukg3s/fOwTzuyQKhb9L0cQ32yt7QLMB9vGg5+XxC+Ztg2/ DnrDWzx64DwhZEmrBTfLGXkthwmvvaZgoSMlhrKEE5mZLN/t2QE0Uo04i2CEriKEgfohLYE61urD lUjAysNWTtA4/a9muEyeQibGYaE1IZ6YDnTuSsnmPxIdaqKmRygGXPvdsuddQyuBwGALnGwzbJJr jWh2XTM5Es4DQsNpN0UwqfO4Cs+fgRrKopONvNlnlsMqnxqOztD8+1LF19QTxo72pdz6GauSKEpI 3AmkryZPkkzG/bY7tHZFWZY/wx3i/GFyjiWZgrL2oN7q90cfd5DP5TY0pSL2cq9Opm34F92PJ39Z nVBY9jAfLq0ixAakZ/RO28wGrfygxD/1Lgzb+tUT8UPQXNI3P6kDjDyGqruTNOIGQDJ4ZOdr8YM9 ibw54j7xryWD4xUnkdUAme3cZEKyIIPX7TmkhPIUnVixn1skS7UT3oNyppoDa+x0rIBxBGhRjZrm vG/qgjcQy6KqQM0z6Ca3Lhjk9ZDaIHUp90O8afIsSDmKyfzwGWrQhUfHMou1DyMTtVBr382YNhIE Mop9pM2kjhtcQyuTkCCAWCdmkpXIMAn+qz+YneYH7nMyuFCdgO4HOLF7jRYa/+ZSbl+KLsEuVTZ8 gQeOG1zlG3pEZkUKSOzvc2OqMBNxXFA1IQPb2EnOHLifbKHnPZWSng4Giw4/2kTSL5fZoKLlDivL /YoesSXmDljq+0gRSeRXjW3aa2A+GiW+o/SMjqgl4Xs7qL2AdCQZ0zLbzceq7cn8LxoggdFR4tAu Dp8/S+z8Bw4b7rz8tWtKSVNRJ2liIvD0ddk6mUFf1D+0Y4EvhIKSBnUR5U3zRjXqGCBmh20x3VhW MXrv/dbbg2gUsFKKBJoMaiLij6Y1oeynkVCiw3IBOGaoYnTjs25HGi+24ZpHMe6EGR9dz/r/Fi7o MeC8d4GYm5ahqnOQvsSDB/rxwLwi5hVk49ME7SBUU+9FSkUGxXcH8v5RG5ehaUIDg/hsObN7fc1v Fo4Tuk5qhrRQQRcnbQIUXTA7ghzTCsUBTTFtxeZkwDIGTDaiO7us2lPbvYoY6qHV3qh0g7sSVZF8 4IGr/3sxB6B2bdAIn2wb052NLN0UVbNtPrPxv0TLGEnJsLBmvCgKUFOOl5+Ct1JOv6c5bA9bTNwL PeCoTjGzNbz2p99d8b37cn43P83Fnn15+7f1s+BVp8MezAiQzn0M9IiQU8Yqlj5JqkhjilkAhLBR GPIZZH7l1RogshwuEQmPspaAV9IJeVhSbipWA4VMpA+0FTyy5GhkSXlvhcaQBMsbSglCMjVFevfJ HdSRaApGJnwAOV7yYkhlvVCLYW9DsK5bO7ZxZZjXX8U4MD2s60R8H0bReKtL9ltyojOk3BPxNKVb o3P/cph0wQ9OftfkLr1BAkWnIBI51tjTaFVWMoIw+uN9n/WJrnoodvC2LDq3oa3VCCGYw2Nb4k6Z YwmRDNPUD/6qRjAC08rEyzyBSWZJyHTV8whBn1T3r/hO09yTwJdEih81rjHb5IlFYyNOrFXsxR2o +w+ujvlJrJP4RlIRBOFZ5oZPiFCna+5yeGdK8W9g0c23eB0jim8NsekS5mDaABGinB9BeyHkJl3r 5uNttYwyqt2+9cB1idDggnxyiA+iRKrIIYONkFDnzhqOMlJdvNQOtw1/iQjpNPyjdasDqrCTRxFE K/Ox6JaXxwH1amWNtSMZfhUMUUjiIg+yB1WYseQxZrtSWgu0aCjrmM4JTiGvkTr0dEoxKUtySnSm GGQokK/cvxXsj2gcjZ2ECWWczUog8uULLJld/XW22s2Qv3jlDGQq4qhOGNhgr4Wt/GHECcdzfJ1I 3UyE1nxcvo5MtOIT4aPm9xn3S5VOfVlZV1dXWcX99EIh/MhR4DGMahmzcNYC2blE39FCRTe2X19D 2IYIcnm0+vdGepG5wWY/XJFu0SLRJGpLlS1IOMv/PXwpH645hCmNa56N+N+L6P7c6Bqnv/pJap2r Q3XszzgKyEUHpYx1gUoLko8fkY3PWQB4c7JsTY/et+ZZ4lntr11Uwif2yvV0wgCS1PviwHW974NE F7g3EfZPxycXp6cwZHe+Lhe5hwHrBMf+NVJhs/RlfNmU4aCX1jL91xFrMKbJY0k5Tv9rAkJPMjsM izArvbLc2rwZs32Esvnt+r4Ng7ibpneKSC9RKaNYfbgovLK/+R/cELgHKheOZe8+cSWlQvlLRAtZ TqbgQAZKnT0e40I2HA9NPx9T9pX4tkAROtgUsejmEfv6H0oZTfJ1N85Cd/GitvqKRLd+jVGYysWo /zb/Lwdk6wjAhG3n/+84Vi1meQKyVBEvZG0zUeuEC5v7qYK/bEjOhX5INt4zbGBlnLqxqBcEuIUs bqp+1QMKnxoEXE9yrsXltbaqBTpLJEYiOqeuPW/MLNYqpnXn1c+2MishCN25EgJn6hU+CG8guOMH dN6i6jOEnjsRHnNKfSA6NtQLmeJyA0GBHYHRgrF2f4pucPKAEfDvINFfR3SAcqySHdsSQ01yBkXU JQbNROn6WfECDUSZmVPHYIyiodrStfsBaXgewWE6RO23DsHYQ9QN8433l9y0LFW1u8BuCyMGAwho fc9VZij8CyDmvEZNOnRTzFVhB5QvbVwKvO7oTr8zL9GnqY6MYJM3lUHEIfA87VlY9G8g9gsfHM1i xw1zeIunKXoTgimhjD6bQiedITmhRH+STMDIx1gbpo/XMme6kguCwzng3M/Vq68+LLdnmiIgnn1r s8+mglROpx3K08mS8iysv8Q7ZtemYtfcGZhw8ncpQkzCAEshpzvdrGN5OyztGifjqqMgxW72YCHA +rim2LP/hbwlFLXfNOU43MNQtrpJV5LxyieTcF0RrGy9qegnWXviR23w6B2YDxy9AknVIY0PKReB 7LlCH6Tdyt59X7MO5hC1PZu7mYNtfpH0X79NE6wbeivwaLUnzN9v393Own8dPnXrZ0vDQ2Cuz98e Kum4gDS5nGZOeRMiz0H4QEaHzOtuFsq8sEb89dzAty8AfO5jInDwm4/Ondx9VPZu8/OPKqQQTohw 56tpfgZ35PvuNrBOlkC5HrdjX6KPK1byAeBdbRW+jC7YBCrRrNKSQrlcIMUztdJlW44ZJtU5q9ah BH1lcipdOE5audcUqHwbjTrxOM4vLHH+0KH1h23ZGgESZ64ChAfhnKyRk99XOlfSLFsXk/J8fx6H B7i4b+05DNi7CUSsIu1ug9KGhYZ6JeHhY+0lCk9r2NwrnqDhzh3PlcQhwPuSN3N9aaVdubeZ6vwn liPpEC9Hr9OTTJ+RvgUczWSTUgHmDYGdXocwwzhh/wSmvFmsdNDwPBjQ8TtySN5zfZ8ke/HuV/gA H+Vz4N15QkJh9gTuq2uK0Au34B0FU/4fvrZKRWwpHyoav3QL02LS+/8E+ZQ822YzXCjcHMY3ofQg yB1l5s/lJ7MWvX+iUO329P16ipRHFk6bFKQ/YkA6sj5ayT7Oy0uBl5gmU30F4+R99Ob+toc9dWAs GuuuIfEK1Q6R1z9+j/I7Iu0/xzSa0xm64p85lpbQkEpAw6NKUnZ9ss9qPahZMMj8vBj7wSym2Xh5 SE2sFrn/Ngr0cuu/GyRNb5ZOXzStb0Ok06QFFVhnS/3pxATJhqfs+FcGFis5C4asopq8Mf0vnfmq lVXJqPXnKNFM4aMWGBqnsbwb4j4Acwl7dp69HtWbAYxr7ubIPzdDtERZv8lpWyCUyfpo/ghz7Ywg LiLaMDKt3Kw9gL+N41b6okGfjEdQ8LNJdgwyOtlotzBcINh+UmrXio4QdCMfanjUv1TsdZZmFjTX r0+yzlDD82RVcvnaBvoJyHRn4nwpza819X0GZYxphBiMALSvvW06X5bRZ4fO0ki+ND4rZqZdujLk PnUPPxtaM7RjDCQOqalxw7XE76a+5Gt2QQyzpzembxluaIIJKKY5vIQcK9MVKK9rxe/xmJ/PQ6F2 hG9ZAepbHMe9lov1pnQFvCPWokzMhhq8bhiPerJKxEh7pLCEyaWhZNTKNwhhNUC3myOskUYA0Tq1 0X5/4CBUNP48IiOfyHoBnHV+ziAC/AgHYLYrJAWKAu76cCz/dpe2YLP8WeOd1aIIRLxHgyDiu6pE /5Da8PgqG+yeK2KD+/O9YEntKpwzX7czxde+GAT2tCyRCALMd4KhicbXUVXWQw+OHzVsYTQKMoZ/ 2NoGX45wFqzfSnCK/jZBpoJoEvv74SEi6Vdm/mr6KUohsV88F13J4MEUzl7EpPlN8vQrVteAoXcz aK6Gnq7x4HF24+FFaEquIgBWJbIXtYLMdQVZ2FvLnHR8nm2LwmOmoFJZBEzRY83PRUtDzRonR/y9 tTcZ28Xq1JIPiM4aaCbC84TSwwflBxfHbVgVLoPav+ic6WXocYRiaAu8WlkaQ3HWmkjLxu/4p4MF WtHfeO9LsXPIvhgj0ZoJX6SFvprbz7MOFwHmlXvLxPuA7WXBBtX/SPp5mBVRz+WOT9hSFxCEwata rNsnFSTX58lwIVvcb8A1cvSv+n//fZzaq3aiZIsQXb+r7Fk0iQl9NSAYUZnDO9l3bPsdWmvm+TJJ MSlnFUDKXhpae64elZCoAaX55DWvUlIkuDBMAHJNs9nAZy4LWOA/BR+C8DapE81fSFuFn2Jrz5L8 Ibt+SDByVjAKWXhJuCMYXOjQh/C/QdCYe5xpj+1q5aFBkrEr88MGHeVe3y+hYEj5x+sQbKDZuRMt 26jP4pfKbNY/aWy2I7/+bVeeFEA5E9dltqk6E6jHBigjjrkjMxFrWhPhKNdWntxvbbcuMxXPu1A8 9Amzqh9KcF2aDyU21GX+Ozgoe+ei2mGitRxIt883eMsjaMhYF0NB+i1NU7infWGO75DDf8N1/tXY EtppDJXuAR1FRwQ7v6nsmsxrYdIn3HzG/7o+cjktnO3pXyVtvG/NIvaZq0zlb+W+0QcfetBk3VAV m+d51A/IzlIlXMvpox+CI4cFDDB6r9uO27nlhnqmJ+ChOlpLx5bbkx8yk1UTGHOfXDZGf/DcO5VG 2EK2ARFs9tWrgxWyB7BTEuzFsqQyW+M+v5G9QTPKGhminSaexwUK/InBQpvCjM7mrtCyqHKsgGIt E2Le1+9wx2Pe4SbiM1eliXysJNgjvhbRzVr3dxolb5v+dgcRKfIBV+YPJCP0l77Au7KV4FG3vYuh yxpL2hGHCmoOiCKcfnOYmImAA0brecZsqnxyUXmsazcJ2oMsAhsOrDe04SyucrbfNZJxn51TyWoV GsSyrg0e9NJn/nBIXmN8JKvEFDa7ezIV5HCPe666RpP0hZvZYFPpZIOmXJBOHnYRIBMV2Cnm54GQ 5e919K+v5P1r6XcTjdpksGoPN5kNJ8lJnqAkuKqieCfuVzh9AfJKxd3w4J1j/4hhD0AcIFAHVz71 dvTgWQzKB+wUBqJp0I5CznZsHqnir7RZhWCr9Ocd/+Y1tQVQV+x0Jxk32MeFzxavR6NxMN7v4aXu fvgM7BTLyfyGN4BsTe73tBg1OHHFtwm/eHiNT+Z1zGoBj30+K8WZtXBGlMIG1xpnOgK4Q/qJlEOa AIyNGeyEZjW+J4szQoBvX74dxVPp4Wa9YQ4bw/K2iHMbZyHUK3X2xoObXasXL1fgr1m788XH6374 BHbvc7RXZRQsUT93QIBP5TPsOB/8LcWghdD5bwKey+ISIenLUtsC/dr9mVG423hzIWae5USEiq0i 3/UIqvGYkPIntCrBiZQjiKoeOPShwIOD8cBouf2Q6f5nbX+371VS0Kim/kOADYnkCNj7RHM1Wg+d jMBFBg0t5rYH92PY7jmIJmUegzWZRPzmLBZ3k9/PKTY7GRm/3lDCPslbpqNoBltiAjCvelofnrBO TsaepYcbzjEAu6xO6gpKh9i2zb6zY2+6X8aqAtzemV6HwyghIbm7Ch0mBTbazchXBgmYxNSigbxx vlQgWsTWP99cMFdrCKzID/5DsCiXP2CauLILuButJRUT8bgBowHwVbLG+qH1JqRlTPZAcppqP0xN yN7gu+RvA5fbfcg6lWEDn5B+qVqEwkFz+hBy2taC0R4fqYLWYabAFCCjXqmy8Py/gm6ZRbYmPTjL inCuU6UgA40zfYlxSddemK4vCR8mxajRrvyxveyUridRQwtGn7cLRHCmuYIxWvi9p/QA89N21auB OpeKC7UXgDVQRRi3jjy8aYqH1+jB433FVVAPMfDQlp9KwxBVoq2ZSA9chuvl6b4kaYv24qfzPqdR W7CJ0hT7WE0kqtksez2ZhK29Mbgmg/6qWMrBUwTMlXioXJ2Eo/jK00b8eWcrm/fYYX/fRW2bD/O8 rrpr2/IbG3AsDEAlHTnWtsaW9ZfbaS5UFFN3uX2BMLoaTFAdSepmID6xMh8kC91t5u5ylwsf2EkC 9AHV96hE34O8spIfWv4Xz1EBirUGNA9lJo80ibiap5Am4lh/AB2PYVuh6c5xDNmwHPBQe/n5jnSy 5jMQwIsljjL962sd6HxGTaQb2gZLBtIb0YJEPp203QivrUPFmJDnv0S1MyogQeNvF9Aqg7hnbbi8 1eebNE0U+sZ+zOAkXIbTXW3kP9js/wfnq+5utcQrRgfPzJ86YyrcUviQh5PJ9u4Wty2QP+goOmIr nAW3SFfQUPCXIoDBAz7tnntNJQWaGePdkaBDF+pdUDLz1JUyomLnaM1jdIKszzmfnIO/SeN2XbwR fDJlpk2u3FMDugShYJ1NeGfn5B+Ec3CbaGmvtPG2EEj3Z9fxCB/SCSi2fLvjHZqkF14TIrhAwFjN e1/vDJlAMvb69qoXr3NEOBo7pdeE920b4BkkcrsNibW6JNfv5l29w1G1g6pxgEPPb+B2OLUYumBH JqH1OMIlKBcZMNj5Qvgiihlm0PyOC//dkYuHGmyikUle1fWXTkxZ3fs0gvc7E1pb8dO1BkFXMBMT p1WFLwvEwS9UADuh8Z7obK4GRrXulH5eXlXsoCxbAHj+h+SzG1+mrrzVKTqAE3xYIPbFvqEt5Dp3 rf/c2hQ9blSESIv036iSJQq6Kwiuv1Bu6TXNQbdgZGffezGOWDu8wv/4Ew7kTo06cV9D1kqvx4mP DBEe1yR5HX8Rb3p2Fz+U0awA4Ywzt99f1nLzKxeeFilJSb/z/2keiY7Vsd8qXN+XxSPtFEaHbk3Y +NF5YOvEEwcUE2KbbjpekiyFak7sC0Rb+iBTkV3ymMXk8ThptYVJTS1U6/sfvqO9u5ve3v3hz4Vm xXJOOWppe7sTVzfdYHTLJMl34E/yapc//2y1y/1MQIk7rp94NxbDh4ebt0bCnbZ8oNbuFC1fFohb WpV7Pw4OQIMe/+AQcbPaWM+UEsXesxuj4lOCfOuqQwHHD8l44fNtCylvsoxFdfqOuX1ElK5vsziC zWw3tjebBuHL8yXGvuaTE51RiBCUjXpA5hz5egzofgspEUr5kou86XslSABwW4dZoTnlsd2F/eQ7 E6gi9xz+o1hL2srrYLOfWOb8PIcJ9KEMsAHXSueEJPA88zvKbhLFEDwMsv+Y/r650m2LiycS2voy EEGY21hqrnl/zVkO8TsKGlZAmru9Zo7Aw9CGA49zFUffJY4mdYfe7dyTJWqc36qjqLxW3IiR5iTg R0XoIpSdi383XM66OFMOzMH3zFU7YLR3F6L1cSygrKCYf0PE8gAu7LfERXyJQ4JBDntghPe3bnRQ v/6R/mlkJG2VgfaKnMiHrH0pMWSvC8gmRwz3iKyO2FAFipPQygFefC1RQN7QhAdoV9ObdtUIY/ul XA741QbX45AhebXbuR5WxX1ZPnzVVtN8O0lDZ+BZsmcTpblu/dU0kyOpK9NefZvXcsBIUsiC9PKV RVVUsmBdl/oetp0BhDSJGW2JWAsc3DMYiz8COP6pKiHLWdqJUdPNVhordso9xy52rA8Ppva+YC4L R1YCQTC8gzQ7Y3GV2uycHBwCIr+xYDHtk6RAmEBvYYS71vlYp5PQqnRDcJ8V++lRy0slaICZhK5M vLvvGaXry7CKmppN7jULxMnlve9Ix86CEWhBTbSu5Y2v6fJGFnScChbnuUkjUYw3DeSYhv91AxIv 65Q5wYAVOJXHB8OelQUcg0QNTjOelmv7EK9O461kmBrXPc8xFj+8lWCRyPMIVCyX753mzSqdBIRi hZHEgH3ibkxOEH+ybGvDvA1q2btuuYPzwfj1NZlYFl3brWTTCAuehBcw9w4OpVkcm8OyDdvCai8W uyRoAEV+uZDzeKly8w6YlPCpG1SKj0LLH4Pb3ThKZ4fh3RqUaMHvaai4Veun52X1yJ/o/HTGCUip 90iczctSp1BW6ehxB1oQFjdZ9C4TLcHkQiWW1HopFeUSXdyZCB7I08GVcd/pNWXfhvAwDYXQlF4c KqEwlj0GRWd6f0/24C57WV9+JIRFM/40jjKwuQBGNzbPRAO+0ggJ1cLFnQLPuDBn2ilU3hAeDDp6 IGcJWMRRVkBBiVJc+GC/d868kAyh5xCmffufyKKZWhAS2ui00CSxXlrC2dIg0WgxxTv+dfOejJYV xDD48F92glmjudtvTAAne/nk3ATsw52/LBt/9KVgBc8bWRLmXzKNTIUQPT76TjcvjpkzfFCyJG6I OYCXeN8q1Ic7flIDt3HfNxrBmCjyxSDY8ivUmw5qbtT0dac+Lc4l0/T/OoLgr4zwQet34EDKYjHk K2BP/g9Qi8AwnsLWHAtxK5rACl05NjTPgH0MUvKJLcIy+PZ1anpfdK1h40JyMCiBuvwlCR5jXDC7 fwZ+pucDHwUioesfIpLYKHkkb+qm7tkwtGpQX7DI3V0eUeZZBYMqkd7UrvGX/o9mctvMX6XTrd5v afgHshHZR5KNZxLQqE8k7PshBqOWj4yby/AeH/sWCkCfL5jMloI5CO71Nhlwy/HaE1aF/HMZiIcz i2S6TKDcsT3vkB3AFFJxq5c/ZrMTSr2HM4LO8u0VsfCo+sh8HG1Z7HoYKSvUXe2Ym1Eir0gSHfHQ z25Lq5eJb//C0RR/00nQMd+9fMrip4n90iBDar+P8XET8GBtDkVyVFhsXqbPn3jyW+radRe7sLEK /lu2KL9V0Rzi+fIfPwMouTlc/UWoiESUO3pjzwXYyS6IQS1PpIhbHkkDhAd9EimPL5h6DQDgLl3m 32Hki0Qm5TjoJ0hOmrMtU3/kE3hNq8cKvRGeLa5DLG2A1w7WddBPBw386qQqu01SP0LJf6DjSlMF 15DHO/3eDzBQUt2ZfgZ470w4zG6LtctGnY3qaHmzhEuEy//yBgyG3Ap2A//GXoLCmsrb6qhjHc/p nkWT4R6IjfDl9B3UeNzHWDs9/fHjN3AYv59js1clW1ReSbkJ40w+4QvFymNKia9xOpXan7ftW8rt BXmHyuT8fEAWSQssshjQWgwWoRLdI84Np513F6HGvvRjtq/HH37CBpZsS+t9mJEJ0uMIR41BHNOR g3NmcMCCFtoDYWt6b/v5Rd1hZq6LyJd0jsBERxAvev5+KvWPfDqTjzw7yrNM4YfZ1k+z3aTc6xB8 2EBy95kROVh5qF5IoRKsRDe64H9ulS5dhGjaD17DJnzVB7yBE3FwSOet7nK4EQhfXMgCctZuJySt 0zEW6iMNxODMRua2bRjbMTKi3DEG7VkNl5m6VEneyRvPGA3wT5q27Po5pABuXnFIqB+s9/k1J3nb gDYqrGMAJ5PXI0dOWitifWbWITOSd0o/UmxrjCfL14rfq4cgSatGSgVlKBqYctp7wYPDm09n81dP 1Qu9cNMW7To47DsRTDMpzhX50YvErckHtfGcwwqDU8cQ0c4zPWEauHZz1oRFMgM9xK2tQXPnIiX8 KCWUt4TLVNgdaeCi3Z3pWAS9p2sopLfxLtaYxq9YJenGYAjs77g0K74DQRGX+1dEMOWgUXgwumsV sbfVEQkiw8h9gz8oazK3stkF+PQGWgeDFhcIMcdSGKtRywLluamy8jPLSS326ToI5ZxyxI4uWaG9 2+WxaCSr8IFn+oV9y3awwkxjMQXmKOopiUIx4Q7ZyHvC+IAxOjf7Wfd9ClCCuZCJ98ZK5h1i+wNj RhgV0mgk3ubvu4lcHtwDYaaF0lB/FMf7A6mqR17fIPdwWnUMa8ezB3xZQxReDh7opkDJIIlvzALw q8IgmAJEP0VDzyXDQjUnBu5R/I4AAnao4bvRszfsQ3SjwXkSMbFsCEit360HtZk3nNqvbWd4QAWl mV1c5J1hitM3Qf2YDjzd7CZrunCOzSZ4pJ6CI1Hquv1yg6VJiqccs2ubSMl/QFQcx6lULEqjqV/E /tt3wt6ryTdJrR3MBuuRcpBvS0JxVdYWWLfElrCghGSmi3KaIOrUNZbcEwhqEbq1lrFBHfl1tJKt kDyAO+WydToNudXu2maHuzYYWKRHJ3ak4HSYSqZ2DeU0uW6dnpRZU/CGhujrMJuFulnSseytlcar Q/GexHOCAR20ILtfkypJZEs3TxiWi6D+/54Hc5JP4lHjtFMKRcXDh9CO/XrdwGw3xcaz0GbTG1C3 e1n+xf5oYhA3dLiuDQRDtSshXv6hZo/7J+eUlGjnK00rcLlD0gt9TsUT9vWQg6xPbU8sYH/GSEvq 6gKn4RwvIqCHWoDB4YtVf0UG7MZQH/gzhGUdkRZFJP3+6OOg8xHiVJYiGtZprEI7Aq7P1MclcWmU E34vHoEc7GgC17MxMddIufHdKrBbpzn09V65IzdqMdC7+04/nbtD2Rz2G9y1UUfPeIcNG/byMY+5 u4SaqUSSvYahDe6XSR/5oDuBjGbCCkxlPuwxeYbUHT7tZbLHOg3AsnAGV7qu7uXFOFPPsLLdAqeD 79MJeTi9u1It8vODex4aLloY3HE777UWcjzmsXVSo6f/7dcREkGRan8CD6lkJqKz3Y7Od+aZfBHJ LkwMtvUMoBkG1fRqwMy1EOs+CxmBfXYuS9gQrgCdXSqGHK7fHHRXAn0eD4Hgk0JCeucV3uFRChJ3 im6GrAL1Z4l7vk6NCzou9Q78UlvRDEinXRcxBt1vi93uCmOa2n3f9MJbBJpMrGkIjohD0PrfioMK 8j2YJfBqSDgnPXOO3ua4ETvHWB7pVFNRkxM+JJ1gXCiMNiHW7bOxAy4a7KV6YIsYznA5of41q0ug +oo6zTr6rgh8OaBeYTcQlGdbyce+VnRctmyEByOepdsTc+ShwJ9k+5jLmRcL0Ze6uFi/UhO6yJ6R xdLF6QztrVyFIxWglaGZDfJ/fGBib/W7bEBlzwObmokixk99hY8jFgoHS9paNb75BQIIO5XbfEEt Xf/UG+2CZzYoa5g3/01J7vRpTqPOS8w95Rle6kKthv9oVtCVH6sBMCqw50a69KMtHUBMUSYIJXrM /XbhJqn/CEoml800jfEHM9Im9sb65+LVG213L+n+2kLH2U2xSGZROJNbTucYnmwFyeTPGfaO7hIj FraQijFiJlFse8U1shYnv+fI6KghVFO578jWMvUK3bFlgkKZwJwiJowZTyRNEeBEMIFJ7edYbPiO AE3UwIa/5rZii5qQ+zZl+OjJFAOl6Bu6WMekf4VhOEYmRq1OU6uoa4b41AlL++Pj9wCgJh0KASVO jrp9vDZFEC1rk9Ef1fv0FRzEIRXJ3n1FL0xcuXThwtnB5KRSp0IAJ/duT5/Vy7M9Mtezloql+o6w Wr6HKfA1kHfkQPUjCJn/AZ+AlV3z4NFvuVHqeBZhMrMO8mCMcaXyilohAjqxEMqq69nJXdq2oGpO 5KgNWZOKOz3aAz4Izfq7eby3FubUukTrGORc/oCCu/gZscW9byuAGh7Bctxm3IDLYNPn8qLMq+Kw Zr8SDGnJi4qUnXXp/lsu1BxOuewCB0dJFdSQGOEkTadghtzE7ZJma6oLN3FYbFnsi6puoCE6QrgX VOZCgLErSgjVXq/i7/IXLqP0jPL4X84DfSZShF2gmF0kLcjw62/SXCT6m2GlnOBYIs7+atbFZ3OS EXs1tNbBF66db11LPBFYuxkS0Lg9lLR65i7AmfhlgdrwJF+SgwMaHT81mqbQrM+HYa+3Lpzc0Ytm tC2XP9pmykwnqS3tr6MAnFXIY9AqZ41fEBGmk1piykNXOJNiqrDjMQ4Ud0wZVzHllgDXApGdPdPH Ls//kzw1/yrwkiFmnNL55B8jPE7BfOkdS3lQlPbG4/lmWjpBwgn45F0uFPlojebD305RNR5vlWxY lY5OdmqHIc5seEVLAd7TmnsvT5C/Blw5qu5BADpqpKizbh871cWvMrpUIi2VWavQdCUR844HLdRe htdGJIbt5JPaD+fs51opR7GbwYZICGaXYwii8Eb1SZvi3PUNwM3lWU5iMu8S6j8XqbtMoafbZiQ8 mqYs03jhFCB1vNVIa9cBERR/+DvDRdFN+EQx6ZsoAhzTOFcbV+AAvG4eI0jPJoBuXeVk+bZq+3Wn BfIV4nj1w8Lu2iXvQ9hL9E8VQ8+U3VJxpwRIpAiPukFW1bB1+wiwigkXA6kCJaSeuPgUy18N/YBi Y3/KfAaU7zeaFkSSNYgWKLBADoPgt//8/HzL1H47oiJmYenlKg1jGGxPs024qv/FzFRpzNQ4QBvO N+3jF5C26YgdC+5Od7m+WDWQpuQyO6c6IVaIavyKnJW+RkhSCxXVDvhQpUDVEAreiFqGizfECg6U EYrO5eGhwTeD/8b4b4rXbXof6HGdeS7GFN0gsLhM6EjApqCKH1j+kc4umMLZlxkUeaPTdQqTnwsd OZTRhdcJMMLXW3EcBdpM60Lo9AILgYhwIwoLgIa6WJeVdohMrZaZ8vrMeLkIYFVSKVbt+l41AXZf xEtfj81zxUgZWYx03z6yGNe/92c88Sa84S2lcgkY `protect end_protected
apache-2.0
13254917cab9fe21d12d3bdc3a7f2a33
0.945536
1.835563
false
false
false
false
zefie/hackrf
firmware/cpld/sgpio_if/top.vhd
1
4,811
-- -- Copyright 2012 Jared Boone -- Copyright 2013 Benjamin Vernoux -- -- This file is part of HackRF. -- -- This program is free software; you can redistribute it and/or modify -- it under the terms of the GNU General Public License as published by -- the Free Software Foundation; either version 2, or (at your option) -- any later version. -- -- This program is distributed in the hope that it will be useful, -- but WITHOUT ANY WARRANTY; without even the implied warranty of -- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the -- GNU General Public License for more details. -- -- You should have received a copy of the GNU General Public License -- along with this program; see the file COPYING. If not, write to -- the Free Software Foundation, Inc., 51 Franklin Street, -- Boston, MA 02110-1301, USA. library IEEE; use IEEE.STD_LOGIC_1164.ALL; use ieee.std_logic_unsigned.all; library UNISIM; use UNISIM.vcomponents.all; entity top is Port( HOST_DATA : inout std_logic_vector(7 downto 0); HOST_CAPTURE : out std_logic; HOST_DISABLE : in std_logic; HOST_DIRECTION : in std_logic; HOST_DECIM_SEL : in std_logic_vector(2 downto 0); DA : in std_logic_vector(7 downto 0); DD : out std_logic_vector(9 downto 0); CODEC_CLK : in std_logic; CODEC_X2_CLK : in std_logic ); end top; architecture Behavioral of top is signal codec_clk_i : std_logic; signal adc_data_i : std_logic_vector(7 downto 0); signal dac_data_o : std_logic_vector(9 downto 0); signal host_clk_i : std_logic; type transfer_direction is (from_adc, to_dac); signal transfer_direction_i : transfer_direction; signal host_data_enable_i : std_logic; signal host_data_capture_o : std_logic; signal data_from_host_i : std_logic_vector(7 downto 0); signal data_to_host_o : std_logic_vector(7 downto 0); signal decimate_count : std_logic_vector(2 downto 0) := "111"; signal decimate_sel_i : std_logic_vector(2 downto 0); signal decimate_en : std_logic; begin ------------------------------------------------ -- Codec interface adc_data_i <= DA(7 downto 0); DD(9 downto 0) <= dac_data_o; ------------------------------------------------ -- Clocks codec_clk_i <= CODEC_CLK; BUFG_host : BUFG port map ( O => host_clk_i, I => CODEC_X2_CLK ); ------------------------------------------------ -- SGPIO interface HOST_DATA <= data_to_host_o when transfer_direction_i = from_adc else (others => 'Z'); data_from_host_i <= HOST_DATA; HOST_CAPTURE <= host_data_capture_o; host_data_enable_i <= not HOST_DISABLE; transfer_direction_i <= to_dac when HOST_DIRECTION = '1' else from_adc; decimate_sel_i <= HOST_DECIM_SEL; ------------------------------------------------ decimate_en <= '1' when decimate_count = "111" else '0'; process(host_clk_i) begin if rising_edge(host_clk_i) then if codec_clk_i = '1' then if decimate_count = "111" or host_data_enable_i = '0' then decimate_count <= decimate_sel_i; else decimate_count <= decimate_count + 1; end if; end if; end if; end process; process(host_clk_i) begin if rising_edge(host_clk_i) then if codec_clk_i = '1' then -- I: non-inverted between MAX2837 and MAX5864 data_to_host_o <= adc_data_i xor X"80"; else -- Q: inverted between MAX2837 and MAX5864 data_to_host_o <= adc_data_i xor X"7f"; end if; end if; end process; process(host_clk_i) begin if rising_edge(host_clk_i) then if transfer_direction_i = to_dac then dac_data_o <= (data_from_host_i xor X"7f") & "11"; else dac_data_o <= (dac_data_o'high => '0', others => '1'); end if; end if; end process; process(host_clk_i) begin if rising_edge(host_clk_i) then if transfer_direction_i = to_dac then if codec_clk_i = '1' then host_data_capture_o <= host_data_enable_i; end if; else if codec_clk_i = '0' then host_data_capture_o <= host_data_enable_i and decimate_en; end if; end if; end if; end process; end Behavioral;
gpl-2.0
89f6d2c33f07e66a7a22395e247e51d8
0.533777
3.782233
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/asap-alap-random/ewf_random.vhd
1
3,164
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:50) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY ewf_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2: IN unsigned(0 TO 30); output1, output2, output3, output4, output5: OUT unsigned(0 TO 31)); END ewf_random_entity; ARCHITECTURE ewf_random_description OF ewf_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000"; SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 + 2; WHEN "00000010" => register3 := register2 + 4; WHEN "00000011" => register4 := register3 + 6; WHEN "00000100" => register4 := register1 + register4; WHEN "00000101" => register5 := register4 * 8; register6 := register4 * 10; WHEN "00000110" => register5 := register3 + register5; WHEN "00000111" => register4 := register4 + register5; register6 := register1 + register6; register3 := register3 + register5; WHEN "00001000" => register1 := register1 + register6; register3 := register3 * 12; WHEN "00001001" => register1 := register1 * 14; WHEN "00001010" => register1 := register1 + 16; register3 := register2 + register3; WHEN "00001011" => register7 := register6 + register1; register2 := register2 + register3; WHEN "00001100" => register7 := register7 + 18; register5 := register5 + register3; WHEN "00001101" => register8 := register7 * 20; output1 <= register6 + register4; WHEN "00001110" => register4 := register8 + 23; register6 := register1 + 25; register2 := register2 * 27; WHEN "00001111" => register6 := register6 * 29; output2 <= register7 + register4; register4 := register5 + 32; register2 := register2 + 34; WHEN "00010000" => output3 <= register3 + register2; output4 <= register1 + register6; register1 := register4 * 38; WHEN "00010001" => register1 := register1 + 40; WHEN "00010010" => output5 <= register4 + register1; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END ewf_random_description;
gpl-3.0
5dcc943964b1226bb9aea2e826f89826
0.678571
3.43913
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_nsga2.vhd
1
1,628
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:04:40) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_nsga2_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END hal_nsga2_entity; ARCHITECTURE hal_nsga2_description OF hal_nsga2_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 + 1; register2 := input2 * 2; WHEN "00000010" => output1 <= register2 + 3; register2 := input3 * 4; IF (register1 < 5) THEN output2 <= register1; ELSE output2 <= "0000000000000000000000000000101"; END IF; register1 := input4 * 6; WHEN "00000011" => register1 := register2 * register1; WHEN "00000100" => register1 := register1 - 8; register2 := input5 * 9; WHEN "00000101" => register2 := register2 * 11; WHEN "00000110" => output3 <= register1 - register2; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_nsga2_description;
gpl-3.0
059185caa203c96505db8cb7dd278adb
0.672604
3.10687
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/stream_cache.vhd
1
32,395
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block CqRISoHPXZYJj3QR4E/CjftWkY/P1IhtgyNWvgVekMt/RKOkzujhaciVHATStTHy3h+1Zm/164++ 4mcuvYhNOg== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block Qo8OgKp6wQUoudW5GoXZ/HeiCizNY96lqLhLwYY3BsmjnO9G5QlzIafDF46pZqy4gGxE7EWYsX5M 4/IXm3MOkDEaexJM8tIR5Ar1wwViMFTJQSSdHnEGjAsT0pQTTAaUWUWYy4aT7UeyHt8EScEHuQSj G8wICKdb+5yMoaJpnB0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block YQ9nhMBLYXor+kxP9zRGJrdDzZBZ2tWF2wV0oEH/P2yrxfmuEC9HXyrVyXOOYlm3rX80f+u2t6EE V2rKYV+hISugWXLZce4u/ogzq55CmoigNeWyvLvKMKqEn+caLpw4nTNciMcRPM5Gauo5B4LwvxlY L4ud6StR3nnEOSBiuhCUfOHbKDXzOFG36fgA2xOLYeI1dIoxVyY2Hjsr+8fJBM/ryOJ1yA6EPNvZ JLZ9pkTwp+FoJ18cWalT1+RtOkJWm7OwCFdfAypjbT4ISpohMl672e1mQV8VcTFHN2BBMCLgNKCN eOKikRzucLaWGg3agVyJ0GZNi3JTtmDRPpC5qg== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block zHj1+y/Mcs6E7y+zVOTKNFim0j0kVGEvdHiqWgD7VpbUIy+UFNwQkOF1o7+hB6DRi+6nSE2QNc6L S6eXHV11xUedX1NK/drQ/lfB7BwhB0RVKzRyGdime0WGILq1gzK9uZDquB0TuggWrb3zfS7JCXOI OTxYb0jo+V5SOyXatRs= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e+wRO+tCnUT8/kAsXUc5J4k2t//lFDte6/0B4JSn9qQvHbRV+RIEOwNQALHq6XEACA+w979KEMhr mqHXsNaC/LYDqA1woQ/yfqZYDN4+ykKf+WwXNEat5d8xUDIVVj1w3ynruhcUJxP3RX2C0FALIZWT Ve2E/Yq1GJCCa2WB9R0z2sJSjuIR3LHgdTn6v3ZDOrRsUzzMUIbcndZawV2st+lTQRwE27wpqVI8 zCJE3PqX607fkk1YIzwwkGG+EaWgl6Q3ftA/yV53UYXEnS1/t8sXXLqQau6eNJtiuwmQz+PU0aIc v0IWhmSvj0/kR2+z6Q9IGA5azfAXegWNMra4jQ== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22240) `protect data_block 6Oq2NHS0qZqPKk+yyC7INg4ikrI/gd2O8Oq6I4l2evyG4WDw/pIRXVM5oJLszhSU/yJvvcDvxTWV 7GMfzTtTbLGRF0sh+4pA62N/0if6jVpgnxE1v+bU/lpvHpD7Wp3F1UDkd4yh7TadDUzxG+B7oJiF b+vw4jR/c8m5Je69xSbHVGAET2bvTDwXzVbRqAVrxFJTnFrrubR8DtVLM5n7+I/fErax+WcEJv9C JvmNdqfO4DTGkSmtLDMnshsgA0y/wXrE2R24e5XqgFVd3VCnTkuNP0etnpjCZRSxodV6Cw3cnikk /5i5+o6jBS3mnGzb3IWdpTWeZSuboaywggzKcVayTuiBebe2kAzptJtAhJ4ViD9sxl4rUckNZb1P O8cw5w8L8ppPQRLgsvixLHrcnUSwdCurr3d0LjAfamruw0eBGVXm+nyxCmdXtWS9t+j8zDkpB/1w PPwj1et561xHjA/UKca1jp/ZFl9skZpIITlXzo6gIoPzQERu/iQ9T6LMqhJ/dLbormlkgFENdZ4Z VizKCa8P0i5qgDWsy/Fg04iKeV3SiUYHZTPNSkvSXPm5rIy6Kzx3NDF8P27Zx7rDVGxDheF7X6b/ J18qm+rHTI4/d86ULfL9wmBElBZl4BBZiNujCArZQ/tPKFaZvVhnLXygd5wHo5Ehqk6ocJSXu86P aQpyVCWsFsypelWE5n9oRDZrtqGZQIpqNPKLk5jtr6aEASwM3n0Zjgk2xBwPTqncuhFbevWLtQXj w+ov+/jXaF2ZZIFzJr4EtZAF7n2mYHHeWKYTxmiEu12v99JFOjK7eNjlZm673RmR19QCXxX+K+kV GbbSFocAQ/FEFEc1TLSVVUzc2uVPaZwKLRvfSrZ4J8RAVcZJXYJ1JcI34xwRME4fdPzc74Ranv2Z meJdOLfa4hpEyh5m1UX+Y49nVIZ90n82wq5Nl3n4vCKGchhupGqOycpyjmuPwnq8Vi8Kim3/C4Gb ZhzLdZz1Qbn+kdTP6guPdnN15dnrUhoy7/5G4Ks6aT2EneB8u9oX0jwjrF/VEZIg53ha/9878Cgd zoC2TDV/w3OEXHysF42hvyC3+jyV5MUHPh57HA1scdvPnH1jTuVzC0CzZ3iU5kHTUGMxSyVTXbpM 2iTbjuKrCQ9BjgtGeY2Lu+oQdKugSHan9aWgXDe0frqWZeW1ejpkgRWp7ATrSwt+jjPBV6tjIYEU TSJDSsxGKcVqEKX+qtZA0VLmO+dot1vi3ODCpnKBLcEoIPnwbwUYfwDAW/aQlUzuLTN/UfK4bS5G 0VMaHSj8cGfelWlxbB4C5FNfMnNFVyztSxzkUnIK9M7HboKWrYUXGKmj/rnxFs+EQPh1mFpDpsCk GxbfWPso5MdeiEJPVCyG6O7mcc8MexdvQ0lSvKIZpivJUzKdfisxUiFH/hnAKeLBiW59cvF8x92I 1UclpfZx04JNWI71yHxDXOSCPBo/asiU6BRjlvwyT4C+laF5za/GbSCwYKnOnoFmSSVVcG7+UCcp I5J851717fhVXbiYDokQztEzGr9LOP4wy6/E0aso55LwQ5Ysn1bOdA6CMR7PYVKsdKzLfJ9N1kZ1 qOVujqH3Kf/5uHFSA3pPM1CVAiL58NJqqZdNpmQcTv/l35XzVHt/qh/NKGRuigT63kZp/9JXNXON 8KIPj5BVIbPfz1gErmICx4MJ98JwYuXzDPHByBOgF6ee8pE7Z9wWveNGYUGfnInCesONFDMv/UtI AOBwfBPE7/syxXY/Q9jgA1xLPMsFUZuOPYznCDEUoPExBMiISqjlv0xFmBWHc4aUUrTSU5yIHSfM FdbOUb4AcRXiOntjhZEytpL1W4Q4BguYNg9jNzgP5tUC7SmbBla3X1tjA0oszoGAhXZsVMA3OlZG LV56QiAvpeP53PXzhDYIKQFhWsc8MOo1t1W/fcfzcws0zlloy910eIwVzAfkrP3cucOp3obwVH5y 3K5RjSckB3fKKUcRdRQG5cy0esJHX1yDa9EqqC/ngBKpY8lydEFdYGteHlCaqiLmbYGkZLWTMldQ LlvVcS7m3dPu0qzb3Nh/lmXih4AHXQHbs7Jrp33HEu1mzbDGfPTXAieaAcrs3edk1uL4npHWI2eY Q6QqpYo93H0THE1rQbGFkZS8hudnmuNphXG7s18bUo6E+1Sl3c8n4s7UefK3Hg3c1rNkf9QNYAuC k9DIuJ3+Fp9Hi8C84JTxMPzpA8Yue7mjXYN6FLpz1JD+amw8PlCjWWepNr9R53q4RbA5rbcZmNyJ cIUVI4lCifMbNYlUkf5bcSrbk3N37DPosvXNMO0+cWdREtmD847YUtFE3PCu9QDwZW+evIC76SM/ M3Y+wzJ8gvCzqlAUR39lVBWu4KLXZQuBBkbS8lEqd30QcS2t1GXhLLY9ciej/+J1EZ7M8NEYts9m wwVLISnvV7TiOEMZLsG3XCOx9FCKB7HIavbwI5npJgbA6zNS0M2u3rnqyxItFxq50xBH2ne064Fc ZhC/EJRAmpEdgG8tZJv+7ZteaHdzdrAgBAUoe1vYvYWLKqWJWLmrPeCk98g8RmY91aJDdK6FIXxj oFUmzeGSxyskLq2V0l49RWTr7rYlalyKzKbzYsnwoN/2FPUpf+gEvBCjsGUCP73KUcD7OUP8Fzd+ 93cnspWfhua/8o56xZKQRJraIyKowhQQ5ER3DgJvXcsgofv/7Kepe+OtrqgUmC8U/Q+riAJlMgPE +R6tvjF0+GBjMeUXNl1/V04Yq4rejUCuK6UCtBDCSfakV+u7AW98pNtqtHcA23BMWRp/kVDI8/dt NW8aekHVPOv1Z53IBiAgRDMuqI2xN8OMGX2RBwDbup9cSgBh3+xOFpbwy1aI7LeYE7NXCqUettFV ig4+JE16C36ZjyvMTUiB1aKO5puwIM0Qf4+5jrNp1oSZyljSAJ4a6R95AiXbhKWxaSa54jvqBF2i VultK9J+qQunfavQEsxfJs3rWcBI2RyFpau8u0Os8mq24qcaJPneya0/01jOAHI4TzSdK4lGqHqk vjRNq7cO553DWFf1hGAKW6+Rkn8ggaf+5uTfeU/zmGy28fcnqMCUobsWvaB076lRY9YDcF5Avtxa zkaM21PehSrKj0NvlxzJq5+lYnSWdxEgEXT9uAFJZf1v2IJXtLxQvOE71IBkUYDUxnZRu+dRyoSU sLKuSSbipmVN35Q5DqTsKs/+LYYZbk5tKnfwjZfcPk2qmlHKjulOjbvVIKwHaIdmTKxzyjIJXat0 qFciWeldr2cPnxmBWkFOI54XniF3IHJiDrGoE+IxMfAJZxfcKRCeJBYcik1pK3aU1DXd3YpHN2H9 EUuyypx7tARhloPqcbIeemIRI/ctYS33g4Z1nH6YKKOZ0Syk8AINeoPHK3X1/5JjV4LYQVxv17A4 /Oon4K4SS8NbEpGVSi+OQytVXJQfOW2fRXzZrEhErFjcNXp7pHNxyc9N9gSCaoLNBznVIAFIJCIt aAIzybCch/Wg+6SfpOGH/VqWYeKv9MTZu9YZfXPlSUqNz5OzltwtlTBfuAFG7+YYLvOXaNuVdfFo m0xEEpjfHcD2zMnwF+BJgWpVWm7x53Wg8AjUAZsz0bnze3kWo5QWIxs3y0/8lfTHiJ1L4Qx5uJE7 iEyHokC1CzmHMbYJHshpvkbdMMSU2saC9tgw8ewkL+pqf38l60fTrGfIB/cJFuMns64hhlGEtRvK s/cenwUz8OokFEigmGE0fdzMg0KX6i8raswJgJi1iBOldgss0ZS/oN1U1FKix5Q/pVS13+NtH7CR NoPIqkNhm1sRiN8JPdChEoSzcdbK9gOH/+h0min5seAx6sxaR1Wwnc8TRRn1GzCCFNZXT7vQSQYR /tBznOFbLmV87XM//u1WBNenPhMDsTBpGjpcVZ9Tnzyj1pMZneBnmD7DSjcabpuRaU2m/2hCjZjg jv0Y6EXddt9kYcUmz0ytpA8UdZ9FDkNbZzbGnNLxUfSXeIR049irUQ4GjgKXaIEapM9rKvPwBHVk Z5xFPuwG0SVTodTdAKoNqrYtrY21CvpwXsasXRcO8Thke2rBc1jLZ+ii6zg4/PhPqggOMlhgx5Ln A/+gaSi1Q6s1KXC9elFFLZNqU1LjfyMwwXadLfiiH4EIs+Ha2bd4nEh+pcYnupJbiwrusdfQWjrX 2elLF/Fah31pPntJXCqekobv7tUkNK6HADNf/YQdQ87CeubuxGmK6/gtm+RLoO/dZ3kANuu4icTZ 1fd9gEjG5pz+n+qxkaCtRQIKexFIgXw5lFFEZkJyzOw3423ftPBUZ0IFJ2SnVn7FeO0rcgEREs9X GSEaxXRrOwiRVEv5WHQGglkyvwmhUZJKO41Cmlubsur33WBWMHOZXvWJwbOhAWMxfaR/i0LlgXrj zjicpX81A0v+oughDtObHKgRoxHgSFMcbTdOsPJKAxKD5n8D3XwCEppF68nEkBpPpyokcIc1t40b Uuw8Te/h1oO7YbX3yRSamYYpTUaWfzKWLV4q2CUBBnZDALqzzAr6p3bqeDna0aiEt6/iE51mbsQc HiSUyJAdqPE5rNiZQbWPPzdy4EUeEbl3jeCNoIdz4LcU9mFhfX91jVEeSzi1AjRbud3/DFkhEFIb CU193tf2JPSkQtjV3LZ7xlug6PXWwBjgL3yJmThmBRAYz3Go0tVcIbAiXlEseVua44R9YUswDysl Ow2yOZEt7xU9+lpDlE78+M5Tk2PZDex9xWnQpbFM2q1iIy2+sgsvga/VWwwIPAGqYQkS6b8LviZb FAJfiDlQwAUGID6RMAGVsAcw1t9L/CYdpPUaQyHCo0at7vBT6zPUckjNlALP/BynRvfQ1qe/qkA+ OehjbBPtfyNzRpPrc7BKOkUQADVGdQwHIIQyOnws9EWz/07Q1xlTr06SBw/tHl9lBmZWLdZae2Ls nYK4dQNVYgkfmWV2DCSzQLwiXNU26zK01op/DXrU7ehaMsmLBfz6AiMZo5K4/5ZdrFo+juhtC5O5 io/PsTpuGURjbeECUZ7Houvz9yqrSdjukmbcbIHgoddrR25v1PkAGLqVaecLaIiaPOZ6/1yh5N+Q Mg/YJ9XbAygoxPohYRgu8xym8cDBbKmb0pxZ6+Pt5uo3X/lmrV0ikKVKFxYSfQ/5IPMpuCAp5Jx2 9kwxBO4WXjfYq6/IyoHNfgc0MncGN7QhAj6I6zC2dAgLbbGBsC4V1b7T5T1oAc8iBhT938vdv9qn RDP9oAHQKwJB6/yNykapItiDZSUcukGV4PJNMEEY0TheuoLxulwGdv6NmDbMRQ/EcSfkx90yY12V Y273aDQEQqNWMOSamhMPnXBZYCgjs3bRCDPbUbhAeLaXl6O7t6XuPV5QcfgBUAzfbeK3yWnyRcOb BIRO9fgFwVoagx7g58qGR3UZ+bUEhQZ+XJYq1nOU07STVT02OPIYDJADIoy1YO20uoPVlA/Qbp6p SnSpIWiji2lqO1us2FvceOzpIXMQovQzZ+sSYLoKZzytO2+8HOqZvAmczxNZ5AaXor0MQEeX3BV6 iZWy1ZGHqGtXy0DTa6f3E2zHdxpC6g9/qbxgL4XvsfKnNnQ06TUr2JR9lMPjMa2W7ZT7WYMr9EvM ARu3B0GdS9QVXS0suYpya+gZUybl+DYKPmDh1naAeXtGyBTtoa+dAFqvvUnn6uJpArMMDHF1WE8X 3JGqt+J888/QgpIG+W7Fl7nZfWQi2+ON3XyM4O5neZm9c2koKNUTIUpJrwH5Tr9SBRL/wxW0hLKJ B6M+5VUqZ2PAcJGrpGqQbK6rWHlvHFzQJ9lN5YnTCbihfBBYQ91aNEA+QwAGZs5RU+2e4J9GnGh/ sL3WyqUyBTdjxSODINRFCS+Zb43pbMqFU5Im9i0Ab02e+WcswssxQEItmPvHB47CBEGaeYJL3Nyj /pua+ORU4eyDfPZHS09gtj53Z+zIUH0Ht8HNPaZ+OMuzjaU3+ViW0pupGzW5xO2VF1740z+rcsla MAxYmrvOizJ1FdWYwptksm0YFG9v5S0QtPEFfwaBEZUNoyxkQ9ulxgRkNBUSb+flfS59rp8BKzwG 8kAb6rQhJH2ybDbD01fxB9uE88v6VorCzDGlv7qZ+kEYOzJ8b88HcVysrYBXsCheginvPVznm5h3 tlJ9nG15QIDNP9+9S9khzrspZ3k+MvORCq/+SyYAsh/LjI6OiYgDklKjosxSqmtq/4IiU8GdotGo OInmwLl47iLo6nrts0V95vCaeSj+y5Dbc5XeuvECwVb+GJODdzSRUN7q9eU1hcMeW54XyGah6mBG feyzdO4zksAaOcbMPiXwqulmai9LDqrlJJm/y88UhByUNKpGmI8e6+2VkR8aB2B5s2LnLs7Iu9o3 Fl5O3yPqtxWODExdgqjaFtEFDygZsblzt9WJHCk2F+hBKVZnmoCmIu8OOTaazc/5tak8Q1nfyIqb 9AITSpcmxpWRAeGhT6zQFi1RdFgR2idKjp/fhBJmtIoyjrjsJHz3B4j+Ip+LisYC/w480UI4/kM0 yEGD37SEPYmLzlk59qET39AeEP7hxHWQOLbJjNZ3jAERUJNc7yhS1oQ1Twv1N/fDO4enrf0BdsqY v/pK4MySiUdagJ9HqIQVX6Jz571iqkusUgMX8k7u5bPhgW2ZaT2fznGDwCt0Trb9Q8yCLSKyfmpr oLYA6WeNIcCvZx02undKdC8/5dEz8a3hEdfo+QjeFd37x2ytD4ODeSurXf+OX5mi/KdFDhpz94Eg LhonDWQhv9uNkssUhvcNtxmKiIe7MiuRQqA9I1jUUpO7h0+iEuObS0nigI/ApLQrMOnVaNkpXfmK 8rOGX07xoUi2mKLIGnhGAPVItr/0Jz3G9uhqFotOpnYjQb34YbCfMlQgUygFXr0uzIvhBzLysixd UeUDHzbba5McNZE6QSKStRqTJpdzM/Yu9xtumUxEzCT1c3uYe2WFRiNPEM/tio0DMaluD2J+aD9h IforGmf6zQKOHPTFd5KHSPN6nj53j4F1v+wtbSj+pTsG+mBJBHIe+Ug1yLcn4kFTdmOg/9Ee283L e8XcLeEIJG+yJhr+5wMLOgoKQ2Upshol68+3LEX7HRjW0Z4WRkPpTQCDg4u9v/Sz1YyA3Y5Yb1xy saGIcrLGiGb23ciU4QSodic8Jbpgq+NwemcOPuudNlhoIeYivzLYj0Q6tgR5QSwyPtixeciRXd3/ rRB4MuXdQAvuVdkTAR6MVUMa2GJrh3UtiiSfzbtkuLpetvwoO4ST0rx65624oGoa161WxxLvDNX1 Et0+RUv5aBott4hXpqXLaU01O53xHGFokP7mkpafTn6TQRV4hwCZNn9qBWBuT+EF8ZgE7SbbnZ4o TqNxbzJR1sYQOzEuTGTSGOih1o2zWiQP1ZFXz/IfSj+g3g7pGSKLMwsCjgw4BedO/UKNWXWDIati mSqiM6AK+cuTK+4RXJ+J9xFYVR1kngrQg3EgtpmgUuYUq5OwYEcD5JLL9NmbMP3SWBSaW0pXw2GF 4MMllM3nVfmFkYeGnYW25qnBustf3ajQivRLHSWhNJP8S6yS2r4rOghsMi3nT7p9oyavRBTMeS+k +cNnDOgZcewiyyaHfpVXujfySbwXLOWeLn9tAIA7koIm0Va4hM+Kp4Gt/fmUNjLNSj4H2peWP69I bPU3DYv1NtVglmt6YFSEc3x/AoawFXLhPKC913HHcaVdNfyziwmzGPL+5jjLKqxDHkP+G8RfkmUp FakaPIvcAgKhwv9ftCGZER6voaL2aevo76tRaLkjhwCYHCrUoFZBEe62FN8gMtkN1gPkNwuDrC6a NWXqQUwY6PVnCwqeBOep920MDxgqg8OH8Nk17r8eTnURmPP3A7Cynep33DIjROPY5ObBdnuRUJCV IDWt0S6uN/FFa7aNvwlf9ESGASw1PzFYHDXUrl9mewGO6Hy8qu2cs45P0r2ufaEH1NpGTKnh8HWN kWtcntdFD49trITUP7MJrzkf8/mq6AxFS0N+XgOQ9wKdD3J7ZbSw36XL76gXSSw2O2e4AlLQwx1L 9qXUxoUbxvle1DT9sQMNLWU+7/axr4wEAQw8iqE2gkgmoptOqPj2ZwpIkDJV2J7Ef9qtFCYrN4cm tlQGPVHSd/dFmyk0Jae7flTTDmEeTNXk+UFXZweqKjrI6nnU9uxeD+AoYiiH0RmyRt05+PKY6B/0 gramsu6/jnYMJZDiVihLsgCwu84ewAxn4BM12gHSreR3sCmjsX0KkmPHXG7HOXMniwe1vJV8B4SO ZDf22EAFittMsmC0ArMxzZKPJYFea2bHGNsaDknEoZ4aXhgOwGQGLkAhIepMzF+nSxNc65H5fqWz 1Iyt+fCRvWoqI+oC+Djq6ZOa2Du9abBHB8RGJ21vBqbKmIAatsmBR2ZBL7pVvFAfiCkZxA/GuVCW UvokFMUU5O3T3vSI9DJb27rUCpSLpCGf+PE3fMbcRRIAindG3TIf1kU0exL1k/zqyYv5hSpG6k38 2aq+bNTO2L9ZTi8JQoRHMaLBma6NqEyrzs6Xx7ZIF4tMYL3zbfduGmbGucLmOtZLG/WGwEyOtbOd fR5h/5QBU50+p6LrXbYtC1PAWS4pHB6N0/O0XR8ul0aGJTPx89IHI/A2+dFn1DpMTpMn6BX2HKAW dE1SQKmTRC4DdptV31nA0mp7nofYFfflUr+mcIsbDVGePebRTfA1ZcmBkr8QRx8F+SHtGRGVmbps XSsFyQKFGisILmHetxOXU3TPEOXBYGZLgh8uyk9oOnQkXKhH2/8mFKsvW4KPeUpXKpDYrhLsneYk tD+k5TBpvK2s7+J+PgRUY100YCYXLCFGm+4l6qUR0BzialRUizXVQTW7nSRvnpJrZf6k4AG4R3ud Pj63FNUe8NoCUsRDSgayuzWt7DodUVAhUknFYBxgbPRjOLet4BnV4fpKuHHDSzw+HM6DpatGGf8H 0yYGxUZFqn7Hf8U80cjaYLviCE1QJah8ZThZcXunn6hmsja6M1dmPeWMYjHRFGYjhgsN0U9BjAOY UMOsBREGlUelO/098J5AGQYMFGpAHcD6UUlwbJEOy77Imhd9CM3vyzOtLjdUUQpNXDDWWnvTBslk 3jqOz8yUqTMjlc5BGv5TAXf0h39eDHl15FmMRSS03z9N1VDt3LMM2zDLHdJvofVSyPO6R/8RmJ2U JJ5dUAhHdqzvmI1hlxBRncT6V/IrjX0snBq0Wov9MIOsrdBoJP93BDZpCIEVTBrTzXyXCAQAjSj3 1Pb6sWseXmiIi26+MZA40h12rsW93yFjOKj55GWnP3A5b5/Iqux1+ZIFjN2hJUXJawAwe+F8I+yN jOida1InoKhnMXvYA7hJsSBON4/HTVp3KwEKNRxCCCdGtVsADKzIHmr7n50By7DHKY/5Bm2Fal9l j/wmCFtF6aJ7bkbhSAjPrZwFCMPJ53BCXA5kOY+sp+BxheEk5xYRTOO/8QM3WiF3lMyBBCsX10Jd OSy8ctUQ2u4oGVARUPq5kDecSsxdmQKgb/mLx060coCbMfKDMKimpfSGtateXb8HkAyLBCpNevPO cWXeeF0owOmVyEduLl3hGQw87SMcHjgv63WHgq45zANtjAR1glsAv72Qd5Ww6gsUze4IpEYixEH6 UQUXetvPIeQKcpre1zdHzuiFTjUVN33Yr05oU/hmcX1IB+TMNy7poSt1K12uvOmag2mRoROMOgWo XtxtETQfmUYue/WOMI+MuHcPn6DhnbsetNSVYW0ZzrJFhviO0RuNCNMJhHPtFAIAR9Y3V18dXQqk +8IWZqcCCHHF9EBAmeAInmpz3AEE2Qs4iDmUPYcBZ+cFj4S7raY83tYRIBqU2UgO7LOoMAZ2rB3D kkqbYDbLq7lALuibk0qCHZOgqa9vu8GS6MH3mQSgR1Wm/HJJX8bdxW3oQLCTpJPsWXHU+q0Y9LUM ONwlDgC1KxT9bwZvkqRXrg8dP/N80I2xEcWaol79zTBmV1bGMQzRNCcCXYpWI64G3cCdxnefHqjp tu3nfiar2VJ/Ax/D+O4DWJXbxNb9PtqBwG2d7qzZedRyZeTd4PMIOtxkK9kwMFHPAwpIXjqtYv3N 7vLqD3wEUjm5NOyep/F/LmxOgMDv6xQzmWp/UqLVZ+2hq445zaTudsyCPkGT2/1Dxp+v3Fn+Wuaf HGc8Mp4+GtmcLi2Z/Y7/hESq0lfS7lLZwMS8ZY4qiN7qYUmVzmMgkzS+238bax7IqTFWAn+0hj8A PWUeJ6fukqB/HFV/UKUQLefBiMg3Bzzr+MATELJ11s1910jSv0Wk3jAs0zd1rl9XlKRALVcr22e+ p60rEeIwSyiiuV/BBbDo/w0IO78ZdAX/Kj4nRKeaPUd6ThVIicRy9SXlWUdYEIqC709Lgkvbp7A5 7wjd2WBFyhPgRpd7AMO1alSu+zAxn+aQvZLlNX1HgdrauzqZI3ztIjmlRhthyi75e1VWbJr0qcha I8gqOieX1SbLd/IEXyYkZtBT24OuNwI5Va3ihVKMv9dsHC5TS2hUb2U8PjDsLzoenyTu7PPVHexF LAo3QmtC2z0SLttacGdJpc6cMmka0wn1DKMv0fQ76izwIi7tou7nFOjxwctkEyRNeH3wWmh/NuL1 eWKWGWN+WtO3nzZdKDXAaW+BVVXYcmSSmcdCz8s5UxHzn7zmAL7gHd4ENlM30LHU/mLDeCFVhA+B SqsDLiqodwQIN81zSnG9s2WJ/54bxswz2cGZxnh54+SjRAlPqf+Mh1ZmTkl0a7tjGWfS/6Nslnn0 lhgPP20wu/YkVAVcQR+x163+tq6MzzGQOyBmmWAw+pYD24uVwLFuhMmej4dLHi0sLYNTI7tzVeg7 DBtUYulcDNiHm/ZjgLMgrfsvXWg0xRg6xoaTe3/hy/nfi/Jmudp63NxJnseb+UktrofniQ86TIJR v/h0X1BIsvsSO1vTzmVFHX9zlO9OZRSRhxShC3PNK6a+MZkZ8eftnMPBqbMKOdgBB6RQzKl0vwMG 4zinrrIJIL+lLmNkpp65ssMYM/QuC0dPRgeOXZxDIGNeVnqQ9PBHCxUoaRqI52itc8h+expYbngb tu54lGm0QOibt97D3O5HNlRcEsm9O4gixTSt4gFJdGTPPRpUJP6K6g9omQ8JUama6IPUL75yHDLJ CrFwe7jxApv5PsLoi1pUp9W1SXGVtyj1fFaPYgjFezN9KecBqfPbLt4pSNZ52BrvPlmb+cksYfu9 taChHlVmOrP8/09xV86cbbYehYTcqu1ED0y/5jNk42wVgzMmFnxasQpe/nKQ5WHI1qHSQR6Skhll WdJFw8me5imKDDVCDATQReDc1mAz2YT7RYN2DBiuFnsa+A5rScM3lK/zf5WE+AWhXiqppV//eDGc +57ywlI7QLcaxfjcs0o4skPVgalowfz1z8GB2NWrjTaWEgdBumVPA55sMU0iOEEvtEyABztvksne yIjt7LE2McY75v7ltTgJob0FEyVWuf4Dm44W+ix63P+pxQYdHdgkfRjwwXJi7IC5IaQgY65LcM/3 89/e9ubaa/IpFiwEfayVER0dZFRUv0bl15dCTSM4z53LiVJ3KHjU6AqNbQV1yvq8fxugcVoeSXuN pNqN344n3mVDCP3KGegBjxpypetnd4f5q3FyOTc0dIAbRDEG4hzED8LOYPOvtPdHGRd2EkRzWz9K Axj5QnV8aKXw7PGr7rhC/i3u9gqPp8DCbY2EsmNmT9ZEVaCHadq+nhfhrM2iuXFNLLBVPQ9uAoKe rkU7ybzoF0LsQ0p8hytJLgd5o1R3bsC0JvMRPo7QBFLAUKtmWeHZFVOIX2r4TmwEv7qhD9nAMgz2 Lglvm749hdQifcHH2dQTZRvn2eB55NJlExDtEReEyVLGv2Mx1JxAY+0/GMEfpAOAG3ji9o4g5UPk iaCTy6zUz8GyrIPMbc0Zez8qqYh9bsbpeN+CixtXteQHwK18Bk+EC95bEu+MWUvTOpQsjElGC8UY NFCglflgaeuvFtIbi4lLYOH2sNVUs/DjeGak5ipoDhnrbsIkJoP9MFf3NNPFAFW+Hx/d+neL2mrt NH31tGWGFceBEPv8/o1NuL0H9dtqbJlFYTTZTXnQQnf9xjcUZHhzxcbxHP5eL19NI1w1lrU27RlI 0/w5XtkRhnb3r7C3htYmk2vITYuLrjPBZ2E2vIjS6pzB6hTWeuNhI3uueqe3cVlYBw4RVn4XPR+f PJmmRNVLT4ugVuI4KSSGyZu3/lrUqli68gCh4mOCWFWNmLhLjksmftUKzSRBANgNVg9HNYYEe3zE 5fKO45jsGVL8VH5CmD6FXmnTbOrTfF46+ePDCYjTBof6Hc7nbLx/XbYzOtBjxI7RTTkoMUwh7RVz g12Sw+m2nAb2/KUxfwGCsBFJ0KKr3MSH8LW8UXS2rO+LAYKLW57Ri2HPQAVuoyNvVbg5Z1oVkjeG 2bdHNSyJ3fDs+Xpsp0eTdx/XzOsvvOAPSeA0/nIMKlgAVC3bPEWSmUDczlAuIrHPNid23W6MfX6F mhKDdvIwLwa7hx4oOY/uc8igBu8tgD803dut+A7XvUJO8yDyFVWHE6LDfeo3u5wc8Bitn+xDJeDt 1JV/mS+29+TamnMoiHVMIXUvAm86lBhb1cGKubJFwjlLaLillDsDEuZwAywXFMIIAZu2dL85/u24 BF+RXPLSHT4lu+YG/ZMs7co+0e2V+Ghz5f4oogpozsWvaSPFMGTX8g4ZagbllvMrQzF3OO/ii4/c XHcNHAMHQraIZ0ZmdvuK+IQTqssZyYtPNynWrIpoTSZvDqt+5RGqbDsoe2haAlU3zBP3/jzhNpdE Oa+JEOmX81JuyQwpUiSa7arYVQgPxJdXUz1RSJ9jKcFQYcdwAU7TifLlsh6mSk8rZW3U9uyZpAew Yy2lxj16EOIYYdtZLOL71iQY9rBCpgKfaRXb83LgasXizf5vEUV0EHioKTkTsV5GCaIu30o5AvPt oDkbTS2dbKMakAqrDTqCagqfPkMil8nWynT7gbuZoWVo6GYwshoGGaLaO9X6FcNJQUrpVBiNMZbn QLbv9XWJ8tSFjtvRLoXJOfdt5ZVwwb9j17Q8zWvmcpWNfg4MkCkBgYl4RIVJa1YuFncxkrLtR4F0 S+ika8NG/zdO7WkDm8huo6OkpTHZKWZsSJAx47dd7IZGyvUby/IuKs8/12xOBvKODQ35SiM3mZzi /KujLqygsN7uZmhBGLNg/K2+xAebuMY0a+pLNr0yVfpUY5RvhepZNN/fQmvK8B2FcE92etkKhXHN 0iW99YGHJQ7yPhC/9EwD23mCxCGiyf5fUmqQrtxZbv/ZKqz2XLj8bVaUcHn8N/BHSSzyUlOddyhd 7wX/PdqW2/nxuBtKtwiBkhrOzvWudSdPgbggpe58jBjpxfni3jYEGFCyjQF+o3+53sBjIcvn3l28 iZ/JKqBPpIUZzdqjMImLqe+Djgva1Ozw0mHLDQDF4v1GL7wAEF5xDzJ5Nu/6K7ohPltziabx6MfC 0a/gRP7sfBoq8YFr+jnLl9a28wJxpuUN366MVHnEhnRMadqxDTglNhY0GvLSCSL/EXjsHZGP4S1p JSJHuNXlufhfnfv4cksiM5x2PQtz0Un0hKW3usKzUEY3CpJeU71K+UhhArfKexxMUMsVfMZn7/+i MjlcVCgqw256aGN2mHdYl8XwyTeXf1uV+Au5mPD7c9z+m66GGan+k5lVRMAWtT6jLqBSdQfOvjm/ TJdFSzx6W/vuafIDg09GLK4dJ30huPqv0UeFo70H8inA4yCjarDLfUzBAfpyhK+jCRg1r7BPUpDk CiQWfe496NtHN0nN/dxKKuBPgC9pzCazd3Au/77D+R87x8y5sv6CZ2rtNsSaB/Q20xlLGeqLAs5c uwhH3zV/A3AOUJtLj8L/O5nPMyFNUPmGywFxkfhAyPX/yi7U0OUmp/QM1OgCBinGwpKwHXTwUaHV 1TBuKUvVs03pP/HuefN4OzDMGy5GB5INvKB/4UuGGqnhHcZ6b4M0YgzHRICK9svPesJQyxt0RhKF YvOIDA460FvP1Hj5I6PazPwLWXTYt1mmW7nIaohpiMptSsY2xjf/b1Ep370W0UkrLmmm5ChTXWaT 31wNxh7rqQl8zYAFLhkQVzrAAzMVPaP/OJXsnSDnvJD8tzjje2Cg+MRyeRkMtmEHV43mvgEY83Z8 EmZTqqW8MHYfBdie4QqYD+4IMIhR0AvZRtItCUlQeKJO7Lgg/93qkbEQ5yd1S6wvYLnbWFzRK69A CG0U/2t6R2hNfQn7NPkKYuYWFX3gm9hH1s+BMqpRsCvbb4CQoyT8nif69n+TXm64YAySDoC8L/Kk hsY65RnO6Gx8PA/pLYA6IYdKfX9a19pZwglUO0PT+aLFtv7u6dfXC/JaxIHqRAHeO3DwwyRMPIFX 12RdADSIYqGS019MpXplfnIuEQdiN+5mVLq5aPPEoHIhj19Bm/a1E569235AIq9fTaR65IDd6FrJ 8mIxf4oUCM59UhAIfBdwf+Q84FaDwIjhPF62AwsJNg2g7jF6LkSmhBXNahyk/w9RUi2xJQ/IWP+l rusdKfxKH3aO9fQSjBtnMbfhFNphIiOWmFQh/nt3sKxXd/v3Y3Tx0IZ4gpS1wCgqAehYIdf64xby 3ibj+VbubmoYchVk4S/hwAekHyQVBP/ira+UsE9IMunYhFQX1NCtlONEHyRLoAup8QDiWfNSZ/hm YQ/B17GBEnZwnXrySn4h3/5MB9e06vMFf5NadorqRfc+yXO+hb8PPi+D0n+fieW4SneyLHHvmys8 z/irYY7McUAhVv7bT2lQNrXbX3ok8DBf/13GXw74oMMpcZYooMeTLQ1n1VT8K6Lq5gbGz9CyLmaU NhB/YZynDeUklPYtk683ZjuGBIOZCqrtat9HNeUSxX0UVAyYsqO14RT2Fw5hIXmOwSUwaTYXvuwf YkNoY5Fp27XYMUsVcDjhweUe/wp/2FvKjN9CXRdybzNr27BM/exfmmy56Bv1c6Z3fgmcGD5wnsvy H07V0K0IOWoK32+ldqI+dQbw6P0GyahPpr5AlWGF9vn91yE0pC2XiqLbrD7UATi5U0drCUC9lA42 uYc1HnUopDee1NEO3gG0Cn14nJo929P3cTaHhCOa8AxvrpOevznsS2yeXqj3oEf9p+mqZIPaHVIB Db+KWLtlg5RaLI8gw76220Je2zhnWcY0LJO5DIJGRfEW2WY3ckcQQrdlE6uwqBlvZwkT3XwuGp1u yc7CzZc1q6BapWV0g0NgwIEwcxfG3/OKR6NJa2F41YKzbUOSwcJkrZkaCJ7IyUAU01MoYBr9P1JF GyxfeJq64/aqL4W8534cZ4TgFYI5Lz6+3ZP3LZLRf2m65EkSmr4C2aXqQ00RwLK3/ZZ97621z1g8 5A15xr582QJyOGAwfdy+jGEq+jDtltej8Chmo/eUNhB+MMf+R+Y4EveAw5d9vFgFNtYYMZZfCvk5 tMUlwQM/IHobQU56My2i8FlPmuyKev9wzC65lSx1ozx2P5AVHsk8JZevVXqKvUcp7fFH8b1IQ5U6 O0Ik7tqbrqbRpk+8oDcFbf6qPk5uclszgVS2DTi3/Dvneg/LucLlLi4GDNE3f/LSyYjOAnd9ZRn5 ffxGwd8R2Ov/MtbXoWw+sCMgN11UdRnsxpQx2xEp5uGtKqjNb6HxKh2Ol7wv5w3QhRVvixjqnlp6 I+0MLeKzcx/OqtaBL6ALWKgvHUJX38ql6nBpk8IbW5qSaRJ1NYN35/8LDxbW2hjlTpsgeqdWI6yN psbnvUiMenA3bvc1ujyL51IR9Q8dtu4/+Fv9X6/9mNJJzxp7akvlg77F1SZv1coKh7CBXbCh2NtC JFq483fW258u7flbz0UEuTIN/pJnWl+nwLohc+Pe6o2gVPk1lspeyjf/oVKKpskEVsonWT8nml1w uxkY+17Au+1Fu7A3l3uAzlZHTtBOytESasHGasbWj5ZNE7SDn349Dqa1QsZi00GXMMFQ95LuZZbg sG7MI7p5USsKFXsr/xVKHII7DuNy019/YaukToypIginQK/sXjsqcfE53c/b7qYjoMPF+bSuyd3g 0AoDur9Oxii8BQS6pXMRMf7iwrSetZ6iShYz4rFFbW7NshkBj16UvjNTdtuqTDWEHoiyuw9Nir2V SKqR2DMSsLWWWqliy0T/fT8YIUaz1pfJp8zWT6YTuBghz+3J1QNHkUQxySUgtmFHmSmSrivME71w Ty1IHR/MN7gqmnvFNlvmo4j+MWBbyHMq9//IG0bHkJfjgJ0ev1RWjK0zTaEhh2V4+Fxb7UqHHbyn VsMV8QEgYYjx6N/uRGxgl1KC0ptprz7s06XyyTjioju2ChjasSyH+SjV32gBxRXWIvTgTmMTUML2 wVoAABzX0CYKIkTAW79hF00j3O0SXkJg1Y9J6b5JU9d/98jCsjR5Y2VX4wqUCvYuNFv5oBnH9Gnx JO7aTBmkSXDzIHw4E8SSvSRWNa8z+x4PMFD0qt16etJcFwsBX1YdySRwD50PGNcA4ao9eoybb5o4 G/DjHS5caEtxbKOYqd+9GHDG7OQxIAiEGLA320fM8BmhKbx3mPEJaoZUcwQRVs7+xn4EeBwzGZOT krgXUpK8SQJ2eldaTsJSdFrs9Mzy/JLlOsXVsQlpE8aWR/bi+VB++DqmUY0i0TW2jS50mWe7BYfJ 17/DjbxBLozg2l8FYT+K/SyN6KPcByQ280q4m0F8cx7RF8epYMFAir2qVJ1k2qbwdX40WXx8tyym hUY1SiY7MsnYAINdg/xvU4uMW2Z3gYL2mhwYB35+kntg5qq0KRjQK2ph4mxVdTKuDhIyulF1qgjd 88YbMkeUy5pQvUqeJQ5Qfs02DDr9abQvpZkONbA063pP/pmmyhGPnt+PIkSthFOJSOsiXCzaZCvy dz410sYezJnreKmvk40EJuqj5yKYoBKJLREuNrTLpfGnOofkDjfg8MML3ucrIa0d9toRtdnpLmI1 er4Hros8eJUbthUW6VLCTJicI7lW4y/fPbmG5PEAViM9KkmYRcrY0gwjUk9rLE/p4hC+WaBzR2Mz w4mziRomb1txkUHCu62Mv8gzWYXOd0tvxolRVI8n1AyXBE9XN6TdkVeaRbZ8fiRSJXqcmPo+qbB1 GYoLBFgrmO7Uo3THJaEpC3gVHXYlK6Qi0S2pAgt8codvqUxZb8cKBTnwUMOs56N/E8D4l7WclRnq fFG0JUA0EsDWKTMKTg2n3ROxP0J+EsmxfjagZcDjNcKYgtMOcP5W5hXKRn2jJIvNRmu8GX9xwTRE bZFGsPaj1h8Vf95uyEThTnj5HhsHosp7W9yCVL80Nqj+wS1l90HIcRUd67jt75ojTg2tqUe9V7fh f1ATFa5P4ZKga0+M6DavkaRRRl2HfUCuwldjo/p5X0uXdu2XuJMAqLOCsC70AHn7PYMfLf/GcfhT CnkUhL+r2Ov+tqegMl0FnWp6bKzvxXJDbz43MkKojGkl7Pp4STpC7UTejj7Gl2T0qW6NrArg8G9b Bn+TFDdzSix6scEVzydCdAjmgQJJeW+oZtl+dV2BOQhHjc/GnkPJ29hVyAyT3IPdT6hV5L5y/Ab1 triCeAZAOBl8lfJFbOeAirOLlWOnIM43YvR5wQQUpJHwNHFFhX3tQplkqdQVngQvVfpMhU950fgi anE2NdWwvMz/E+3CNNTy2tX+8AGrM1HkUTCehOdZv5KfkwbgzYYmcRA27Cl4KQwSbXOpeJbLrEBo 3spa9qu9NRI527Fth1XG1okm9x1hyqSU2XIsm48QUy8HGN2cjXwMpG+XFf9+pC0cf8N287Y+4eCw x900s7nqjqzlVLmhNaOxLYimlpZj6l5/mmpgF1erPFxZ3MnF4pmHHY8gzCVVllz0GubIIc3wsTfG nNkR14fUD3F25Z+1SFRtB3G251BjBgwnFrjIOT+MU926kLHKsdtMfQ1uGvIG7IJQZ5FompDlOB33 bnWO6+cTCvjwFSobRBcJKtg95uJZw8vDtNLvwEyLkywigauh/LXSlCGG6+g3XWk4GjmaQ4SpeHN7 9iYDKPwycxVtkFaYA5csZ3ICqf3axLJfmbjTwOblipGK0ZdB3CSY+cEUjo44u5YxaF+YXEIY0FJw lQEYvGAUecoVLyFFybb3ILLuxLmm4pNUWcIBPcT+HiGHCJFIcS9CJk35HVTBj0rT3HVc9ywFqwqx ISTjhAtNliSNRhwhgOo7unRiiWDsFm9Qv8e0AsKiNrOP/rPA+BE11hx686q3fHvdvGMsW3hgz3jW 8QIoCk2APXPNNrrEGcPzUQ9UknfjBt/Ok1ykGMvyQ/1luyTyd4rS7GTN2Z+ztGXDhuIYKNj1ucaP rHbQAWO45TgU3uUpOc3uu9hk7hOkwsyLDQNESHSZ+0Icpi8y6svbb6N3j27JsVd+3bK46wpq2K/M 8FUNcmUogxouEkpFsrfYANA450egmsE0T0vm7iqW1J6qVm8jYqA/xzC8wVOW5bMCXjLr5vsYTHQQ 8G+GTbcbd3EETP9th3DoJybBeHjErb6L7LmF9KQMrNhhSER6PRqmNY9mVdm3wSCabxgPbCG948om 5YSWv7Jpvunv79/x2Rmst+119egz0qxQDIZfTLdnL3ZAxnIwIl4muIj+7N5nwQZeGiO7HuDBwj6i Hqg4j5qo+E4GZpy/rVwOTpHb6yEwqxkP9M5NzodvQKw+Fiz41BFbe4xg30y4fzpDIZOzDCK9Lxv0 MwJTMjwSNl7IksaeYImu9p/U9t94l3Fp8zwbkpT+m0/gtDv9mhIY5N4ISKIikZICMQT1PhhwuWlk lGVQj/Ns6StBbJ1M/FjwGg7cW8HzI5ti2vTBV7czdHV5bt2puhKK224XyPs2UCeRsb2+bP90Qllc keDnqKTUHbXaGUv7m47W936rV3IW6yeAn4AiVG01SEeYpQam7vPO/TYc18p/9jNaxRZdBCNqiybZ ZwIYv9EfIJocu2J3rC0wcq0sGvuCdSg2G9S8Xb1Fb+/l5t6UviEmPZNnu+CHg9L2sYLBgq5OC7PK YfCe3lkHWmmLr6miKx4i5OUj07g3idvadz8Ehr2XM/Sj2LLzoZH3BvCLj3OBvwI0+vxBWXp7XTQq Dj4ZZHt0Z46ZLuNsvils066ne4t1t0DdVSeQIM+6TIGiV0Vaf/kWBOeZ/rE5FMNLq7g1mjeieMRT wxWrugfjCTEl50YObtwmTsKX3fiwM6xmsrBvjsWwbL1kQ6TfFEfpiYWQUMH/oLBZNWpTWcETZJSi lR6s10W6Y2H79ZXdRs5b2XNQBYHYteZf0RB5v4k0AwZmW1xx20p+ULDKSTA76JtVUWjSWIPjGK1Q oHH+jYhumAorwcGxKNTZjEgh5qJrFnZRODw7MWSu1FHgllH3w0F1850Hi+W4imjmrnuD7rC2Nc8g J8cjsMvDNxTzau0D/++JKG8JufaY7IwC4hHhr4ZnQZ+Wt2ybHxu8EhXOht8QvBkS1EvFduK9M/zS Ism507EpL1LaccEYaiI6fMxu2UXNITTtXt08znYQzkNgFKzoXkyIoOg8t2jWO83uJ4on7vg9T/H/ 4HwD2wHqyBcb+29xktZrizHIK/JOedQlU33qOfiNHnpJRFkd2kM2s4Ga2PMONEsBLlNGmK0xW87W wdmXQvtl/hj92IbObDQwhaDNMWdxm/Tt+PUF69pllOXJpPzSo8bGPRERqpQRuCepy0URZlZiiJvX K3pHDu+OlWOFan1xSjNj2efX8MYTIhH4UyPlDTVh0ZdBqv6UpeyUlRJfeKUisJsGWk2JUaUOa+wj sZ8OFEgIv6NgG8bLnrUAwKqzwF32K1edMvPBB3EZbsfCHev1d1lLJmhzQ/KoCziXRAcSfpLlRbp8 vVqinDvVhuTSlAErMPCxdQoP/669AOJHjnHKQw1UgHwdX33JQnmH9C9Kj6WbqUXQ487bKmkqc5d2 S5lTN9ySDpk103BNrGK2PBKTgUv8lAQd6hxpm0gWq5dabeWiaXIGPmQkj4Fw5FYtT20E2PYRituO N2l8siNozP5rh2YBeGOohpCo++vf5OzcBmI/7qBsZwLfcguFgPgY/ibEN/2QN9bJP9uCwsXXlumM 6LsFmq+/Q08ssHk2LLvouibo4p3qqnBgd/G3u8GPXtw4q3V23naVAdv4U+R8G2RIYNeVzbBZyBJX f5BKFeGMAcSY8/8No+DE5jGT/1iuIV+nKVYEablE0inXmtZOZps4BJGiUfdR91S1YZvCUdC+3+KD 53z/03BAseoL0VFta/7sna4QIDptjA5zRdSKH82fUexsaQlIkBP0jGTsIoW0STsz+/FyXJuojbLj 8Bq2bLNv8R606M5kl3g3U5QBq9dKvNUVNqrW8Yf51kUhESQXML30LgmTxRX22xEj0thumQiEbd79 pHqpLNJ8GQH4ocPx6vjWIrLqGIL/Xpv7tL+k9ZrheBnnidcRGIYe2PzR8g60Botpr0vJIPS9qLr9 RCuU3babDHG6wwTYG46x+ZEjijfPXC/psZN86jAJ+6vVgT3XrdDokqc18NyyWrD0ysS9EpFxcnyK Kl3ntMQGQlhR0mWymAokn0aFztcu5IsFxNVtIGwgFjBFUGYpESpEE48kTPFjYTVnzGt/jnLsmg7j ooO0lAfv6fiMrfIJb8aweR8smKjBwXcH5P8QxEI0rK6VuNmwy6pledTaVXnABPfdYzwaW9Y9Lpcx dQuJkh7TclC9CeOLC3QaYISJr9m885/GRAVk/jcrBmDtD1kaYDd7ZDHgRnggyn0tTk+SpOipDYLi BkCytPvHO7sZDwX4C+ODm5v0ykGVQCTlOwyv9M5TFOW6eqBcNalRZyiOyR30n+wkBiF9K9Q6qPfU E8a22kGoSPz/VqQlp/ZfaAQclbgpIkuDDhOgyjcPei5Fe1vqViHgjIA1fesX5LaG2Eru7gOsiPps a1V60UV/q+wbA7B3k+eXqmqpncXIe0Xmk28gf+aNzj+xAudvKqRYCy1ktdICuIuXpTkzX7G2NAvk efzGMGc7l2ZWWGWKcWiCjsRcqu1sjlJm1tvqEYcD36xhywz/9e1N4cwUfBgQMxFyuYq6POPeXBrB 1GamH1fy8eiMGFkIduvr8nllyhPfbVPrrG3DEkfnFqsBg078YMwO0WYp4BX00BfkR0HXn0di5Hlh Khz+hgQXd9XW35r3g8OxH45mvWYdM2aGk3UJyGBkqlZBfmYmMlwmJZlRBhcdf6uSjlXOpUSf0w2G oBxapJgLcns+xSRkPjhP7ivAOSvmF74pfh6en4hAzbzQwF9MI1GsFoUc9yGANunS8FLBBVyjmJmq XHO47k8Ge3f85xepaqZatX6ZPgcGaG1UIDBsIwjvMT/WRD+r+cTP3akPW07FK7dVtTGpIE/10pr9 4HrmcTWLpYxN/AD6CRiTeYGZq3t/UO3vjI/kuLvdVsEKralzyPRFaO6QLCtQlaV/Ay3FGmKgW5j5 1VqKQqv4h221+S/pnl75gisCYR3+TUVeStXs/Yt9758BiIw/SLWU00xi3DPmNgp5vtttu/GDeTTr z0cuZGjf6AFFrHrg/GpS5nfc+RzveSnr62QdH+ETrb2CWTr6ig2MXFhbnXxwvGB8QGpVffGeRBC3 8kIQry2tz1o8Gd2DjfG6HENXQsaKQckt62gFlUSnrSfFvGiYr+fG/tW21kdMkr31kp7RtxT28J+7 Y1dANCX2qr1DJObVUyex9qVI4/rnXoxehwTgJnqhlLnkAGjuw+jzUkF1eSeOL5z0Ngd+63pjtSEp o/G9op8APUOt3kkEzrwuG9uY6lhFtO0IpuibaHX03/OqC7BuOrt8qpsnozw3K2RSmmT7ldEkYJW7 5IbsGuFRQJywDIcO9L8i3o21dumFNXZcDM0FWSUX80KCuJ1FIsf6tjYrzVP6erd2KdmbBvYFeG8o IUO7lLHeSiyAu7kFXpMueLBDaCYbP5O1a7V3v+APCZNi4VxD1oQoiUpaB2jR+IqjwfWcEWBKpr7Z yA1ITvRKH321dcM12F1stHBDjmE6y7/SnpSfHXPQkckpukSjNTTyjR6H9QtIU2Gc/UCm6jhWKUUF xvQuRt8TgDUCrmRHF1Zh50QmEIu1elxPUzPRmNiP7iVN+XLb38NkkTkQ2axHjEEK/9rYGFC2PmIm KB89luSvztu1cpAnXDeTj5boaSYtKXVL/upOknCm8UJ2gsNajpEfLA6XkHROvkUkodrHOy8cfDkk ZPanJmJB2VKYFbEsFhZTjTKbPiLzxuOVcSiM2lBVxWuZKIg1TlHhJqupFmT7TlQ+EkK/Jny5glsx l7rDfzzbRjX/mVOHQeHRkf7PsHhpxHItYX9iumaO8sIj7GfM9QUaYSdOWh4spBRLq/zDoO2dL7Un W36PKl53Hm29Yir4FUmKC6TwzrPGlpaGKI4kCU/CJCCvbP9I3IfiBuoPUQY7mi7grRHljdekxDGR uMmUTEejjmlju3HMph3ISh8Hi+TpFmIe1O4QzN47+2tCIDSM3NIcT8fLCBNpGIXSiJGvVdCffB1h lKmJL+7qSpvjvUtY9bFMfJCkp/o4UG0nhiVvvLy6VNsxax1FJLLUA3jktbxDpsF6C2bTObjKyHxv iwmJ6fk+Sg3K/zIRD8l3IYzqpUYfzFlEpkYl7fupo9xf/R2vYhU1mUjPzPCbNEpuNTpqk73AJ/Ql mJhH+wN23IbGUihxO4FEKrKp0ZCCBtj39DGwP1AZZe5MWHLbeCuI4IqUU6ZRs8DHt7s3yODuCtSv 0yeRPp55LX2C2UJ+IVMalYUI0rSdvoW65TnNPI/w9nj43eekcmmCEMW16pwjmPG11Ih5/B4rlMxE OBlm3l7rgxgpWGizZvncHZlNTd5jDtyfe8SG2TTUri5JabiUkS15bZu5cVc5qOEFRq934ISkdC58 6KP6ERnr94GFXfGCoXqSNaaKtiXyXMxNsLGN4UpoGLcTpU6U1xc6PLnczJKycaNJ/9NoS1e7APKm J9djwtvJ4DRDtsnUrLefXJ2XBqyyLvPn8DR4oE03KOIyYvE9nlEClCSG5Ir821iLsn0c/DCOg+G0 gIoY8ot1y5cy3xr8zFQ+Rm66PDa4A+K12v5RrlWnBARYOkMvWamjZuDBpb2N1zcBEK22+2ikcVa7 nmm+Mg/YXm5f0zMDhCmcMHa8xw8c3JWThmp9mvnbuNgH6NP2PUHGiIZcp54UKdHclSkx9h6XbhSU mv84keJhiqCC2JvKiy/D7g42JvZw1lT+X0/xqq2xyy5R+rTgEX+MPEuoo04b4e9b4rb/s8r4B10O Nu3+2RmSbru0hgEOSuQEK+Zg3plLdckGg/yONHSt9J6/fiQqarA1CLp2Y0XuXI4NJRAHiOQxaGja mxjvqgnympNcdm5vfwOn7kOPs9/7vC97+KECsFeVJra/O1yFbxVnmaFOT4MUQ//jfko3TSyTyDWz w1LmTMQvwjImGJo5xBxR4OvlSnBHsuWs8W2wn/SV/tLiBdL0QEBtJUe+Rvva2I0BFMTBkPCrAaF8 DiyTi7Vc2sElxPKdn6gKSKGtfDdD+IkegJ8R021Uw/YDMnA+JpZoJ1nZVWHpqaSg2aFRkpUSTuWH ebRlO1KrmA/GMkTqAJIVIji6OY15xb3F5TJQHOc/WignVhYqLBQaZzGTVXrobGdX4kBaEP1QOg35 R3sMl1AsGsXg+SHhy8D3m33J9J0Yfxr7c3RuWvOyrfKIiflx4M90s77Qsmpd/oAqHJI+59zEG6Ea xuxuckaKzJBXQ+pwrZQZILHDDc277d3h9TWyb7vwndn2C0CvJwszvWgj1DMPC1TuvvbxS/TSctIr +oWeGXTaCKIGGFLy+Az2J4fhQ9wXdqGP/qpZ6SzLs/Gi98ivx+GEZgcuGC3kYE36SXdBNi+b4EmC tBzbbWji4haG2VBEyycJHXAJbTIYrShRCWPs60sL4KKBohRq8I/GBmtrLD1/BX2EcqcpKv3z6rIn Qrh0Z2CnuV67JSzItaA6RNmU21Rs8bywwPWMacppN9PXJeIRLMZcoRYwL/bjwb7OyFCrAvi+nYe2 CaW3OBH46XKisqBigvk//YQHupxR7cRuaVn8f8Ipic8fQqIKyHSQ7ZZznDsQg2aTjwu03Xi8QM0A MQg61wEKITblm2YoPUIL1+JMxU+LOE9xA268Yj5/CnKVyze6rYLPRF3TrshfVO1TEhFduzYMOMSC /GfbGkTkWvhigBDUa/wagmbrxiMIhHYp36zc0adJnYhkqml7YkLuueX2BbomD6h3aq+3Zv+eNYI5 efwXiZXExyKvNO8lTNBVoY2CGAgLdVmJ2Tfv3jagMqNEyMuzLMZ2cyFBzfvDKwjttt2YFFszcT6k a28q2NPzeOfIxxp4lUqcasY7jlxKna0V0o8DINJBcQvY2JW+TbMjeU7Q7ofykgNaSWwvmv+DtZbV KMekk3qr1VNBmcqUvZh6NqSbJbw2ODwQe+y5SaHTSyP5LNOvRdMMDlH43tKhoHsP1F+Yywt60y3J RssAK8iSDXM3H54rqygWX7vte+PIWZTgivf2YpbEsqvDgSFGgL8UNb+BJkk18SIA3v6xrCYWom2N dkCDLqQo3HAWebhF6qrSXg0r7oOhtfVZ1ntH8qbMRHWUy8nNuysFXwcd0aegBxG1ny2ObhZQIFvd fHFpvBhWhUfMYRVmS52QU+JlfuPjjIxkNCBO19Vuood9pm2s5WAAnb7ZzPQDddLiZOAYDgA2VKOy 0nasu0KKQXdddF38U+ALReLafNBRelo1PNLWzZMwmIi98qSGi2To0CyJ08yDWF+MCR1r8ICp2Q3W qSyDA0xxx1Y0yYM6A30+z/XgR2hYWQaZOnzk55lLLEnMYHzQA4ziMb51PCXG+zn/YmAyABIplr7e taKy4Wh2By6ztxW2o2mcvy8wItYU+ti5BAUfCVXZjcLV/FTp5RSm5MTwpXtexbEHwzltCKWs3Hsv VlOjrEdNgWoQrOZVYNYKx29pK47C6D3hGBxPy7bs5gfjJLAP8014Er+22EA1GXxg3A0or5KoGoTH qe6ocnaduk0da9RAOTZlInA/+KEdEDVv/O24Cf6JVphRlYOhdOaqKcDGmHM/RPhATt+0xCmgyfbx Lbw4RWJGvw+7aNcR/VaNpqYRwpVVC5Nwsg5jds8f2yFJri92n1PLFTLA4tqFvuZlq8A/uaLAAT6d lLvmcFWmTMqvmBfP64f/QGYRyao//P8WGITwTPHCmQzVQ0iDWDYdFUiSlWZ6+zQea3J0Yml6eFlf 2zO0brsBTxbna2ob2U/e86rhhSUvjzF8g73MhsFXZwAxCSFx8FdLv2a9yUKzl+LGyUlp9TcDTz2E 6eZ/A0xU8ZrM0OCsj1mhW3bYD2MzZYjJki1Desr3jIRvKvS8jeYrHqzJqJH6+23cQ7bimZa6HhE+ nfryYFir4/wSF9QZkQGbmkHn1ssMzRA1SKZ0t1YE91DUdxHJGjG8s8ALH5+wNqLJPAORJtF/GDcF mU1caPGkg6vQqq5hkFbg2bePTjM1CMicwD2CXCNliGO6xEIwYaPwCSuWqgARYrNtnV5Hkg6b0lD4 Q1Brj6bRWbMpFDFSD/IC1XHVxxOH/AWs2IS1mRGWQdM38+rD3GFf8Jos2BjxXltELOwPGXw25Mi6 KGYGY6ooq9nh3NqpiRJHiKn5uhLlmp9Td7K9GX8zmJrhqotKgTnUNtvugdvKRmY+LOdNlsULwkXI pGlFS9kNVPKOEPB6XrxymiZgG30fnRILv5I5Lb16njXR5Rs3mp4OOmG/RXdrdpNva26K95hmmuzJ nIHLiXAMxRMAofcs/zNHJArjOfPgPyWw00x2rzbqEBrwgdjhDktOZLfOjtwYvqO4PGU1bQUTltIA 2fGHGvM3IcmQ02akbjoFDyJeFyx+Fdr+DFZvfZ1vIM8+lfmHT+RKyDbRbSTM+6ISjWZcbvFnBPvD gcdKACLt6T3TcRG6MrMQ0ZLiiJCFzsOuTYbX4olwbmRuwa/P5mU3kj3D8kiUoFH4fk0ubrjNe8ul JZWTih3sqJvoQHZpC55N+9RlhWCDM2AnjwkteHcYpH2gWQyIO6tDTkSDrKnx7rvop4p52rBOsDBM BEI44jJZtYJWSgF5aJJ3vlupqZ3xHxo3hgHYRl5SDeZb6RA4BE2IIP5wbV1Dsmo2QXW2LD9PlIBo NSlLG7rrF44cmMvGbr0gz2QfVVQynb22GAXpNfyYksM0nzArn6CjEGWEDAJwJTpxCPmFnOmpDKpB 76LvjGPzbBnQKCNjIBA+NsaRElC8ri6c5Fb2vHx803MjduX23Qv5Q9eVvDqUOLy5JR3pYfNwJzOL FrLcTsRksw9ES+SUa+Dpp33/FyB9N0XMpknqAa/hKG63ur1DrEj95t5Wt7BSuK7fojQNcPCaOEce NcMxyNWMUKgmd1z/y1UrXqBy259uqTVOhV6pVD0F2xCSrUYBDb80+ubyjUw6lhHXxGlObTxNk484 AiQncTDd7NqW4vNzt93la3UJdZ37VTFwTlJ/16NI782R8rerpK69X5KK5RMWGeCW4dIxJfY0cQpL WGdleKtylIMH09BPVdT7WgfXKV8dZkePcxMBPKu9FLyEX3dIrC+XwR15SoFKfTMeRuudExu/OIX/ Td4p8wsUEGAQBTo85+g74WD0pQXI1Eeuq12W5sscVHB30MnpcGEtgy5FIYe7xtNWn0lUxRm7+ZzV GClQaowohcWTXdxhKltUPn1IdPL6Mg00fXmyw6j/MVCp/E0e/2ei7tvxzsZ4lEeeYRISvzGM6nua MLx2XzUnJWPTDCsdAmTYPdd/BO2gIT0ctsQepFQqTsDk3gf5l23Djifn1SgO+VDPpo93sQLel7kU IwKhQyjVoi4Jl1l/ft7AtA5jmDxOupRsvrIp/TUABurbYFO/e0bKXX5QflKx6YoD2hsQ9laFhC7T HkeoNmDdDPVWC6AGvc31TLs3X1RUbhWhP+ikHYQPzoT7sOzTUQWoiGRI29QaNZ0zuWOJvrBFhfUi bOA1vqeu5372QPCOI38LtzvCIseIJupey/n74JYgkaWuKkJLM+mI/WHfNtW78OdAbERwdL+IGAAN m2yaj6RVhCE+HV2UZmqxng64a9G21hzSLtyQ+aWUzWHk8eJoHDdxwTIujblwwNmZM8Yz7ILFkmXi BhDQiVKPOyjjhSYmr6x/U3q1foAGHVTaS0n9rI0gqSl/jQHn6L4O0reGZKpH0wSbvTcVBfYR4LF3 V1d2ROE7ca7rZ23/s7UMfvKK1GeSc0uvAFh+cniP5zOeyFmvWtYWhuZfe8rB6A+kx+2l+qLnfdmV 8bJWitx9+rnkpGYYk1oUVLMDeKvsOtIvODmRmdU49Dic7h8QFCDvUpUbyIWiUFBs6gK9IyxE/JII uJILr64zx6xKYSlMt1wXhUYtJeJyaUtVkBt8hGKFSuSRZwtVJLKG4I5xLzWAyG0LPIXgFwg0q5N/ qq+iI2gpYzIEf4CfozDwxNIZk09GeDQM1XVI5cVjeeTEIuihM92zWpcvRvfg6/cv/daV7qEFrBnQ Wq+L9lsDqkFwykTdSxu2o4y/7bOqqm7N9GXasp34gzgBak6xmVeTFdh50J6qYTtLH+p5ZkVF/M3W hq6R4RsCDQOigQWxxy5nY6gZJ9wkut0E36zacXRSuuIWdIowUvYIHRWiyPjAu8820EOf4akKUL09 nuhdktXtYLIiqlm8eRu4rkXB3j8A8B0ugqNt4aU8rNoddVPfYahHjS/Q27+TFl1iWxODZDPKoFkM WvZkFQrhvJWK7rrvAi3joNz/4p9xTgdwb0xkGkzu48vfuIvVuOOG6YZjkxvKf2meCd8+h6dg0qRT d40+lvQqBv7zkZV5rY4qGhN7nDc5ofRSM5bqP2blFDBaDbJgCiweCotusK4SJnL8+X/aMaarUsA+ L9/jpeDXtsQTXfk4VTaxw82tW9j++HxNoJtVwVdMkOQpkHfwNqbNxxD5Mj6lyILdXTlviz4qf7iS TJ4NudcjGMtUZ2WCIHR4dt+Qt3vdIVCh5SUuD09XY6sJp/yx/hdzzXwrc7w5a/d9yGbHD5SRwgZC QxadUetCG6wSJIgIJkUXC5ag1nsngPWD6BeTGHGj5mNytxkqBBywoVypm8ELG8FqT0uBQSA+LjvP wt9ayfgNgjvDGVWl7Mx934FJI4DifyOi6xrZqb6NeTH1cAycVTE5XE6bjo/LRaDgOFvwBFDKpvGH 5Ew4WcoGWKoz6r1fpW8YroxYt9dKHODhhz3vKMqMF9Xz4aguzaLAHz+gnB5Rw8ltrYzoBQyju3Wp M4P4mbmMUO4mjuJENkVq5cGcnnNz0svUEIySmk0lO61SHZTGXtHWlz/GzRgqMM2L19pdvc4Mqtdh GXYdLplVT11/a2fF2XoUvjf58QbFadB5EKsjKbdbTxXWRIDD+mv+qO4BYx0SjyD5NHu77ZIQdhO0 qrRPzCqZn7rTtOzIOqsNNbroAv6nl7uTXnijUNsPlKR8yPS5AlJkDPNsdjvFiAXH8uO86ymC+hrh IFPYTCeMqmTgG0rCr/ikAmSHQUmsYDTPmAZqkVWmJm3h1CsFF7GtBJAje9r8Ltw7SwUO1Zgsd76j FrzyEPlm8Wme6dyCK/cRs/E3Xl21+0O21rDsBu/rfCsCfpE8UEik7J6rbWa7T0VqxeJyNA00nYSV K1YhiJPJ5p3CYB3fjABn8S5syO9EOW48vTQhfMe5vZ+v57E3HHSd0OG0XcTpQwmmCmPO8bX1mMkO 5E3RKHcPOOYpwV+9xE9Ue22w9bFPupMY6fHTS0XXsKJYO3nJ/OJrZcHzyHJCbSd1QFMIaZomQno9 aRPoxBbqAMjSS3K/V517Hcc0SbpQsC+GYuUEDG7Y5fPWOPP8cxMHYCj4SQlcgjaa/9UjZxVi8YEp kNuh5B6ZOtF0E5L2lFuzCcS8FjRwB2cnjbkbC5xqWCgxfPUE9ElLbYhivJGPvwFBT41DyVeb/2hV uJ4ZQ6RZaxgzuh97HpqDEfVV88nbsEH6Bs2dJ4qSHEUZ4EDWBq6prCUD9ClilpL2K/nXDjxPe3B9 07D8le+daCsbgkoAuQd59tZRC2uZ3VyWB12XCRW9wt+kqijAU0Tpxuv5Jxlu5JI6nYf4TPL+1Dl6 ED9stZVCtx+E3S9sGPW9IvobMRMSjVrvA/x8foGXOpJE2QvpYHTaXH19XI0CN/++1O3duowugD2d OrD6HtDs2WIn9GpBpY9xk4tiub24bRKBjYLP/C4uS2ZiUA0uxCrFlsgWNpjYD8nkjwxkwZn6SUr5 3MJt4L7cJV2ETex7U0hsUJRBYQH4spFOHcztFEhJseMJu60+e3Bl5q8lGU0g+LpRdKKC/+rCVUg0 wOGMBVVMwsFFRt7fs4XdEMLm6jkDfMpend3/lMQCy9pFXFGRvj7ulNEt3ylp6vu3NrCw9PXL9r+Y 0BChDLaFs3/mBoDw/avPq67x63hX3pZ1m+DSnqoQHqU7tTaWg5HZV3rym5fLWFxNWjykTaVEHxm+ Xmf6VwrYsSq1T8rw1cbxfcY82mnFVB9MXHbNF6rNY2hYyexVokTt9Rmobe23ThnHAq7hqEjPtUbz dtTbS4o+XlpLoA== `protect end_protected
apache-2.0
0d29d3bc2920d5a5027421982987f2df
0.945763
1.837701
false
false
false
false
jc38x/X38-02FO16
benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/asap-alap-random/hal_random.vhd
1
1,824
-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:58:13) LIBRARY IEEE; USE IEEE.STD_LOGIC_1164.all; USE IEEE.NUMERIC_STD.all; ENTITY hal_random_entity IS PORT ( reset, clk: IN std_logic; input1, input2, input3, input4, input5: IN unsigned(0 TO 30); output1, output2, output3: OUT unsigned(0 TO 31)); END hal_random_entity; ARCHITECTURE hal_random_description OF hal_random_entity IS SIGNAL current_state : unsigned(0 TO 7) := "00000000"; SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000"; SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000"; BEGIN moore_machine: PROCESS(clk, reset) BEGIN IF reset = '0' THEN current_state <= "00000000"; ELSIF clk = '1' AND clk'event THEN IF current_state < 4 THEN current_state <= current_state + 1; END IF; END IF; END PROCESS moore_machine; operations: PROCESS(current_state) BEGIN CASE current_state IS WHEN "00000001" => register1 := input1 * 1; register2 := input2 + 2; WHEN "00000010" => register3 := input3 * 3; WHEN "00000011" => register4 := input4 * 4; output1 <= register1 + 5; WHEN "00000100" => register1 := input5 * 6; WHEN "00000101" => register1 := register4 * register1; WHEN "00000110" => register1 := register1 - 8; register3 := register3 * 10; IF (register2 < 11) THEN output2 <= register2; ELSE output2 <= "0000000000000000000000000001011"; END IF; WHEN "00000111" => output3 <= register1 - register3; WHEN OTHERS => NULL; END CASE; END PROCESS operations; END hal_random_description;
gpl-3.0
4451dcc387dcc84ea7d5be31cae99044
0.683662
3.286486
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_prim.vhd
5
111,502
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block isrHiAxWVRJAlvHNm7GjJHAxGt0pyvtoxxv5t0Wd6WB40cmMDqHcfYDGYlRmbGHugOVsmu7z94BV Auhxq2Oopw== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block LfGKz8fHsYhrbAXeSgdX7Lp+9ocoAXBoY4EV95aQjceOUd9zgdFemn1D7cm37K1fF6MBnPxU+1AP aaozaItnzT2wxD2H5kGzPn+OmTnoTh2MtnqxILq2A9lQTia94KFlty14W0EXM4uIBmobMY9ken3X dyVbR9QtzLt49+JbXA0= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block QSNOHEeeuUeqpji7ip+lMNxlARVuzEUc1G0iEzT+ysTlR4XmsIueBaX4wI204pG9pp+VcDVn2Lz+ T/wnCVoCDdUsF7GcP9LLytmEX6M82+LfPvevGX7uw/qMAJ+kpR+P+RqArfg9kerVKBClVMJB/TQP h2FGRIXFuqKZbH2AajbHA3a7wlkfkiOSsAg30PRvuCoJ/unw5X/Fag11j6PNTTrrijtuFN73JNyb 5kOC6tKyZtoafGyO1Wa/36HO6r/82r+ll7826V+FzmYGjc1MHD4miPT8OQhGqd8dU+Qpe4VZF+J+ mMz/IA+9yJp+9K6m6chI8mivkv/eF9AsT53saA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block mebZ5+XGavNib0tp8857kJ2AQvUURTal6lOC2EqBJ7vXqi+hVSpgqZRUeltrJlIthAvWQ8FgqPqF ivCcoPFtP4OhL5E0Z1AYLM7+nD2+6zwjnHW0aFb325QmOVjLVMygQMWoIbjoKCeX/MYlB8V/tbzB MmIVCJ1HfBLthyBvS/k= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block e3f6LzPvgF3mbYxynCmrVOfzDIctiZTHRtxeohww7Sq52zZcIggfkubbw3DzRxzKZla2HPNH4Wbt egBQpYQwzhFPmLK98gpt8oA8jvC3ypM8SlvAT5gjy7+WMuwfqW6D1WxmJ2tKx0ArN0kF6soS3xdd dtSmkMPKh76J4oTMIKku1vkgPbLCDgD1XsVAg7adQVo2n9CuQGvD+2ILrnkzneyf+xkMdEbcabAr VDxZjRuGh8lLgBn3LLP3VbGhM6QBB8SM42+MlpGn0/56QcbmtnqhJrTJBTFalKfy3xmxs0MbyOwz L9EumFR18SOY023UwTeSl9pSy8GTFEeyFAK4Tw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 80800) `protect data_block xp++znWXFMFd6ijegZNckFOno9DgDMDjQJqV1sUu+r9AFS1J0bcdCRvq1Cj3k7LEMjzs9o2KfiAL 1QfXjlpY43Vo3oDXNcBWpvqcDXYH/NdJsONG6cjWu/qzyCBXycz/4VaCCgx+Ia4c1lGZFMKIjj85 4jtVGF0hsKeDOmgpcQXZNdTBrhuwq9bV4kc20fxquhH6ub3lEFxzcBA8DwaT51NhbCZk13eie/jo 1eoemBxS9USX0J/bVAHzVlU2xPSa9sSAP7iuRgwPhRrtBD2Br6sO66CAc545sD7l3ccCVu7U+Y4+ rzJY5lwc7Z7HqAoI6KaCcclqBUW2+U6pfdTsqMK7xeSnjeZjZ/GPmjvEUMnYBBGrqYEIDuFbdnE9 UqMbzM0S8BkbuYeUSiZmaFkO9+CUWRB0+2s7K6GAQG6KAhbFubcQrb0OYJKnt2GIo646ft3e21Po P4ihK5uUC1Nh6kfprVmdRIbYZMFepNMJyC98gmC0sRuRWbg+G6lXzlIzoQOJZVTaHKduHxHkBDeu 5Sm5E2ij+/aSry24QBHW4ALGiB3uwyKP2aeiN/bdRiQ8njrRR+KkiJ/9PmNGq13shy2YKgN/eCuY FfDxixtofZuONMMqgNFaAPFmORnpSNgd6dVKilMv6PxIUPFj9Qs4AUaQd8K3nV4yNniAtlyqrr/g 1HSbxJa52I9cgSZPBeqxZ56DhwAcolrtSOKU01x59vcxV8SBr4S9AXMqJnIaDAL1gsLBMklwLS12 cZ824xPfXN5MlNu22m8PZMOH2ee23eqjREllHPy2zuhAhl/rxRnSvPMaT+G+1ADtBXz4jc9ViGkF 0hcjGfT6Q2YSqiAF3FKck7/nBDdEwY7+CwpGFopE0gxJzvr9K0z40+yDO29SsaumeRBVwVZxOuRd 5nH6iCZnzwUxO3r2ChpvH8rxbWG/gXZ2wMfSq4COJNS+ocKCWcTIAFOMX6oqldNliW8mpv/3wICk gnaYhFO8E1IKqyZmU83gnRLM5Juv/3k24jyMm93DzanklWqyfac4eMp/Te6LBNUoacHyTdW7k2Ef 9JyNbftA9aO7Bs0Vw15d6GHAhS056RHcvwZ4VOi/vi1J1JqY/1TA/HSMUaTxVmDo4h5eg6p+K4VF cot/6Zsb0xpWdtS9jMfZiQe52vc9krAyJiVdG5KkeyFnlYOcgww4VGo9V8qX9KrAiOwZwTYIL5ya qsdO8jJEMm13d/O5QLR/EIDRL+T2oJxpNkLfAkoeKV5qo852DbFnpwEfNu7u86qzi+O/KAX56jOx mjMwEjf3l8W3ZYPlTkb5LcoTLBCqHC+KBL80ieE3LkBHh1Mvtirrr2YeCgV7Ik/SmCBvRte/scEP 3Dx0bbXfz6yjxMFUPWtz2xGmNGRArraGBNrjFgbfZVKgbJx7TQD45c246ZkZC7ba9si9/qjaURJU 1IXxM9z+kntzXOPIqjNuVVyx0mHossgASNFi+32EPucPiw2RACG7i0MMk5vglxPENFpM+AbrEp+c wvQtjZCMKajoW7x0/ZxmSmdF00bfDVa+2afbvzUDKBLKE+QC+mtJel2wH8ayZ3H2Z88ho2FXFcft OqMm8F25tSUBqPOv4VVKgjTZYKaQusudzXRRL50R8vSxJe991Ps68qUXkwHkVKNAPUV762ZAB0qC dKeedxMsFQjGpSL+sH1iH9IJpWyf7Cb5vZuyugyqgrtSXC8aEt+HQy1dKbBRcxCy6UAFPFheLV11 EnYZMm+aq8NNL/QQL8n6KG+iAi+x5JqoJOgvf7TQWJvFvO3462EfJzYlDyFfnrVilVsJiAEOivhq ABiPk9W+21BqI7nLpNCtgOCCeTr/BoUcFDqvS5uelAihUpB24hZdr1decAx0kqds0HRFUJIXIfYq ctTTsqKXst+nvFE47i3JAkGRyb9d5ll/XqYJ6g3PSekrvVmL5dU4LqlVN/IvEyPXnoAbQAaM3fVe HqhkJzAC63N2GJErb6tYPSzERkRyTiMvCJAPIlHniGsM0f5vZcfAgST8qGzAfkOw1BP97/eZS3r6 V/Vjzyhy4EepLKVPBowPqBCRJSCcoD99hRD/LyuMfPH04zLdJf8Oby4fPGTfILKMxnzDFQkprdpn 6HROJw+wW25QLS1ySbHIM96Ydam0eo81uqssRNMEuehxuzpqw8PY4d3D1sE7BDxJzGG/T1C5LOtA /T9Qshz6sDCQ6f109e07GZNt+hVSeVQ+fy5H5+49ZE06Pmgkf/wn1++jCvJIdR73L+S0GobAAldI FMLlfmCSmKqOd4/M0Y75+hDpfZFjIfbCe6nElkdJP4VcBC6U4Vt3RVnaMXXHs/kptlaij80mlKhn hjph8ri63ZhyZGg/IovB1c2TS8OErii4QNJyCRLKyBNSQEIgM/w3q6mG35bLa+iaw3Z5K5IoKmhX EEs/JOESNUs3Xty5li06rKsnTTSGAySp07rz1R5ZZ4ix9SR/rrvQmTks5TvQ2BOjwDEwXeD5HmTr x2aG1nBgBPSmZZx8tHFfhj5FGRw750QS54Qxepaf6A/iAHlp8FkRFENnMuYeU/QJRE8jNKa0IBx2 zkMyP5FUvTrG8lvBWvD6H5z9sU3v1nFvSEZuchDrg3qhVDBg1o1enN1raslEu9kSHoAX8dFc64nF 3USU1GilupTqXNZUv0jTFqcgMyDZV/jCLQUDOCBgLvwkrwlJY8bOKz5hnuO6MLOViHk6xLprfJm/ lOyQ1sVi4yoIZd3PqDoEy+iQ3Py25h60Hr4SoYH/Y6+0jQSe5OiK9JfscDZFyCm09sbjEv/+YCdy rp4Si4SdhPVBQwVCmZK3LRKpufWDKVem34MgOQJpuZ4RDIMvYbVpYZM9uTKS5xLF0Ti4OsctPI2m Jr15foCnP6TfFdbnVy2AsC4Yb38weo2mbnxq9MHdNjqpi+a2nQsl3JcDSOl6CJ2Sf1Kmi71yQ+A+ ncV7VSZ6xffeOa/FigrDjfV3cK1wEyr3FPNX+S0W1pDC8DZTgNNl9p1ha6sh5jrxBNmirz/4trFz HJGYjZcm0XBymlcA8b/k96N3dbPStlLHUN2ooxekIaLFhcwzGwZ5lg8Q4ikBHApZi9R6/MjlYY8m sCcFnyCdGfsTUODqNg+iGZQctbtStNNhX0TtBq/5Nk0Cihc2mDnZSgmDKgFXtRjMSdLQO4RftNuP RoV/V7JWltOxC9Dui/LA1bVAP95wPzCHpWhOzDJ9mUW99Sro/PP9IoyVMSgm9osPIJ8ggKnWZR7U Br3dbyRwNyZUKVvGs1Ig2lFxw+AJpVjl4cgT5R6wb7/iZtyoSqw9YDjzStVzQJEY00dTCHlnUDmi tuQUkpZIKT3cJeIngQm00CKjLEXvpNvgpdi+233PqMBszRsjwl6r1mRF3JY6awkPTXnanFdsQaHt mNPdUks3+OXkEfG63VRZ8Eop/3QCcwAH0123/NY+atXoxwhrIfhoYYBAtl1pEmQhlPm+q28gXz+/ BtDRoJ0+PurINffk6AgJrKaxRcrDN6Dto0UhsLuPb2JvbCrhQpvSGd6j8lrwMsbA0xsXd5T/zLMk y7wtOJ201KwEW/awAktsCffstXKzwAjVC/qyAG9GWVQ0lGPSRSbTXXUo7u8TEseCCvtYQz+y5Rkg IkqpR9kK+zdlRlN3B4s0HTLZIk/VlQ42YQco+STgkQSyAHce3NamHJVybaJ1WsaeRc5X53uVXKLA 4cIKXyotaTkVbjA8yNrAczAAr2wqcqlcaesLSEVkxEQaNFwO35Jq9PVwQdh6SEvNF//ilWIo9shc vBeta8dL4z3s+uQmDlIYU2i2xbLhUcxuYNmDrZyJoIIg1QNq73Dpk1QeVEFo7T4YvzBBXDoY+4nU thhHVa/XVwWdp3IX9x9VVi/Mge/JSD2tPOV42gb5F/N4n70NMtSTsXjYM5LNPYPCUqKwbFZAPaXx CoOcG2vtVdVPJHbfIMU8FcxEHZzdlz46/DVonOlR5dIY4YTmrFY60tAzpgbbjpKij1ur6IOs52qz CLi9yWriJUtolKOelguCw6ggGSekOuu7eQLXxlutMLLpyhoutXKp//Yp549I0nqpmw6oZZGnYkd5 3ejr8QPxzLsBYXyL21aeefO0b3maleqehkdcyQeeKj/enlNAf9NHO0sonab/dpUZ6+wdPMN4l+sb Gb4rGus+1gjI6gt8niT5wA6xNYlxRPLW5eDdOhOUTuCh213PEMChVJOszMrapKIUEsdEzvxPhFfE 0IDY1anJzAr2CEK2TrwSeSOBWK3ksXulh84z9TkbVZbDUgCLP2el520rMNYB/0OaRt13nu+Ojmhs GOMdmhLR+K28AvGRiTnVh896OLr3DsWf05wV9CNBoPvFek1SfxB9p4/sGTJMutVrSKW///G9J8QP ItNXLIN1A+nQ3qQCwwdWULMBDFY9jwF1msnP6HJ+chnqKeIYf1SZqzMhDUiKvvkWSsG/aouwzU0W wuGzc92mkjWEJUkwp3CvFfsyf+NRLZLNLvddQUwhrWZI+HvEthjpHsG86f4/vWn5VACGuo1iVC/8 r3G94AW9ZjGlkJuiHeIa0D81XnbO7w1xmHO5BK7BE84gPf2vkHFk7oAeFTZlbCafEejxh2jbEUO5 gF7Eo9XSMk/F+xWdKIIVT1YeZPpNI7mF+EME5xyGNNkG0mNoZmxAFya9cbonOSdnKyoNCid4eRL7 zR0vTBFo2b3mmwIVc8Wk7QpT5AxTGBbvUD3jU5NG88CAC+KJyJPjBPbqk/1dJb6ssCWVG3T4NVCg v8/T1aHFNzacFwe73IkYwDXOr4fdYDz6png2x+zGNU1lWkrXtLVV5/xjjVMXIjBK1DL5f8ukr4Nq LM+Eal9ONYHH9ySC8X2ZA+R6El7zwOr9zDuA1S/L6xzZU/OVowtujqW9MZ5q9MQf9mK0DY3VttE7 Ors6fi0WzfHdkf7TxiBfIBGitS3ws3YneCBVjAE9xIsi31WkkSCLIg67C8KqSznNhDgrQljr10qY UpfhPfckQ4e0sBuKMuXvG8lfcu9uUkhbYt+RxrYBFHzYqSDwATCpJiRnEVRBhOwz3Y1sKhxT3L5v mLb5slh5/CdxI+VxBBOesn65ulVN6fvnHtOe7erjmEc+zYiBBYvhipg2YqwSJ9XJcevnJUBA2/Wl caFCjBJkc/zuNfT2nHXtzam+GbxPyDtW8kap67ENaPIyyKkVYx2f5rgriBjzKRoQuLG6Onka18DM uREz48OrxrNfRvooDyqfmaKZzdS3+t7VmTv8jTZ4XCs/4mmzwA7c1R1osPRB/RylG6DJCeYU44fQ dAABbIKI7fu1X2jHHRQOz5wy7N2Yd0EmOZPZaKLtmIGaPTLTcXCZZR1QGbxC/6arNEx4HyVv5L/X 5cqEzXeAe51bO9QPC9jjlm9NRD/IdBldskoinnwWBUM7+ts0NebAr9GJH6umUA/OVLSxyo5Sa0Gd bESofDbOxhycEKEZejvhHLPJHBh7ndx4I5AZyMt/gEFRcXW49+AjZUg4r+b4/01FIJhVAjgKnzp/ Dua63tNQ7A/5DU87XYKqB/Z4PmCB1B+DZbJvihm40cNKZ8pihqr/V48rGOQS64cAUCNIlY+dLSIZ Uw7wgC74BkSCr+zGwq8DTwefqQiMtLtsEtvNbJN9xcouj34V/C9A3zUdpa/90+RUWRdzw047XMni TYMjXXo+gLBKobvJmGo8ydJFxnt6ayR4/DCE+16+SINQn1YG77QVg7txHn2Yyxj9a0O29r+/iUXa r5q8SHmAjHSL8Rn50LxzaC/ReBdSOaDOIgw4N09/cDpb7z2xsp7hq42OOIoJs+C54SgPh6cUFz+6 RVQSwLj0twaITwn7sQFzPwlspfvud5HYKTUuxv5HsfrA0/yE2PTLv1irV5uMuzzwViriBsiPQt0j HKzVxvDwDvdXJghrkY2SHa3xmCJKpO/rkdPkNxKmiMGqtEIuEGHxqdcpHZYEgphE0SY4W6wnUpY/ GyAEkKR3kuu1RtA2MOwNsSWHDDwjEuKBiO2bXud/x3XcscDn1bglzxJL+GWtiPHtKw+YB/a4gpvS 0AsCVhJ1l19awaHjjlh/to2F5Ajr/cAC3YOFXM0qrVu6H3mPKf4rpUDqbh1PeRjPzibbyOtv9EPm fyixzCtDS4l99ITQQ9NHQvsQs8f+evqz47+n5Hp0BZcORhJ/ZhoeoAnfNndWXUHpHjb1rDRFPTn6 SI84/e1m9K93dR1BB4LychzZZ81bsP2WFVfFt1cD3GhF90b9xZiOaUVyBw5iAjg+tigUfw139siZ JRjQzLh7g3AVwS00ZTvH98VYcLiV6se+owWS79xnL/68zem0dk3rbvPZujtoPMZKkmbqUZoNRbPT 05jv8mPq4rW/0QwyUQf9TfLdhi576aVs2RxIzs9nnyEGTQRaNHS4Cv2otlW1CRl9yx4tA1qRRyXw IvugUDuxhMi2C90HDf6ERGiL1wxBe+0u9LKageGxMS8pQXYbdypbVNDyT86UrlbXZ51e8wPKPy/Q +7qMIOCKrZhHq8sOyNZOpsShRNItFbypmUecG6P5m4dE4di6Fq3okrcE/aw/q8D5U6oM75EMdt6v dlJ02P64MdpMZHV07JEkVUbxFpzY9v8llmVH/1JyKFH1EsVwvkvU7vCtSRQSH/K7Vq6dZONamdvX BpXUtbGmSbh0Q5zlqjrJWzcV9Rq/JKTtvUhsDxBSS2sF/9e17avhvuvZr8T7LGoeYOdTFQqPuiAw YPQlBfYLgHIvOZcAWXx7q23vZZCJgGIry4mWFavugvylLXzVOYdAiXFPrw9lwvInOnxdzBqw0RdM OU4m2G+X2hrL0aC0/YkyUtSOI+oxf0WOX/X03bdV8hgYQ/PnOT0ubH04bsZ9ZuGo2EKk/B/E7v99 kWIQtUJ5TLAuRYE/kVgiv37XKkWJ2mqNLMAmYPFp6XSeZENfKIG2cEAPwu+qwYFTGo8h/FhafPe6 ERgncUp0hhKnRvPT0C5Ky77H5ieikI4p0Xgaul/qSz8tVfgdR8lEs6kLIvWjooGcaoGKfJlNdIhb Wuoj3ydHqIvTd23pwMnd8F6f4Zz2olDH70ZoREZjfj0JoCJ5jtvIXgkU3C5TDOYrLOsAZTpwy778 +tcWBEHm5zK0l9SYWhxldAPQuXb8NZwdy+ZPkdow60wSGJlvdRbeD6aaa/ffZ4tn7VthkXJSReHF wbFNmrdiiNOpEp8LJWuevsNCc8na2ccdIYMK/EGtDSn6CwTIVF2rX2hiRBnlN6BOM3XXyy6IQkL1 f96BJYgRCExsYhzc3aoPcBYDTrvy3K9Bgjw+gx9wunLqvxXCcXPLTPdn4Eka663PQstIdHQac1L1 eWg5bZPeYMbQ0oLU956LRS3VeT4/GdNRy1S7T4A5w9qD8nCSOEKCm5vUEAAG8D7i9tqFzhaeoUKz Q8I2G3woNUYXSdpi67IUhiHIkbfMkoz67UXtZGEDAtgM0ohbKBB3O0xepUCinu4jSRXmF12+8haO JdtTxn4IuqG5q5BzAnL1My+IsynE3P5Y/yd6/OeCf3z4s8qQyif0DumUGuLnyD6jCAaifZ9maLyn eswn4FlQlpVIekPBBJEkB/pRCctBwIJuWqvUzl8Ms/SW/592R5TCYUo653aFuGVT7IcBP+qeZs6G k8p/wxAlqaFSvMVSoHafxbGVc6wNDzZGo8LcL92rWchjWRpcFHXc5T6O+lRStuq9av97ZbI4FOJn E4Rc3sB5VISZUpoGGet6i2SgH28OzIlRJLxTPpkyM2b9yjAxstpimL0pqD/EJlrIpZe6cbHCjHQ9 hiJXYKT4/Z591bXHZAl/5wu/rqIOc0IhbyM4SDQ63/wSkBFGF4AGme4P9OtbhlSF3Pj+hIXtwPTq CdonLjsQfFtLGsEjLr2vjKyvvi5/bb+IJnuyXviTJONbvFuQzmusAnz29++1drA9TcxNVaJdcJiT U9SvJ/AxHpP0S7bdwb5a4SmZC8+jo07SPnGHgUyCU6Uz6CLJVmll4oQmxoEqRODW3T+6Ai9wm/4Q 38jSPXRMYkYh76VeKdUpk2k5wPGrGQ7o+8Gq4NNh4/EttZjg/Hdasr2vFPwYQQDKssYESWhgB7fq 828+iw5ZUB7NHtXz3W+S3WK1ZHSjv62ufarIDQaJJ1IPXptmckunoNZR6UZEGR/eU9psHfx51S5V CqRE/n5wDP6wOEN1l4z3hzQV8jmVoG0CAk2ofZVefsDmi6gxdJ7L1AGE67waIgqUX9jqr3aLaH9J vQmtcgKXE5GTPNCjSaPpszAToSEDeMKBidLyXQPWoU3DONkDbD5yM5VVW+VLHRVN/IDbvhW6A/j/ KMRHz2ZJ5GTdI+Kd9YRJQSZ5b8pknWksHaJmFOPgWNLNuMEZ8Tpzmvmtqt2U+9EtU/GL2tMNpXe6 2pV97ZkC5KGT793s4qJNPmEVVoLoO1HETXkvUnDyaxAe9OVah7TU2QS3ZPBWi40pPsIgmfRD4zW6 uY5Ae7JeacLCiSvkGazFpHc5abdDHPtA/YzSyR2Z5vJvgn/dpdgRCFPAisq1GIIJV0c4WKnEpdns H8BlSXowQpf+P1KOkQARS+hyIV2PqHcsdVu4a2lrSfcmhzcpDm8FmdUOUlQNYICy3JBse4CyO7tE KBNXnPeStoJBO+tyWWUMhfMYW2ycIyzJ0HUgvUqwNG4DuWNFCuvjFVSNdnv9sw9Sq5mXTTkOgtUC egT9nbaOuZT21oFDHUqAG9G6Qu5VE8zXB4MRHsC6nZHvl8F2XOb8lPAHGPDkWhlZQX4eqSjtuXHz Zk0Scs7OUVcgkLqAsy4/z5cEeHppgS44ZMour+B0GZWlDNDvJs2oup4vIZNabe/563o6ge7iynGg xG3XawuULSzDQa/9fTX7MfEt6Tni9eR6SSiX5IoqLW/8JsOAWvySO87vxxQnbhntysG7S/9ZsUCv 6CSBTHS2Coc6tQmTzdTFww62XZLbJUNtgjBU36LqSXS/FVsO7WMI/i1U6ZlJ11B9JZAW7QXYa6ET U/tLEKVNdp320EitDIaPxH4xh54KeSjmh4ziGQM9aMO4OtYNwi37rsUtYR5RNig44bJcjPpZpPat y/wuzJRCNu80wCxng29Ru+obQeDy8wf8uZoyaXhGcMr6Q0Qff+2Cls/khzg9pYFYxFBTvaUOwmBC 6uF1ISmJoK6oDGMjUQ7Maw3P63v7qW0hYkVR13tZ2uUOMSwTrGdJa+bNMcc8pfLfFbqN08PuT+RP Fn7JvFEdaSlPuHmgu/N+yS7JW23XXX2XG8h7fsW9dAc1ovQChoj0u726Gz4KuFqE/o677qTYWlEZ 2x9jIf8IW2kQ4fB8E5mW0ZDQyS2IC+p0dSTNTGTmlCDHaYrNK19X6by92WRRmKJhtxJKU1lZnRoQ Zd/ggi/vadqpAoHHOFZwonKRig1GxksLo5TvGsCX4lX+kMjk1E8OhIlm1cu43feWmKYqDsxIBowt cbSAaT2ioUwgSUG79DKQVuHXgaBPRbyH0cvPvooyJRxaoHeq5f/bqz5PadMeAFVMPxGlsbSsE22Z zj8DMzonS3eL+Qk+sot241qEkm80KzHur2qGP2icB4OS9/o5AKk9VPj0bmAN9TGAG7tUMXtn221u SEPlx6Qw5kHrY7kk0/AhcgvF0Myj+K3TM4JgTJiQC3V3uEdDvCHiZRgIshfDciGBqHpy1AolT1UB 2knrydxWZvpfy443WVYA1J8nZe25sYxnyY98yLfgBkr82gJu4R2cdcxIQ1d8GSHpEMIW0UyTozOm ekGY1+we6GoezCHig9GyUqkAwaMuvwmCYaQwI/HEidubPfsAy/m4VM/PbR0j/BXk6mLs+hiX72Rs sX8GfJPq/hQsNFw+6L+WNgjGurF2BVBBsC7TRNo+c4KfGjrJVaJn+2eDgmUCOY2ar+G6Xqlw8BFt sVVC0o/AXRmXKa3p9WPffdudF3j8UkC8Tclt3edRXtR/HcIvdvBpVw/vpNaSoCVfZXGxVI5KBlJc XIbWd7WXbBtSa77hoJTtZ6glbvAk9c8qg2OPUr19+q+ihX26gP7o+hUcNMolaXcD3j0t59kPVJIY it2JlhXhXxbWcZrbrWbuGI/cft0j7f/9FN5XivpD9QWzizZU1EnakzbMdWobCu3x2Uj5aXNwtXT8 oKXbpptXAo1n7ql1VyLCezFN0wnzi8fF2zxqOkDHayVcOexs1LTchjtNXUfMs+4TCw1iKyuhWNip 8yuoNlJlUasHomkmZUFRekywawZM1Ogvy9EKZBiIHqci7E9dV9efifF0mVTeVVz9Kvyv1rlJce61 huQhToOJlHHxBOLabaxGWK6ILCTJy8qw+MZZG3Q82/KIXYzjXUEcxxRd4jNw6JH1cHobZTRxA9tA QSbKfz/ByeAR9qf3aWzI0ePV7khBBJwJJ86g0w8yD0MOVYEJMxagsxNeft+tphrfRMYGto/UdK5K UuZH2QZyaYUDS+wG5s9tn8xh6ezBlw6PecdN1uXaEW8ltZ6iCqKp5GWjPwRK7wDejoGb9P47HdFa Nj7fiWAEo7rDDyj5ydnXVxFlRw3P/gTnxzgJpnB8xzxJ7jo+LhOsU9O/RoAfuz37eKSKPo+t4dF4 xRaU5U+PBU07hLaRa2OW97njSn/Nzrmv8uAZD5mN1eeR+gzpi/0bdhvhpuk9ANViDCVr6YwiQeQg yp+p2n2JrZz7oYPA4r2PFc66cWcr29b19t2bBTGpuDySJ2Gadjg4NtIixzYDV/GLkZENIpUuRt3/ NeyJIU1YSxIB8HBaLR7gT4o1T4tpe1vAeDhpmbOsYBuBLJ39fEhFHoZW+pxj+aGBV9dCE5DFXCRS U6smIz2PysnUGxZWqLsZAqM0dojoRWX7SFaEdfVeU03rNAVcDxDRdYXxEc926U3IwWX9CE8a4Jmk lrlI9Av9OJ+EyJm2t3glbKW4LejkUds1zPDFjsIJXk2B+JOcekrjuDoHiQpwd3hf+48tAu6gHeRR 6FkJFdjgvu+z2owEgG+rPjj9csON1/oE1yhBt5QbGH/dgwIU4j5ZqDTzKzNwmgeFAV3BSm4ECMsy szzn19hymII6ljL/zVkFzUVz5l4nYXNhWVP8nYyBKGZa6q8sQkpH/TwNwNu72s04H7oBjXvbBZfx TwGtx17cZCprT3BFOg555U9clgTgv0rJgr0AK3yon9Mv3I3r+meSxGZbBBdv4HixgzSTqNMLOlVL VDPHkKKLHqzqtoBKXNn4N5PyT7hhhV0pqeiWo7xcexEnLoyiGwszo0H7JfYo9LOwUKx+b3q41a79 TucJKKI3DNApnV87EhRTLB5TmatvaQRphHUIl+NHBOKQ0Yoe/Hxo8fH6K0wnQA3upzZTMMIbtn9N fYqyVmQzpHLDHUptc9xp2T+LRqowQSAb1/4IAv2bEo31BAl/f9hFo4kwXE5atIuQqs85tnKabBNd MMFk5pHNtDC7nXzKfIYtfuxSYxIa4nR4v4Y4Z7kF+rmImxVxXRUoo7O8fNAVmECXz+AdHT3dqi50 Yt/S1jReYd9JfElj9hKC+0WybqCzz4BO3YeUZyCe4gZFH7H57OUg9cYFXrqvEkZPzQ0oWWfCxvKk LqKODLjZmFjKjhhOJN4si59qIw0DifOPVoNVE+7ckIje6/OW1l71+0EdPqzuxjeomKmZHcWfeNcr yBc/yX3YcS1ArmxN7xJHBB00sB/eB0GoW2gjMuPijCsGMy01R5PPpFFxV5PZFKD4uOg0P5g6RHUC zQWnVJY04RjRbaT5B0ObBvPccyVGyHw+8J0oUwy4MqFPfuf1+JfnrLj3UKM4OIv8GAsOgwn1mcGA O2smy22YbTUnqbYpkstp7Wq58mqvVe+Gg5ZX+G6xv6YyBlRFekJggzkQ7mGWk5j8fLiDc+d1opwb UCPmiy64XCSNstvYzZaeRnoX9g24rMVE8wbNJgrkAOHlPKTS9L6L016UmKg0k6x7aQGJaR8CXS1L MQjbdy7Mlhnsw8h2vq81D1Stj/U72vi/p1Cdr/COT+i6TTWfGMUZbAaupbdF2Cbx3h+AmOPT51Y6 WHqQNGIdbbBOhX5rHZI2OiMb5aihR77KQlzr672oSA1ckY6tWkL9mGL9l/LKa7j5AQUteNbNADHC ciOs09zNdPB1gtr8NDvTT+7/sxpRKFTIMmR2DZNpwffSq6Z75OJqiBZsOzzn4Hxe0gGry1kGgtrO OQD+FGzfU7VGi65j7OmKFmMtYwe9ZZBrwHVesJClkr00lusgmP0xiFIrepvK+ygSETSVCU1JiWCa 6S2RPlqsctnwsWH5z1bhxN5/BA3H76z4N2ynlNJXL2usI++PZaiFQOu1CrBOGXlWnAxo1kttGL7l ayePPVeVgUFBfFSSTZSgUn284C1illL2kiqcKcibXgfuLmTQPUvJcwpSbQxlbB7Gw+0aY1OQfDJs HTbV4LZ/Hf0WBsYlJmOV9/mBWNQNk161WoyJVp/V5Q7VqrSdy8TPvA1qo1v4G9YYP2jUVsUfS0sj sk0IIkW0GdVX4mJqHiyE/rspXzazUqbsPMw/k53B82SSgJf8FoU0lSw9S8svGlwbk2qioL7kxkUM eN9KRRbBjl5zAG++Cw1tEUIy+uiVfIYVYyal/7sBLuNigdq9f9nIrn7Wim5yzPIsRLngbpUkxLU7 GA2J/m4GG2niIRuKdLPfwbW+qCszZQGKHNXMTRzk7wNmsY7UkA9ErHdFqOj3FcUw/ebQ0yNVPbva SEdYou9GGRyzsuprq5FTlT5Jj5hwf+H7YQB8U7MOSeO8Q2Y/oR3+KqDaMZWYmye5PyYOhv+RCylD IOwaNrzXRiPcQsosXwWNkhNQ9PGmGyCWNSZrL+rl7V+DKxMBp3nNFbWeOkVBoWnwMXJEIaQDxKdF Pynd3uh8uQGas44XVARqgoJWc9EYcfxpuxvS1Rs6Nta0I83+0hGDahceezVDD5150Xwax8BNXtbQ vix27ZUmhROKk9S5W1NzMZc0AFmbhPTkHmd5m9wYXSk+Zoh2bgXun8OgihYIKaLSP/LPafLm5mmh qsgbNYnEflC1X+xw0QLA7X7nQrEnmWci1poqBViQLCBOrSTkzv9yQWC2PrNdgjGzzKPBotoioEw/ u5CmE064eORvy2kyhiP1YOLtlIUCD7ahX9Z15RsxaiRkIMfX5gZ7mkrRZidlujGb4cu2+wGFHv8a DyN5jb2qHn67Orb4mqKx038smiCUxF1tHLavZUL2xPRnWYIW8NBTT5q0R3RZD1+xYy+0liKmUu/3 1RIC6njz/23zA6/3ZJz2HNsnGcWNyVlTZZk//OMwUdjbn8lvUVrwxXhmHYu1ePAztdg3PDbOKfsn KolzDG+9Q+VflkuIOB0GlCm6IPr0Dc27JFQpzkeDJk9sGOFEeMSeetpgK4RIhdyO+vZIA5COhXe+ 6s8x2uPAl5CUzDA1SDxScwT2g41J1LgAa6F9jmUENeTdpj/GuroPt0RD7MD1rH1cC7viSXLOykIe xDJ9YYzFE3D5LSOFXFJ7IpwrEQdKnvx4YJq/QjuyjbuB15Yv3KiirppCuI9WzZVhffph1DHix3XJ XBrzwSavxnuEI1+VUhvD2SzkEW5VCc3XDaTbbcSr7KSL3lUECyhVPFSwzQYhYmLWnHtWUnF1N+2J byc1sL4dyc1lOb3Zm5yR4VxOuIOdPzf/+7RLkgWDdZfppQl9zePPsVKIXuiowlyFMuCsgR+fikYl 6NAJhJk65lWjwQL93rfeA9BxQM2uSxWXp52F2Pbb00ImRkJFJmLoRHd08VowbIWwG8iPJtz3+rH1 q0YbLWYyW3SSgHpNUDopC4SddsRQ1IkAU+UGGFiWEYAnyo8nmd34f6JguJ6j9zUkRt4dDvC5KVZ5 ZLVKLzn/chZR6G4bvd6rQIaSfptVtyeFsRJTtzUz5q36cIidHPBmF49n5dUN0Kouqd9OrcviG1M9 eQz5ID3h8HeIe57omuFUyLIYf9DAFF8vMPHJkEe6KOZ2apv9uZjNKiG+gP8c803unD3yKmQCPgiA v2I7iVn4wGLGinrin77ZpmdBQ2ONWXEUS5r2PIx/3Z+Xq4JxyLEbkdRpK8THpvqx22WR3raynpUn MGdjWvqQuPfOzRTccvRVkHaGqY2SuriP8j1fGqAoLYKExnixQJjz4+MYz8XcAGuwa/NOaxHuXIKW yMTHUAyRCEvR1i9/9v0wnVQzF2iI9DZHrcRjNhqpgym1hbSO/XTD5bfgkTB5UY36S5BoGuwwyiBt IP1h7kxw0/1dRLc1uWxD9cQKwoP1gBAp4/pqhyRi6LDfmJAt4vfETsFi4U7glwNP+aT8v3r/eu0l R96aqjz1TBT+oi2Feb80rbckXnnFql6Kjfil/vbQYoc1KTJNS0hM1QENPunUp7Daez2bbuOKHLTE O0l/KmRbaLC6tQJKH2i3YaoP5kYt34cVDZMjt0sv6wTx8NKmslizeTHgR9scMyIIbOt+HqIEVZFM PygFafwRf9AML190DtlKKIranXnl9NTKTwiYcfFh7m34iPMUYLIQpCM+8KLKXAXga07/RGwEBkkm uBQ9zWC+nUAsNn5UOjq4rYhuSpfxwRoULC+EWrza1SSvcWm3Fo6mgXZAGnrwEGmZ90Tv6KXHurGB DrkmkHace7h8wn8h5m/c3KuBr3K6sgSW161qIzgWS9xm2Qt0K37yPUd8PUAp+m4i3zurQ/WCO8U/ e78gOy+AD+G0GgP3NksbfpCs6vMl9Oj6/h2S43uNtD/KejbHtExnEAZ2urwOcj2lY1gAb/IRgqB8 H+k4IbpJzLq8W6CqBd88P/Vo/9C3wDE8M56tpsqpFo5VuJwrQhBYUPt/AG1LNM37cNM0Xlks3jhq dsF6k8VYz8OKPoOsphrBbMi+7l6WYu/UIZzBg3yaTUd89/Dq0B2Nc5gm6ewojDgzoJT1WBEm3gyN W33TV1Gxm+VfAPvadrIv1N/8g1QlN2/JgX1JC9jaBlppLWUrUo1Om767LZei45qTvHSMVbdnXrwr wZJX45TcUIfi4CmFL8cB2E3xCSoO2HDhhG/2wCM8JI+frfLra9CVBWct2E0YRxCLOkn3FODTcuRS MKHLEeuQtJ7kbTeDzYdyaOb2Jzc56DkAX+eL4jqdraQ5qlG/A1ONp0glkfI4zLl1V0Pdd5vJYW3M NJ6awdjUcLuul1gipt44SmZTbDlAVx8Edq8VfVomuNDnxdE6ljRylPV4+NDljxCUUEjEB7hnr8Sm bN+qKhGWISV0RqdBMk/TSmyqcoXODJ1uoRpXX9SlY8H36XI7UNh8wqvU7TY7sqqZC5xv1B07MPEA Kep3FcrSWQTZeeES8ndNhhmHmB+v0uoJHMGY1MSwmFU1sp1ZAO8x9AmOpA2SYHhvFdUzjTXRvCEc xLVwxtoSG7Q+MNMU5X3Z/LQj5EgVAJ89xV+KyMkO1lI9o8p7NkgQvz+IuBNc9bb3Hfu7vcsFR77n /a+UuN74VkN7YCdhjT1XJWtdOY+lsFbvdAbVAqdJe4kLMfRV+gquREbyhqDr1/Zh5leD81ctoHFV fQXmT7AH+oM6xZMZ2aBPg2mJ+VH6oiUKqgdt483g7inHxjFqbn/VwJHFpeUXGeUpuA+1XXzayvOl WN1B/gzSnIDgJ5RC6gpeupFtOL7kiy+wLMVXRTBHksojfvDnRwT9moRzPGzCBotS5IRaWMjvnhTJ wEyPYaZUfxbE1YyKvtuRknR7W9MsX53qlEJybRbTuoQGTqenAW+OJlM/stNwZsJTxaoV/G52HBy2 C+/UyJRLBtEEL2z0fSOY8gLSQxgh2UTQLVa4iEPnrJuFpDpYfe2WMwxfshz7jgV2iM/+Nwk/ua5B QiD/KVBuZj1rRKhUAasoFgWhUgbpuxfhfMGc887CCJT4N/w5Ib/C+txa1ww+9EdHK/YUf0CCzvV9 Gge7432grZwYULyPbHJ8y/KAQKQklrY8BjxzgFI3mMXsrc+gRqsSO1TIBEpXU1dBvINrhoZEtt8a KTmh+1ML+NOQDLivTARDzGjA6xbiIlOSV8mC8p1cjZUCYkYS1+826CSa+HFdW5vobanfXN/EwVPn S7+HtBnjNlNM1JGFIcDBfAd9MSpcjHxByRKifASFWdBmlo10fGEgkoX+khE4zYTyWhPFfWaCZhew RvBpAh2F1PsiaXms9Al2nDEwYeIL+f5x8/iRt/1ofQPEaaC1Sx7DvoFwNgYWAAtn548TVqUHfl3v hpMNoeA6FZuhosiNgCVTi255Pioe7oLpwSs6lSPOvJGbEguZZXRRcs87b5cV21jjnOL/Yp+nfT7w 7eUiC0OPdcaP4kubIjYdCb8kByrWaiJb/9ubDvXoTY/JztnhUU4dnHdwkLbV/KwkkiajdtXKqDPR kjImY+N+7OE8lZeYsfchs6DWVyuEDKI0GAjDDR5sRdPWyu+wMrCW84TgI7/OGhuBY7qZ6/23DKuF +pgHyjjeGWkUl9m23ZOITOFaCoGYm1FZln+UakRY0zMdJ1+LPQ4fajetwTdT8I/CADZyl163/mTs uOITtdQcKWNMUE/qhVghuKyvcjjxk2+eGRipoVXwRmqtZfRgPNU+YLwoWxQwdco/4R8jrzEYE5NW yyC+f5SZbAcepvzsxJAkm+kZYgAHx3F267U/h2uKsirdnO7JIwahzdyF0OvNn9c58+xvhmgJos1i 1jmkPWxMjBYCnMb5Dkm3GqGgGsLZhEdWi8/bP3Q5VwXJrRTJwzAmg99LW6wd5IyciiXnuwewxIrh Npt81x2jqZexRb0jMVBvvNB0x4sPrNieQYEHbYBBS0xqQs4LG5gGobPUSD6SSsCQ5ofGbkxze0Ue V0/ALVzE11OSBdSMqQtsEzr8qQBbHRluEtbGG5RE4Y/H5rzTOloyPP3INBKJHmFFBuX4W3OMIE9M OcFkCmWtm52mK/h44vIaHNinLG4Eas6W0MaaxV8ShUMxu4+I4as4UvbXs8qOl/KFHdD+Nc9tbkbD Vp9jU05CuVCakiZUZYSxNf5EYOH0V5CP5f6PhN3686P8UmeoBJKDvpN+uZijDC7xavJ9hBx1Ud07 TgtiFf8ZRRvDlfBVn6pAuyLXEEBCQwjS3gQ6W+YSRPiyEKbusW1neMtpOwkweFRZmPP3audyOnMH j7ILLwN35n3EEC0/Fs7I5y6gDN46hBLNCVBpCQzzg+jzAA7MwoUdXdqYwvrnyo79hU+pHGgUkYFZ S76v6KUgqbtBWiU9pOmHWYUSPnXYaHYY0eURZNm27axTdo9vQzJ5r9A1sryRW6PJ/HkGwGAIsqet PdG9xGKu0kbx0wL/RbV9btbmrwdcrl2xusmit73nqRVOZ56M5fp9vkX/WVSvX5oWenwzGHkgMX+j cXrjkaVoBCKAFmA+oeKOZwbGSpMJaDxxsIn3/6Ra+VHwAhZ/ZY5cjuLwVzki1MP7Sp32xVNqzI6q RzRpgJRGn1Niq1PXMh6z4K/iFeotDzFJ325s61dUjXu+BeWChYRlwgzc6RdVjouKBMYY1Z7Ut/VA 545nw6m24H5FNy7ZbBtctnSzvASnrRNhuATQhRsor/pfYkZzlwsCvqBWvAG+DqbDdP3PzjXwG2YF qAA+L3IrHearOskrNwA5fEYKSYxTPPML1AICbeAet9PaJRvwnO+w9WNeqlQcFMdII/kXgJ6hNAsp TvsiGXX/WeBGtLrreR055RdAWpAr49r1xSLrH/xzwT9vQ69SFbsv8dq92NoEDJBdXq4Mb1P+DUzi TQmLjrOcNsAr9STcEXs0x15Vd4a/zjpQXypTQcUlLowyYFMFKOKstxsFhAkROkhqXEmWlNoPm6BY Hn2Zrrwf/V+HC65kqsJvyZ+bEJU358ft9TMMBGW+3iSRtrGIbUQWKbTcbhM0CNa+920fDPQMB5sY C9dUL3cq6fHP8zaIYyVMMF/95TxUPGMx2FAOZL7BMCH2Xvxw027Ntl3Fmz99oHCgwwagUW38M2pB G4rZwl51QcbNFeUTtixEIqcHWKfw7R3Q9lGbBjPL0AxzC16buPRdPYW4tw0DBC8YnPXRRLN721HD AYiwkpfhU/gOfA4zmIOU3Xw3bDBiUXazbeTZQdTPhTPclnl4wifzQQR6gSDm2pZ1VzMU1Pa6LA1Q jy8r9AY/Ld0M9+TZTXfPxDqFDfJ50VAj3m+B0nlBOKtZs4kIIDTfUf2DkLcCx3NkzFYT238iJQLL FktV0dg/EdXGY/utU69KLQTIyQ2Al4cJD1LtQQ7kDZJ8PCH5//JzQnjlpNIglzY4A2At45MyicU5 gVzR0o5upsJLB24Is7t9Jd+IpD9qzVFqaJYBnY25BJSDE8kUILf5f0eIfcsuo6UdUSX/gD1YXdmu KLPJgK2+AJfgk3dUyGZwFL02VgcEAa6FSI7AHR3TVPzovuA3XJtk9WoOHgN1EShgR5PXFyiTCRe6 OdE6ueYvUdTYGDokCIcBJsIFgQr+5j+3RCeYHf87nsc5uTPlrTwaBnMSTtSdxonSetgF7415GQME FJvX86kHHZ4Rp9KUAMrSmA2gdD2jEc2MREpbJtDeRTeOHrXx/bm9SQ4ZLk/4qXNm1wi1chabHo2J O8WeEHq3H53yq7T5T9XPf0ZIBbZ3Pjy8hZAABsNVmPHbuHe2/1jbI1FSbEBhO34IiPrhgE07LgoE jjDF2jCUTF0ziFZlGOjGiKixGG99pcPlUZ5EZw/U5cR1kirlFtyq1JGJ0VvEsZZOhAzsxbJ6P4/e uhfSbb2gcI2Oed2NYXzj2BcuNqZat4ZD5fNyQzDdc9cEax8r2AgVNuO8wLl3UAGJGwjzUAJ/aawD MiVAkYafXZBI9Eawwl9VGAxgQ2oxsmiLgEWaGnb+R805XMVTFxDbQdC24V4JZSGw+tdHXUwiKR+J ALaMx1PsyXx7nGNLxoaYvEo+S9fBXehv0/qyoiJhPOlN0LRkoC/qM5DUsKvOxwLbCeD/ykBJGwDQ tfBe14AQQjFrDlGG3LlUBBEyajVdvS0Kh19xK/JSOdG2Lsf4lcPo1jotJgiaCsNJ49IFf8wK1XoB OCbyP8pG6uKNwUYk2jadyQolBlnqtLewEu3X0VjPZfmSbyP2zUozEMnx21pU3ahHcW/H2V0p/xUg gXVouTqOJBqV4QmKVqdpais8exsErR4qoN3XhAfoJC3znQ01cNKQZ8qQJbTpLgVIKh14UyLoczDT LFtWinPqgBNfP+3V5DvWX0bOngAzb49ayCBrUayz/T7ghbwJSjVDAWA7YHccZPML2FWSQusH5KrM GJglUZJH7vx0L4/gQUVZMSckx+e7VfD63LWB+l3kaSlrAFzs4oLLPa3X5jaDjd9rPBC8jCPTfpsF 70Ri86iRPpUzPmuUTCeVyDWkBH4QG+DHTruxFOyNR504pc+uEvy2TrakSwJU5kAYHPCxd/HZr6f/ n2SM3TBjvomhJZy8HsmNure+lmueFvzeEdQmaVqCqZ8dWjXXQ6cZaMujweL0Tmztxdl6hD5eeX2v cLDNMGEn0w/RhhPf40Q7GJJz2Hdv6kd15/bCetGMJsOGqUkAkBQdMvw4WFB3tzGYGOQ9LNZ9gupx wI1VBxXdnGf3xntO4jidS2TyBtXJvYqAud/0n3SW9knvF0/M89EfU57+tMcM/aAfDYgK3CwNzikj +7eq0JM63lQOFEmZDoUDvKGyIuDUnrcWaW+jY/r3Jz6txk+aVtAlRuS5KOUdlgq9nomDgliugWwi Zrh+K8tpUMnfFC77H/cRJKJ3PIc4dgAaf7vW88VqzThsVFR13NC3GUAI68jGBejRMIznc08GO0YR bkomFJLPUONkjpMcCCCInN9wXUzmhyqq2MvOk/VTfmvoZpMM4d7Wd1OMw7VJjqICx7F0ivrlSZQh dTxRFSfvwdEL6AHEZOHXEBYbOuoCYr7pi+UQFUZiw0cTaXrg23OzvCXt2EDfy7krjXUT8d9km4MU opyNDNk1pii6/ZqMtHqXkVAjDlmaJf9555DOpiaSN/HjSPUypfUbSRz4SYyK7OcXOjmqH7Uz4VHJ 6J0Lu66UoJIOH/8VTnU/Cuao9WBuDBKj0vUjc2rEggKGn+qo3P06bbhAFffvJIEiJGWm9T6bplOx 8B0DC9ZuoQsKGLiMcE7crRp4g3JJFi4Ayu//3330/cg6vsB7f6pNa+Is7f9fWsVDSlDFSDWnyOY1 YTmQ5cBpZNIrwYlWVPn81SXy5rW4vT0voC+mbQH6T3OSqFKqugZZlHrKMUdNtS3CgSDhx7zpvqTP BiWzEg5su3BvCeyGaTnsnBrV1KlxNoTakYm7/9z1dZUKxz038i8Iit5bghvnlCM3KlZjDGzLW0Vv C6ssmEVa+UXBv26zq7F7D0sqD/XhkfJmRr5HaAh8LfUcbaoHo30DAVIkkTq22/JUeaW4wkxOaNjL XMkSjC1wqg0zukLl6TC6mSvkLf/tmKG+5rLkOKuRO3hPTxnaqElDbQKGXH4uzF+fkiVyCd04b0p6 8cuj0bD0FDwvusfFfWkC0zr/BeJvy83zut4Zp2KOVPcgKIe6nokFIbrr2VFQPSMADom8t9XX0EDn CFGSeJZW3Cv2pMiK3lfIHcuLCGkw7WghW+ASxQ0W0uzhkiabQBQhzO4CE9EJ6U9dC8StALASeHlR G1GDd8sbkpNZxpyi1CNdrDvyPJqwpCiQgdYSd4hPE06oO+lEl22O0EaIqo6AG/rJBKhr+ngR5oyJ VP4vMgTC0xv9oP51cRAv+T8jTGHpJhbVSR98kDtQZmVP89LIFyKpurEn8qBTVBv87E+5DlSt/aC3 Ds2Xj0xi3Uj0QResptNPsWLoeu4iHa7Nn6UUPhd1bTO46ppVP9s5HosI9VK6C3vn9mFCDhbmwS0G 3ds8nIPDnLhAnNZ91lrWA1NnetphCBBejPFl8YVuxPz+unYfKt8GyWLJb+eYfKtupC/su5EbV2Jy ZufZ5Dy7r9cMm+Oo0jwBfPaoIyBfZkmr7PY9QXqoTIaMeai9BYXkjtmPA9ygomgMQ1ssvNcu3/AY hh3P5sPgfV0/UqUXnoxIrEZuAJl4YQPd8G4YNwVCQl46Ll4eRkpc/QDA7gzHdqGKlRJGw4H0Zl2p Jcnop7jcdju8uGIl1Pd4hNJRbeVTA4PAnyrMnAkuaadaTdz9guym6UuYP8I34kW66Vgg5ATVM6Lu W/Bx38GARbL85YFoVhwmwRXb4aBnxw8+cWiTG9sJzgLU89mtK76A5t1P0qOBkKBeNyuU8udXGipR Nr5sBcVn3woodnkEVtrQRN2pWRbg5vLyX7iiSHV1FrGDbAehNpB+gPTY6hYOH5y02R6lV1BTEA9v VgWou8w0AZ2ZWEuN13V8nyg8bdvHs9VpQQeTvMH9BHCHW4HIwaIKZeoJ23k6s1yoecCofQbNlD7r /eWcDr/bMwubx5yJhvWPRoe0QrmBtDgdRHn1u+tyvN5VB+M+rnH7JnnvDRa5XtlkrS9+2HbwCXXC LJptFEoumjqsxTm/iRE02pttrLO1GFtzCHHOwkFLOFkVwOwhXnU+5oIGCKpka+DqmrQDecd1DZEr A2PEQ5r2P46FOXVh1us9GaoLt0JUhN4HpziNubfe5Hg51M3Rl2To7efmgXB2DPuroeVcCcsNhSiU RGRvArGDYFeQTe0x3y6Ve8ZhDNudp0gBtcmu1xJ476vBVlcPpuckcp7QRJIBAC/Aw/C1jryH46C2 XlkdKV0joos7xgnrzB8AkultE2Qk6V0QO86S7k/2oIGWuQ9+j06rNme5thYvRSHjnLDnYGzxxiCh F8K68iz5+Raob4EeAaKJdLmM8+KbOoLwUyukYrrG6RiUtfFLruheOrDoYs3wpRntDZ6ztvF/VGyt 5KWteo6HwbfSKAyDwYWXUhUhGFMEU8pV5YLSlFhfmX4wKFeWGHmmNlXN/2gw80wZC/g/HWFKFMX0 llHmqjtLOPb3chvdY61AlYonPqRG5mJLfDRXkBEtakg5vVPEcSeVZpX10zQfZIP+XAJYMLIwrfL9 6nJpUfj9VHhvr78LGQ64ijCW4dQgbnF5sa1yA50s9OQqv5gKmJi1R9ijsy3exqvcIPPai5izasN0 pCm5xaf2kPUtr0JJgFOu4CW8TOzXyQyE59dNXUyrDM0dt2/nZvtK68kppW1l6PmJH7JKD+jggtgJ RPgjFrEDMgFPEwbbrGLep+GLP0cndYlXScnPAc0CK9roLrTyvXT9uXD5Zv+cIV2Xc8n/Xna99LfY qq5xWfAqyrbYkUYv6TO8FkeItxgMKDDa52E+PM/F52fJwsSMX/rAcdwmNkzkairDdrCgX83jYGCl 3WNk4wZcVLMWAxX5T7XSHOFsjtxZOdTphyB7C1eazWgL+0eq070vXkFHmGLY4uK6B9hspwJkwaw4 uV25pPN5+8WHQ4rlsdi05BDvOu4wSjbECalrs0gXzjwk06FXkOZtRkGloxO8UJwOFGhc8cGHFvTO 4q+nvTmwpBGaMt6eO48kA+HZMQQ2XGFlSeKXxutUShdKzshm5RDyMnI4XPc4BaSylx3cipn1Aw0S uZwtnAc1T5gv0U9EZhR6hMPMSn3px/AfeaPdpTMQZ5qMLmknWTbtm3ZTQ+MnkbgsNT3CkGTilFhA QHP3IjfJBbVkY1xYvAA48cuAMYk/dScNk/EA/awhIrF0ICh5yIvKPW8SwIZbtmCaSvA37fjsLMar a56vmvhL+/PKsrEeZ58trdF8eL0+Po6KMcfay1fcNpbrVkzm/OWyS0hgIgbck8LUbbxO3C1+QeVp 5+LnzbZZD5iNlybla1xfyIc/zhhDiFa+mALUWVqtv2rZ3Xf/OEwebBrYn8e/yauU0jSCL0FJp1tH SkYWxieiIwGknIG4+KidPJ6JV2Thxqi2vQS9sepH9vLqECniLzlT1zaJdOrzpcdMmYcoPFJapVHM /rgSTxyTfdP5DPfJ4WGP/tGL5aaupTjY9sTEDSo9Jl/Xslb0qIOeaZVkCXMthXjPDCESLrEIWXL6 /7V217vJwZiV3C9KxOEPwU24iajf0qHNgQs+cZFwdLA6dleuR1UjFEsDbiHUY3wzbJY9XqRxoB8S 3RcHCz9M8nyXUb0vvM6jlOt1mHQMMst+Iv1FT5O3KsN+ZmNq7CxspoYfsz4FvPV/U5Gz8zsOutce apN0+Wj5jGGaTmQWg6VrVtA741MXOBpinvqUm/rUo+2VlD+5A6LxZcjOw+a6F+WwGNYumG5q11BP pNPobZibtd2HM3AFZ4QyrjHGSGnXPJQwRcudtHxs5G90hCQUlUQkL4anUCGvlX8Ru6e70cnyfVJN 0X9pIx7JFKtMWzO1GA94Y82fPG1E+itmtHCZrMgIqN/4ncex2X6f+puAvSpQNx2/zjMLoNJAZNlN Rk+C/t/aAMlOYQ5xfKkXrFT9gKnnVKApiRKuKuUhNWw/+Vw0egCL+j6ULNm6Eq8Lo/HTSbE2BHOt Okd1yfbenO1b3kvPI6RowCyZ/THakTs8C3oe7OEiTIiiBt5HISvi8/PgdCqogYVdntG7OznvgQmT tMuif8Q1jZj6oCk6aa17BNVm+p+sAMQU/jBhsSGwA9EwqR0mVbkEwy/zsBMekEdMXCLhTDrOysdh B4gBtnqqlH3TthAXojNvwZw1XYjw51oHuPJpVoA5znBFBfG7fZdvlQITzswKyp/sahj62BIofY1J Gm9GTPkwGJaN0KKAnVJsHuDGYxypmhdQSswQSJXlBzE7b9tJlR7o44W5lcSxQv8RmD9tS7C64Ie2 TuJtOzL9WHy5+AbfRFm6e0d0WkpuA2Z6EKCaKx/qBlXkAjtr4kgDbX8EsK7OUtY5dz+WTVn+SHRc LFPBz3QuOsPIboqznJtHE4eV/rlM1yTJ+4rtX7f+EgSeLRZ2mnrlcmT7rAoVlMGR60nVWytkGP8x 3NnqX+H3nMs5dxMGtyXy08CKhY6QtGNgRsGoQWeK9AUGXsIWQ1ypHsNCJH7JfjqB6ZWfUii2VPGv xVSrM4hZMeqZ10acYxG4ECUBQCcBfhd2BsB7ygYCOe8d9k4mmuJAUN3a9yWxQe8Fy9zDcKuU97sW lCPF2yfcnhi9FZHl3SxyCLhOpl+HqmffITmVZATK1A3CwT48Ly6B44hP36IkZ1BV/+2uQYLqn8U6 XYkQzzkIoTDC57R7QXKxstKITsmM3FO049H3pzAz9DbgX7e2os9xT89R/O7p+yNeYuJvHxS1mCqC MEV7BcoyaWlAVd4+X7PGFaWcxF4Ne79mEubysQDUh1QLf494bFyWATwuYyNTLWhVeXAMqq4qSfA5 nJPDM2qho2+lmf+Nyz4ce4UrChEcYUQI37fNtlNaSgsZyAvbuUeOJCYT2WCFaVF8fxRLCZNHvsi0 M1mkPsJ6aD2NAUvQqFMZzL/WauQKdhXymEOGYYkKan8Ls0iauf7Tvu37Uc82MSVOp8csZfYCpTNc 5aU1Gg/mW4ZAPkz8+jPpzeTQpoGU/MY8Pa2ce49l7Gbf0x+wkwbJzFtd6O+xwRH0s7GzXq0Kb+Ee wWr4uU+diSHp9CzTXmfTEOAY9U/HtZd1GrKxfSC5gHA1xYWSyUTu0HQ6fMdtITRuybMrMeHrb7Xx KMo6GqznLJx0MfLrW8n1G32mv+NktVzy/UrzcXgSsv3LfoSedlPWoCyQYwXp7ikmaEV0FwfL6Yi+ 2izUpyVLCIr9+JuBnMKtSiclcskV7htGE8rB234EWFHLo9RrsUiwoaSBlcAKAYTSbAHxkCjZICtl yemZGfzLFUkEq6zhTt6Yz9qcMP3/eRTZ1Bc5aORGUafTOTRmn2xD5hDZZfVq17xYlmnqhY95xKlU 6roLiQJR9KAfrsqzVGUJfmtm5EiyOq4QnlsbOd/BGocEgTyIOu/rbAgbKFjUGKP9y5lo6r9RTINK QF6bRoEskyDCjREJ90vI7XkSxml3UHLRxIIvc+mky4jH2CVcavS8zPhIvz+ubHKr2N94hxOGJHCT 0O0DL+DzUEO/R9CwdAPnL5P2r9f9+raL+2KadPy8YbHwwbQe+Z9uC4eJrXBoeu9XlBIR76ZFu1jG bZGd9SmaqUuNuI+7xMFO7JuB19h7QYwdElA2+u3FhUTvhvVGte0kfSt+4vTSpR5LU+WtE9TZu0Ci CvTja6GkhUQMqn4A2AR4H8UBGMO/d3LCZPt3JmTPk2FSwgM9//94Vz8XzGJrpIWao+e7nGGrsP9x y1qcBXEOoHQgOIV4TAiug0qkYKEj81330oOP1faMiz0M1GtHvhcD0KIBopM10IRjesEc30u6SoZr wWT/EfKLCGBGq8TfkxUFhNrHUYEe4PB30qhfnevADtQk0iKVRBWHS6aGtcnr47B3cqP/dkCqEEbn lM94y/u5rWL7e9LdC8cTVeDtIacUxwG/y2NbweMFPanzujdWIE/XBtE71LkKpX//M6j2YYBEsVC4 yURgxbtzzWpXUB7g9i1fdaVsIHR2TcEZSO0ib3m1l4g5/dhQqe8JVj2aqvvAh5PFQ9K3BYgoYQpE zP2rcgULjzZ2TaZxXkOIkiqxRM9XOn7FpBkh/L4zkiF2o3CGIyWSqr/PH+VRtu/8crMhJhxasHyV uMlOS2uwRdXm8pWhKJPGR7/2EVNqWikWuMHyRuJWNXyrnhanRvUG03086C7mrPlxp52CbY8II09p V9arofUIBBSbUUsLXL8yIQfXCgUxYm06a+m9bLVNYBnU3Hvk6o98pfkykSc/bsMmHd0jpZTN++lc jhn6kMXpFHgoAQf761fYMlH5ivGDrjbsyjElyLn2e8LMbgSCXG4uM0zCgMjEV/EnM76jqe5P27mJ 9i8rYENxBrizmkRo4NaQBdtgjmfSLI3p1ic0Yju52GZ0VVDMi9N5BKfjY5kOGFdazT7Bt7Wqy1n/ s8dQKxdQ2dkjInDYtomsQzlY7NLQlLe8YGbnZlJjYo6+9BpQ2WlnQC+nZT80miICVl+FUMYhE8GM 8p57NoO+6204ce8dKVU6drhMV5HHqtsa/dtsEFx8u+3g7djnv5h3NGM70RYCWRK2EjqpxRjOTxSK BCa17sYhCpI8oOM/hR5t+YUWFb4S9Yih/s5Om59R7hm6gvrEQknzrSVSP5dBrwUoS1ou/Mc3iqve V1yVKK+mWaDaqX4jJQpAkBWa8cR7cgmTVzg7jChRc6Nmr734rTaCF51TUh0jDK6D83cYPkWOI/e8 b+dfTTSK/Zu6m1osgTRLQohFDzaBwbiN5medh1Z+1aGxDv1MA6RGrDvAkJKHM2nmI3eDf9uWcvUf aYcAdmxEfNsJnUy+jB4D/inxQZ/IxtL+P4C5F8G198ZJd/YhW38JyDEkwww+CACoICtl0T4Gih1H MhcX8GpwmPJ3ypPeJxdMzDztGcTvwC8qkrwvzZGKLbp90l970PMrRvftImhNA8AKrSrRdg3X0jPk Gljh0OyZizE+CDC4xbBYd90wSkfjIXeDRMvGzX59pPKGkW9+0VXeibqPHmdRyg4v5Vthk0bFoSyM S3fhc25IQY0sjijYbJ4pHuQ3RW7RbHxVn+ALkd/qBPRRT9XsW0XEB+atPSiii5YcbfwZtn9K0V61 BlGQggf/E1NRgFn5+RCC7H/YZ7mRu3ApaGK0I7PwwDaqHCQWdyJQXg+YazW2+192SCOtKv5uRHlc MMtMpbF/68ABNukg7zOk4Fr72EA6kYxQmd87MfnmoBioGUEJrAoK3MtWmQy4P3ruNdsQ6A3ORvXm g0gr4YDoWPUERols6RcKnGCQXUkMj5Khalv86FyN0N+6H/6ehGXpxNDPJYSYPh8owyFJ+dgW1Z55 e608btkZUAS6pjaUN7iWH2UgpR7R3YXr7ZZcbwtf66SpxK4CDR5NBV3zBgYFHQU+L5Wm4FbHBFZH sunLRR7Djsu/rM3sOLdrpptE7m0jzW0BYlcZb1DDwVoFMUkfdv5HsPEgY/lO/UEeFtbDddlF9F1V 9d2nkiBc77LGINwJXeGhr7DiCvKmhljtIiRzO+odSRT0cx82D3BkYDdAIRexxa585utUcOd38D7u NeXLRFiqcPbOxlPIAZldcNoczfqHm3t1g4SPS9lP+u9YhFeQ3X+uoFKNlmq3+6VgLvd8/hOAyNLS EkQu2tVcDzg+rIAhgB8uiBEPGLizRIi9GexcUQ/1cgiSgbZjIsDj3SYOgeuOScbzLAdYfMddhBTp YxlmcWwQ0m0HwDX69HfdtlHFSCpq+iLMfH/ozD6AHwo/QI2eY4PVPLsgWC7Lurd0YpB7YQfmb8Ym lOkLmdivt3rMn3+aOsZG/lUXOxB15oDEgTgtmNGqe/SlSWae6/vbTYqz+Cy0jSqB7YfLTYX8fO/l ks3cgR6vd6PIvM8pR8yypB0moCl/SyDrnbTKFTRHbrSSNWT/1txS7LtZOQCCejBPtRbcZOLjq+DW ntMw2sGlh4Ahcawx8M7eMMgicZbOZimFbmhtTVi3F5zpo+f9Z3lxBckaSpc/zgCnEqt+dH7dOlcQ kpHW8okFYhgWVX1LqUKc8rrmMpKoOlKAtAruwfFYYtmKOjF00ILetHkWmXyTCJRSnGaLhl6rjMwM Y2COpzLvw3g4fWoDOrc4p2mQ0WBD0aPeVYzYkBzBqWxKe8RG5UeHEekZt0+rmqymgHwgtki1AInr 3mCJB055QAFyrCcDvuB2mPdt+UDfZbEnJYoTQ8Kx9S5OQGhSzceaQ2QH6H+d0ylwhY5xPdtR4/XZ ZQUizC2Q56UNOqPzu8FBT1NcYAlPiyda8gCM0mBTDPbbd/6bNaHYwEvTobCviDGDhxTDyhX45a2+ wTGn8j2QVI8xRIzZnt/5D6Qn0LijZyiTNJsEE4JRgOHLTMVbUARANcDxiuJ70j9KJ1jMQbD8xkO6 kX2rarqXVqhJ1Y6pT3tYHXFHC0gX3hWNSTcMvPnHgjS8FctT1qsVte4J2rUdueJ2litdJwOpFOsx ibBPGZbad1P/GkKiC7lK1nzWqgAak30h+dzqOvH82am9R1uC58V7L8VWIPtlb7PzquoueWAmE10u X1iZxVIBt2hmryeGhxVDLxFdCeMXPJJK8jdY+Xc4xB+SCyKZc977dn8OBelUlbtM+qnjhyPRBxYf cv7jplLzZDEDVlUNpZJJT+LVYTzNNiWMi2+Of/XaDbe4Saafgk9dSTbIIeGsv9a+JTqtdSGkHjLH nG6Z3trLV2/8EH+IjX8LqzkPIE3682j2gBqVj6dLM+1uDKLQjaB8uHKuOYZgiFEzTYmqcXcy1+35 qnS2pMX/w5cWxSBTJzao8Vo5G3Y57h9Zf4XeL1JkfrDaO38ZRNxzBhKVdi0LTEB3n+BIHZYJnS2C Y49zCQTYzIQF1OKowQ0HoFQH1209MS7wGPm3A/DFKA2WOa1ABJi+rGMhZJU2h1R2AlFh06e6WSQP VtGc1JzJ/VK3JcEBvMhGIYVIndUOurKP5hSepgviM1yB0wRzVQ5ESJvJufCzPmMFgdJVH1bs9jcC vfNzjMokZh07ifsMzEswpUHUFFAzoOeqhhiv6iFGJMt5ZTANla/BeFB4yNOtfE4/zTeb8qrQEc0E Pii41SfXHjiDxhm0ZhIN6/usO/5jZEhg1r+Y8ZqPHxmHwDvyyJWiRmUVq8H9HhC45PVa9BbVZS6Z Y7rQA7a1ZOC3hMoqXGoO2INmAoRVtyTYlefzdZQjw0uyquStgkP35LLAbnrww3zXC/ZQqf5ytGXq IlRjrIkAH2BSYMrWWfkWcI/7migLrXmGXFrIdoRMVNoE1wdYXd2x2KiQcQCecgzXmTvfroCdWW0b sepy6tJF/oETd7M8QKpT2128lIkOjgLbBbc9vWMDVoqrIN+qKml8A1bD0/hNu3urTPT2OOjnty7Y 6qdRTG0QdRbjsabuhvyGVNzcmoil3Odl/cx4DrHXSilqbAtm4LLBaHo1rIz3JJ+4s3ivuSS/Ceyq bPJ1MGL/OgL8kt8JLScrpe7oGyBxxGbxTMJV3rAr5bNAgsdHTIPZdI/R2zaHtIuGWApaGB4IF9y+ eLrQNXkySem6swyc0i4uR2UNbiyx8s8CqZ8/ewRt6ou6tK8FwtM1HXU8jTQAANPCio/cZZzPnHLC eUykiCY/NtyBly/6AgdIAEM6HyF5MV37JZ/NthSqDB3LfwrVER3EiQRz8ILnnqs+V16s0WuMaFAn tGJkU9TSXnT39Cc7iZa1tNsUqLC5toQTQWnWciWEC6M9ZNpRM8I8o9ilF6e15WfBCpQu8qMkByQk 2Yr0aOgVJGdNCed+u/jKXZxwZ1UOchH2JatwX4jEx7UG7FgiL1lsz6xgzWqKsdgZ7fVLBxfMZgXw mwswA5czyrsnwaY6n4Z1IyNrBwB1nh20bHDGlrVMi6dZfkzHD8QEg817oGEaCQkgX+hCYAH0He/z vZPer+u+dqvDF0ReZ81gSezhEdTSfCa9OKsJSHytujtEPzof2z9OmE6mAj+lfFl5BC6mTcgytgZB HwGBKEMjkuqP0HBYwie/k7beGG595j8WOBKMbjebIJfPU0HeNeNC25n9nDKGzDW7MLI+AqftcvwN Oev53IzIE4cZRHw1WwiQvcW3SZGTxWpO1hoFAsWZg1E5stNepAFe1IocxNTvYRAJ1ocQQzqF9wt+ XhZs1z4tW23APKe16t1N1yPEEaT4/f6/f+0NT53sPUm+u78VHFdp0y5+OP3KJSAPKH5MZzcA5mtK seT+xa0QnHTw8Mw7vbi2QIqCuID323QVAi2gO6r3tkwPhH/wQ915t1SeM2m5ClgNlRr8nlWXPHJg u7rgI/HCFNKPNnSKIXWlHTzRjzaV5Y8LSOAQSgbSyydrNjEkWIJfIwJGQ6HcdGvzaP8YjyvfTUA4 Jrj05ZTR0D0VUjXaRXFb7uZ3ZnbxqQEWcOsGbPC9HRMymcFcZVSDO9o3+e/Yvq5RRa9WqgLCePeQ sunJ8nYQxReUslw52khJwhm4fwJPrF8gPlSwTwWYyF6qW2yUPNpgJZO5VVe2oyV6g1OTD/bSyPWy mFUHnUgHzP4X7iACLZEJ2i2mgxKS4SAgAQgnrhzLLp4uyXYjVyW1tvDN0T2lGXjhPlM7MUwl9yg0 aI/mXv+skXSV2MJFr3xgYbv0JZK9RwaQ72WI/E7r7wNY+P+yRHVjt/xDz0gZdvQDATd+ZOGSYrVv pm70dZcZa+HLDSruHTc/cwe1ZOP9WmsnIxEWLCupqkxRwd6JW2TKLRPMcHgjvMCPbplya61dyVGi LeNSSmPbpqPFyO8HqbYUSwp7qSoJHdDeHLV92L5ayypIw1e9G0k/S/9TcXbY605WTSQFAKqpYm/X htM2cdiqHPg6U6NQMwEQ7MSFt+YuimCSI19KJh+vWgRir9TNLDP5T7SXpCnGLWUNOSGlpaPvhYa7 OmzdB7VkigA5WBHa6Dsju0lJn6xGVF6u55RZxQDs1/eEqai7SZUfpFcn2a2lge4oCduMGx3xfUAf QQriCn9jJS92cDcfwpMNLxi14hS67ua0c9/sbUg/53JFP/nrEFc5VIKRtA0OatJ2PIaZPj0xw3N3 WM4H16I8IgsxUN+jLbAAZl4J1KS/B50AurEDVdyD7/1deqX818DtEJS2KVMoDWV0A0pd5SL5Lhcd T4uaBq224d+71MLWjG9Zb4Kec0fZ0idpKTyvFF7nT742v88R/mmQ19FXrE/ijNrAC7y1AhXe6Mxv TR4Fndavv85QxLJrkNAu94K3uLtaRmvH1COy1RgC/laLV2/wYfgbct+FzKUmdBIQA1ci6DCYtbgE VjJuL607LS8Yj1H0w+qnvkSHIfgZKxFT2Vjm5iUQaavo+lRP5DMUbcNuuxudX1i7DZIC/eRvzNzv MjVHZyf7iYzfEioWeF7fd5Ce4AcFJdLTs/oBDWTUGc2Mh+rd4tgFTUST7Skjv7l3zuLK9C8zIDQ4 HhCkTlVcbrxNI9K2kveBeBm5BG9HlyE2/z/Ry6MtwoSkcAHg6pLLTBuhdWNA8wG3+/3CWcjgkWEB dkklFIrRTwu0uz2HPy5wfxBX+RycMyie9g+ObD9xH6WL1wF9wKJZy/SMjSOh8oY/aXCTa5Rr7bD7 OxqDD7gt8dykFRxwy/fBTY8TpR+nvWNhBpd7DcahzyQh6qRBLJ5nDH+myf0MJJT0Dcj9HVLj3/M5 wTqNVbttrRuQV+NSt5GsmARwivNcnbxtblj+pZHj8cwepOyYEJznHRDDEGd6GMJG1R5+AbU99AvR U9jUEoB4XAN9skGkTF99xH9xSJBlsE4q9SeezzitHp8z2co5Qnkk/e3Z5B0C7Gq58qa5Ni2vja8z KRCmL6ou2r0ZsCe9ljsZvjzmEYzH8wKOCbPkryaNfTw99niyVvUc+s1mZyLQMuFN8A4IZm8xHjgt KbU//IcXEO5utir6aigdLhK6+oNs/O90pgD1+Qck299TU9ISBjUIhC8pAQfUr8Y+mGYDc4dpTyQ7 leHxzr30zAwikgPcO/MoQPIMbP2jnBfxdKI1/qmW2GPm9KCy5ZRDsBDyupeGWdpNbch/0EY6s5s+ E/KEYunLLeaBdiokslGUBeodaD8iu6b2M2RV6YaMVvj2xzrKl9CNz1pisUiWxjPmmBVIzRsYk6hX 7/Ju7wGgiZJUxqmYmi4e8a/gqNXrWn/Vm9FtJDvUxSPZ/57kzifZ725dMXjnJcSR7K+aEwKJa5Cl z9pI3f8l6JfHvnwX82AvVeBEGxylTHvr3aRfN4c1mOqJYTJqzX2tFlEmXQS9xC1IY7M7BmOHEuM5 eUZb+BBqyJoOscFqtS2wcEifd0le4fujbr2jTERh20t+p/QHoHodkJUBupkEtG/hmvELkKPKsLmv dFjNkDdoP/FEBvCpGQ48VIa8qyVyL9rVQBsDxwFsYhlE8A8gA1iSRP0pYZ9BuSp5AZAxMWUNcSeS fIflXJIinJHHM4H39cYUKL7GLfv1/4+d4w1KD9j1vPHn6PmCZGANw1KL2kZncaPUdu8ZKCgC0mkw 6duwAVD9Kj9eKyIL9763Fz1mjgftPPwRxn6g1N8BVvuKccqIPC3qeI8QjWJoNVs8D/vl2lhldhD1 KtK8w3OEOnRa33wr4T60vKchrx615UBRcqBxRaPkFSk8FP4ZkhkSa8o038NQDGdZqOmSNikTqviu l6CPbIQ7JicNif22ggWB+qYABgReMGMi26wCdit66m3YjdpFUncOpv2GJn8T69ui6kAEQWmPeupP PF961yJYTinQdN1aEkHU91r12Bm2I84acCuZbEKA7J448VBl2N5RK/WMag3gFrgr2i9341qgDb1z KctZpG9plOM678T9PQOgAEwl1XAlqHaRt/SH/KBY1H8JGw4OmOi1taI6+Z5kZ/t+hs/0LbD+wPt1 6Eycq/uuL2q1kSmmGIcyEsDekhQmjCcFVHjj4nMPQlqYyTwh5ojecAoexRPT1OtncZ4IEpTgGtg1 x016MpXFq0kPvENy+/OaUCpi4OE4Vmp+dquF0uvSyV67HEhr348krdZDmSez4Tw+6MuwXpoqaFXK XHNtw1sGaku7h/N5mC4j+PzV4gB9PCI5ZIcqPzqVQfhbbaPgX1H5svguQRShqUsQHvRL9pz7N4LY fOZcAhoc70DIyeYMQdy/jz1eLQhv2WSVZ0C5n6dUxFzqAfzSSDrfkPqFNI97MLLGI4prHRECxm+a 3fF2ZCJaSdcVRHYMF39VsVPRiRSKIZDqoZHAqP/nR6Bbdx6Y0zf91CYq/x2S3fQ02vHNQkSUDqqf dlxGZnU2ZYIq/6VxgEsVrktekHKIiX26vlyNVXHSe1FXZZVCktWosgCIg4ONXgoVPDCI/4mI7oEr VicnLblnKmF7qea2bsRViR9Dh1RKxOAKo1Ro6GwQpArzcBnFRskGwHV/xbZ/XhINMPljLRLawsmI ieoqnKlYtbd5WaO/g9I+R3A9Iru5MnCAsPQ86fGDCYUN0orzAx9qPUFZsiL+Py+aCp0svEDrsrKR opPRFKR3qev9RUWddGXe7T01WQDr/tBPyfc9ZJKQwO+4VfaaVOJlg6Kh5MYKa0hQJaLiOEs62eFI uFuYow0xseOhzRUQexeMwn8fW2stdndgLoeRKeC/3OpWbTaYfR9UHNkvHJnm/GY44e0yShs7jdbU IBDNjflNtB4T35URQtUlq8iM20Hc6GG0meibiifI/B9WqlKKh5uF4X5ZAoc1xiu306q4xhS2KeK1 XON7wCsYZMYMqTPDGvPtwqhvBstTbMYuRWNzTzWG9+4+cK+KEj4o+XmJ8i91M5MHIlvBTPIpzr+Z gwQNw9BNHEuKogPtPA8LPw7lE5N6ADK9zKgK4hziKBEpoX14xfDnxG4bWXw0JJz86qp6mkfiMwq0 VJwjsRJ2cb+IxWvwB8846q5c1oL28jlq1VCn9Eg4HDw7OADHLe2eeKZilRDiCp7paC5mYt4p0cVA mY+mXZ+JTXybx0TyggDddSsYu76+t4huZ2+ulUHHU+yAtA8VhooKxhrkZ1/GlWoo5LmYvfpYnhYA 4oO70l/1Ox+66v8ah1/K5swiDC/yia3LynBtWOjutM0udNe1ryOdBDTPseg6gSUyzTb0gIV1IIW5 9MAwuz1Su7ahSM8NIOnWbWmA5KHAi27Vsmriuu5rAVtR7+/46eqcZmpnEqJhCYKLMFr/yqnEQ6c6 W1RVrzDz6mPeLV6VP40zM6iLtKlL9iGE+VfhbzIpYVxWno/tUGJ2wn6QIRB9PouSycEbXazNDZHZ s+VFjP/poJSNONshk5f+kK5gDEagrXJ9xSnNKFaOuHopMqg4g9BM48VVPGrfvxv+54ka/HHoPI6g fw6KBAtOpoNjPcbmshyO8a2l4SnzGFbDz0UwnzBmB9VQez4Rz7/0wM3JISNDItI9R25aSyqRHj9c ui83J2ea9MP9GpYb+j+z1A9hSxUipIlpQrN2QQhRySlPhPGmOgY80ghbXwAj7lgupyP4Vrh4wtgA B7sizm0d98PSiQHWupEmNK8uuk6xHfX1PI1/O7oP/gmMLfvJsBezg/rlZAAdyoW3w7WNTylVW7yl hlDoM/bNybEC/dQvVd/3UBOM9ApLCOptaDY/rg0iZ/2lUh2M0bwaWsoAoOGMAa/kFJBlTbqPvDuv SNSa/HMacVHwMl8kY1ZGqolv2A2rN3IF7ePN6MkATcj2t4eWZjYr5BsFuBorOsYo+5C7hT7xI2nI J5+tkFVohVZCDyB6TYL8UzHDPNBBMncJvYmdoLu0qbNGBCk9dqHonFi+8sYU9+iy5D+WGN7mTA0i hoaFgim40E0qfOQmb16cn89dseBOVGVhwAJLp11JNf753DYI9Fn73jUmGanCYp/OuOVAQ1IYbliZ I8gBhJyje5GjSZJqvZv1NMDa1uRg72GSLTlkeZ+QPh50WgK9yh6PW2EAHPW8L2v+ObTOkHmMO3fo tjp67cEkmkjnA6qiydXkIcXez0AFlM/y6bLl13g4nxkD0lHhaoJzaVbSzmOybXWi1lf+oLTMNeTJ Obv8qfXO8CBd/u6QYHGgoO/C0jUNJ6Ib2nnsEOum6BqvwyfU/A3wnZ0OlnqcYrVmVKy3RlFsVe8j bzVSo2D79etL0uzRAZ78B8Y4E8qHzdz+7l/px7RyQ1x1w4u9QvMi5ORZkg0XypWLBuYwNT96TnRF YclKUvqKvrxWyRjvJglqPOknIb61l/Ly5DmLCQUNEyp6e/ACVCP2ZP7fzNyCw60fUkOuAdPC9m+j 1QVCH98TmtdK6MVlnlsEO9ZuozqPt1N4zqHv1rhGKSJ7WrEyprMiJjkEmc2yKdjPFDa86YJim6qO p1YP2+zltpXmhRrGKTWuVeNRR5ytDdvPWdqo8b9HC+4oMgqDC0DddFbEGN+D4/xA+IPT5+Jd3PtC cnfW9XrB9D00a+bOYf8pbs7oZ7LYu6wPaDLSPg2hCUuTBtp721ZlA1ZIIqgIQ69xgNCiXG+lCfo8 wUoeVEYHsTEYMSRiTIc2t93Z8ho/QkWe+62/3SW3lSy9ejaSTMzIj+ujYjJWeGBzNeY/4mK+2hvc O8NKvuvo4K5VWeVqtRMF6+N94ywKZ179j6ehEkQBPOBHYNnfm1zAhd31w4MtqqOuhlHNhH43fchK UFQGyYQb8sVEP7oxxEC7YLiqSEQhustu0j/2kv2t4UEbRD3GdPwqACB6HXwvZcwP15ORTEADJKiK ZYEEHdR+gAW/Td9KgrQAm7J/Mh/W9+ep0KLcOKHgHnuZGbmfFoPL531OLMokpVK+/LWcwbqufLNO +P6u4fLB/lZ47x95qVD66S0q5Vmhvb0AEfKtAw2j44qvOMOFT34lnko7nC2Iy9jdwbd8kJxgGyhh GRLxIHQvawXvGVH/x0sQYogjSkg7vem7/zfUumUWc5fMxZFoA4tWJIWoqBRIwYApwxQiHoJ4/nlb iF/UhkOqOjgwIsVr75HvwY8ODSPT5guK0VruhHqDXPMYjoxZeOZvs06IhcUE2j0n1iHzYX/S3w93 +4TumXCJVWJNT2wzERzP6sF0KwaYKWaYYe+MMG7A1LCLQnW+uHtpsAarx/ad8U1GoE3Fau37xFyJ cf4DrwbW0b4HAL7B7XUpax6GIPjFNqGOeMdKGzIwEUiRUaBOXsRrnJwC3DlfPWyt5wVjljW5XwW3 DXY98IGuaV1TApcocQFR5j4SJN6gP3uSkdPVNrNXLGaIrWQTv/mCWk4I8cFF0nlk9koRHAczkUuF l/RtN1fs3rLWOTh/MvfCH0yvEkZ6iOPqf7zhONeneMrrOojqTb/d2HWSjitYG6CrIS1lPf5bRaIT DwKb9kGvUpV1oVJD/TjqjkKMTGX9aGbemIJL9hrGEjWQcLvYk75P4OS9dDGyB+Gd/zwyO+0naU2H dnWXTNICMiw8j4wCBuY77q4KDeLhYGlw8618Blla1+XFSTY27NIiJTq4LSwjw2WAEUNPYKEtXN/k THDCfIamW7Ju5RUS0X7sJoFwoBxd55hf8jJEd1KCj2suX8RpcEpabcqeVzmXPWvUqi/IcpYCsz2Z cOROreaC6kJPtHjrr+0D+pj7LARpzVSVzDSVM43feLvPXTyWCWFpV1udfNHFr9ELne/F+E0yHoVc 7UShjpjKBd28Uw1brUcxoby1FwVH6dXJhTztSa2IZevvtKWjOU/QdqrkdXe21talGHaQticgqANP XSfcnTseIgZx0x9uQ0Lhz73V10Qc85IYtch87uASuCdX1DabQ7lHBFyUwPDZmEaJSegbA01+WKPC 8q51BxS4lJpTV3VdvIr4TAaBILvPgVjJVdTsv3M9enjNlGLDN8zQ0ROt3kYoV5Ybh6g1sMfkNfBJ WdteDOy8Ocao/SSfjwFwZc+6OH2uOPvOLiFoyBxG0EcowqH99GqQuP4oJj012ORGGIWkj9kNXbbd 9iCy2b2jOEHhOcCtqkMHMjDg0JEmibmos2a+19RwfOUCDsm3dbXEwAbd6b9LbjSkwjXiJuttT3j5 VFne9lGo9w5K8CQiasqBwm0Yn5r0iwSxOjTOZ+yBt84s5/dkEOMz5Noy7YDf/m6xUewD4bPWQNBV oecxyYC9Mdn8p8ehhkWXKFr7e1a6z0bT2qHT9NFwnlqjM6wFZEMoHifIvZgMtbh8hzpXuWMWA3DJ 6zO/QT5r+dSBtMQ2yz8HZSTp9/ayho8bNfbfTR7Ao5/FCTWqfsbxQvRpJO54jW0yPu26blHg9m2B jm0NpMFxtxQz+NO2IlKloiKxBQcb2UnKi+ahn46vU4Bv8wLEk6Ix3qGQ2g64ZAhbYPna0Ildbf1X hPk/ldASict6aFZlRsm5xC/cqL5cA6vUopAjTQJc2yf/yXGBAuaUUlap1PFBAhrejiQTYulwr0Gr ioVNVKmlOeMaopQubBtUe+or5zCa47ZFFdJkVVkfb1ULAI/c12cfBh8wCxxQYbl7WL1M3MiQTVSA /NxDDWS/Qmi/hCA/+3+TEovw06cEl/7VuxgJMX+xMWLbGjxveNjtLjwIMDJfyEp2yMfvGyC+gOL7 Z6QvukNJmzzco665f4GsZuTVQm8CN6zPe2ojlKvfVSh9src3ytxRphsTLWEV+emgNvx+xakmZKOb v+ZAByk2mHfBcX9xd5Ws32GP7rBDcwY8lH6hb5wnIBXwg3cT6XBOslw+PMUXnX7ENxxanOrsaZE6 w609NUwcKKPNKmLR7wt858ix6Y9aRFMcLGR1vWEIAJ3hx/M5NSm2f0a+HJm6HUg1iBvE+N9zSUs+ ab8mnEsKRtgtkeervQI6+xFBlUMkAsuX7OSTVOCTQdxsW3ds429FFfmS6fgJJOms94+lIoIaolIL pGt7vtf1L6sfBGfc0FVIoeaKYpXv4Ctde0tro3ZAcMQLdQQVHbc05+fiKvf9Md333BYjpyP6zjs+ XZNkz4NovSiFgb94lo0Z2PExg5fM5s2UPQK+fRgGkY+X3kaNN4MY1ELyLV5i2HlHsXzLG7pNDUGb x6FlYVKLrwDcPBTn8tPF8RiDqIdWaB4ndf9Uqe4OLQkaZVvtuu8rJejhtpnAwoUWRFSXK5ShGYtx SYDfOhiovJwhHTlH7X/eLxWbtEemZ2/wQYryFb4uyR33F8h5+0k3xF8s84Kt1/OEjRYvo80FLqIG 5j1UDhAK0PC/4feG1aOe5DC/V0UgbO8fpqEkW+UMOPaxNPjgk88YfUjl1GsDIRO4Nn7iBl2HWJ/d ApqrHkz0zlP6zCJvP6CPH1/EJhgxJzBH7x0erqZMUqJ+nuWjv9+jvgvKUxpre+iERM5VuFkFe+Ui ZtDWb3Agwsn3jgaGMNxUiJ5B5aYCbaErwcv9wb5y50HzcMIVj2obcwm1fjQtxhIBhCaAur41FRpr SCJ7r3mRUa7YXub6flc76A5fDirwMnojXERpfjf88z22itxpZwKoCG+lOAhkF1X1nw7dOKqHCdDI JNoRq0BUjSgVWjaJ3ztJYYIMLS6DujIu+zJYQoY7AqA3C+gcJ+5KSDgoMqb3dTvsjSKm+Wt6u4tF aGbeBKKXO8rnZZ1nT9got3xftECb7rx2BMD5Q08wLkMKaBEv0RRewtGlKNUBpiXpV5pNUkHq/m7H F/ZObms9lrczOdcDIb81gPTzAwdIMf/FVNUVU4JX/fltJZT9bOC4gZ/uO7Htn+vr4ETZbCuwq/dU ZnvzddmZFLxjIKhDRfrlKR+YHb/CKPaSYHCd0047PLPTkfPMLEbR+3VLnvnLLSQqG4QUcm7pO4Wx 1f4KlMEmdNURPnmRzuEldoGsMhq+xsi4dhvaP7rWpQZoZe/L0552H/SGaqOllYNr5c5pC9z405WL 3cvpBJjP/mcTltBgYd1xrdXv7VFKaGyB3shSl8JpGp2LEmYulJd4wqfzqWinNycwUrXY7PDzKkT9 TtO3cfk2aNVzJmV9urFDV/NGNoamAbJklVL1jjZmNcUNLhsny622JEymXJt1RITPn+N3rTktwZOa LuKyjWNElk3fv8W04uyeNMv54nV72OPDOoupzLSHuqMOhQEi5bRXx5SAUx6UraZK0wZVrxuHF3EZ y/9Hsmvq9ONf4hyZXTZFS1YQCvRdIebSpNjRwJIVeCAwF3CVQrjJCez/6mkCjrwT+aF/qEjIKKk/ luSsl4NOruQFQky5gIFBJs8Q/74DG381VxkWm6FGNLNead28DoYR4BNG1fv6jLPfEqk/b1JBqvSx JRtM/NnFLVk2/r6Ssp3svfzZhcn3/rQ8+fFO1zvH9vGa2XgbGTfyetfRu+UqGcVZk+Gy+S79+RL2 V5Fx9zLpwSdF99HI5U+Um7ptj/CHs+7zwFdIFtoLIG3PD5alChh9V7gdTfm5XSqXac/LcyT0dCvU xjxYvL/jgcAswbH0HIkmzltAXMBbJDNjmeDSZVGtowdNML2TVBTu9EBjPaSgd/YkHhc2RZ4lXE3Q xn0sxs+SEVDSDukMuMH61Tym2F8e/RTTbWfo1hO9y1jkT3tWEqhFdy9UfZ3X/vg/Xz7ONg5k8w+b uDFtSu4PHMSEnjvIoxv6e2KiijbWkDzkb6gB4Wip6WNBW3o9zeuKjnY2vFjb1xM4sY6kpas+jGLD Jr5a9PLvmm5r9SKGQssztXttITTAQ1IXTM4BaekwI2P0MjDjqfZdZFGGar3/uxzPx6FFkIaZWYEz GRX5fjJKurn0fuM7JKCCFMgBlN9GSY+5EXhmBpukvZw8HOTF9YapwtCKztfJt90JDzeylvfsOyg1 Gqn0oJ5n5xaz2cP6QPyFEFNdf6cgNdRTtwukE8ILZ7Eca3KnPoUp57sU/H+xQBQSnU7hwxO6NDHS NbKT4chRxsIBu47kbwqrm5uZ/DaLlwnSHaGPp3Qpjz4OjKNUSGjR9vjyurFF9AgqexjU+C6OObT1 7JqkfgcpppBlxNlB/ud9E12g6EHUzU9fPY8pPPiX5rGZ3plzUU7yglAgnubhReL7s0pm16tPzbOS I9L7Z7dktyDg4H9m9xInujOGhccduMVTFstRrs/BCjwFR2RiXFYKWQw1LjoPGIVB6rrVH2PDBXeV 89h+9ny2BEF9g5zCzRPd2X5kYgqONgcwWvJPX0mLy6AhNFatQiDFwGgYa9BbZNddcKq7n4KAw+wM PNh9q4z4GkM88uPsD9i9AGo9SeURmEGGiUpjXtg95Rl588tOURO985/IshQOCuBMJcVVh3oGBOse w6YGUILPkvzGqUExOV9CdpUTAARUHNKVaP2FDzUHNMI8mZ2AZgUifY0QGjuBWNk5P9oYcktnq98x jbZ1nklisMTQ1VzGlupXTcCx7MidRiIayj42bgIip3qLupbSbmSKFJNEqlv1HPKIDlSzztN4JW9T NvvJxtWI1eD9ogZQbIx9l0sWXRDMEhavSn9+EJlEmY/kE3W0HFIbJb/RHIsNbqPOQourDoelANQ+ roXv2heXGg/2YOOT0RiEOl8Rc3rpqTbSiVoCsvy3v7I6+F8sdPsQ78BNu+vjjbsGjQF7exiNZcoD DhGLN8shYC2vuQa3T0aOhkGL+LoMrcdqZTdRfByVv/EB/dDnx2nVcSfuoA3IZs3JTeuQs8CvjSv/ 075tSr4OkLne+2WzbE2hOtum2ki9Y2XX0w2sdCrb9F+sIRaHUAxWrP/71OBiG524wchKSSPjJl9f IYm+8rfT3rDvZ2xLM/btv8SG8wsnh6MinvO3o7Y1/75FHb39mvkleBKjXL7eLVntut9r5Ya1BkRn kmEnBVNcN7lXtC/PdW0jfsqqTgsT9w0DpomXffGgl04CvaSVFY56J9wXa2dNCBxDDcjSwKr3e1Xl J+c/Il29esXGONluy6btiltBNRg68w2oLOfIs8mL64fWJ5deCA+WkFV6tjLGcNHHcBVoj1SZIpHj ojWdpDY9iAF4y8FyckcTE73+4UH0DRFXyHofeIPmu7DDXidW0xrYs7VvHe/6eKlIJKtRQhxDOxdU uiUwvbPbDKLMMdpa8hNePKbz366WFXpaM+Zr4/CCBinIuNKu89zu6cRuirsRj55mqdtP4WMIpUPG srWgSDbOyBs9SMiEeLwjxROQaYTy4/Ni/sOB+cUL5iE8i+d7xO2mZxNQFHBhDDSX3UTLKBUWc8uE +ftzmeNRjGJqhL9YGAwwKx23S9CF/8D1vJdfjYdFOBySNE8eC8Byf7fnpDcSahRTQOY5stwfBwiC fQHlI9b9DtlnEdGu+o6bXp0ox12nXv/Smf5AZuoi1HGjONyaAlIv5WM5jGPkfbUa6qyeiQX4OLV+ HNv2hZjmk5hnYjjbnkmoIeZeOAWp9p8iLdpEu4WGkdmbDdZDhE4mjtxvQ0486Vz8rz6xh3ZBpsWW Xs5VFMMj2j/7XQTOLE0F2f1RPWIm852pA1Vm4KrbracTz3TFhv4lLwWTx7jknk/8zbay8DhsY+vz /gThzViI9phh1qd8uJCpwtrgSF43yUEi8nQj0OST/hGweb3ccX7XsETcVVQRRCiXG1r6rm1MidbZ k6fkRKFv/fVx1qaz4VehM0c4eqkRwqMydz1zlrWblyTamJrITF7/eQRJNH2c3zbZn/gDBO6/5TTD /IZYIJNRgtKPGb3pK4G9yu780dGs7p3Isy1QnIGt1dwI0FP+YX4PX0PW+8VYcZABm6lwUXZFb1om j9THBl/aoNK4RAcvabz/bbyocNoiFKfdNwAujQQNeRlSMpWfeLv9ZCWaTPYO44vegQDPVGHTQeCa l1Lbs2ZOkyv3vQv4Bfl7uvK1K5cVYP+6unVLtlC/zFlCsuAn1L+cHXS30JwLMEAjp2+WDuz4HMSg rIA5CdrjzodCuHYgXKs3m/5XDtR+FTWWbINpSvMYWgd1lBc3VmwJkQAlWggtxLAs8jx2BOw+CWUE SbKTtucUhkLKVgP5RBPWKGpExf/nKywijuxYJ4VwOc/rfOQ86oUK1slEoPUY4LibfWcUg+i1uGdB GYh+Z60pyaaaAkYONFO/P/io/yCCjfvKr1eszAcOWssSG47ZeM1/AuIRU95P8WDFtyHexpzrAcjL beWlQxPDaGFyhmKMhlYs7ciK6fBdtjqUXGkqR+W01cWY4ACKk6J/B++cNsNHW5PXiaclRdtQ3UTz IfcwyZ/iUsOuvguIbosU759+oGEzYz+rw5mtCl1Tgr5pEEyEb1qu5fy82KrdRKTzpWLEeOb2Kzal GJu4GZi2VK6KmbZcrlqSBBUTF77sLAzH4WJdH07itZLL7AM+6UWp8kH2tK1IUD9WhOydj9gaz171 gQ2S4WWp4UbNghAP5pFCVQeGBD/KniwWiAo1wFyXJsfhRgjUbRB1MtwlTG1JGue4aYtrpb87j6Io jLn7/jbaTiYyzGW6U6AgnzARlKGfDjsZUNs0PcfH2M3CXXabtpXu9hGIAkbBqVEyvh5zWCt98bs3 zU3PpptU9skkIemahKchijeJXFFYnvfzQ4AaCAUDdYGx0OAMTSWRgdLfH2i1tAnqW6I+CtK8wsPk Coj6pTeQyiOCqFYC56HSdyPo/kSxdStc41zrAyBGttC/REMcxqKC8rAheb1id2PlgPSmhTEE/hcI xlBfJIY8e+f1xDlNonlbaKysEAS2Y68wAXshnDFBTgbjI2Wd4qv74XlfmZu9eZ6JsMNzMKE6hsFa cSMPeLBmq3tFSQT6m8ucIGn4/RWdQliXULfOwojzvCP77OMLOIwkk2k+iF2wMr5l1MS4U+X7qCLX l+fV5VWdGoi3SKNFj5u2DNZKO+TpE7OEnWx2b2Znv66IpDXp8zRtO40u+epyDtIfiB9KJyznKRNb v7WTgcP9LUrGbB/SHtxutg7773HU8nfHt17IxuwAXrAYuUE5lzW8St/ixt508QBpTR4gpM5phWvx kC650xmLWhK1rdeREpJxxfsXiu2/MjVgC2cYldeajKsIv/kmdS6UXNUG29sUXG3xuvxPGDwDW2Cw ebKHc9kt785CRNFu8o6ApAZQXFmwNGM2NNdykciCcegj12AmP31GRM/EyYVYqCXqsZq2gZpUJUQS /+8+92SgFTThId+6NFNW26pY0tI2/9wdwYKZQ/I7UtpxPBYJLyAxzZWsQur2PoIfmGKR9+0hbVBa rtPKi18rhnj6klUkZF+orx1mH/IdcWyLutW2qwnwPRqWVmb+Ub0l3u2T4/kpAE5elsfO5udS7SsH 52F5A4IkrLdQef2Gd9CXpkZ1zWbb8uYn48nGxAkzvsgxCIygrwhD/WK5lSoK4M1dlt/LpTlK5fwF nDDnqaxlYSuFmcI3F3hSyu73ke3ZyuVr653g9eOnHJD4imYSfWhoU+jwKwr/urpN/JIKpFbdqNH6 4YiQYwGBSzianP2jj1yE+Kxb3TsgMTxfsVlrDNlZmqcDxzXjc3hMMZenOvwmA6rA3H2kjLO69Kx0 FA42GEZwGvwXZA+YRhtE7ve/81oWjJ8SwgG/yqz0wNWEAvARJUvlYS0GB1FfKvjSI/V2ydhEjmHq HGYa2QYXaDO5tuCMBhB0AQ6YJna+Iq/ZQiStLuqOAlrC+uN+3dTKorurfj3gCb+DK8utc3jXzUUL CHyAl9/OybSTN1Bo2KIRT9N1rYTnCDWZgqSqI1wzkhX1nRoe1JWtkP0RgYO/fHHZ2xcIunAzIN8A JBiRgFmUB/HvacKsBaF/O5DJBV3J5+ULMEGrRGHUodHAIw6aJxun9X6EgrHLNVfQ1OCdgVd12oCd K9Ore8ipMDedQYfcMD/1nHLdCcIJiNxp3i31v0BC+8ENgKXKCxJeJ3b5ITfSnju7GfOgJtp3hHSS EMDGhjw4uFMuiXuJigQfZWZ+iUKNyMdnNR89+IxLuqWbgTe8aEUc5DTIPwxbsejrQlGieWp4TgRL HyDUqP8KlLBiIXVT8ixU6QXKbSijvrC/JONdP+OLcxJ2QFH9yRLL5WuZVhHxcv578W4ClwO9bB6c v/G8Cpqy8YuNlDAZ/UsS58F4tQ7YXM6+jV0Nolet1zjGTU6ps7fkxdA07Bfr6iJ9UmjyYZrrntoE F6suA44WImv+xxbAxZMc5Ql2pwG77pOEAioInm6fdvvVapiMC+MXigi7FIv1Z6mywkWxm+vivb6f CPgKIkQ7O4PJk6sUpRoJDlVwiJkG9MZLSMUusMBOwd30TSIVFOV2xD3/42sUGZiG8kZNqXoJjHEg QosYZVa13Inf5v2AwhZ0N1X3s7BDsioftYh2mDX6kDAaqWYO1GEYzxu4O9BpKBDgY7qliSjQlHjI /V6gE4Gc4kbTEWQIF2nFQv02Drnp0atLedjbIv4E0GA3eb/j5Il4k2eLYUPqC3FVz4uZkcSpmume sVMiM2PYaW3p5GXWZbAw/xA0Bqx878+GsvxBgUczw8F+VZMaJDw+elut+VShUUaGNHvZEg8d0JCs mEDsflwu3RnV1R54TYh1X6KcrP0mcfuaymgSZluHcmHdBC2igp7YORLr0rCugr6bWEZ0fAoIdSGe Kw2AefhXzadqc5LfIPUvbfXTEzvuFWAKFzUzNBNNi63X/gp3XjvySVXYJq5b284LmaF9kHRttTWK C0M1DF6vvbCNe4k/psHE1zei6oV8SY9ft8lFTgB9DkUxYhYpXcFMSObHzNKJgw/s8TQOQPRYyAT3 UEYFNGLhedn0v6bglAKV24F03abm0I4h062F4wKsDOHVkxnHer6zXzv72y9NZs9WZgn1UAvmzUwb H13H0qtMVh8BVi6hkGPqvI6PLNXinwAcAHdsinyQ0NYRGGorW2xyRGBDBm5i5kKguR/XADbF1axD 2Z9TxPhR2U/6owQ2NqhhU6+lcFkMcKo3itDnhkerX91ga/TaBGqkFz9zE/nR7VMPHA5gafWFdSPu AhzwLSdSI0dtU+q55TRzDeNB5E7yNA3fjB9CZzyaTI6wGgw9jNovu9PJO0O814/DG4j3J/w0DCAG 9mdPk8FRFfXSCvYUmERx9DlAffm3v5L7hBJLOXMmKXonB2rgNWx21M6RvFay85iYfqcb+26Zl6Oh OgIZz/SZMMhkgNoHP+glLC/Cmjyrl1a8crJrM8T8kusJ8ATbjMgVqFyY2hk3TT62F1LryDHfd+j8 E0IClYrBfytN7vTieLPSIcL99qAaT7Tj3aceQQ4fmICIQ+oAZvxm9tDhE0WvMvirKMhsB7huFm56 /zlP0hc2Samo4FXVgwdqPa/3tnbAwUogB9ke79CDvXj9pJL1fDxWDL2FMDI2zl8pBFZK9k9sGh10 CjWklrsjVhu8R4RnD9SjDbTcNwkrMouVdyb9oH1ifCePz3wPmQsvmNmjb72CUF0Otn0m98V74O1d uBHO0O0Z2APbCvG3blu4NLgG/vfMNrykSQLXG0cxX0I3yuCOTbVLBPq/ths2gXjDhUx7J0hPuIXp SubwC8Gk/gMXV+FavkZusk8/ssZbK5ocsjrlukh3KHzfqT8ogXMimLAVhNtfA534zKr/0EJCPYHo D5wCGJ0FiFMbaOW2FxxhZyzf+zaC9a3YCcnX6XkCDgiTk28gqlFkby2AoImqr5wYndogNa5Mfzvp z4s0cDdTtXvBIBg41ND8aU4iW6JdZGzZWjduBEDy82sW/eC646kMya4zOeXmi3SsA2t+MzltZwMh vFe5tw14W7u+ak+zbU5MnTZayZi0WrkdtvBGV/ts4uxBhqYnalcRpiyu2W9X3OWbX4oFr0z3almH 7MciRh7t+W/u7+q8w4+bZ3+vMSlnPNI+o2lNky2zZpd+c+ECxkj4Mzehxo+KL7NHC9exDy4gmlZS NeGq90HXW99l+c6axZhdISOsTomCSPxKCU0jf8XwhGPXkifYzWxeWIP4FvpjhMC+1U0vLXKCwdmv pTb+ywedSgQCFRpr64wW1miM3VVviTCPF0a41GTcMDhv9+CFbhAloFXsL57b0snhAJxBTE+d7IfM ksogj6l+SMlJaUrZyGb1iyP5AWODpuhS1Cu6L7LXpjBS8jh1Kt7wtCZ1Y4DaTo870MwK9l5gaOJs xKFcEZSUiVio1DRn+Pro5W1q6MSy13QkvZHqWDF4jP4xJDYXOnH2wzwMPnVJqTXgUUrJ5HbgYp0C hXU58kP8NIkEbdQXDlvu+DIWUL4yCpma5ZWcfDPqwGnztn+XyNaS1tiKzSU2yletjnZZ7gDQi0PE r0zcakjtDXEUYY4QrvuJsBDqGM54h+eWrertyA7AwqTdGU8pfvawf0ZgBj8KGp1nvYCpKXwKeoJ8 umiIsiFpYRkNAE134CJegqMZuP16W9xzh+QY3lo/GvgweS0e6X9eIrs0476iCr8hwsv/qdxqf/9z 0a7jtaACK9T2r446EGjOsMQaylxQd+g9we8R36b/ZzapSxIoeVnBFSI8CjagUyGmgU4cnLOull2z yg/YsTlI/4mj2/xz//3DeVojvCOYAWs3CoB/WNRQHVdAmCwy2V/3oywF96ZcikIuZWUrDa/APZXS vwNrmHZ8kpMas2LxrPAQpTO1P0Ibmkii838Wz+4BdmNJmSCPkQl4rHfdjLXEALMs7oPJfBEy10iM x+BTtvkzCRr3kej41qQBuhdhOtbSACdMHaM4i41pxwKLJ6BqSahTOCArEW1aHAh5DpDd5Cf31rME Wv0BtFW0q5HXMfQDjywQeL4rocDt72RimIcFsRw9lS7DoTAwksDcB14u0ng0SHUxHYUyzlIzRKqR GlZzbtiYPgPM2fXNhET0j9dA8pwdfBgpYprMeytFKtvut5QrOHWOKDOv+tAFiWGCKBi2kVrrEJJI yCFVy7LN3yvyGdLGatOWHA/IoMUL8MiYtmKYFMFY7up959Os/2M1i1qc7BmEzkTcCzY1RTxSJ/JQ oFn7GUAYHBSjGTdeucBBsTZXPZbXQqCVVXGH1ms7TPw/NmdjAgoMQhlI7CQIRAtIiE5QTJFyCr3J nN3H8ziEY7/ImPHfcGVqP9ra21BtqnzSXYsy8+cuC3gVhrS99w5nn8ZszbZwefbPtQKuEx7BJ6kH euRb6VJzuslmiN98gNuTAUYjQI3MEmegzX2McA3Z/ZEDiBSrW3STTHo2I8/84bKIhDBiwyydwCeY 8J/CjPXqM1chX2S7V+AoMGzib9SY/ArSKf9IB0opuKRhEBDUySsiYwksOvtz+XSfEXw9WIkm6pf3 ey5qTu7Ka9CdQa/WGwQa4uuJ0jJKIqkWkeiwmziKh52fnH0FdHPk5aT7c1lsknYjtPeQ/5QHCwOH P5btQjG3mkahROuRtKtHa2S4y6XibbPa23zepYEfis3rtln0DoEyF61iSgAiIgJN2OaGfdpkoB4o lfLqvtFU0Y+BPDGdRIemW9mb8Zge3ZFWlAw0DH9x7hDLjyOcnT6qUJO5QgpIMapob+TdkoESAG9a V9mZiPxG535l4Pg/KFI2bgH4mY9MTaUqpbHj7+ZEhCxLJ1giV4dFVA/D7QjMKedcQ/XXsNqZEMJb dwOhzbeOMFDHZX8MupiPlm2CcBH11cFtG59WZ9OdE2EJVVgqqcBc6/6wbcyNyEmspSuN0UEHCQ8S dnE3joQAW40y0YO3AeBkuaoDCWx4GPLlxLJHKcE4u+0AUdXvNp3sYUgqp+Yob/urlUJ19vA929kt tTGmrP+J4NS4Ion8+TSh6rNFj1vRnLDIEUuKvx0K7nHQubOT54ysklLPgXalEa2Y4k2MJLq+WSNB qhluwmjGUGAOQ+jmQT9W4ceRQ19ivfGWJCqsb/B6ezlRUetGryJ/MZ3RMH0YWkY+72TReZqh+lik DJwibqmK0qxLcy0CxQSscad/1fGBOjTzuBI3T9A8R4adfFx7+ZLCmhXTa9pnQurkD1EdjpL5lyXO ptnAzKCiJ+RXlomZ8W8HHSgmDZrZmvVSXJ5E9d9YZanPJQntCRSqzJdsanKxFXU69e5zzhjZn2dX NXPV4oEpFqeabkLiVcltCtI7CmavBPRVAtzlqebBmjlQd2bDxSRtJPRdNf2bJ/4W+fNXlh9Rs2y9 N4/m+XQjeGmdkmv4drtqPqNvuaTg6As5Ut3GSdauJ2OyrLlqPtcFRnu/IRKwzvtYqPn4PJhkiIUH joxFTyx26Ez5d2t85Dq+KHXG//kfhvV9IM1u44CRrbe4F21k/huI+KPE/uPrvSkp7mya707QNqN8 o+jGv+Y9HP43O8AM7O8ksrdnUfw6mIEkmrVJVni05J+Cp1M/o4xxnc90AQ8e4ZUPVdW7vQfMKqpv KUB5gnLDxsnBiAyjUETK640mTGkGX7rINbALEi4tnRyMOOvBGUicJrFwHEO/S9boXaVCXCSqzqG3 lY972PFx81EOi7GS7o5kELj2qSloE/VF1CQSBfMCK+kFQCmCoYOJ59JdIlmx04MspHQO1045CZM4 eyhyWbICnkoXK71KyWHWiUEcHSWmohL5fyPVxzu0g30eCVMibA/CV1V6EE94fcmiXj2GZAo7Aeqk IEpJeT8vvQlUDG4sDlXw4nLwDmiG6mT4nrwKs/BMEcLkbLKP/ivGJd5hsTQZovtXm+oPk33uNtGT bZqaGKfjWCfNUcY7membRM6Jf+Dnsf7ivwU9Ahsb87HvPU4bucN1Gan/5cDBdpwtH1jYUv9L/4Sl YAbxZ+qDBl42YkixtJHkNrCUN6EgXHbElDfTyuC/d/MJO3VmDVc15G1gNMYZcltLcFNI02gxG7K7 lc8lbLkOk/k4/ZKAoimBWDCnUi822CxovPLMMNUudkD4+Q9S/39eYHtsLhB/ekRWeBAh6jaDtiji iEb8QTS0qdV9aBR4dmfmzNRs291OaDfYRgv725pRGh0M9Ox80aMYFtOxW+VNeZp9rdUsAaBJRoQx ctQF63privdRhc9/O9HY/1kF8Ju9PiMeVQh/ftNA64Y7bry/pV28s0hnUbt9C5WKWuHWgDQiNdEW Dpd9FTOtItmGmcaBOTrd4nDYp00GNQ7FnT7ejsJvS01GIksjPohPF789zF53JDNWhM58RkxmE6wt ly9jzrKPpmKD+gZxeaPcEwvTHDvj2TvNlTis8m7DPkuyT9HTRE81qIR+byjgEDfihxhqimNVFXR7 g/iO7oWIA6T/zXYrpnKVdqMYFm8uvQnwR3hJ8/E0lWaTIOfSOCtdSyAb++xIpXNmfPcoIrbX34hG qwkm4JhJoeVwaJOxSbKCDbJbTGNC3NBfQtMz6YuhxUExuO1Eb+U9VjPiAwEGGr+p7rwsDorXMsFC hSEqCcRa4LiDsykSFFdCReNtNnbY7dMQtowYRqW/UQVQAj9pb3cUpvZJa+1l2nor9gKs3wdvwUfY W/UsiDksKYxUtKbRYgjUSIk/V0DGvPZ52tjPPzyWwHhP11nAN5gk0mfX4KcC8biXE1ggkr2Tqsgb JdPt2u5APUePy4/jFv6rzjKXImifvnJ3W7BAVawS/I3KmVbMzNHXciG5D4lRehLTkNJFoKv6C9Fk BTn+W2j0eCZaxBxMNEKJuJM+39DiViMBP6b9G2IUoIotYi/w1xlBFuhugMNKK+JceYaxRksKWWTc hi9fcRApNQF9doB00vQulck9fRTG7HGdNOHV17WBhX+K0Wy6lh+D1MFkqeIzmJv247MlQbRIB+WY KiSKUMakWXsTXoFBGN8lqo7iwPTY7Lp4Jkas/YhyGJvGoXsGq2LxKj+WLnaisnvVbwclRBt++5Ea uZ9rvE6jWsxyaDXSc5kw5Id2CFo60/DuL06EvQiDVp0gelhprB36wf04diLtaGqo+XYYluvnzmWq NMP7gvEgFnRpneuE3TJxiKSJeS9DIekA/YTEXdV+lwA9VmJg3vxquDQu7QXVMyL3Y9NkmJ1gGsh1 MQZoKLJf4JGB6xqF2wsOqYPbX9Yk3ao7LNlOgbiy1NxMvmBydI7nPDDc5FBVICxIEuR4oPyOiaOh 3Zgho30R/eyuOb4Ef762J8FHvO7efJGbHs/a1+UipXR1v2tVU3RdI0qknUI5femyos4nWF0G10/I 2WFr4kdRCFBsa4mL0mqh+3yWd6Vob36yOtFCRrDrLDY2XUhK5FByrGuh8XLrRxGleWMmogM4BzCn whFe6V6PZST/L5YjLkn2zQ0Q6LMvtVJ6fEoyPnMyM4R9YfYIfH7zIk0sVoMVp/rcCJr4789q9C6y 50A4sfiJzFoGF5ll5NtbWAj66YCnWllUbSc/CZcHJE68je2U3PazxpmaNHHc+sj+7zjrwc9Lg6IJ uxp6RhHAyLUYSqZkA8HXUjq2y/68wSmzs71LycxDQLyjuuAgtWESFFTmtci7CO6/1y9JkK0vFtTe EuZk4ks0flBSEM66C8hNMTFh3nGn1EN/nvguFevl3CfNBB3WNBc3vX38pbV/Sxt0vkJEc9Tk+KPL bCf0A9YzxHWQLxcSLa88EmiNX78v33hPzWAeLI5v9TZAsfpMHrj6NJv9OY0uEN8yBaVI/0LWOzB6 rzx0IFiLWuIg9LFZA6y2djjYadm/OPQDpShqXE89sgFv5D9vSKr1Mo6O6T+9mT0A4xJJAX192pOt N0gBPBGytK0jlsFFgS8K+8eFzE5r6j3pYYl6VT+uI3wLwe9d4tsy6VTkThAtMLmQxqSvggqE1NJV 0cp+56P/JJOZi7cpHtuKimNTfRTTVCESNahbLAYhpjqWKgwDZhz1C4yRyPS47WuhLJfLyXHBNmlD PiaMssmgaNkPYbqjlDJkkNiUE6Bj2z7BBaG3/eotCJsp8gjE/YQ8V9HdJN4DunAULSP1SEviFKO+ J1N5sdam7vmNCmV/rx1YIRTpFBXks+XyjwdduchIDnDUE5OI04nJotHTlulXsLoyj/Sqcbs290zr sUcHIi2jCTl87e0W/7QafvvXyWAah2JGWOZoK54VL8bqSwO8YnhJhnzRfnWWpOfyznkKDPdmghyE PG4IVYax9Jfw3f7vJxEpvHXa3BRty0nJMycWTTWclPOx6D14OboPv9bW3n29uNaGCTl59R3VLyCM 7FILteXQ6bXWIn1/3mI8+uO7fhxnKzF9NCHklEcgzFj9lj8sPzFlmXvfVNOFRe7DrV6yu+WeDZCE jETPY6Pn7UrGfPFKK1LXIEUKzfbb33B5wD7M0OXqK0Ws6aFWN5wPJXCb9rZtSovVrTEyUMOhsJ9P Iwfv55wDGIQ2CbmL3vk9AXJB77ZLcDzIBJJtFMxeiiWMRe0SpMIfkTLR/0OSz0yeM4E7H3nd1Imd TWdcLGxo2a2t36WhHtu7xwtD61rw+qLVM24aowNL9AkutAE76l99NWfnZ7BIn+CHLTvzxb4oHlJx R5UUwgaojx6YP7kePxUOELx5LF5djyi6Nxs2Ga3hTu2Yr1zBq6kr4uxw1XGeBS+inkcLUtPWDc2H O1gLtgS0nsguBcqIC4O7jrg1aQgeIdcBnRgfgyd8w9fGxzRAlq8/BUPppWWqPm3fBNjp6XSlFXOv VvW9azUcfcg0VLV0ucp+lnKbOVsiLxKMH88yZbvJRS93FM0eyy8LDcCIHhYHlkzKgv7j0+Z4Ykln lpmigrK4yBNnY9/AZzVL449OJDWLs9/mZBbLnDn28iRdvcETKpjq61B3GI0y9hD9w8M78/IH5YZR 5g1SdGYq9KBrNGQAP1Bu5nAzU86yaj3h4GK8VQxPXAN3pslV/8pCZZtZonsU3mQF5oC68A7lBiN5 igJJCNKvCc9vwSfmnRq2nMMFvs/VnmgTHsNndXmCykeYBK//Z29M7nGDoGe5agyOg2uta1/uMUoM zpXufOpDrgBFff/W7IMq4dO5sgiy/oNvfmAByj/ZUH5abmMBLVPyeGW8hR9XBQ6YWGwuSqLNmpWq YzzqW9+RtpIOwDTEFYcPgKBntkBrTiSXzNHTR5dVT5bKRaq8cWw7XH3g8g7lvF+OYaqhugE6XNzb OuBlt94MtIGCW2qKzvkJKd/3h+toBDNiyo+kupqqCIZGghTECI/h2BceWq0sjuxoyqxmWWSvAXZF i8atHF1nrNawEL1hcpEfm5XWs0dCLCaXTk/0Z6GdIoHt91YCH7dwFbV7ogr+CwpLfaPVNgwzME2t w8TJ0AqvkFGKPt6v+Bv7k8rh0A8vRF9vZxBD8xapV1dAOFbWws5J/fE6DuSjzCiXH/EXKl4XMGhp Fc6Lo8DVwMX4ZSmTqKj7Utt0A+d/QlRkj+pQyoFPrX8e0gcdUNG50CQXdyNZHxQaWyIdvlM6eWD5 85YcDe7YhWieFBaU959qVnWiIQ8yr1IxFGiJVosme49y1OUtFoLdIuZ9/bbU1M2AYOtTOmWvydL+ eowYQE7lNv+kmEBj13MKFXT6RGFNnoYjF7t8ojEHvScSBadf5S1v6yXXIdTjOjDQwtslHN/ywb4Y hJ1nHAlhn/X/m3tGlDVeyOGfBdaWAdWwPZD90uROg9rZsA1uN37QCYhQJKVWAp5vcyGoJDcWiL6V wlm9cJ6OgMqOY5h9OrQqEW3V7EmWs3gb2/dccvUSWdbIVWxMw/FH6+ES2T1MZiJx61i5So7eoaBd Sm9PAIHbX4B4oL6GvizLBlTYT0ViflpMASAgsqnA4jysgMIMadN75URvJNkwsStPuU9tbMGgvwIs nqwFDFyIrJ+tHTnVFLpLNtHmEZsPIAJX1+OWvsCbJ1ngxbTdBkx2H514hFdH98oxzhB+3HavvrdD 7ZtFdoz9fxCaZPtehHVDuWoFfVVCoUlX9QTMyxwVv4ThkqEIw8VWyZiGL5oZ1sFSYdTMirVMXnAB atNB58ZjZXGYt19qfyxXBzpWmLBdUy54aVQw7t0FJK3z9Kt+9io6Wj0q0YtTGSNtbidkiDsJC/vu JkbLcOsI9sGbEB+uZo3kncWPMHD2On6rfA78HGej1TXUyON2NfK2k3GRnBHPfrpxXjxCozT3nhtH t9gC2OeAcbG25Z7bXGvPR2XPuV8PNHaalUFM2O2GNHHi2UAhsRY53H7tl/e4lhACVephTyQochKe KD74XQpJqyfxFRXeAhoxyVR+zzsWtQGr2pWtAHcfv9HgcKLPrMKOI8wXSlV6o2bLhjGKH1uzf9Kp eD15niOV+z10+P3tkd4EJR4YWewL384mVt/w+tBKiiTIwdsZmNyPgrMow6UvHxALlFSIUwNiIA41 lMp94Y+TxlUvvGiGhoizvE7HRQJez2xzh4KRrZVzOdx5mUpCfscVslOyiGyRK7Lrpbmlhe6lo4Yu c6hBRIpR20kTWIKCcbmXD9qgW05kpeVrNhWeLhc4z8xVZQjUW4Ndsz3bfvOaBNUR/D4rBlYc1jXT 9CBJDtGohm5qOysLFABkGz46RXmI5hgxSY2cKb0mZT6YNpjsbKf8e3+tlgCL5kx4UEkjaMCSePkR FC8bbs1b9YJMr+A5rk2UsVNLNAu/nU5n7cz8NxJe/2oAbZh5j48STGAdG63dia6uHhlPUddEuHyV cR6ncGQiKgnxKcLlgCohYRn+WCnK1CvpR4f1nZ46tIEYHQPrDJ38rekFnJ1W/5cf/lR7JOvRS36i v/BJaoorDmQbWRaKRxI3+vKHBM0piOzhlS8QyhVn4I95shZhO+0BST1g8gKWaS4Z/nelgUuxx+U5 t3pEZYfHOqbFwmuhMxdQRIBIRkVBIOTDJakqVOIk0XqeqPX8BNBuobPH1GQBJQPprEmPx8u+/1iU tHnY0q9VuJaf2zxqifqaNFvbWG972JKp7t+nlMpXyUZ1UAWRDTrwA1mM9QxeiwfJMGmPe4DpruHG q74JLYErK4TaXpHKwn+g7JtJujrCLuxAASbHbqdvh9iykjLQJbAt4HVaKt0sw+gShkYImu/ZV500 sEIeyhKSTzvFMWjqtENCraOqnWZ7pMTWHfFEDB15/1qrKJ0X6teMSGKJBtfhKCTw9g7R0MaUnliw luZzIP1zVTxSxgLvOe+hUkTi1YTvQDf060NMN7ueBQNhIGcuAa/HpIlFVT/yXJIYJFVeWFoOh5Vh 0Na7QaJFPvqKT8UP5fQghCP9EaWabETTplxeWfLRGDvTReB5QCkBe0TtbvG1m8Ss0EUrcoFH6aGT upJd+KWt2LhmLYhWWWFSdidELBOLTUfsIWhdk2nkfzlmys2TfjaqgTUSEALBB7ilNgYw5n4QDFnv cEHjTcVZ7Kbrevfe7qzir58rksURh464aQ8zRcLNgAh8hnbQUR3GBp3pH1PmeTZ8yjT+XhWQEIAQ +vCdhSEtfxUGfs5A2WQdXpPDAtjiOU5esS8PJdNzndX5MS9mR2d2v4Gb6XwhRNfiCwk9MVsZtDrm b9jyLOkWR6k1IJSxaue0ESBK932WCqkzsn+DJPkFTeq/rEAuWTfglK08LFOjWD2A7PE1cKR9V7Gs GWLAPiwHCHB79ZSNtjqNXcZ/T3TaePIPD/4IAdBPkFfAFV+xsDLbcJo5+eC9mycsBhkz4FN0Qbkp H4aNfV8Q6Gckwg2cjaItWd7HIYoQFL8IgraTS9ZFajltyM3vZ4R7FQ6osV6QzI4hJZDFHdGCyz8S uzZotLAx+7APz8ePdEU2ssKI1FoUrXYhkRUu8Ko/OD9szT703OJKYyMI2Ught58mYIk8WTnWu9c6 nQLSBj3oI50YyM2yP7gDe3Cxjk/12gRkV/G8X0BYQZoxoX2sFz63XO3yXgmcUDD9mmDvJVuKtwDE 4HvNceiQQU2MYqIx6HRrkmGviKW69ZF4oZh/x0zSzUTNdSzKbaHjQpM3RmbiXSu7vYrMGaTLV4zF chj0NF/CGbQg/j4RTVj9aSAiRJZmxs3BPzzs5Uhtqa++ETO81n9mPPqWlI+nriFW7mxWOlsGr4zj 98ljviVNYXdAjHcYHPRs/NI8MHDXE2TflDcywxf6kJ1m+NtqotL5h3dInOXvTVJ2jsqyEq0HooQ6 WS24lUfLq1TRMjRR8jKmLxQO+iAtnQjDZt0mCI3swJl56I/Tb5EdqowFFA6OHr/NVMjbh1GPeG0P 2ik7h8iI27ivfntTXzcJSEF95B22v8fY/uHV7ilFVkbYyiglCLaojJNtZAKkRMWAAKIV1wt5pn09 1Hj9evg8cBCviCOuJz11FVJWxPBsnll1mukfsWeteCbXF+g+4h0ShsWVGX4yiH203R8hW49YzRXk J7jdL2j1NESJBPjjXzF2tQU2Z93NAoHomnEgEml8br02M94Av6bDegdTwbnpHDyFOUQ7b1dCrjpS GK1bqusb7z/4OROLrD1JC0Y08Bdy7CuC1h499wg5YvI222ZiNPjA0OrxmXm4Y+VjJLnGYsru58m/ uflqNQrDiJJieOWEk/Y8nLX2tf1gKQ8Kj5e5lZztOaYn7zbNETJqZeZeQer0Z6MkhhllOtb+2NGj ZqRpdG17VpPcv5N7p0kkzPPIWRC+MuScpGOi0s6CZZWkji7rMSlObQJi/VvEElSR3OGjICTKoWDR vHidrlp7Nv6Gz+G2FgdR5GBOUp59R03HdrG7kAmeDpUgN/FqyHwdFuhWUofYvewxEppNitjVQEPW sGXbQIuWdfhDT907twBfpvqZ+egHA4DCViHPjEs5B0XRP+WVKxvAysea/sVKFNL33fn/gEPkKXxZ 3Tfm4ENJSp8cV2DaKfrhKhQUovK4AmX5EtxkdlnHuvcf112bHqn8FNk/8FIyKtAGi+O9ZFmKx55b 7GGpHZYazpKd0sadh8kUGleUUPldF7bWozdavqNmLKmdV1X1yM55q7umHVN/2nplvAF/mODN24lf YQ3QHJl8wR3Fvv1YyZMTvFpBPUOq44ZKEQPEdRPB/WZ6k72eWSzU3+DzGGQPYKrbv4azVLLgWX0M hSy1mtwLkgm9wQkz4cze4cK1cbXGhI7qk/D5Is2uZ1rgdcbiiAlOnTI9C6YcrWlMnXvGIMz8wOfx W3gFGu4xaQkogprcj1BkAwF3/TERYrc/hk8eNh4lg+u7yWp+1tIJL6y7tHvDxi9LBZFnpw5ZqmuI 52BVj7gft0fOUYNy0cm/hBTkOj4v2n5WheI5nmf92bTi3o6hGKPm+Yjp/Pkve6LSCTBEUbW99grm Wzo8DL+pXAbPQ37Mw/AP3KtPqKcMURAprnDDTMexHa79pnK+Rrf25VfMymSWKzt89gA4CIMrgQTi geGHrtsmzRXyDbWgIwqf2PQC6GA/FpEAk3leWzMBhrpmQA5hUUtnfRGC3fqdsDA/wCIphbFsFssP G6iGibL29PXJLxhDVL/2BK5zW9rqg08ocAhPLqq2joVTRFn5xnPHJ6L5oMkAIT4vuv+ZcZLGGsXE m23S2tNkduCg4ykfQU9Fa46RnBJB/uF7nrQTEnFNPNcN237l3YPb775lyjROBdB+MpO7D3vNaUU4 qWn8nsQJS61IBHX5QRABRjPZHMRsoGBRdqW3Sjm2FMWr7VEs8F4vGQ9Q9gCIjbMA3ZLRaQwdHJR3 +eSk6sbpCoOWIFe+yTPRoNp0L0myUDgMFQ+pRdp4KyqIpPOhcbXcvKOGt/andxfk15LY7FHRpiE3 7R2HPfF2GsCYLRyjPPptCn58LyY/zjnfdGtjxv21J4I2zXs03U3qiACZgln8c2FH8J7OLOHQHaNg 1KC7jAZHA3nVeXPOkT2IyZy8JdWBbeK5s/uGg5Izzd3q2V2/wYWwt+NrKN3TtVdSsANTugCzv4M4 RM5rH9vdcc+gtdSnwvFr0rlmj3PkGS3Cm7I2VRN++1x5qy85LXsdL1wuQZJJXfJxC92O5wCSK8xn paNlVvY6ua7tyBuE7NXqSx1qMUDH+2o6O8LsILM86pCPdto1/JYpXNylfeuItYRiGPR8mOLMC3dI MboAd3sRS4Eei4YyI+20DiK4G+8vqW9DnT+VShtlfOWAdldxKfjbPbFqQ4M8wYK6eYiVDRHwY4eD vrHfaqJXEVoK6wy+/0iQWPyzbUTFEpdaRojRk9GhcKQXWCQEGaaDsXL1KwchPk6Uz7cm+4Sz4t8S gWyWuGEBPUAUdVs8MAk6yvrNsPEmzQRkT7GSFSiG/cDDI/TpMEJU+rM4yWvytMoISkMPcVYWVZqy rngXjf/Gftv6oxHZlueecvwSJixRroq6Zq1yE0REBIhMIL6OGaW902hDeV1OzncQSHihAr+gg/gW 5PFsI1Sjb+qqMNoAWS6Y63zTWQrf9vDzFbLYsaueDlkeBJpKxAP5exPygr0ayp+yuMzZL5TGY3fL tJqsK2ACLfB2h1PN6AppAnrh+OZrklXwlyoMqvSmQCrJj0WyDz9pMTv204/fAaKPTuIn/r/lBDNV yMi4A0KSXWUkYyfprP8rkYZovjJxwRSGl1bQJmaBuWvbPFS68XrpisrPPFmZsNDR3vtgjhlL0qfk jEnSfxfCDmHA2tCgvUPIchctqZf2N3pyXQCFoiZUpnmAtEihwnQ/ysR8YmEwMcpEQ7TODjCBagsD vzzqj6BtMioe2DUspWlcinepeROrJKmxihJ7lnfm7eQ6vDzAyV5uOi0h43Ie8xGhQvdKCWdmT7KY Q7YlUpjN7UNe6iEVfei78u+AuYQjJrQQEsA6VJS24yjUUf1SUBd+HBLnDF/pczzXIJpHhlJ32Y9I 9+f3rmzYxfXIBVYtDgvVvg1vu/eAwhSmXqgH04L0RqAuI1RwLI+HS0Emq8+gsepunEVERsXyj8eB faIpwhLzFqSvU9YxnW3y3cICW5ExqO3Ctn3trZwWtz1ibbqUIrwVOi59aKL7J+C6CCt6dhOQm4Tg VBElzlE8G81LzBqoa9CEmTAC7hUsO9BZN9PHhanSovbVqznfb2M7cIItBpt0TEFTHkEymaYW4Ftz IcPCanvWxdnodGuSMlee0CDEVl4T3qjkbEuj6F3PmNqcMXfDeOTTLOL1QbNZW6n3ocHpKWp924qu dHCktWokYCKapcSaV57krWGEJou4cJcjNZLl/lFN/CleaRwFCvFY8CRKwmhhYGZ4far1p46zyxCk s3D4ef6u83scuWtlDtngps5pDG5QpvZyAFTdOJ63OnAqWj25gH25rjdQZP73jP5ySyWvfUbLc0TM meiNDW+E/PAVjk4/peHrDaCw3+ggbHpmFuIgtncYLaeTPlA93ufFrtEuXonsskMt5Y7ITXnbz1LT ZH81j7wyF31x8CmDNiZQ4c5AMu4GvWjk9R7TRkrZCTjIO98w11+x7S9frmMKHYZxTnfrs7unWr5d xblE3JsqNj00fsmX6FCnag/ERB5SQlsC3ANj5d9n+emfSUsmkgyzMgOEY0nAMgUdqByZhACGfjc3 Fp0J0jW3fEK9iWOUA/f6JMFfIzbNf/I7B9c+GU8lqdCAizlTduJlUgXgDoiBxiv2XQpLu+AzjH3+ iNtnsJj75LFRgiUCrwW0IigWMh3+PdqnLaIsppswJeM993y1pBJKzJOFtOBW+wpK562AL6XIGhye onqt81BI4PsXM6ZzO4tOCee3O8VbbFqlhzVF+T7VVl96gu3L+rQLJtLKcYws7mx383Rz0t3Xvudc oTgsiCTn2CuN575+aF9aD/8s9dvD1skoYIYOo5JM0mpikU+Rir+dy8BhRz85x3aOVDT5ARObI9E5 RhT2hoYHrYmoKn109IOk3H5qX5oVaIIKsXy2xVXSHpNcP6hQM/vw0GdcGNkNo8vonnwcJ86TeDZU IQWOYEVmLTpZ6zoFpGWi4vay5ajOvil1jiaoOuXprSkb8NNrOseVZUgm519wlKb6QdGNfaLKj1xH /EwMqELvr/lLuhwdSHSIsL48zl6APtLhTOa07ZFxldkTc6L/vvF38V4PKoQjfv2oTooA1hOOZVYE F7lHFd/xmx5VhQAanlJAexyGk1wnfFDo2vFEHINTeI+RRaQvt+1d6QUnJcQ5U6QwoRhhdLGI6fSg D9haYwkY1BdH7roHPv/UwhbskAkJV22C88yrA0XYx//gSf/W87GLLRicrcsjYUmjHqNLzdiO9KRC vHaDZB7grkVYrt2MfAQ11IKlO3DYb+UeNaQYHDR9EOMli3KYE1ErvpIuw/wo//rAS/s3GSmYPFrN 7eV/dHcBbtgoFxekVZrCokx6Up7g2MzrW5bKZtB7RdEatu7UqYSuSG9BSyJ8RycqmAREThBrtEJ7 a/yMkZE80sRqat40/10Jrf7HtC+cfCDlix9LD4bFpsOMNR2RXTnlpS0o4Y9yt+b8bqVsXsF+nLZf SmXcwOx7Qz2G5Tz0l3xeAJQdSaZxidDvs4c+BqYiaYbOBaUAMjDnMlTMKyn7UAwOPfZL86mRJPgJ Bf3X280pZSwHTW9M7atk/+xuL03NBCPFmKmAQbPZYMAlRUablLQNCbEHuicK7C5vUNU4IqHn+flO pRNDI7eXt64BP67ZqrZMJuyXT9mu6utAt8M+4Iu5Qp9uE+HQIDTgQ1IwPT8cUgfR+7HkWzkzvd4w gfEeZsWJt0BXp7ibBar+FG2YK72/4nDwMVnwUI/ic11LPeaWNOTLfcIFwvoXd95sFWBPrAWhaxzT dcdCwngh/wP/EFxoTvssBxgqbtWE+AKLpEt4G74yVLqwZrFP9j66I32bJcv3eS3RCfru6iQbHArc 65gFF7mDxeFdgtIoZ7yE8sqCLypfDxV0o94Mhr99LujflBQo+XD28qZ54L3km9xe8wstCJdp6N4L fUW1YHUNYr9ZJxz/2M4x0e395/YSyOVA1HLax5wH5AzYY2zZZOFCvFoVBAl/mUB89XI3r0d77NAP SWQFLIL+I5HaHvVG80hQ82rLKjVzzwa1SUEeNA8oYK0OykEnFlv5oEb87Q3RtW7VcwnQ20j1/Xa/ JdzcyuZAWggP0rQz7E3BvyK1iO5R5L4MuwFCssSzXmpL9fPp9gGT+53RqtS3aCD9ji8sben1DomK Fi+xB57CHZFOnOqSWwG6iaV/96XVhY0Y1URScK8fWYUBcYL8OM/iwX9Yfti2PnWro0stNtgHD0PA +NkVPOBJrQBY6/wt8j4IsKOcAB0va6t3eNI1fdSV+/YPIuQiBoqdPHBU/f8ztmjukQ456SVzmvbH j8w6i5jS56kluEg0H7FX0ObeDjVYZGff7p7BMG2dg2lugVv9QgwCd84hzacrWq9LHDM10YIMktyh rAfMk3mw1LNPrNZHvSvjhkEa7CI2JZzxK7q+AT9wG3961LIvx6MDwc8S9StKzn7n1B30ZIUlIpRj KGO7RGUWj0EqiVxjkVIMWTSNDhUUF6eMqAUp5ZuyaZQga+PKGh7QPlg+XWwVyJ/mhmdNK1lQu96B HDRGC6wNCaKs95/85njSqgJwf4C66SmOL/t1b0iy2gB+15+KKkrloTvNoWT2AY7qJLqMAPQk6yuz uN0CxUxFtrrBSzv4qUOq/YUVoXl8NOBGdrtl9DUhTQKAIdjlMwuYrqjmfBjAzg0lPqF1eEvco9fu S/0eGakxBGZ3xLNjUpcWPi2J0e9lgg3O1pJkZRHVS2+L6ea+ozg8YCNmXf95aNS7ZxPimoFOH5JQ Zxby8rRyzsWBsR70urLyvLtdhk4n1QvEMmIqfXHxeyO9k0ANChndzlSsDSaJ4kXz4yl9I2CkrcVE YZOWE7d1xsYTVoaru0sDVy2BwZSehtMZKrsfDCP71N1vt4AmRCi3WBD/h1QCb8G5k6pPwHEIdNQd zlvAryo3ej0p0rBolMrTH2xy4sqC4HrJkR86XLtmi2UeZjN1dP6OmE4H8UT0LCXW70sSoEMB4jkK 4ztRc6tqSl5Umks3ApPWXoSVxYWCh4R4tZKA5CkXa2TQWX4Wx1KRrvPOYRIutMTlJm/52++npmpP QtGsTvnhoKqGf5kgGfJTFj8PJpghDvmPaR0N5VqkOfN8krIFs8Zh4x4NSkLs6JQc2mgZMx+nWyvj WgmNj8756NSrE3uf7+qDM8OUfqpUY40iYx+RdHZfJ755qle+1o5IZXMgbtLdhcF1pGs8JW3iUluL EZLVRRcJ9//qGKWdwLNC/QhUwQZpgkmV9keo8YVpZJbH+IYYL4ZGNbt/gdx0rjLVFvNGfvZ3ACtU jzRTELnNw1+BT1zkj0G/vypVL7/o8hUqTO+vHrXkR7qxcNb/ReMa1E3jXK3MI4VUY00st3ukoAnH XRrecIotqUbGXWQod2f1wzFVzTidB+H4DYhjGgqAJLgTBUZZ0HYUGCDo+T/KF3SfrFezBqaWT0dt J/hbZXLSe7THCW2hN/dgf8JtfxJdOOxtnmyBpLz2X2M9NBXMnXZbzAEjJxw2apJi2FtkBgPWhLxp 5IE1zAOsWM9GMk5RR+aD9JOMsvV/sXCzL9fO8l854rKpIqIwFhyNvv5PxbQA90CJvDtHecsrZ43S ghU/dryJd1+c1A+IHJNyax7IjODihF+MyL9cYSq7zcRopdGXezV0xL6nikKH9PEWJz9KvPyPonsY b+93e9//oCCi+/eafCmA72jxA1fgBNc/8lglp25raUn+Ko074Xbw5nX1UFENHYLIPj8F5eFp4Gxy 2ZmM+Kt9ys424V7XXuaGN+HiZWD4GYQmN2UxsTO0kKPNp5zG+WvoXMGr38qK2ROf7e1nJfjOVmCt Te1seEQVpYoDJfjZq6Vx+uDI0ParwpmrZNeUCN8yxrjLb1ViG4RbxLlcuWvg22aCgPtYxxvWUkCO WJTcjYVwkfLbxXdqBgzO73SEYPJzWQWni/yxWDUtEYA71vmIegW09JfegNFgXdc1yzJeGcNj7Vn8 sVz5HNkl1cpwYBBdWKbv6AQUUkDYEWNL1J4gMScierspRJDwfuIeA4a7RYLiobdVp9PF45Tp3LNW pV0s1D7v/mVzfadtBe/vvEe0dRkglzXFSTrbsMNK6G56Ef+0ARZ/n+9/CYJ7mHd3JkoAsrGBm1wE zKnxcVV4ag+pEl2f9GwautTb2sg1X/kZ5lq/YN0L1iKHoxh41RY1ak2H+4F9LdCk1jeXPcBSmuQL eqiiX2CoF2fgEQJmz2EGm8lFRaoAU6ZZMajcpNenyvJdt43yttv067RFMt3tL5/gcrmq69n4ZoDX 4dSgPQV/5njL0BDQnM5boqH2NMlfTZzRr2lUrPsSZfYNDcTtUjfxqeDKQ4jKdzqs+I/ANI8bAWoG 9mTXqqDtCdCJCgjgaijGJfiQ7YLT2yvf0GK2+5Vi7J0a9aMwkbxzdmt+cKJVSXP1H4CEzBZHXx+R XieYFG9A7EwHWib2r+wzvgHt3umC5kf56qdXCG9BoZJuCyiCll9a6WmKcPZcS8Tdng/7Tt2YfjZz ET2xd2Qog5ENa16fK33rPQKGOT+S4lW/ThezKAbpgtx5QkMVHNVQ8TdMGTlq3qoPSzrRASjnVgDU N0gMTFQaSmB6xORIOGRxcs7RpZCH1iTkyquYnLmfCzYijI6ryQw5IGqKHo4YR/DasbWQYKUCNSS2 B3uRK74ZoCoYxL+r1UXKbwW4AW5KlVHMelby2BsPjkKOQNuxOBfrwIIlRmWkMtJ26zg6nizxlMEp SziUVCjcgEojVG4yvoUxxoIpkHjuyuOEZcBx1KMn1m1CVFWxNtC7X+Uef3O8/Z3bvxr+bq86w167 Q6T1i4Jf9kBCAB3E8b4Kg/A0DqRh3DbFX6Ez2vdn8eh4p6Ucs3u0phBQY3HZcdwrFw2m1qpcqam/ q/rJyN5oQ4WPKIj6o1hukDkwjUD3XJRSZQurqWKGsxX0QVhPHstxJz2ZO5xzllV4yUG3KNkhCA1z 5j/QzgWjDyq8F7qJI9kxzADZ+jkW39FMOz+SkEymkVm16752fI4B90axGXtU4YnKgbh924NXQgaY nlWqwQhBC/qEVFPMFYm46F3IAFHF6hLiy6T3qyck3ucZMSq4jqazN7S+8gkTVX9+oWT3Hsqg90fu 8LfUwFYvLYkTwNjBSbkmHBlyh+q8RSlQGulqZiERTwB/1Kl3Cf7GRkssVmWvttAMIYLO6KXlqFPs A56FUYWPSOBzab2OyaFHdpjp1JDlwhLFoE3OWKbZg/sQxA9R84zcfOHpPLoL/cboJj3CqRCo8QUB BtquaE8+wBL2UO6zhoQLTrsi8Y1xet/dc9bYTTYyPepm9JsbUiDpk1PP7wyxIKZVQKdikkXj3Vdi tAurXJbOyVcZCijmBh3ZkEJADKvfzLpNjfrAFYM0P9hRDERgh+37Hbm/gEnL+H4BSW/0bjIB/Ou+ 4j4boonHn83MBX4j5OnfZHIX7Rfk9/GdATHgkqfyWcsSM0bwqSvEpvXue7EoHzRxM7E6Pgow6/mF Y50S/BSrAKL0TgsqMFu++ObvEBP9JqzCZbbi1Nzmjr7K1Q0pmMzdcnDu5mPiBimS3d2FtKaiI2Z3 /p9GeEj4FYiWfXnNoeXAdPqi601ykBkZBCz+puGDKN1L0jLRI7VbOf0+6FcY3j+/onVc+KNHnzr1 qfNt4IaB067QSVyo8dk4yK1LMYF/nKb4aHo2Eypz4acQ5Q7e/emCiyMBDieIt+DSOJXy7hyOGxNE n9W777hgSrSYWjcUzSAs+EkrQZJtQSHEjh6/e9rplHlkUD96XqQToXGDUrS3kxEdziA7x8JhwEF8 eTHSamTU8QbzU3DyOBuuNDhp3XWxi6IEA6Gz7nd2gzAj4vDWJWK4jFWyWpOLT0ppG+zKNi5QbPXL /wNmmxrQ1GqX+nRlAAaUkcvNzeG/yDTxiMbBq45w0DPAeGPNc0h6JgMtPKx101gn8QLQhiajIyxV d0+5D3ymc2NmlO7cqewwaHi29o9qMEOWjnXSxJvz3CMIZut6uVjko3eyOpHhNziDhtQ6CMWrX6EE HhGlNr/lu1Z879j08tJMLtDzDK286oM4Ubog9EAcYSSFfbZy6ZaZ2uUbKK9Wm4DSSKpo8aQMqTQT sU8Da04EyUbU7DSbpKNyWMoDPc2rDCD8g7NgxF8/z6myZojimIPhgr92YuWtWrpngMNilu9qqkTg huBG7fdqQj7Pjw9Bo/BOOvOP15ZWfLnsRYoqF6RrxU5VNrpFcmJKQOnHoqKCKZ80Ji0rvXeUdKg4 FQfH1POpAzETtpWl1c92s+Jw8YkVomy+W8+c3I3hV3rytMvoyICvBlGLC49nGsCGySuLPAYcRMcV uHEATi14CVWtGCkyEptIRIFU0kc0sJGopBz6w0X7Brjn8OU/PSRVIErWch1TmfZ/Vwi9e1HqDi8c tjtNmz4h4yKN7sdMoOQ9v2Tq0iz43F9Zg+2PraeS57VLx68pryur7ElfEo3oAmOY3j5AnU/P/AHe krfDxY4Qi6JM8yCpBIYVd2lT+kl/BW8YKuGJ40vU4x2Wy4bm9xXs/gUO/xLZ1Yf0XbUZE2PiyXQm 3sno2oENo0UbH5tjfWzDqRiSHVERA3wQWplGUK+mmAQmwP6nRv3/gEF9RP8YwiQHdsOq+Eug1dXd vx6AdoT4NEB9q6eBKvN0NkuTF/JQ7QjWd/5If99qZGxvLrrETgYBBYcBI29hzd20V/PNvoAaPy2C NaFcdJBCA2qmeABxkkMD5xcr7p1zvUfjmR+MJXE+wyvWRS4etJQPbZHpLqwdrhOK3jQgZIMWjVuh TTV8gXWOl1Wciok587ru8/MjTb1gZtNudKWU1ZhnBD9rkh9GW9uox0ncyJue2hujMSecKstwlF6M ehhZcviV4yq+bvMSOYRk/83msSTsjFxbQXvOyLDJA1wg2V7K3zNViqPEgX57YHAp7cIsZv6K3M/7 zyJ9SAuvYIltzBVVpeGcAiPg68LRedBhv0t9aCKPngYkmmXbShA63YJRkBgGPPH5jZV7fc2MDqXC CR/PsX5Sji04dFBqcVT/2wS4d11bIw85Tvwse92U7mmVSj9RimaBEJBQwxu36yDFdU+BM7oo8/Re vmS9NzRWramaxLWC4+b+pQf6ftLIX5L6iTc5AiPmAGR5fjOngPPa+VouBpqd+XQ6i8LErtOQaKZC bwnjDsMJQqXp2npScy4A3lGVpH9CQQNUm2Osw3NLg4izhr0e+ORvPOxnELScznwOe6eI+lB5SW2t imAEvkzGE1KOdLKnarsN0bIQZgFRhGnf1I94GOnhg2iiy2hx2FhCMLnXwdZl07cvMZ/3fcvMrwOW A4JiJMc8T49WOZXQGQzT0fnReD9JYrzYCSB/ds8Xt/jLy6MqLxZ/FmAXtsPsU5UJYvEDgD5CR96x Qqypq52nghFarLKO84VfH5hkEQIwopd+KX6NQqqOW6rBcv0Ak1FNQXQzX+8x4nrZTQf+/HtTFePg V7z0roiRUUTISwA1PPUwSUxH+lCHruS7MzyG+EEKCA9LnwrmfV29U2iFhBiIs7oaN4LNGZT6W1Gn LI8LLnwmqyemZ86SKWXLd3urdR+ZiSqJ4HxnPPTbxiAngDIEYNNhuwPgXktyufGPn5r2rIkMl6Hr Ze5XdFQCVRfnghdCrfygCvvReJY/Yqy+tWd6cJeCmQ+sXzzJTQiMEtxvzCM9QbGrPnYTU0ZwXYTE dsbxAlx8OHM7Tq3BXgcdNzGgpE5CPb6rC8Sxc/Vlp/SunE/8fgS+pJXz3LRXpmtcu3XBHUm3AIFD AM8+lllWxuPqNGKvjY6/qo+MUpdW7+MGCngfwz7D9Ay8uPGeCKqPVWqJmXKS9gtKbjX8+epg4pwv K1pSXx15DNUrwj9yIblve3/khU2e/wxlQQ5CowmLrrjnOVOdUMTov2yHYuETd2k8ug1U+/flfXoj 0ZPbTaW69By03RmkMICBkXzAzvtFY2ZIe0QBH3CoVJQcE5RkZ2U7tAAWCE78LNgnpvruSiSt6m8Z lT9X6/IInqEB1ztao5FiLw1kdQGctEbkHxRrvmXAcV5tmVRVy7uNWaUcyiUEnTIcqLw4TK5DVnK6 Jz2AytLhxSPZISQd5Yv8thLqBM5WGGhVXTPuUWoTyo9a4fu97CCG50gzKAUEbBjq3ff8utFNBapu J/zdyzHtkVF2hvS4q5agbrcUp2go7Xou6ZqV0EMWQym1asB9RIgZNmfaXTpboXvJpSHj9H+e0WYN kyZdAJ1J53E/3cwpVT2Nw4HGi7rD+pSEsdto5Q3tNBKc/CfoHvetTA7e94r+gmnt+sszUymMJitZ dywaTCpq47/aWqPahecutpyHMnLlzvZUMk9WtPN7A+iL2eBRvMdDXhTKQRj/a9Vm+3hEVuZdhbiq KWf3BbVw3rYJZ+yw45ZhE3q3eDurIyL/1UZ1u2K7z6rW1jYSBG+7UhLTP8i97B1p0J+3eIfX4Ez9 Loqf7RtvSOwvoSKOAOzsvlmFUmyYLwvDIPeEtKVdhvTGlZn8h1Bine+AKc+C143UElWDavd9fdQe 6Gl547lR9JP8XWs4Jkd6qwStJaWgTcPw/+hAbEuJKr16fz8t6djvnkIiF5owWgGl2+Y6ErMTALAj SwUJcP/QaYgB9D2l5BrLdkD3gXW9Ajif7rsTNn8o791K96JVcllgl2n3etO6Rwxlh1GdQEGOSsWh KbRL2rapbujQreCTxXGI75D3zyWdlsoKvpz4TyROsB9Yrfm0A1vxiT8GNfgfgSHa0hbzL4OflT4f t6JFxdaNt57DJ4W4MC4yp4RFVHJ0taXnlpxO+p9Xft7mmbIAsCcpCpJWk84hoHqayXCVj7XTwz9w ZXLhW6hwd+OocDtjrqedUPXFRIpq3xRb1dAEyp9AC/o+3b25nRF3R9heIfLgrYUBCfoCIFK7a/Wi CnUm8qixr6xsNk7H07SeXjBiUFrn1Y6KS8PB8k3aIph45h3xKDIrwE1wg9JCLIW2KUKLRvu/Pqpk RnHMq3NIUzJk3szP7xxy11SkgvZpWBcrPujRDUHpNZBPAIyRQ5pMFSajPkhiSasygNJndFiWHmMR DGgiHv2N5ZiQF21G4P3iaqA3UENlu4wNFJmIgEysBrIdhZFxbhz+uHqZPbEkRwDMsr5DaTyKgknl VtC0gNXdf320Mr7F2ACFsPQKmK7RWnDSO7VXM1OSszFdhnW968RhhLNSKiv6thaig+SRhaR9PMrG rV9Aso/UGB3rFbQsCw0OFrDaU2sBwH1ttqOLxjRMtXsUakJ/pdY94IcceGfLJu6OUSIPGQ9Vxwcy zcnp+x3Ps7XbN4ciM5ImowT/J/DPV0j2Kbiz1aSXOMLImINzZ23eqRX3CpwqyB9Wx03vf3FWpnJI UtAh+7us/PKkzNhVC2gE+Y8x+78DjtxSz2x0tKV66eniFOQO6oQT3s3LuYy/H94etyomPBmt6XJg MutQiLeWJUUILgwZhlFtVwiXXln18nWP5UyaO/Dc+QU/qNcQW81r0f/SZZH2h2rJGyrIpR4c0XQ7 XJEHu1JZW4+A93J9GGVRBBqW505eEgjMgXN57pSj34RAxckod4SDTf2jHCyENVIxzpPQgSqqREqU d5z8QdpbL4UeZYn2TwUbytP2TeBeo9aLbXun8g65tH6JaXbPnHXhdf2pAEwDqB5Dpm0FlKnEvzpy 4O0fkZ0FLmEwzwLllUtbUktiP8wuKl/8+ap0dFXPIEdpAfTsT57kPtM6+vQVdtj5xlGkbacaePBm SNO+LGme4m52ioG7jek8/kGgf2VKOddhMZ609wQLby82O+u7MHmalc3LJNyMs1pomAcfRLDnuIOq 85WxjfH3IKQBJwPEoknQI4irLEtRxmf2pA4ioLxDjt6NXpicIbIcKOPRmOSk34jUuXuXEs7UCVZL 92DI8SIVNUA5bWvbYC1s4MCDqjHBbzlL3F+m2955gNlpd98Aqsj5vx1BBvlwoPD0l2ZI7EaFnWQQ Sxuu7WsvGxrp98NwOpjxu+7aWDsJtJVKI6J6XQ7ugr7kw4roY7RX4XdgUR/5yhus71N07RQ+99B2 ExwVsd56zerzXfTbHtNYlqcXVjNR7oeiPycaUk1rXJi4ZJ6F6Ejh+ywin6sY23Lf5uUPFRiP37wv pqtQNo0veF1BAQGMNGRL8+k/7Nyb3hSveYjq+Qy4uezygq5oCoZUn/ZanPb1QR9USVjUGVdRSoFb QQK6SrRmjmjp+JmO8ZhwnHEjYnDyDYbVuY4JIrR6Vtbya1+Kay51NjX/xjANmsWGCdPU2HTvg8sZ B7GIMJzNh4uGVPbnE9IuHzQrgVy02LaUXPW8izD2wm1YRGCVT6BgoCu5/+p9sc6xcnDa4G4n93my NVDgqHumN7whauL4II8icowd6Ihu5xT+X1Xl5JmoYTDIH8XVBh0DSdVR5eQCTVkOsqP1XBWKQqbc 8pvjYfxtjBAG2lja/UF1udSNAPFPEjCGgiBJ9LMb4YJ8p1IE6nZf/DUQKvGk3qud+LRu7k+uNHB3 BCbiD8sl0KSbeEBLVayvK8+rWcrq8OOyFGpFcm5hiAW5SCHHrSrkHtbkwkYAvK0N5s/W/5u3ZjKv Df/PA40TUaFNCKC5YSbN6sgkeaSiGD3XFfwQRq7KeLz7OecqMv5iOQBmiHOLoBzdTCbFH0VJyUMk KnYl2DBOhchTKTtgmu+wuEoC6afLRET7QcYk7kyGMBW3dffYTL2cpRT2VRRa4fhVb2JU3eB3FUvX FcSHL9V53AlCCA3Ob5svBdZOOStwgcubMZwjMIUi820OMlmTxbtafYSUUm073RbIUa9h7N7AG/Xu umYZ8MYr658W10YhTMJHHUnBAC2drjBR4vTG23hzBMxCrAb2TCHurgHyxl9PxqXKWCxSoNoE4yTU aCcDGGQULAQZThRorcuO8dgMgGjdjAvFAEggeAigHVqu7NjpxENlhIUO0nK221DbSMsAIUKqXpbi KHGrHy4zsOdVLfR1MPDRWIASe3khH543Jsz1RcziBPY/7aoxgGqSu0qtvRMpsvv/sC1o+gFzig16 D7+imW/Ty2OAG6zGVCSMNRez8rkATIWMXh7xq+4RDILOBHysJ0r1FfTnZ31v0uxTFbtbvjljkIqC xznHqjbn1KnqgLRXLc8/IYevje5deuERkORSuTDEbHUBQPPODciBmYCx3U2eVEyNKovRnyA2e0/f Q+zMRogNhVjmDN4+2FNpFJTnnboQIAu0mDiVj7k++aR7Sqfh9gnAetx2CT5IE25PcdiWa191lebz LTqdxLRoSxOm3EVy5iKS4c+xJlFROpnzNGyzewezD1u/Q2dNoQJ6Yi+dCO91jXn6KHhfYJTWWQZO wcjRtyhz3BG4OS76bpPqrTk01yj+Zc4CAp2eWyFgG0BsPOmDHkxyK46buSF7KYlBRNrocQx3Nwyj m5FZXWc3iBfqLR78FNYYnqsy4uPeinptyj1UPXNanol/fqLOV5Vt+qnOtIl6d0m5XgAJtcYrUtjj 7onL/P25rmAf31YMtcJ4L4suSBexIT2jQjhUhacyAeiXEqVKQcvn11JDtgADRWBVN8CFWOs/dgkz gmrc70N6k9/kUmdD61TDjnDR8kMoINGJngq7zoi1wImcp0VvAC3UYEagsHulqCK+vbUnhhkHUKNP C514dNf/WBYo6uxSkvcH96a1rRsQtekSR/F+APf5oG6DVcviKhbk2eiUghwJeiSZjDuKG5VZtyuu Now1VdEEEaXT/x2Devd/gLm9oLSwTaln1S4fEE5ydu2kENWGoL3F4kpnX+/BiEOSiuOyak/JINDU W35welxhHqqDvGjela4wDZyDGUCOphCvgsmTWG9W+2X3tRnyHf1+wKClT9/z081ZeYYdK21/ZhR6 hvJAuMbGOtcr/PWAglwd/rbOr8Nmfp9D0HfBsmaxLbjK9j8KSRlOn1cv/BZIcCioJzlGLitjlkgg UN5QmILQiQTdWZJxj5sfDSJo2wcj1XRIEhRdnhZUm5Z6Qrl1cyZX6z9Vd0dehYj38kD6ZHrCaDgS bFUgLjIvbzZ8zR9kwX30G5YI3gNGtqFTlwv9XPpYGeqaYbTr5YXUTrtnLXOkLtR2t9YOrpN50kp7 ZlA+ep+yfj/ACdL8q3tdHuuS2+u+zfVYYYlcKPs/bCEXxnTMpfQK+6DXWiwIxHcr6aR9VLPx0r+/ /Z/uzRjbWFwpLpALfA2KbcLyBRiu3bL66JyKXu8Qvl+sRgG8WmGPMhaJVMmSNuzmD49x07rk5ovM bXMeskmB5DRMum/YfimXXtv/+iQcnqKSzCsQUfaRRSQwie9dF54N7hqh/8gnSXYj6Lsdjs5CFkSq SO9gpUmyiMzyiEdSg6EyHor0T5fHLa6N9ToBby7rTNcWc967L9Ix6FD/nv2eFWelhTf0qZ5J9xQ9 DrIeLbOic3xT6UTxHF0l24sHQ4OY34nNMe4DwRyi3uhMalqVURAinLMWMGLB3ZRmHVRO1ZDnC1+T tKXgvRFbB4KNVKgwL3eLijIzVmRFoU71RILGWKTbX7tcUVc1d5y72mTWcSnus+MJaw5pCIZ3Oc2K rVV0bKpk/vtxkftUK80zRjkQLmCVFLbznktgoH9wg19MZwMee2LFrKfdfIm6xzOXWyxmIOdjfg5z /TlF8DdgjkRDj3kIcTOYZ0Lz30bvnhzl0eupfg+7/cg1z/7hffiB+Gw/Qv28GAoiFH301IXfFHYk ZuXY6cq7b+R8QgovA53AGFYYAlSssRxYO8QYvvL681lXHB5XCToTvbo2gg6Oys20jZWnIcESTAtC LDD0RnNOiLSpEwESNZU/6qxpA10Htf/j1UbVP4pNN2M3e8zfCCJqhKZOC/6JXuqn6IQ9Aib1C40p hMlsA7H03y5DloK8jyZTKU6eQ8lOpjc7P3ilhQ/ik+4+bTTRHAnUyijmmaP5euOom1PNubOMvBC+ rdOcI2uiuEBIld4uPm5BO/TlkeTmXl6vhAeQJc66wKxA7OlbjyodIA8obyCxbO7+iWeMAibjzdoO FaCeJEKfbxJdl3FezMpJ+/UGgOiOLgwz99D5dvgraXM30Gm/6386HVL7irnhe3M+qA4hrgcC8s/j rPFoNo9T5Ns/93m4qnLWT4/KpeXEwEru87XnUI74qAzKwTZUlbP83NcLDzgXTxuVP4uzZyA1SLwU KkS2BDwC5qB7APnwp7SYpagw8HhvTCFSozMkmcPEB2N7xQSf9N4l9BG/Lfzb0hpb4UDvysNtPCtV FBMWIovSeHJu6UwTQ9PPO/8bdRM3NHXlOM/tOum/9sXXyW/b9jwP0na6vnKX8yVc9QRt85995nHj itkfkr0ylnH9IfmPH47ZIKeWteiTo1nRLXVOK9/WJCpNJqGd6gb0ESzzRp2JUeRJ9AF/onYp4PzX I8sbqsQcDi1mPfaYQCmk7kpKrcy7gsNB6icifdaFz0a7/2fGPNiQvw49CNZNVJrwps4M4/k18OEX OW+ijXSGUDDyCCa4d+byT5RIoowJJStVhjeKX+zPutr0yA8lNMlHldkxTC7MYYVYYh1fmSk9NoJX jqOfH8rJXVkgcxuj4mhp3KU7FPN1zZ5Zt8z34v3zSPf8LdCjE3Dt7cjlkdITW5Sj6vrqNlUk6gqz thY2RLqQYyj/CGvPChGGCVcdCklEAQco6XmFV3bL0SCd8rOK7btrFh7a1Dvo/ds+0iAgtwc7LCga 8crSvC1w+xFXhF+zUJQGTGID0g94/eWZxEDp339eTJ9z3U5aMbROJq3zy7bslDCAFQren/CmGw1h 8PHf6rc4hhKWAVxyU8w5eGTXGMs1ovrBwrW8ViAYQZUyFpCzfdDDLYyr2kOM1fVD1jGPcaL/qlAT CttYOECZyJ2CEjDmNOFX3B3I/JXYHv/nX1tHsP8mMedukGUpmizpvrVJdguoVBGJQh5gZt6+qKeA o412dUs7aVAnDCRcovdjtB2ogTS7gleTPQrNlhLc3enkLGblQFgq8pMQwB6fkvrbqzpaLEcx8wwk izFodXMPowXIeOe+tpWQbhTYsQyDAlwza4ApgXPKxQjmNrAsgm7TFW/bV/Ht7zjN8xXjYLiaQfBb 5Zj11/IoDJQ9m47DJOxo3XprzbqYNyIcAaqnwYW62J+/foHAcJvhJTSsO4D1NBwMaxwdFZoNjTCv ikqliD/pp4GwoWTz0eaGNfK83IZNWLrW1dlM+MG14Jq2kB7uyOHDbu4puwilBm4WCw/cPPjcLt0/ HiP2yUXb5mL4k5EVFIZsC1CnleW6hk3XxQJ0uVnHL18sh4KMNxbvc0xxnm3WMmJWeCbRNv1H9/z6 6s8HtLiywMPp1heTAdRenzY32VxKtynzZUaIcQcQdCgbE6dSvTllEYuOLRrsze3jmP3YO8yB8NEc BlBOQb8+3LL5LVUSD0vLwFbXZhjY/SIZoVqAWsjPhuPYrUHP8vQPwdYlBxS9eK9519yf+RQqWRz8 Y1U2+EZ6QN8Ev+gFx23DJ9j4TRZql6daCF0XTcbxeDEgQsWus4EqEZu1zgshaPw9MUrKjpsVoIwf 0A/eHlsPk8A/xxxahZwK7J6coE/1KAstKBYePlwidXU3SLpS44m2lqBX0dffvkeAnHstGyoy1nPb ZKSIpA3+LAqru95U81Iq8supIz/V43t5c+H6YU05baMGiWpchcDUku0TKon/m9pdwh1ixtWkX2Bo Iw1sMFdqfq+76+FJyR0pmQAog0BUlqD54nUcGWLOndI/R7Xc5/MIo5T8tWZ2OaVNX1NrkeeFgYIQ G8Blsw8xOJkEq9YobBI5J50gWm0ET5wAx//uSLhNcGgbx+edxKKRCHlbKvKR4jxboU8M1dZo9hSb 4EiUd+qOpO+lO8AW+DN79WJN2X+cIc6pna7jRKpu3aj8C+0HnL6+RT1zAGmJBeCQS8hyoJYSHu8R Kj3qIksfuBMEK4/9EQ1NjKR4e0ICtA7GQNmBWZ3vf4p8Dyu2prqM7A1c50ZrsByNrn3EDbgVnDwt 7Pl/Jnf0nKMfcMHLPEP9XO5KrU7pSEsYEA1v6H1pCIRsE+8FPJF9K6fnvToffGSjC8i5EM6pn26+ a/ym4ebenBSe27SggKsAmlsSI4KpNLPjIihQ5NofPsuGwfjCCeRAJ1Tx3cLKhyZyg/sriZzlnDum F2yrATBiWM/m9Tt7B26+94f3JqGZl/2sd6g8BYLxua89TAKZwD0jPtfGQ05U246P97M5sYs47nwu WdJ+lGrcQOdLoFRVYd+mdJBcKeHhT4nbhtGXLuBN7y5lrCBsJpXpOCvCNBGSVTraQncGbQ3aXazZ OrZteWyM+yAonwxQFp2GIEbS44fPrsTFgjI0k27URSYz3P6TETj7T81NQp9rHWC1yWiXhKc7jmye W5odsM/r9xoq6w0wfv5iLWCQP7fnFxCxJQcTnFyeN0jahgCgqlod/df8MCg8SVlqm6K1yPc8XfuZ iL87ymW4gGNO8nCmOZh0JZn7kimwr7DBv9Qvgh6AserJ0LEB+gCcp9qlopo7kMlQ4wgN6ZxrCcdq 7qK/xaPJrRv8qZkEj/B/dL+Jggo2/1AqIUWnfmeEeHX93/uq0/qKnkG6R6mGrUCDY/gcnvAyOw9T QiU54IsFmTgFkh2v9XMLaUS2rl9YXouDRVo0AZj1/3ORMQ2uEklDxeb7fmKW9yDNqjG4AGBne1nf vVb6mwZeshmQPvaF/to35PAcXKtOuDxy99EFhHdvsFcphip9/q4E0MKVz+SmEqmeymOvoAOTcC6q BOHqu3dR+p3atjrDqU9vbfJ+TWBaSpn2KiusMGf7B3kbjyB5v5T1SoLXOhLLqBrkSDTaKlVy1O/7 I4RkwnvldnwpE8z2lB0zfwjoiDCdXuidpoZB1Zwx1EZlRoukPXAavN+kddTZ1n/rE6H1HLQXBrp5 6iI4J1yR7kknw7U/Z8GL7rf7p7v4/vRaZziOdadGi0pvur3n5kHbvDyk3ecFvxiMycNQG3/VTB13 aA8q707H0W2Yo0EhhCnQcQ5GBibjWkKyDCSMkUOwymi10UrkXBgEy3qWyYuBxDuCnLGamUAapsLX zt9ugVyw2JHD3u/oofB3rAD+NDhbGaoyrG+RPVuL7nxf8lqH0pot9ecG3VupJshrdj5iRDhs69aN gnHVyZZlNUN5JIfsdDTeQNPjQ5eePJ4jPxN3Wu+BvHkEb3SyMAadJy2WeDuFfLnk1LOqL8eXQqg8 o5zrMOPPel7xDGMU+HsYYB+LDQpRIGoCirsJIAXVqBfgKsslzHai2D7NOtNVg8SvWJNv9hxZJlnA 6t3ZjZf0SITyftqxN1m7NJDWGS+5ukdsmud1S6s1TbKcl3uWFm+8KV1PeqNeHV9xiApB94sTyLnV CBTFVc458NoMRGU0rEojiPGfSm5NZrlkQxGZ7NXboXnV4OMWd0PHG+YBzIgUYg1lCc1pRacbiNn4 NYalD3ybadNgMGIw9Qyh753bzkpKk4sVr61t/KA/6FQ6kv95YGcv7XabzXcdCX2J7dpdALyn79Xi 8TPQQX3QZNPbsyaUGW5JH4EOUZ6bYwjlNafJjh1hkH0h3xULbtvCPj9bZJqBpUvoOpPhmrdJZunE aKa9UeMyaov4A4CKNRZTiJKxfohu8WQcyF48Tsr4pRCqFpMwJKCpBifgMj0NQ7GLG6NaoolMeCu5 qy1SLfMOvyFP8Ck04S/yunD2hb6uOyb/CGAvBJ7TSqqx2f7Ocrr4UV7RoqyDuh0wAJKelm07+P8I hKRxeTSb+RYMzouDmdGLuOSgei9bw6K/vC64ZYXpzIEWvgEOpeOb6K6fOazENffiGKdjudYbarim v7hSU78OALX15lfFR8kb1XGNIWNnJl+GAm5DF3/ONwsI2/UnLJfE6beTP1LSJ5E66SIfFVzYP3e3 dmtoPpE8qsZFBDpF8b17Zb4dEF9O2A2QUtM+a6ZIMv3BArwy/1gE3L/csuNHvZhJH2GQuZFRt6aE 8LRNDaWL4YW8NWBG5Ak/MX5Oppf7cwKestSPSdqt+sufDoGEkO93LY9707KnRVNVL2SOWPWh1nCj dHr9ihVechPzEN5VXL6mUiS9X7cYXWr8pfclRt+UEcDDo2PEao5nCrZlOagSX3wsQ0tD4KAulpWW Phn7nL/0SIQke0RLVSwiM+/143ldT8a7HDEQwZI5jtmszFz+nHhOgpcJcAlpVTwP+9N4+hvfE4fZ Gao8XLd8sSL9a6g9JoMoYIIu9QSqEmPwPEi6lKwytb26GAVPA3TmLeZ/sFDkNeNHWdFmA4nSoVY0 Q21W7wOH37E7eq9RnMwP8O+BWOJHmS2AMG8IlGDT+Vx7AXvrlCSBJ7HM4r6n+MuLu+tj8bHEoti7 Aku/PHYFysUmmPxB6I2zOL6rtUmBgQJZ7RJo0wvuV7XN1Gk6zOxt/EsbUgvTihx3/sn3cxpLZfv8 nvrxc8aXwWdNtRDxPTUZxR+pTndH5WfY8A1XvOLsH2jVvyVHaqIzTQhHrNH9BwS70VTQyKsCmGLe 0QvetzYVu1HE3+GFcyPrWuZjLGnKAKZx39t0Zzfg8XDhSoyyLUIgJ2DDHWfdZ1JH8oWNNfK9bMIM 65L88UmwhZLfQ7rejaT+Mu1I89Nwiqt3e8frVf44cyGned7NfmJe/N1LAsYQX55rIQ4mIfPsZYUW eUiSwBDTQ+/UdfjFHMNFvHG7RUSzcZx+f4KKXGs5QXSC4noX9D8b6Z8TvZbZgcgeG4efyyFCNSpf DTqaq+vF5ZmnMFc9wnVGsCfBcZk6708S1PqbFAJC6Mz6yJZThKmDA8hZxa+gVKCapL33MElecrEZ 2y2LRTurRtXIjL24+dccS2lZKp2njStaMPz5Y0T4wi7pZTv/U8y/sxaY+hpysq3VZJn+hihyYNXi ZVizGzoND6D2kCKaFI+/N6+WHkDb+AwzA2xnx4O+C02rTP2UaCk31hqEEYSamOmk1g7DcjfWn9fo nXbevZ8S80V7Ad43BhJq3I4hEDPJPF6oo7CM/oh9eEO5Wqg57vx/MxsWkQPvRL61dB2hNm0QeZei zEYrBy+46KG/0Kf46bu7DtvWQVeJHUa9+fHDR8J+tmrN3RNnBzz+EkV6d3ikb47qIsCG1RP6ySe/ 5zmunWMR/xJsaaRqm6NQC5LnKQyE+V0uvtpwK1cbQ+f1Nd4mPN1DOXb9RCnafJJ21YAm7CmD6jR9 Z1BvBsJkC7s6p7OynMkqydvyzr5JLOFKswC/SmP3k4JVOaw8m+BUymy6N1/qiWsSdodzT+5aRSSW 3oZOqUKcO7L2DjIN/DhjpuHHBE0yfDSes6NoWK+UBpgH9DEuD95jQZjU/AT7HACE2MMkb3SGjh+Z 2bhGw9TvtaHrT8ImuCv/7ieupHP/vJY9UAXBgzYi+E+aQsSNI+GU/c9u06SdoEZ11uFmOhJplSX7 6iTxPZWXmLPz++usleJiQZ8sbY+ofZ3Y4yE0YTpTuUTRHw9Z/6RBZq+9s753Up9D/+C26nqJ1HYf AaU4aEDGgvC3R3VBHupfcWO+Ab1tLm+aFW/LcrQVt9JfFH5ydlnXsJ2pqhtqAGiDHUFtxWNy+V5q mxrQYsSFlFdFcd+8YSJkb2zTE0rBHcHOZVKYFvZFMnZxSbjsu5sf30wrQEdmJHqyU6Sqy+viMEy5 K4EDAJcNb+LhrFqPqz55iqmDWgOR0XlUUcacYHzqYD0xBrGiolNXbgT90c7h+wOTeOnnsXjCvp/+ 8XAgKLnwnJ/zBNo5CIGvcCYAlGxhuVa+3/FN/NNslToOv5zeNGRg0tnatNMNFxbcPanhFlt5r6iF R5IWLYiAYSg0ZdqCC+n4hs5a4WAOPckGg90CGqYuLuDQToUhwuFw/fIKVZi3Bb/KM+j4t9uhpuwg ms5EPFgnIlwdldb086drtPKvgtovc2mcaaQFnaY/QU0+lwGoyNpZNaaktLrxMkChS+pu96QmQ+uO eFiwNryunh9LTUlgt98BQXamvpQSiPCdVnqU4/EqeFMBz8NtBP8XsXneYlK7IWFY1UTyl84sdtHv KObrp6XlvMizG611M6nUX2LO7OZk02q26YmfTSEEXSEGb4zwTYqLW+/42yYAYRW4zGVZ6O3JIR4o Dtbo3FXgNM4brJ43I60R6c8pRj2DTMNh5g6etyrE+yaAo5ET1Eh3DUNik1gYklideoO4FfHJonMR wRvMt71kek9opToPqaeqJy21/FUU/aFu5XqFICXNWiwrxXqJ8nOrptUlLkchHtx3viB5n61GMtaf t6a82sVgZn6AUh+IqjbBfudl0m81DzSHXxIl4n1iPJzHlNYeui0zjY1+QrSAehLLsDX/YxG64U/p 98n6qYGw+xwS7+C24LH3hVgUivRsU4+DHEK7bQ09q++4A2REEgb8TM+Pgqhte2SIXmzY1prAFnz7 vI5S4AHJJlitzME+EchSu4NcSeke/LKrAAYlvuJPKnHQ3FYI1SKhd2OWILTlvRlBpm6RQHSHw+pg vRYfsdVSfqQ1GXgBxNfzRt+Sfe9lAifJi2CjeGMK96QQCW3IOj+FDKUEPjWwnFUxGRGbt3PL2/oR 6uBLY9rdqcQbqbUviG06pF3X9xHpsLejlCLwo4lcjOCVFMFunGrExs8F8sW79M++mkatUVQl/Liq H2X6lu4dglGC7Cv3gdRyQZMsCA9+oU4Rzy8Ql0KStHC+PtuwHLXGUsW17svCau3I0nQSqYJzVh2v 2jyZo/wHI1nobnMrWOYpfyCGLpCOtHoG1hsNd9cttspzuQl5cMsnExHpnuvgUVFp6fsMmEazehlO YFZAoavIZUjwasm3i54D4Wd/IDg12FwgAEgaTr1g09ypstfh/vS9FazUvBM3/6QR7JAelitO8mgX 4Aa5SOWzzBitq2yjaxFmyrfoBG6wUDux7bxwIVlJKQ04V3HjEXZ9VMZXBOkVxmvs0YpcxlnGD+kK CcUcV+ibya9kXrztpOGuRnJDvACLfY8mYLxWE/PnwLSw3C+X6Q6xxCVFOCbPYp6jSmjWtVTxRPcC sdWfm5GKdWQly5M/VJLAA5Ykkthpq6sj83G0HuME1X0Rpk+l15EXR4O+HrwhHLqcVfvQVz1mhpIp hVQVCg16V76IKY+mUoOMYRBLDTAUmzwn3DgUQepG6Fo5HdMAqL3Pbtng0/1Xm6Mv+1lZa6VceyaV T4OnEu7J4GjbIhypYRc5r/rmBpfi9wsHREQK8JY1h0sQB5wB2UROM/aVt7TBg5tzAoFsH03VtG2C PLdsSv4Q2D6QWQbWfZj3UIiDRwfVuwlJNUV0sqMrogxb41ELKjlNk0fyUPEYLWNbIJXZF8LL/Bsc V6/qrtcw/2DIpcyvPKdWh79HvEC4dEzYnoQ2SjWwLbWLajK33uPrtPFmQjf+Rnxviw/qTLxbt7r2 p88GT8tYaC/xDVcfkgwkCKSM71vsh7Qx/CiJyt8jJL884t87n98+Qin238PMgI/cVVFWJAXRz6Qe 5RNxMgYWiUs7qVeDBbudsKOHmWSKVgAkWuE9WgNdy0XZp2vS5C9lx64grS8sjLlETWzbK9Tivm0S uU+HG2CkevUD0kSAhzJj6ObMEC/tDZ2xYo0RUi2SVUcpHPWizJnGVDJboU91seVbQCLlWGSM7nTg jNu/f3PFdYsT8JYtr+OFirE5e9SQPqvrzxY+uyRGPcfEG0n/h0/kvd6dpEpi5sVBp+BLaVPjYFhs kfT8230sG9M26aHJpwU3mb3q6ukg7a1WucGN9xgPFcv5dmPcp0p/EuyVJei8DUyuqJr2HUr/iTnp PhyMdBy7EEZf+jbQOJNPCowKKtq6TaYNsiO4zV4xgXU1BaxhoTOQzFBaAYaWsf0pLzH/TVi3D5XG vmnYWvxqNo+fBKRyC9RtI21oQ3i4oAey7YDh8pUc0A8hlAssHNQLPxf+h8+pmQW2ja3KfroNHHXO sI8/5R0MngI3QeUiCCCmimzR8+uoFu0xTqbaEZ5GjhkTIHOLweks9ZhJtAwFeyurtX/1FC+giZF3 DZ6VFNTLGn8xHDSAy6GtVX+U+mtrIrOdN9edlbkF6fKGak6Ao95N6SV58FBjdCx00d9vcdySTLRr ycsJJLFImLRD0CkVO7ywJra0MdOvKjOJ16yOQUggXJzqKe1Syc0KNFWmsnEq6lRnz7hHVgjTPuZ9 Mrtxg5F+pTcYH2nzWWk3+JNbGRrbGCrYW16UkTKywm2zEnAF4kKr06dC+Mv7ag2puJt1RP1LgD/b nfb4+835PSSUgxYEzzkXtRwdG8TpOdBKfUUi51XNVyQZ+t9pYvGtrdu7pPdue2dYNhQABXMeayAW w949wgCI+upqkY1cdtjQRmttcYafMIiWVCfpqcMzJINqJ//3asyVQspcz+bLLtuh8g2VPLnGSqHS pJ9EXE7x8K8tj/cd2QlMUrS1ZH/z2gHyYdu/do22Fgvj1woT5g0ck3YDedJRriqumAwcZkw4c4A/ /9YyPjydO7I4MAKvrIg4PGLDCyDOzTq1h6/xdBHIDNexXUspI7UfY3HLqzcvBcHMzlSkUBjiHB0F XrvWguBVK+vUvwOKnF2DGajzJEc7RzaaV6le4PsEOkzn8xU6DnfAhsy7iOEutqLdZPZw9rkutt8j IRlaMmOZCoMeEfILHuuaGVCyB35pMveCuL48tgE0PAMhgEsJYvMJ3REKaTlno4UVGv8Y4xhyXBkK H4VAaRD1PWH3n7XyLKmrVeScdHFrm3PSukEqu7TLVYS0Fn2yeprUbsBG7c+2/ZMcQdnsO5IIIzU3 rB5jbyGPXkMim99Phdk5n2sDOKmTOwTKYG/b0jgb7t4XL09RyPSU1fcURzzobqETzJsXQg+j7Q+p Dygcet9h6mTL5hMwu274WMArkbH/H+etuulCDfy24SmYsSpThZe/kB95ml5XbhPEscdZBTnQ7aNN 1eCpfW/0X1VGDJItKMYsr+PFORPrbrJ4S2BiKVj/0DCW5fvAtGJKn4z4x4gtgF9YB7AKVE/oOOKv Wmb42PmshgrzJYqV7+hMQY+pc5wAtOwACa5suCyGHM/LamrqwJnu0s+ljSTLbhsoM/vMCobuubIv xx/aEBFV7FOq3PRFgirGSlkNhoPWSHWuAa1eN1ZWruX7fN+O8PMpBzpTi1rUr9sfh3A1L5HQsmdT 9IW0QkkwyLHUKEVQShyhvPM5ariwYn8L8/6D3PsPzuxkv5N3jJe7YE0VBEQhjimKQycB0bdOSMFB clNXHrlqzcU3JCfc4Jp0cDllz0SAsi8UY2OtdluqHFnc3fG/psHE8OiAifwDkavf+xFQ17mP1arO 0QPlp/XdFQ1qGuXhX6KZRkgEWaPi7n2eVbYn8hv5cqy9fefIietla4Pc2wKeLhFNW2tG+IgmH33e CfVXdwSX/fLYeNT5tlDllFokJllTdq0vs9vne1etd3m8Ozvt2sjL17ZqYHI1umMNcwOYMCSriq/6 6GX8gFx5G7mRtPTT1A5rI4N8oIYpnwDJjWIWep1lA9gHrrrzkU9tNPo0SZPXQpQI5tMCdE/yqson T8eJzumKGzpQ2xE3lMpWxxNUNJzOQhwvwpYKpijHdXIwY29NmNuue9NSo+kuAgfK9+jeMXWtWfts aVq81zognSZWCTQ0uTQXfjWwelFBahrlPQsd7IJcj3BLO+99ZN3Nc2dd6FF0AnJaqlHMSeuFyvw2 x06bMhGYSUVT5P1/lQpTrYsQWvJWSVf3YtKdDXYqrHN5tkv7Vwcg09rrtUXXb89TzHB71WDtd1wa 05IMRPkhgdTd/1ePHv/A5rE4l8yikXJ9rRp+rw1GCIo6JKbZ7gbd8bJXVhRnRxNlhlCs/Mlkj21h ytIsjx1rhxLG7ZD7OGyZ6llXf/joV5Pkc/0MtyFiPjXu1FVwNZRvjcQjaDnBDFWYUeCTfFqg1sse o3jxC3T2P2gbAS+orIpefDhbziL8J7UK8HFjLtPrVounIYXXm0g1w6y0ZBllKxPPCObfCV2mUz4M t4wLfYGOAaoq6Zs1NkXAJCp83F25UUZbpjMom2Hq39MDMmNpOAUHPIEJYzOyWWNSFwkLRuO6/W4j +wqJYEl5PVQgFO/En7O5MlrOmRUt5fAH91eHgU2j7VW3TnPYTYFh10Ru9cbBBPGIQ6y0uMpjUEJ8 sN7HnxgTIG8vF96DPpt0rWu5FCFdSWGzfKMWI0WV1zRq+tIdFNHVJkFhZb12Q0lKxbp1LHxv9CFs SPa3BFurTdjuofs/U0Nx8e4QvHy5+/o6/ZySgORyRVC+qAArBHwuVHDIklNoIeg/+Un50KhQ7Clw dKZ8V93PFpOTrU7I+zczy0pAvMiYEVmhp/AAkorW/dDgDgsgHk5AVWXtDrpkXnRQdIIxwEKJMTVr ggmUcMefhsfNRybsAypMfsTP733ToPPmPV6Tz9BNazDYchvSJfdKlXtuy9aBGwuobQ41RwRiwkM8 F7zTtybWi0spneiV/U7uBeY9F9+6dNaJOjzoucILhU/xKqNHkyJ2lyWTKZegNC3+AcHZgv7ugnUp yc3qm5OJRvfb1WCUrG1X0BEgwwkNAx/2zpJNrFC0PjHJm2NCKH5hXxz+nH2A+arJl7HiSKwkXdDK Fm5UlovjzqIooCshbeMtfUfpW5FkeZv+8OlK9NZMyFfS3kR8I4vZxbdXSKbq0YgAAmKL3LTP5MM+ XfB7F7Y+zXSJVHNrR7HUxphrBDRhlsmcxAY581kBE6uA0MkSg/T1dw8zYCyGIKP0SCIDcYBPHS9g nVYjwfGnvzXfHwcG9dt/Qz3pUk7Rc3zZCD/K/mNrukQc/S7Pt7SRi9IcPecdhtqBs2x0A77tTBp8 lHBmvmqDXu6LXkFNu8gVHkBlnGLJL4KPbdZiq3EdKMN1D8voTb/bOnFvGy1EJ6QqMB2EuslF/JOp RceIxlwLToZ5K7AMXUJYR1/9YMhcNqm5TKDfBlQyTfvNUxI2EwfAILPRd4SAjKLoFsKRao27UalD Ci3HBdzTkbXMJYQSpk9u7lmCbTTkT+s4sn7nTAcg1Z+08eoXXWovf/CfXVNRLvazvoNl5W05ysB/ xkrv7i2e9ORxnJgXBsO2W78AeXdg9RQ/EVd1fzUc9k2bmxJiKoQBdl2ULcVZbHQHx/MD9C4B72t0 tig8Uh1G1y0bTsnHQS/5878ZJO8sYSQsip1yi7ytWLrHhr2OyWztilfITjyeOL9Pm2hidF015g/Y /pA+Y0dxdW6XJCYdqcqE2Pya9LlGAigSb7FSiRBK9xPkqPSFfNYYghVefvVeaMz2BWGhQEhcVCTL MksDF6MT6cp0x8xqc5xwQXjaPHBgiER2T4JA6TnG2lFVSkk1aZXeCtuhCLNxbHn0JxATxMetYN1f OTfx3wcQoNtESwzNnChVV8S2PyeX+rqxXKMSb40j8iJlN5cAP7tt994Bvp72MEoZItLhnIX6IN4O RqTiW9pLu8lRBIy+p3CfEAM25rY4z5nfLG1hQLJ9co4P0z+/v7iHv09g4bS1N884mJolArJCKvjA Klh5osmAHNhi03xQF02AACztb2YUpBe0W5tNlauIH8y2l7D6V4RBHKI7VwmCYhn8udtY3uux1YCC MDJ3egDUmR5pkqOqDVbgdv7aw/LshjIU+xoqsyOTYWJAM3wwaHmXe16Q1+n+8LnKh56VElYudRxT GassmdXJ6FKJB5F6UqY/AO1ZDl299NkkIhNumKozaLpRBW+ZiONsoQ8dSlAMxrI6ylReqCGXEc/W zr7n6WanzCRtR+MKLAs5qRTUuN0+1S66RdWIS1jqtS/PIjfq2b6U1oitBwTL08WN8KUsh3CJzMK0 NmfjFthIyVLt6I2KSMENmAbMmWbAaX6hMVY7OKNgKJTwNjde8UeSni5Sne1nfPUdqLcflay7c7+5 gNRuOAwDNWINfy9BRlgkqh/+xFMPPn7/TuJKYv7gVeP1Z73IJ2DBdG1VAN46miBQAj45X7Qas43C KfVcN+qPL+/HC+iRL7f/+WCucBn6Y0+3/c+4hX3FkcQGBRa8WMCw1veYje9kC91aGLfikkb8xSNd D+sVuntxyIECdUNuke3J+Z4t3CpIiGnYXiGgTATnsvizeQ+8xJHGJVVnYfjnFS+aYriX7OPtWBTL REcP0YHQ+JccJDPkotOAT3l5BVYk/zTy6CzkTh6Y771HTJTvIla7I6Qvuqu8yrohYQ6TgTBz05gK heVU4PdXCJTwmDKnQS9TH/AfDYtGM5aCcMaB89i/ZP7wF2avgATmcB9c4Wh5LRhomFLzybgxlwWL CO6rGcTQfzP+LRafpuisPoSAMypue2TJWVvHOqD5drqfucPkQ0Hdhh6LH3S1bcu+W0mfFT/ca4N/ ItMFs5JBrjSkGP7oXu6n+H6B5nv1Ys5/S6jz10yp14a62Wr/kmbV2c/9XrKyuKXk+aPYIMeXkqH3 oEtee3FtwR2KNHA3XECp/jrLm9OBxL+Srj8XnIgzUj2cCHBS2+bnuAqoKon9yf8zExLZkb+wJn42 T76Mvodm0e5tEA4bUg0YI+DSwbkvUSZqTD/hmuRIDGBAtrlDGxi7e7s+Gdol/PkHrNiKZfyvJI7f /bMexmuhj/t3IkzaiAfcJflyYzMooJRmVpG5pxSSTSZ/7TEXyxXawTvfBlSKz9gXCfLD6YxOT3GU Gup7+2ZWK3DS7RpyzDyKrLbmXS5FtIsuFG6qRz4s7tFeThR1NcqiXdkIntQR+YachDhrpG8N3lif y5OckImqzi6tIG+IdOEo2/L2kuj9u9zpL+/xyx8JBtxG8/6yY3OcRzK9uc7y32bvhRaghfAJXFmc 4EH2LEiTm/+x+0JCk/6vb0md74roNv+JyJZPvkfMUYND1Bku+z2/QQsf0Sr8g+iTz1PZ0t6CLsIV ogBb1jqKt3nRE4e3j4yPGdVQQomgfViVOEifQ2D5MDctPK7oK0xEK3h/2JtELn3MTg+RHaDt0rAD N2ndvJl7RCWVfzKnsX5aLSWzwq8cAd5+eweHgTw/Bazq2J6vPJiADynBEiO5tfH3O4g7ZUj8v94c 368GH3lBn4sTbmaXOLrpk7z8ZO36iBHhL555KeQGKAsVbekNTyqn28oYYNlC3dRqkoGyvHRx8Lxo kKWeKTRAogf17kOZt0dRC+fWTH4AZCiiByWvm7PA9v2LLCfVGMw8yDLTOTe1nGEuF4LL0ihShjFc Ul+JX0E0Cud1lf/PPe4uuhKQnQYQJ8raOtsEC6lv5dwsCGFEVzfnFXtRd1HwXtiaTDTfDHe7Pa02 QNBMlER7jfxj9kcfSAZGcXFqQ/C9u+cGvJesSLDmRoi7gmAoPUhaE71AdegvlfTU5ErGglgOleRX K1SC3P4EJwcvyF+6uvGABdaQlKyyxiAtqzYhqzJznWb1qSEznZlupYzZIMV4tvYcdtBzp+e3sKCy qMBQovDTvx015odOE3xKV+xT12MnSjsYnsEz7rZ9vhnx224Hp0bpdojE5LImC2c+X+fkoJ5cLjTC fMx7bRoYgzGsXU8ZbFSIXAP8KxoVvbDPwrdBCptYtFqyEWvPDIsM53r+K6LhT3ivCivi2pRzzU8o 5lztnRgGmU155xQKAZqTFUD5yNvdOK+6QtRlxo2IV13hQcCStvtB5tQYZ0BPxgONUL6t8V/sUs1s RsWR1FPVFKMBm21/LtiKz6reWYNYgitmn3G0amCtIA/Y+lXRuXM/326KsQoeRU+Q/fxEnLef5YOh FqPbetBCpO1j4w8xH88ZfjqMzvXxg7jYuRynva0og1EF9Y3QMrMcg6Cx9d7lDS7SYkDV4YgESRcn S/m7R2A9qDXTDz4qhrPISsj5SWlcD8aCHKFveXL//NrKWkjb9r2tsVGZSzFFcf+DbAPTV60KStLN Xs3mb/doM7eN9rLG0qOdEvzWeTnRUxnb1qQ/aIHOOA4H1f8mcqHGbkQD/8ipYGYfECzfM/IvN9W3 esMA6GPmbwHI+UrW5vBtqWAvlqaPdWjKUWODHnh/70H+bQFh6J9djLCif2FfmqeCGz8TwRjHNLWi Jd0fdY7YA6fLzxHSrq0EIwskk/KOUdCIaB9A+fyy3sjsaOHiu/ORVQZMZdpgUSSa1tu6+0caMBoc UIM9hR31Bqu3a+Ak6NyDYK809+wf64aLJgG/vYdz+TdZtvM9RgSvv9qXO+YlEqhMLN7Nuaooc7v7 UeapYpy//fkV0BuE3hTsEZBUTgEcIbhlEhWHtj7//mJZPgfXk8el5bzbZGOf5iafwKaqTl8mTcg1 t0q4GdbFizNrQdwQYK4DCcAccreyQx3kAxUAm6no8MWeXge8JfESjrPO5UAiIzcMxDx7srsDSPIO Er1kRTBOWzVwVqljW56Tb0IGNhvQm3B4R5KyudMBYU7WXXrhyO349aMXENlHZ9GRmsry8FDnpDIB kyYyU35Q0e7f0e7SXzWWlQqIAwdrtpp7OYivRXX3eSC/P4pjtkmLdx1lTybQxeFUyuivNltLMKc7 qWDxo6nacNURtHbyP64mywx+WiKDtC1fYafyStX69i/d5/VX/U+QYN3Hn1/IlL0QdfszEKvpyAhr Z1bNhybvs1a6zMitK4GEYy8ZhuDb4Urjpej+88iJcZbjkNhpOe+q5wWpw52adMCp5yyG9dMtbWKG atUmOWTeIB2mDKNQzxDOuwPt7AshZ+rviC+jxn6c1lnx4npgRMVG8KsjLc8a5RDLNVuC6QP/PUND OeORmiF3xDasGtwG4OeMebvbSc9FjvyrpnbllqKZyER4SdzDGOXItliABuj2bAmVcqYep5DDwUmP qUnkrKykEvw7nmYIlzbaHEoqSfMlhllxJEE0T/nIBuz9BlvuR/6D04CpSOxy2R5BpFVtM+yLwWi5 R6T1nLpPuD91+/fWIGDUYelNxZ5555RUY0/3TLvNIrT+thOqphGrlVta9gXfHKGUrT+Z/hS5FLmw dvKdXG1foLZzbeaHZED36PLxZ5YszMCyN9RQbFTHQMSeAI6yuIQPbdoWKxn6guAViy+bB3ip0HPL 3QmL/pGd370lrLz1po7lCRZesHLrxLIgy5MFtykQayh6Wf3V5haVNNIUupv3lM6gCrY3iAgq/Czf MUbh/Nsxid9mUjmRMAhiuEPrid6dnR+mA1xa86udF4Tn+ScIY0GAdl4RXlOyubOfa/dOhoFWeUAf TTvaj3aFBGNvk98mgIkwvrvZBWrYI1ir6+o8yO91eZPoqZDUn6vu0URIxdtjLuuuWmCC6GKScTrH alIgWxBowkj7h3q/djPM74L92liVnegv2KbjmxPpFVK4BX8jB5SEyh3v+XFDmfoWcg1Rq3w0g1HM PHXxCOOT9/pbKXMZWJX9Vghf3NEfpmnV/SK0qs0oIk01YBz4n6uHhk1Xo/Ge3vaE/OWCQQ/R6E3e LYXwPg1gyWikZx/XNM+Ue4PVOGTuW0TIKj+VjQLWomwS78Q/NgYhY2XXkmxZlYyqUwwY1e1DEXqW qSHjEfToMyvaQY6q0jMGNg270gm+EY8Mhsv8lEs/4UJmSQrKuAePGEFGPLlZwx6xJQAVAG+bCdHX Fvyrk1iZFzOwchgghVvaSdX3vMSSl6FDQgsqJHQk9iXKVO7+6GUANIVgvnI5+eAGxjgaLO0ngmb+ lwRw9lQ+Jj+wTOY2XCowrlPlGmkbOh0z8b2Guw1yHf0jOiJOehHZ9tWuOJ+x4DIt/rgH0c/kOMCN C72rGk+PlkxNaIy4sQ7G5B/5e3xuWyOAIMYKaIkJe1PvzDs2ZSHJeVSEu/kDJm/7Ka7wg5UMMPiw CQeP2PLxWIPlktiUSb1uCjslEl0pCjB0yGE2vi6mg1/P5dIAvleo9bAvCO3AjId9VX3C0UghO+zO YZYkemTZLIXoy2T7YfdQ3/z6q/AAQkictohE6CDB3Krl/znKvDhsC8Nw5F7J/cfKTBfPo8sCdS8l 0L7qPCRUJ+KfMtfBtSktCeySV+bkhVprvXN3DHgMWesv3iXg6qfGctURmNCya6qrTvPX4B0nQERN Vslq2wtZvqv0jmVB9fy8g7OyLX1Kkwy64t83ec59iG7BMmfmvNqDsr95LAUD66KcC9pgEuhD9b1b GP89KWv39FTUlrl/2LFRAUfeju5TWKh/yTQvIKOygN5X4fHXGPI9Wt0zBhxwqAIKbVBYwqDCRYT+ 16Sl36vb3EpIzE6gQtY7QX18E43G0fP7VFPk029dOXmEqW09D30/03j4zqwsMGu1+uEb6ourO9AK Y7EWQL3K4oVfobwTX9jPj52pvyCA09T4WPL5/Qu+aIayi3Ns0Y0cNJK+L3RhzuJ+UU59MAtTomPh t99/+s5Wz/hGUd+wDi8m1bDCcc8eHQmy77c47Oq7gP7ll3/wSwqAVBQdjMCWQaUM546c4aM6crex xZnTO4kGb7BM3QtJB4jOLX2K9MAqQkX32VdtETI+jJbeti0XBhbfIrqj7nCHwq7cx0wUt8tus9vk z8AUy12Ix2Up3eWgMV1xxDnioI6UswYogyhTZjWnNR7mb4M7VmZiq1cDECsT3Z+nUAZaDEzqvqBT DZs9Du1NDyylzV5pr6m7hntvE6EboyUFaQLziHurmZ5gUcEMJEI8p1k0L5BGfktDwv3K4EUqkkuR T3Kb6nnmCYiLiPsNmn5bMGTPurdmr9d+zxBljPDZx2yG0uPmVQJwO6FrZu9rpXr7ZE4J1zCIbW5x 5NnO2EjQKkctihO0+4MBT9w29Va2TveGVbCqNqPVqYE1SahLqh9w//lbFwraCOCbmnARs8VQ97GX Ft9QVS6D2+GjnRKb9cZp0clss1XJzdhUUgLp4U43kImuSaJRkvg+B6gS008s9gpBwAgGG+4wJx8D uR4ujQpzI7YFKIALM3JqPYgujfM+TEvTZTKiskF/8Qty/RmXZVGWvbFSHO932WFTMqim4bXKkyqQ vasePFYiB1JhLxoJVKYDUEe1BtnzrzAxEy157Z80djybfz4XPS7laq8Ro2gALBAbR8tX2XHsi2j7 d13sSH1NfD0UsaXGcXNsrHw0QzqHcu8WAT0EcEQe322DcIxoqhrKSn4psH6Oz60SXLrbZDV7zt15 Qh2bm6IOKZLgH2BdjmyR8XiNjH/8EHFVwTJ/KyDtVXbvCiide0Id7G8tRdlhD2r1lK3QX24Q1a8z +/akoZ44RSSMLUWTW84hsDZZvbmoH3dCT2daFqMa/FS0yTTxBcAKfYpJLA9cJBg/BlBGLN0Ns1df Ze1LpWfTNmd5kSdf+MkPcPn4+AXGUvuetJIfki9bhKh7GkJqHtML18nS8Vh0uE6z3p/1kJwfZCSg haooi08dof+njo473a9b4hr0r5WC/5wAE3v+GzkNKPPAUyWgttqU7dTmItzJKL5dtTqhjJ8RxOAi 8hxkZYsdOdfPpUnmN0teMgKrgSXCYWRv3OQ5hCUIQSzMRT/ZUE48AKC1LBw8M3ii00p+GB74Yi0D 8jZkv/ZQzcr5HrTU3SBfclXfybUhUw4hnWBxSDMY1n03rvwPKpl7rw+ijlmpBPG1QpmujcVu4VYJ TQs4qDqP69pUrAlPQ+wviK8CFjdx9IKYt0dbrrgeR95k1e7o/Qfs8Ox5+PMdqUJjV/tjv4qurPHC LUsE1Kf6wfq3urtmMIfT1W0thzkPXO/D+eiYMeRVLMfVVi1bUB8Da5AKC0gGXJcnvG95Kvef9VTx 4ivLYa0MaJ08THe6b1dpEl9rs+VuV0XaqdzrEVWes8cOv5pRQYnY1m1HKfNwmrp6DmiONCMMys+9 HM6wuJVXwk502P/UFYQNYYrxIDfqMQC24edt2SoLesKQo83XwrgR9uq8803SgSaJU8qWCO73X1Gg nZAIlm9/PP7/+JhUyiL6auSd1vCknLT1Dy6wvaigWf+yC+BdDiSwtpDbGg5viLILcskd6xQv4rzQ /3rMXjldBcgIupatcg/t9b5J4Sp9/aclA/dVUvjFbFvCmhdYr2emdcSfx42pJOpLjkai9A29gykT S/Ugj2lEbXKT/htqnXGUSSaq/mYZHWoShnet3xTHZE5eI7TaPfIKkl+L6BwmwMsnsrYbJJ7gNdSi j6jl56vLVgH2O3WJ4LblU0RQHElSJby7u0ologgwsEyfZrDx2zaYK7TodbN3VdZOn3ibC7VPmVjI yKWaw+T+R6aO0gLOPyK9F7rZvHvNQW8OeL9M5RHhVLcAcuoDffjCK287ZNe14PDNG8VgG2uSn15V oBYvDmPk08qvWIpuQzc5WmpzA5dS1JijVwlL6ejeO4Afu5vsGtuRV/SdUvQqM0Eu68SJTmzlBRMc bAipVEZNpnIPsg1Eagh5sXmk5XjhjYj1lg0wAl+vt6UefADYb9OVOlFu8Oxz0DyEQCAxLo6BPnIU WVn3BPj6iTLF6EuOSYAtsxmu/EDLBAHyawSNy5j1UfN6xhkyXk1dMWjVDn/RlKcYZYJqFJq+EFEJ S6eN4XLKeV0YOPqDPiPIvcm7bU1v9+95PQc294DDPG7MB7OK5ATF+xi0kwUDpl4081smX6MnJ0Q2 NIOjHraq1LJCN0WOwkvtSi8vp+0FR9avjxHlU/RYty4RtQ1Alvt0GRsBzafhu683IlTulJoLWxOZ EUFQvrKqp0xCN/hexE20LUP1cNAsS4/ugau6Yr1/4oc3qgJw5rh59wQXXpyA/mOUaDh7yxK7LE2a oAhbO1zXCKCaranwGMdH4uboXnMBppc+HHBE9lbvwib+UZhzzCokQ96Om+qXyFuAeJ8Rj2HhP0i6 c8icfDhsQY0ZwMDwMYMPKGlgDW/UQoPt6P26kPlCklJVx9cO5oyCytcG0j+MR1zWOlQJ7GUZbXFE iM0ASwUIUklfFYuY2c8UALLEGnZg2jePBJdEo/lWO/FAUU1fvD78zp7/EBsZ3ua3CH9GljS52juH VWyGRWEg1CuOQF5DIAMK0cIK7E6I2i9j9ZKUU1LY6xFY7iRPbxIsAQNY3kJPqUoKJVZsgpg2tM37 n74iioT9VjlCQkLmBy26ScWRok2F0p459ph0rpBZHZSjCGAm4hYdZ7DsNYYO4dTn9SEYhBMGCYx9 KWCu9LelxHsXFg8jGIqHw1TK5JVJb8/38bGa7zZHDUgv9TirweKkFEge3UzRvO74w9hi83S930cg pVY0Bhy3bFc7Fk1BExwB0OCMAARYRtkho95ZFU4lCzpn2+BWPxsZxNBglj3LqARl+O2ZRf4PDb4z G2LYtAMStV5N4sAMCFluBMzq7WGWYjRfPPSf2FWlqDR8uR7QxqcyRoOpvtVLC9u/2/egTXgc3NY5 zzXoaznVFwg8pjMLyR2QDst93oZDwZxdnkONwgvdTf76u0YnRaswoGPP3Ivk5+9Y+AM5qn5a4dUB 153MAkk4FJG+TqkqqqKnC4rNeP4Mw0KGs3INIvnl2EcBls0On2Mm4EBZKz2/YqEDiVo0TrxBcSM9 j2L3NM1NUKyq8927CSIOn4K7rEZD17KGKMYB5TQl9kBlRb8I2AlXg+h32Yad33Ibf/e/NSBtSFev sAEKZO8USbFj+qLI0zm/FImM4YV1fG+cXWppmDnzVnUdtz2eUmrkrUHAszFVunVXbKsPbTf+Wl16 ELITwJfC7TyWTZ85uHehkHu7bnqfki7UqFS94yPkmzGLk/X54ZX3TEoJb+Wlkq/JvcN7/HOsJe37 KHQu6x/DxR3Cgnt+F59gM/29kC5wC/ZtXDD+BlOZ63Ihp4SPepQhjD9urYG0RYyLzmqdCGxKnpMZ UyoTLC7ekF2fOvuyjFExYWLZ4qmGJqcBVfhXpHSTJUrbSS6QzdVGmq/AnAGsuKxZDu+YwoAJszJ5 TXJ8gWofQHumhil8t+2LK/PS4M5E7xPOgq7/s15bk1dAIDPsYHLMSlsOGeZ5EbHigE2Ro4+EuQzO V76pQ3ibv+jpSzfKRmIcdTXFxv9gzlamdpoffGsQT+AWE6xKl4wJzKnYEi6AduayKmF2zrDr2pxc OClXtEomFSbHgbc1mwUq0unuJmNnnXRaysrpdqcV9YvNL18RJ3iapEPfs1QDQUkRGBKtCAAMo8Ur Q92rhe0PeJoLnW65zOzh0GDiKcRaEWA6AZ8zPMXvRNU7KzXX7xmOgJ/kIfp9KD1aLKVzLDDcHrqA C2IfsT1qoAQhh+XUqYrBVE4jxQPhuZBxve0ebrVU3+iZBhdP8Cdq2K1k1TgCZ6RhHnutWOIjxDRs HFOpNJ3JjpkYD538w05k5TJepx0EoLNMpnxtefn4cuWzXrSiGNb78tM40I76c0ekXi1+LlD10QZQ M1VKMiUIxwDTVC/a9lnJJ2BSZcci53W1rkEshNX7XDG6ZPnej5Sw8Dx782uoKi0VuQF5G6UOoKtY TCpx6RRM2KP4PASNiO7VfE7sau5eeg3x/dznNKp+NaN4uL+iuP9MVoq7CF6phZSpOFsZN/85qe4V 3UBoU9bk7m3voG/6+/jmcNDbXJC2gCbF9ogGNkJ3unA+c7cTbXyK/83kR0IB/FJaAq5eKyvQziOy q5xCCaHedTOI1Zx9vrk/0BwgoF7YphFvbvGZm0glTPwB1ojqM+Jvzb1ROLRzm+h7IWNpmiQ0DnUz 35lDWzp7PFwyZxEFwFm0fq+tJ1eIi2t1iVWcAswJf1YlG5kGSFv88UiCemRf5YdpLWIMJ0Ruc/G0 J9jaAs6Dp6eSiB34m+6QoIc7vDZ8HEb8WS3WxO2GTYSmmJEOxAM4tPLXwBEBPPq0H8ITQ3idZYi5 6pD2U450EoRdx1kGygDKpVGbm3KVVdY0rXsNKwy8+DmsJ41WzSLgvqDS3UEdP2KkATIR9I1PcMC/ eHMcPmwIqwXEvRrIW15znFDy6ex9r3jFhm6R+OlMB/93dSN/0gna1lG3qbMQTv3AOZi8Vj7i+6d1 tlzZKWcKOq4fe9UBxu2i0zBwsm7V7Sk6cZETvXP9/qsOH3OjZDmZ08bQpo6XA32leVaMILDDrW/L Zu/FT3bkNt0pHMSkWE09k2KydPTe4EgAUf65rShDfGoLQzUoq7SiVsF6rUWmD2LNv9bmNfI3EttI 9sa21Tb7d9FcDDiKnFMlBYmJTYXn+nBYp0FEmF5OtGprBG6CqEkKlw/OLYuDpBhEtwfbtoBsTulf EkhUahANuCf4rLeUo3y/KEU/KxcFVw8E7E3DWm5Wr/m/Ue/sjNXya56oj7EaWGI3fC50FPKudRTz mCkpR0KVOWM8RhKQ0u8+3/X2HhTGu/G1nJlhZJeyZ/nS0br/IAyRYpNoLOzafRznERqwz0OqcnKB Uo/hf7EiG357bZOG/FdXY9LxZ+4EbCXj29aIqFB6wB+RwJnodbX0GpHL/erVX0O+t2JQ9xu5x0+S kQNOd2pzW94wFFx6e2rR6LEp2z4pgLKM8MCrw53JeTg6MqOPJ0hw8BIS/Bc2MS/PNg8XzXCwqPMx NMxqMlBIy4jfw2B4OYEy9jNSnjyski4erOWswoZqYbhMBRQsJVvlBo5HeCpDp2z6gnfZ8cZjAfm1 WS6tbuXpM9mo5Rsdh0AMTfJixRgbQE4q0quUZRwdnQrjY0x3lzbHKJAi9gzTotRfdCdgwa5Lzojx fM0CC/Wm0b0OdjfZoRqtM4Lu9HghorICZSEQZnBWHNrSDWSVG0iz2Rx+y0Q7etgRjhcGNLcmL6i0 a7LXkupG9npIH2MB0171DgJLSFYH8Aw75J1mTT0j3Ren8/afjmheQOlse/G9wC/daRpsBg08XxmK NWAtRY/qC8MBDpqVRdSCYq5smYPYuTkL2FVXJwGgAGkUYOTGWCdit0qsY92SCINYIKVLznGwV7nZ PC7SIp91lxuUi2I175+0hdN+JndaIff+tkDEWu5aBSrbXCjsqgWnIX0k1noRTB1erWMTvZeuucxN Pa9WgHyldG0rRM5MzsKZvvIZdX1pBHAT5YNHu+bngK2U2xcLD6uPnYSN4tzxGbw6MRj86Mi8FhX8 ZuHrd4vwsnoX5bFcl1iiZq8amiNwqqPCetQatRyYEPP3XhD8OosTqkOr5YxCbgvya2yzgUmflzxM IR3YSFfPCogzU97I655aDY11uppNblEJWN4Ei6lnwcoOwEkew7hbcQANKNLH29RXtrMxX2gEtPx+ odW34jLQT1TcEAmp9sSzmWd8HtB8PA9+0rNKPdHJ5X6wLefL6GnY0rZ5MVjAOpOCV4dcvzlr1svl hDH99gztREzbhWivGom0k2DOyDIg9ZY+sfzyj6zFXovfkYhPNLyNdBWaPmYlpNzpFjoTqnir/+85 Iw+4Cj3MNPnVOZyTRjfVqQq5xtDd1SjGtdAJizwXhsZ+pkssENIMthaVdYVpH+t5YiWeMmGRvCrh hxWkIhyDtMGKXyvcFVFplgmMgEDNU6FIOUfsTXpwOwpFXImcl67p/Tn1aYQlnc/5roih1BQqF8L5 b3fKjyiSRXUk6Xf62rUpyfRd0K7RUAxL3LRh458C+YLplnzvN2Y3VptSg5v6MV1XIsuDnBmFHlmV pxo6nIDZ4enmiBaH0GVoNT6aTrfP3NjuiCz83gsv5Rs/tlQiP7xEHuU5VXhWNuN5Vu4G0Kr7i+yu TbBHj1ERtZZO7F4zCL2bThcnNC+d3EKs7q53BqKQa8fMNr+d7lR+By4ta6319lttzzZoaxCVgPRs PRQhYr92TxDQQ0KNSTjl7EVnm/0GtM/dBBBsRZ8FbWuYOTukQcwPNwfn7b6GQ/q+4E+ZCeEcimXf 34qEuWUxARPM2o04ESHChEA/NsJy/GSWRIDKcCApOqVFLNfJuC0DHbyf3orSIZVtjsl0WidwLYeP Q5p933oLuccB1xRNetLHHSg9Q5nsOWZrCrPiZ0+2oBqvzOhEMTHc/giZ3JsCOA9aPhDC/kW4CgoV s/a8n7PdN2Kio+Q3hTZaSi/Nf23D5HZ1IB8Xid263iGW0q36/P5sZFxaPJSy99d/GjWr+n86k2Xn Gpg6MeUXp46gSdV2uBPelZvD2wv3KEE+gBKowi3dYAjHGvTqCCYODWYhLU/fPo7+ismYWXxia91F JS1A85719RaEoMLsc3GLvnPmLoWvnBfqRLXGgGyL7F7oa5OfoTTBh6furlHNanXe1z9AbCO5/rBi bm9v99qPSyjKTM7b6jM7bOwSVLvC7+pFGQ+p21u5O3EyMLdhgVabX4feKJSPnKBjtH/PoT9HMVq3 3X7mBtc4DADvMANGpkcDQY/Qg/YNAnAXhDi62BoWCkcoQykfuh1m6P3f6ozkV8bZ31Zm0AK40865 MAtJaTZdE4LAxo6wMYMaFk9si+jWgo8F5tPP32GsbGvafr1B7di6Q0dje6Qvkc/7PQw/TRsCZORD xJfRxZ4okJzNEP1TpqaLoCID/h6LKA3IMXTtew3YJBB/MrXsLKr11GztxMr+DisZjUyttm17fNhC 2a59equYZUYicdU41oz+Pag9b2/x5brTW6aZTSIhLG8nOBU0wBHbWhJpsgJ81MiVDw/YsCkYE00q yW2tjsPEVOgKHIe2Y3GinhhYp4sXxf3iTsdJgF5z+wrL6WuQBcZRuIBFZNwwfCo+ENCfNAYcNU+K ONReZFjwJaJeptBJttDA2T+kQmRqYsrPFfwRi7pHrF+pjVVGeH+ZTKq/3ro4aqdv4+P8nKKUfB0u Fn/R+lhVJ3Fm1O7fbMUPTKBG7+s4wRVC1QjCrxDFmzsw6KA6s7HiuoN3MP3jjG7xDFGtw75lShlz 62HrF+Oydvi+gurAzyPtWwKNuwvDiBsXVr7qpgRk/06lI7KY3lksAkSL9eLthDlbR/ShhSyPXELy uXukL7trsuI71IvAcnsDAwVTID2a0QdG2ihEpEYVr4+9Pvk8QGV7ogbL5uwTx0RwEAEI9848sxdR 34CsRCZOyN84+M7UpXRyRLlFoLKGYjN2/7d+pY4ItuoGb9d6IHux+FkYLtHGQu+eSnVOx27detuB I8p8g48B1tFcxm8svRWh9eeslTxJ7BAbZGO0WXgCQYwwW102PNSHIxuMfdDCakXn/kFAWaYoffhV a9C29n1bejyVIRp1lDO95nTQHtKJTrjBEAzJRt7mIUVgWWDVK7p7UErWy6/CYVDrUtfeLiKF6jW3 DgdLh0kajRsmEfcxfx2ciKxSoPr5BFa/5BH2SmZrppEKv0skTwu15IaRMRaukm3HPRlzwvfxJ2AA UrzcZNBr+nMItSgQV5dL7dKlKZr1sPXA0E23J/DeFDF75FMCcNcMjXQGH/JtKlGYuY9xa9HlrG2p eoXsQU/5PX/gv9EU9VpOOZuJK9W31lFMJUrQhV3xO6ghVv95fVZfgxlbwj/EKKuCij3Cv9+Tr/K2 3mTnJZpE3y/uwjy3+QYJ7Pr55lwQiW4/ox+ym3uNdnIhQMwvelzLjxHjzu0cF6yGSCjvwlWYhPsx qG75dsodSvpdX+vztlxqt+YjD9K4oQFVBFtK9xMoHzR2KD9S5kh3Sjxz7DtHtmtQOsZWCyeO1nwt a297a9jlo2n8NLzPjXcMfZOSpvwVxPFR0laMybX/9tKGx5cao0t470d4BP17rOwa0ordTdY8Q5oO qRGtinTJz963fGoeDzoX4nC5lo4TARWnoNE58bVkXqM02n6hg4rlRI+TtQ9Q4fkFPWNzLUGEGebm EPKJKjR4UccsYEIZN2Nb8G3Zsn3l8bwrTc8Lo9k596OXenI52hqF0AAIr8a8Acie0nv+ASkPdbPn 9vOZZg8zBnlv8NFwbRr4zciW7dTjL7//MPIjf0L06midKUG4mOOyFk5jytnoCv+FpH3QbUFxo8gM xSoGuGP+a5YAoUcUcOaMrGeSjXSx8U02kG3fv6+v1AuRZsi8ol/+1qXObvfzNVLRkHiJHu0hbtRw M+JLXx3Y56IIVyzuE9e59Cm84MtsT2POwxf9XVhLipMiukhg2Pmflc26bilar8GOsSETYZFoAhk5 +bSKRJ4wmYE9NFvRbDCOVr+3N7i7m6LtQVIgT4KhSI/iqQaWh/LABsRFTKXk6ZPCeD+Hu62cutpP 2lIAwLOiqIWbzJdhpldvio41t3CfyJ8uJa20XVjoXpggP8C2qQvxygFhFIfeYi7Y7K1aUSD+0/C3 FSdKHHITpVL5zlVTFxrdDTChUzAbPQc8m16HS++q1DKbcYl2Qi+L7IQhF3AuSyAnQdnR6ndjgyiB zi/oCVLCmRq/ImqDMh7712ENFRK1NSZvvw5Anlh+oLe35/MwatZ/LZ/Rd8V5PtSQiekkV450c/XB RPJk/qzfa9ZYHDXyFRbWJPUy7SLKgB7mRXFeDHyyGODjvZZGKx905zhnV8xgvTthML3cYdGFEuRF W1Tkvs83wq1AptJ10Y1LTEOz0+E9CJoj0VO14oWDuJwDsux/3FZ0LQF2mVDmi06rE3+Vud1Qy1Cq hJuiyydE4FdgyBymvDUf34H7HDDf2uHkByrHScLVRMW5pBzYhRAATuMAjWPtpjVQQmVr66FHoo+2 u+HB8qaHxzUw/BGqO4LqNhjt8+8MhWsyzmkzP0Pi09mEeERoynOWMOHSB6k7FPHS85D/LGvu3mux RFMO3kQFUWkryoQntyxazThZsKutr2qJXkWw78XGPgVm0zSkU2qoWAK32Ac9X2ggVURTxT2Crhih kacJ4QWNSn9/gFuHsnjZOvrl388k5Xk1hjDlcUMyXcY5z3M5xxsOr8iTeDPL3/TavJIvh5MQkvEw ikrCjnjROVTfPyO8ikw4V5o0pQrWto9srSWOWXwnQXgDNmiHv2+705OIaUQjblzAjqbki0XgNPc2 z/civol3xrt/iEhzz2oitBw2A1rM1DOIllwNC3m3VTEk5PFOkGH/EjoEUQwJ94gxRbsUUWe3UAzZ 2FbVkCX9sN4qmNTZUTxBWzobjhP6kDAxf8TaLq+g+cXgWyvJK/b9QRHuhuDDrohDGFP7W3AI4xK0 VV7NSpvReTAJClAacea1swSP4li7nP3jKKViwemNp1ssL3mFwmGDRsemYjIe8KcUMh1IJ2GhjXJB HEQ3md8LJpBmAxHUdxuXoBg89ce7GCaVEnj4MbdnQ7BviAbpFhNs6TcrG9D1EYDaMbDM3te7ud0t 2j6xq9O3EtMgiF8V1WP+Jp5JsfPXQQhO1KmDzrQbWUoSSA2Gv2JcgUxPIrxbzY7yeUBPbm0wLb7b CIQ3+m/xV45KV8Rivbsa28bZ0WMVfMokk3gsDNGzaCaa2spRP52uRKaYa84KGNg/nd0pU+dJkSK1 VxYPSH0ktqMY77vzn1oCcbQws4PIf7fBdrFvChxbqPpCUSI10m8FAwHy4O/XeIHUe8EwUmjEgobS 2Pw/lGBc2mKsrwWNQ2Q+akoBxC2vIVIJJ0ERVi2iLc1xjVl4SzLw+zyZD8GD/BShsCadkQGGIbTu Q/FR5D6HV0HIX+sCmel65Sdm/3SsKdiAHi18QR4A8oR5uISW1ShMDLkC0Ik9hHQIh7AptNtZnsI/ ShTmDy+G8YVggCKbtBWEVTO1VngLgbWJaAlPxPYA+UbmVsd/MD0Kye7EUZCYC2Z+X0PW9q7TXqbK 5DvMg9hD/z4EdDOurmPnG/Xlv5k/Ek+nfHabfVPwR/avjhUFjsgzufiKA0FXGmQDOsZwdXkB302B UpsM0lzIyLsLV5SMWIu+0UMTr8GzryR2qil5tbdDkTfTekVyBjFVEJ3qLWQhckr6f8jjpjm1VadC MWQWFNWUsvYKNBJGj1ZpAtcS/byACUtwks6AqmaR0BwlbxNedDnM+QzjlonVt5eBjVz3WGl0PTGk D0qxF/55UdDnWL66u5hbI4aINtBKoOdF+HBQduMQ2INHMGw507N3t6LwX7OxbC5Egi/0ZKXm1KQW 6dWJN3MQqHHByb2qm9QrWbPKn/uUEwy0rm6zwJRaVVOl3MrGkEvfbbN1UpQ6rifkDAHkahazJnfV llaa5XtPWxsIQcijc/GmrazPrXBvVRCdNu7lHBzhvqEukOsdApWfDJXVcaU3O00+ukkep87Uxowo gDAlBkqa/UN0lrfr7OMVLvZdCge4OPl1Ag9CPHlkz7K96rBK4C1KUag328XSjiyR8Rzfuj5Ny7Xv ma3+glPialfO33TegQ0+a8P8MLimRhG7gFGZoUROWs1rl/qOxiM1kWeQVy8YtxSYVlrFUd13h+Ux hO5QW9AjEIZgDRad6Wx1e82XV/Eer1SbwLdW5T55LoOz3EevW53a7JfNT6qKgiItwntXZlwTb/mm FhBTnwMMr48T5B52q4L/7A+hExmjkSuLqBUf3PVZGjSrKk+w2XcI+sLef7zjj2KCk8eMktMU4Ze9 KwEhxyTP3ET0orHyx2fx0I0f4NstfOx2CQRq2GTT57zY3ZhzsJvWgUxe5tBZOkp1tJEfyeEj78pF y3QARd+2RVoSgIo7SzubDFHmuVnJqsUyxoWtAJP0/rmPmqWU19yK0i+dgD9FHbTeMGkgGE5YTVFF DFT/QDW58EPD8yc4dP95TSrm8JKj2pJvd4E2UtGtrQMzH5K8FSapJYDL1ZxC+rSy62myHUxux/sf bextqa1rE76HyEu7lDM4s71fy9uQjwT1Ys6CZtOcCWf5YlxW8RQX9y7yPJVOH2N+wPfOOIQnLJTf bH5mrTQOxShXAkxPBpzYDUA7L7bzQ2kbDv9434YgLQOFzrZ3O03o7j8YiWHJmFLWnLtJmU3AUyEs 6FfBgsLcuNUmRd/36zhQkpnbw13Wi9z+q+nM/aXIB/evw4YeVG+zKqYce08nDe38xOi6BE8gn1gJ 2x0/pr9rsEwjnQu3FXGYydGue0nXYpBfZFIrWWvwROFUUFzVAJpIZlVlkH7/EJQQyUadCDUtScJL RrhXV1Rl+0KU/LHjJ5h1bHKZ/yMRihlRylnhvxS4g0LMP1oiv8HfkqLiTv8Z7IMTD7Dw12QjyTDw bPh6Fr4tazsN723HnJSprBnnCkLn8rG58puuXRwDJCJkowvoJOUHYN0nPbvgWqXks83DMjpZ3GnS qh/lPmYag+CuvOxgUWcJE/kODOncWr4ZLOMUVmGI9mH0GjB2Ahz1jCittV5pZukWLdvfsBmy1PYT 0jk3uDl5omaeQknKgLBwSiAYsrjkXBpPhGyTQeERmE5MDcSji1AasgMWjJ+ca+Sh7JEZWW1sLguh XsZ0O7jcyMfncl2V6mUQOZj4twnwlt5c8jY2TxdRh57BUjhuoGwgi2suTaCPpRpKSMfDF53e4GCI hvPs3khnm5dHc7LZH73Ai3NMCRFokLlGlwxO1RD5EVijPc9mEt+C521VLl99bZOW0Yp74REh0Ppt I/3N2LOH8KZjwca1MFfioqnQHtLLCHQVsc42LwPT/vU9mZgrgBR0PdxBfKT+uLs73KAtGD2Vv4j9 966K6e6/V0GgMmYsnNopgCzTgH24MS3wsA/cDmLcbUx2aFSlJYwBp7yKaRz8C6oUijzivwCPqsET wrb9kWKxbakEanMTCBJ/RnhRMk00PAm0mbIAuTN4cQcfLZuB8NyODAVFTLxR3ZD9/YUYMKbBNhPJ e8S/7ke8avmbQhGfAw8siAF3PwFUDodZmJpXlL7Oz93m6h0omE2fuspebOOlgfYETMu3X0987Lu4 lPkTwxCfFQWXfGKu0IyI7pBRmJnZ19iQkcFQqyxuXxvETmTbanyJ/YDv5U/og+bbu3ERGcHN26K8 Bmb7MURR13gQPskEFNDeGg3WxryxZ5b2M6zoGWkEjFhfJf2yftERwqWWVcmNcyJhT9Ysm7vmlqR5 3YIQyz5BSWscwINztR3S+zw6ejue3+zkrFphtWqK3E8X74XESpwAU8RXnihtQHas1uWYHNGjCozv JOM1IvIkNr8M0xMxx/5t6L0PM1LJJAfB7n8vJJHOaTNZUl39AhbC4MsC2/S6AzLQiVlij3VwdmKb /Gc53pVzfc9/CxVn9ySihmySAZKrZKE0XxcDMbgRrOSPMe/nfn2wPpgIlN8jK/4p+3nKRRYoAqKa 1j/67XFDv+ysFFijj4KjwwIYqKWXAzZAzCrp6MSXeBY3CXjXVsDiRjCgyBkzxBYn6l9KImL7jq3c UVI13C258j5uTQxxlmGuahoPZzqjUZpwWPRw5F0VWnfR8HY/ZUdgEUbQerTQ/zxYytcwxcDDsemk AcnjHqhxP+1d7ccuGHfX+0IMzOIGe7GNLIYWetrwbS4Zl8veZIsZ7nsA1NGTNOHeHdE/vgCV/FWk Hce7qnmFu07pZRq0crgKtbHXaVdSFFDwLOf4hmBWGGsaZF0NU5Q/89I0PTT/Hd6sqybqwMY+bSzb n/5VAZk0g85ewcAHn3a0QXtAlg/r0CmGsDPtU5AYfruFvrcFhaYr2zCuBJCsLMChjlwtjzmOShn2 gViUkvno4v8oaESM+d2tvfI+3+HGAn5vunRRCI22aJjM7u/kn6Hj3rF5fEO8MOSu7V8utEmOH6b9 8kFshpvmlRmrKUhXtKc+XaHf7+fQUs5rWmFL9yZM3neL3hIMFHMF5tRf0UcHmNdQ31Hx+t128B6h pub+qM/StWt6FAg61ze0zLo53em2i4Jn7L3bKgZzxb3R7y8rKyiAwDdocCp74nOOLQSKZhcrbGAi LsecMhzouSjA7708R1/R3mdsRWFijvtJRXG644F7PRphayPHkCFqOIkuAGvGIItwc25oJytjL9tX M7Di35LpQWNoiNlnlq2DyWV/rOIs4go+l8POhamiwZM1iohI//t0cRHq9GHU39LQbralp3cYip37 CcT0rgI2OQDKVR71s3sj4ZwYLTchbcYCxrlw5YnLqrBa9m8lnFosNl5GvrRwCxVvVrHf+riLDFai HdlkpiZbD3YfOttvS76GWMDraNptsDd4tBsDud898aEh1XqzkYJ5EsP+Rr4qq5pjQ8Hy/XehqYgG nblM+okcrUqzrKmQIYeJAyDYD3t5qxdbWECE7q3eDksjzJTjGFOywPSzqQPFbLp+gXVWs8fQB+G0 xU+1MgjkxB0K8+1J7bzWVOFn1FXkRWvVkP+o6mr9usZ43M8CH5K7QBoV2heDk44djcKwoZ4KD/lq zWdKCR5fJjNbOmyl8qdjx1//QYBFMibBSOvN9QtG5UKmw+KZiSdkU9CqyEls2s1WDgKvCyldrdfZ MvKHrMhoCnBf1gOdWo5JK9l9ju0RL4+FZbcqpg5j7abBWeLqb5Od5W5vtI0OYXlKOnhSMLiRRqaH 9XD8RbQ2/6rYszNZqc6h7CqpJeAraxQCWG3NPdCkK5xSHSSmKtGQeXSubaEFAC2fTwdxB6Px3NLz ofHe6aXn57nPW0trlYW7gZE86ncggKg1dUy2FWlEUME9em5o2BIsUv8JA3G1FjszfKkhxvfjEJAf uxlWr6R/h6t2HBQU1C0MJF/LMan2RbhpNY/qNn5aYBil0+jNeU7mqzjUPAeloUpf32URE2hZKXMi jPSJft3BP7hoBrff0w06Pw+93SbNZYMYdFNe9ogN3TROW3KBmPy93J70RgmJJhldMs2UqzqkKuax 6fMfW/ro3sE8EvMyLny+CzP/7a6wKz+SuZ3VP/jJX7uqUyqmA4vxvfAEQv8p4q23u0D/6naGIxaV 0kdHGRMEBjPw+svTakplr/0jylKN1y+64jt3P6hFlEKWuUdnaGHTu2Vt2md9PJ3bR116c0yzYA+c ADHH6AuNV5IQNm/Q8G+Hy+7WLLc5c6SZF8hEkRoVbEgSxlWJXhSBnBKL0W54zCDtEIC1rwWZ9jNo WHMEnuavTRST3va44CYBGwU1u+UMilzVnWaC2RJZAxRJ6qwnck2ETt/9blAClnSMn7vHvz9f27EN 7CU4t6zEDuDHrruRrMi2Kf+L5ReH8mqkA+1NFD/RrN1d9DJcyJ0LME4B6LSpAzSlAw+b/KtWzhgf uNI47xpegteLFS13LuwdX58r8XkLHHDyy83vL8bdrY74Z2WVBpz2H41yqLH8FjAHg3Pk8bCMaJUZ f+lt4uoY5wZTi0s8qXacHUDnFOcfO5iLYal0j1mieTcgp14WYbzJscZDyaWpeDVLokeiEhBUoWpl 9blU2Guig+ifUExRcnDQIf3xfkMoDL03MF6XHAP3d85IJgaDRTXe4QvyTNTZk6CZPuSfxQJBol9V 3I1hWbFBCgR++SWEPTYRhA5hEKF8DIlZZno7nohsgaoAoV2rOvM0nHxzndthNhwo3Ivd0EMWB6kH WWUCcW+piIolxy7yPAxwoTZG0Klb003tf4sjTPP4WdR2JvuNgEohCmZoyLxiinC392BDYlzq641P aR5h2CirCJN88gcKTnrSbRSskS7tSav3FDM/KigCqj6gNHCmYQr/TBb0yk5T29PcVOwL4t2U7VnY noBLBQ8Taa8nL5LWc8zNrEmlURhZ+XPCngw74/jgJD5KGJc1vTXolnh32jPnp8fV2dEOQPEEouyQ Rxb6Tk3dPsoRq7IOfjWXv17IpywrPfEp8SckTcv+2/Hq6DmhPFpJAxmZqM+iIiIJuAIKfizilIdf r1qeadmujMFlBzQuZe6zMDU+p18nEcQcwt3fpfJIq72WxUg6T2jVHPBrSljRnqOvv+6m1UNTHmCJ IqMjBUMeYh+l5EAz6Dg0aTKjhwWBgi9RcBxR1YCifu9b2WKSDVSFiI9YMhNgq78J4dy7I6pKCW7O mqY0x6z5YAxDRqZBw/WLAh8M4w1WDg4cA7X214mg2pZ7j50U5WajLaTDdJRAMlekhp5QeJU/3tNZ eaiD9wVjSdxckepwGg8X7OnCTHQ5JRgg6OucgR8Jf/J7tPo3D2sPhTrKaMIG9ZxAGzkSEx+g+kpy G6BgtwWZMgi1ZSKQTvh2bfqdz6vVal33npEXLgCt5BgQWlMYqh7+65ZRMswle/T1ib9/f/tKxVnx oK4Hvz1OSTeAYRjI2MC9gNBMybluISw1PG3gf8Q1LPN+OmEGDlJqSB+WivLXB4TzlQx/1X7UB4jD PGvD43tY23d43yyVcsO2FocLT1KNziQYYrjA498FXaZpVY40CVCE6Jx3+5PzgzWkNiOktIr5YyVa TFApKxO8LXgKzHaMk+XcxtQVvmSSk4g2yzK49vSkCWHcJGJQHBzFiI644p9QWFWGqkBOMuxb/dvO 6sVdDnePcsdwB8rImCmEN/aLKm23jxvSXnz/zp7GLFt7npSepqhPm5XehZHNU3SUsFoDqnA0c8Nu 4jhe7X3zdSnoF/yZx0W0uNU2gwsiMQOEg29lrDAhlew40JEQYHN9u3it80aQ8HQh5fMiZUGy2ONH mb+ikTo7fttGYaeV+LmRHOYGLNg4TYH7c09QuHQX1uMkNx6drVmmAXjj37y2kpJEwl1TgXlgSXsg bd2L7ZGcpnDoTUQu78YLC0E6UyUlFz8HWgCRzLdfARtCkCJ52BGSk3DPWS2CGYqnNikLnatjd9EZ WwTSOYWfSPVRbaslRYID/yXCvijIekYpsltc+3XmZ1VycXHIG4r7ftHWPK5hunpSNGBcxJWnTUJi jj96xkfSaU5mU/1vznf1/alRS9G5yKlEco0QRQhmm4mJqwmNtTIk3nH6psOUuOLaLE1l+nVUZO+p uGVDTuW3RySTqY0XsTfZZ86S9VVmg/c+PwdSe+WPDhJm96OdQ0MN5s+3wJkwYEIozNC6mob6hIqq wpl1FoW8tfwUNu/tOSkV0fB0rOXw3AKswyE7uTTg1JuS1mdw/mZAiepI+fHu+AhtKa/MM/XypiZO HvRx9XC/MrDSqpt76IT3ZQTXLyCucqQKqy58V8UMdB8Z6uUkfz8HEhCAZflemZ5Z/YI+VRimb1yD ER1lqlTMutC2nOxHj0BJdNhmVaQzJmEZJD5uei9tr8Ae1Bis4MLurfHy0rBrn7tH2EjVKevfQd7m y2nAzU0QSgTq71acOQPaMewoHWczj8b5c7Xpx87F43bLbniEmxrSElLeys4rgRwq0yofqjDF0qpV qbw79BQZkzuGJyyAyhOBMWRiqTArFPoJ53AEGfoCFQgM1lK8titWfRN3Gj919GxM/GRHwcRuhk5K Ckf9DvDzQxbeIdAimNOFOD3bMHq3rf0e6JkxhRDHHohtszn6BLGXnuDUmn7b3ttaAZc/1OGFtwKP la4HgX0AgHM67ALjbZ6XUchGl4Pia3GMreSQL3y4ozg2iDU1lYizGV+EcbzxpwHIcczWAvNq3zGr NBVmjIlcl32kbMO1dY8OMbjOLda69qFgE9KbQsejIMjq7h6RMNpTGO+15cR+injZgTXAGsCG+RZZ DWQmkZ0fzkU7Ny+Gq6QD1gW2OZSkKNa+EOs2i65D4Ly06Vu0Y1Jn/ovxDf0a7f5WocbAWV9V8UiU aeXobyd9OW2E7wfvS7aNDb45gJzLfsz2I4l9OPtIinXPh45OGbMjGPKbI3Hg+1sgXIZlwnUtAgYR a74Dhih+jgtoCz/52YhBDFyAihommxnIaqQykL6bYFTUJOQyaff5f1Vt5AJO1vRRGtwUdvTR8cao XqHh0CApDKkmwo4uHUEJ41Qbhlxf/2mAPtWwNhHFDcri2qHBNHYKM9tnM/IEb7kxQzO00ulpCOJa a3WDvzcBPFKw84l4PpbCvOsN0/th9zKck2ACGGXjcZSdPoCTgDxI2Eu8DgXS2roENbCWfb7yYbr+ qEAVo7heOx4aQZTtIpYCo0dqzYL61p1QnAv2lhKF02dYvZrTcxjf0u/WkcG0xfj4tV0/PDf2F4Lw GOODyS/RoaR+uH8vIc9w4JPTchGTsg6y812ybiFuXJAknl0hdmRcqlqJxX7Sk8tGOJ9pr3QUVa0N qKZIKyTkOByRmRV/H30Na0uAS86Ohp6ZagSwSIrifwkNphXV/8w5DzT1dUBhrrs1Z5UNW1adEo1f TVFGlSdg5V/xFfuFADh5cMEpH3QBKFR3bdvvRUkJ2iLwVfCGql1ctXN1OwZmft7e8xB6lPqZqkRU 3Ky0pz5AQ2/cgfApqfQfxazmXn4X3ijzab3OsI1NRDhvdgTOSHOAKB9b2YFdAv8CGsvQvtNDS3F8 nql/CjKRqrHx1hwibFcP08Njd5ySb74gjS44zQuG/lgrFsSsob993nvuD5rFdKeba7XeBO3uR4MH 4zCjGHMmeNpuQgFgV6get8unizKvT07Z6XUptJ32enY1gyIq+frLhEjsb6bhaST+SgRtcI0IABU6 cJyOr/As1wt0MWB9CThFHPm0TcoHGYFTE8xHrcWMmOnN3o5tLh4zkhS/mOxvi/jsNjMS2ugApxqR yF33CgY56XqwZIyuXaVRtl7mcMIkRAWDrWPt6IK4W8UoNsMbMWi5NUmmMSWm/DwXUzU9+ypQScjF wzOD59u97zdwu/czNab1MIYgEKRCZdVIyebF2h1uadgzvZlg2UlFOyZrncmRfjsa+J+m8DUNCRLB 8L/J02VYrW8CgMejp3vOriGCIY/PQCXXP4YuCzLJ/A5cpGH0GzMQnmwk6IAb8vG6hudGebGZXJER /LDSvkkx/N7eO6CGXT7Z7PmYbAu51yrpzBpyMR+r99n2FE3nLVz0frFHuVLC2lJYp5rewtDYPyA1 uYmuywJlyWr5noTWvRicFa0T6gya0+CYSlz/w9cuQYUzB0x5MU/DR3ryU/HtHDkHthvt0X/uKHKP l+SgNSqB8clsZkBzJFSnO9rgFmGuvfo1d9QnDQ3eEebwG8E/lThU1Y3CDUTYvC/BFGghDMrlx+JJ k9pxZ3bI1UWxEqmssBwflH/S8plBglxrAYsHQxLSYCtSRLl4oowj+42RI2k4dLx13jX3+ptINtV8 n4n0Zt0XSj+84/WZaPGYRyLjt9juqQbXhuqu4OuFgFmO8Tj9MYXMs7mjkvpdk0p9kh8fYA6eAoq+ YipThJTHz0LelqXBHz3Atr2v8B4nOXcDpY9+YDrFcWz+MwFz0AsTxmvsyyYsMFMhXZ+WsmJBQaZE jepHY39jzYbO+2Qa6XvEam434oB0Fxg+FDdkTsSi0rtvNABqs32v3OIl7VcXZRFopFj8vNP/kCAC iWDcxG+b+oAjuURxYOoY3mluKJWqv+QPm0yFODElyh+UoDtWJFy2hDYvBuhfAKLNhKl0gqi7zHqw GDt5bqn7emHkDvYmfCPYZd7i03S4jjL7VLPkLuJJKdW9iJdUOl21hZLCvO6zGD8kPNCexEpXHpuI GCchSJfLxQctD6q2vJf4S7bu0NE7unly0B0wcGWmT4vDk6uj3fLTZ+1pavpBzwWi/vrAkcMQrEV6 cuWOFqx+uAHtZQOZv5zsiH5Z8pKcgtM5f1CMAqTsoNg5Gzdql0VPpesWxY0nlxqyzUFXJFqpkbjC 92uIfDhSUSjQX85bDUcww+OoUVM+i0Gjk7DHCE3vZvD8t/a58+/QzkOTTDUPzRcFy0PUvqOEmFop wapDl7qAl7CRdUWZg/4egQJN0fS8MQmAPJIPefYt2lSs0Dm6cYy3j7wvdFU92mResTS/QJN2k5NU eNVzu8oW965HrEnk1DRoQMDhh3sV6KP+lFyVneOirsoBiWTupfLEJA1sqOLEY/4KZwuUqbjSuop3 8OzKJIK/x2wN85gqLYP+1UfLkv6CQTgG7LZkG/BlCODnNVl/IRCMQ+IAcpeUzMXxY3qAEpaPig27 6ThuEP6LPRYEmIhekeUtqzvHTJhAQ02JOHqJIXb6XNdxmAj7Qzqf1ibAvmVvRdlzChaZaL+0XVY5 M/sK1nw1r5qisVuIZMwwz+tHx2lgFwVXTGuhk1lIK8W1NxQk7u+EWWSb0yRy2+HnsYgY0RCSqbWd StJAdaFDkQD4mDv/kXUZGtWJndgO50X7Z9bRMfWcJWi2At1imI81Iwafi80xGMnne84A0T+Cj1Ie 7o6LM10QTVeZYUUcowsEEOa3eZAb2s9GYMQkEKYYLB/xBjxTTLASTltzVG/yS5cnC1nsc4mwkb3g enLaiz5K0eLa8zlVGa5wZXO5L7L9nWya5jwzfGeMcvm88faTjH6E9k3mPVU4bBHHK9L3uVXaF7SI 16IA/3oUMc72rxAvXjTIYFIUXxDLM92PsvIYmDc8BX8sMB2N7dcTGer8fVrEAG9RWvyG7+7DtsCJ GiqqxieJXZV/7qczVshzCilIww+DohXRS40ioZRAKvYywl0cUBeI/DroIy0MxqjAos29bOpRD65X 04Z9D4giGBbpQzINr9/YbWprOeplBgWTnHX3EO8zoUop58WT2c52hMKcZNFTl7oqWcVdZtf5cN1b G8EZe+AJiCrLsSkV7PJhqS9czgZDeVngz7D4iDCz4EgSnbaW37iDoPWPEETgaTuQqCX3Qgv1/p7B iz1NV40mo+Gr9eJDOjDfrr3jlx0ZiNEJAK68OsRB2y23AfCOB+IQNx2J0Njrkw0rqPnSeVbcL+GR NK2pxxoU3o/YapLt5aAfTqyyVJGQ0ccdgIQKdwklOD5y6+o6uWTEmdq3ZI2YrHNzhvHsepJMpSjn FgJTQiFSjPx5IYaU4MgIJU/ex1pmP3l+bqro5ZzJaxntYf5tO68GYQn6TJfH8Dj0hZYN7HrPksjp wCIIrOBmivU/00QgsdPWpuPtUFQd8vOb1pHUzUrfU1pUy5TynsSn6zXFpNCOZz+/yAKhNrGRa7q/ 9FqWktkZ8DmNqT3tveI6ACWzA1/xoI6pqU/sij40VAW2B5VhmY0xyP/Wg0isofCu0RlnuWQSdZNd k2kLF1thbsi0yZYPOIMVhcAaJTC1L57thnjiNPK6TI/oHhIHfQv87P8WzlbFs1bn4lnivJqrxVVR IfvRAEqMF+O0D9m/JMfptTKYx9fvUMbB613ntNBsxp7IxdVvjUNoyuFwM3Iqq9gbsbiENkf10bS4 F/a9J25gKkaSSYP+XgzuWZ/JlcrcgnjN255LBbDmOqORtd1y7onh2ipSO81nd5koypupaofEnFVA /YoevQlmTPogjYh7SzZ2OcucMcOWa5ZNt5Ax14EXwJDt+aqXmhwhMnkbnBd4hg7leuhDFjkesW3Z MD9fas8wu/LK4j9eoJ/962kCUK72ui/BBSX+/+kQClhCeEXWHnHSge5wBdijaruCaD2xC9ebpweD SJqNYAkDKxapxa3wWc4S/NrsGfoyCwyUMsy7MCWSovwVdi52tsiozVtsC6IPJvzm/WQILT7vDsys SPrx4VKUiYXW3AzW7wk8UvyhGs0OxkGc1VQ48AJC41j7/ZNdl+gnTvXKJEPTstlu3xh/lrz5bwTR pBNXQprGpBDX2WiycJVBiD6E3BULeffmS5V1EyYaEdUS+EdRzDBKYs1GTNfrBUmhnNASv62Mf4ee OY7FtEvnmtRJqe7pDaV4ce8YBBGndrl2gemTJ7MmpXZJwi+3L2Z4XSp440F6H6bUjUgeewsTTpYw QlaCX0LsqMOKenBXHNvc4Tvf5Y6XYjLqfPy1tutxRo9dfoW6kRXSi7czixLxNFKLvdBkiq9jPbUU tR5+wPMn+/VTIFFaqcTFiNWBopww3+XeIueOeFeVXq5T5T2Yhj2nQWviM/+sqzJjO0i70+p/NoEE YnUyLt3PgTsLLusuXsKSBzZY+QMiBF807XOv3wg3rjb761A66B7HF+KkJ82B+UnByoPtq6mxVBBo NqzBGIx1Brg1vz2E0krsEj8sMQACAHIohUR7xvJWQYymTGdbFG42o3qxVMApCDWKsvj/bhiZ/r8Y g3TmP4FxnAcaDaBaJ85hWfUSvR6773nMDMhrWQgryxiEZg9cTaB7O65cs6DR68eLr1tpfiZ3L/NZ sfBbO1kHKRGPD62BtWo4fWvB2ufW338Qj9UC5Oq6OQ== `protect end_protected
apache-2.0
e839add713550587695b6f73629aaad0
0.952503
1.812422
false
false
false
false
mkotormus/G3_OrchestraConductorDemo
src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/pc_module_gti.vhd
1
107,632
`protect begin_protected `protect version = 1 `protect encrypt_agent = "XILINX" `protect encrypt_agent_info = "Xilinx Encryption Tool 2014" `protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64) `protect key_block XoX/F/R3CjaJS8X3jMkynvRuhBusKH4GuhjbQw6Hbx13MgIPdZ2E+yZfLQoHUInufX65u7YueJGS A3uibifUsQ== `protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block HrKAwumWB2Zmbr9QJk2sw6gR/YncII6ukvoX65HruK7jbNELEtoWPDnxc1soFF3UPIhqHop0AAMq l85zec7bIDXAVEV3YYGt/HHFGxyU2R73YtPH4X1UFtfys/VBJ3kJ9DSxplOyGUdKleMO1bdCzxHM udLnioxVlGvt5cq/p10= `protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block iw9/gTvBBhQKXdaI4IYt0sApJR1iVkG4wJQMq/DNeTJTs6LAletQEfeaaPGUWCYb64NP6AgdNQB6 Khwplvq1zO1usklKNwtvoTx9MNeCL8bx30fMAzFBFdGEGE4hLiVvcLgMln7fNUDcXrb2BW8LgqLv PQU+uKqxzhQI0vJlpSeJ95XOenfKNWtap2gWSZv++Wu7Z3oL2kU8rJvkQOf+faAwADCoAx1WcesX EZfRALeWXzpUUrG9A5kbiPMhD1tGIb4Lt8ME5MSUEXzKgplqYZ/UZVfk6my3hH/yoeRHIiEyz1m0 9OOYbXcmjaxz5yIcaFJxbBpYqsdNDrimkRDOaA== `protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128) `protect key_block oLP/cQenZImx8SCQhbdudL7L2hH4gPfipuZCdigdIeEPITilE1B0D2s6iqohTdD8ej8QGskwOmd0 qoRsTOfd0dJinYJ+MXAoDOP8p1VDZysS2W/4l0pbaMR8o5+WiCl6OahKfyMvhw2DhtyrA7B08fa6 e1uJp+3FCXTBeWNvSZg= `protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256) `protect key_block CSkLaMkh37wG2gOz9d7fWkcSOQnoqxcWgvpbi3uXPin/7imtIh+Fnljzw/l2E9VGwP3jsMlp8q3f p9qKsFe9xOtBgnSJaT4VWbiWvvtqqlBbRVk7j3y3h0DMokb+YcdQB3AV/JZCvtn5EvqP9guWrCS3 84vGkUm8GfLyV8kWdJoFccOwYjXGWteCdLP7GwycalVISI//DNPay8l6MGBwxMIocsrAwvr4LXgR ETydFe6zUB0wMGAXEwurVMAHfIYhzgk0KTYN5L4y3+WJSuzJqj0/0PH2hFleRJhmEcEB7VYWSBMB Urt0xogq7jwUiUhx9eeGR0WJ8637xwDaTNCAvw== `protect data_method = "AES128-CBC" `protect encoding = (enctype = "BASE64", line_length = 76, bytes = 77936) `protect data_block GjUP3sn5fFNJISfviMzqKImduRU73jAIIRl7q+3SpN/PRZAx4UpqHmnpgph/LjC6/rWveipTvpK2 vLRNvNw7bJgaWSRKHJvms7fqCwDa8LtevwBNFklj8oR7vgRMC1wUMmdNTVqEXNQcLN4oiTCD0oG0 PdDaKSMT4HZdbVAHF7PffGx13cC6+p4H3fUEsx6sqfut1wavXZFELuuMfBCg6B6NeygguCZCbB8J d/wSb0gjvT1xvjdal06kZc9A+XMRmiS+E6EMKATQ/vs6W7k0IK3Ib3bUtRCVmfgra1MCvASLW02o ykUWNpQhjGI+7M+5ih51l4RJO4usMcZ9d63Jf6zLWBaw/Khv1DE0ohddmHSJiNjeAO4gZiZrKZuo QmAWggLFtCZpJv8ZyS/JnAKYUSpJhNgGZuvskjMWHf/71nuQ48lN0o2fsGLMBzluQg4o/SGuXBn7 tMNmh+ysob5INv08lI+bajTk60hRWpx4C9Q9Nu2FjEkVzgwPzgNTZ7TVg3o2ak5MLbunSaGPTz6V rLhw1cTSvOaushvA/SuX1XZsTvUY0LkI7dguN9MtA0DxZnaOAp9pz0RLy4W5EwQZrCT9Rnnd3Iea CItTZ5NESyaIhe+z500MDxgR8IObTbkTzaRbjWMQNdrjqEN93JBKu98TGSGC4UQmfACgwoxUoNME gHMmGdDE0t6StDwqJQ73Of0s7K04uc6DPl0OvXdTIO6RUG5QHSKiTlfAAd0nk2ceEEULda6KiFDw yHSeF4+wqjr7lJ9VcJTOVtBgi3Qg3MDzGJ2Q8QrY72Tyise4ZsDHeXc4Yes91O5GjWzlGyCUNurH YoS0GX4+XqgHgPCbjmudT+pvez9z89wSAZ3z/sjczoZTjGDzCzZY+bkfQFLHgMDpn6vEhTn/rS6Y j6xXkHtr5fI2HrC1+ns3HF/my7Z9NXwj61aNdj6DLsVhHOtRPfjwzv/QhEkiYyFQE2hrADTOYlF5 itvT5V5RNTaViAjD7RyuDN8CNgDvm+xqhcCNlawXmzV7vAtex0ceD8KzLRVA9cws72FhHdAxm+DT mycWqsKTkqVI4iWKOEX/VPw+NfO8v232zcHnliDu+olTAZFGdyIeh1WUUrG2ZmGpZ6tIYFf23OSs qnZCU54B8VxQCIIl45LDtGUNga0vkbxgQuCygfiILrazOiznY3H6+lJFoAUXIE+5djmtZSgfzvxc qQbYaDj3gihyrjxejliXEFSve+atU35jMLyWllZpNWWhiNCjCrPxBU9DCHhq5rxSL1L0X20RPY6a S8RiY+V7jAorYllgJQrIZ/uhY4CPZz5yDRhLmYsL/u8S2cWCUcEPcPRYwaf6FcxfMhkET8HC81NB jV9Frm8jAzwmaVCYjM3qLj1y6izchrVVJohfgntmG2Fq3CoxL+3UUzRE1Gub/lfJe5efgjtuXz2c gRaD3Mc7F//c2UK4jqlVfNKcnVuY2MnkYoRz71+oE4tEzKxbkeKYgOtvP5+iZvuH7TtwN87L2/1G bLOHoAollS6EpeJ7fea6YNpzfBk1zMVbEzftyQ9f83ddoNndcPP3blIYFeW7Fx7sbEXZ35R8y6Ot aKOTx8nfsjdu1QlbfAG15fXNchoLcZJbrXqGSCymVueB3PydvN9HOdnHnurCDejujMRhybDt5PmR fIzFq21b0fukdxeCZVwMJ2b7BBkhA/kvkRzL4fFiwKlRGKiTTZmoena1IdhqSvPo4eApMkLjlJK8 d15DY1Y65JW2YnWLNJj4KE0GCcF4R1127uYd1L6bDlcQ+neJmoSUXv7CVd7jfTBf35IQyDod97CL B72jhYN+IS7BUKqFoHNPzw7pPPEVd0WZGzUovFd5kzlX6Kq0TChM2qtK3R4tSqApaVm/Gns4GL+7 BYfmhCyeeMUrun6tSAlV5j8BjK8vcdUWApg7Y4a9AMyyhtBx+GLW4YdMCQS09irekMZroiSoipdu nNlI0vRpOIAEF62zOVL3hPu64moruS2bHbtQe0XTnDNrNPpZACepHAk2ap++XUOPAW1CeYBxA/1K uAxx53O+7yotOX8lCz+GLHTEWEVmyZ5v+4+/G8nEBB45+gUiKfa/iKlvpc0xUk5vcyDJwL5SppQC 4R5iG4H0VUOwG34W1J4W/Y7O2Wx0T5jUAVX48S/nOutIyKB0jGX2f1DebS2YqTN0lTgdMBg/knfT Q0sQ7j5R4NxN+6/AatjXQxcbbxNt/xedpVV80Mn/vTYNGgsARdDXbcewPcID4rZs4jEKQofQlJfB vN1arommQozy7PnrJBY7GlSX0dMXJdP2TTR+mngMH8UNlnaduMRbqpQlJORD3Xa0RlLbYIPDhlD2 NNiJZYYeSF5Y4LOO2iIHYExZ1GHmOjNllxA13xqNsVlp1sGg/hfHSaRWBEmAvCEdHLH5cMbO/k4m wYWZ8RiqKo7SdmdrX/FgndJl7h2y8SyGW4tBznLNZYGlV33MsC1qTFc+zQ55osXKvzuGWjFDoK16 BcKTnisqJodF+GIgrh1pcF4vK8e5GYT4UpLrXhFcZ7BZN2VqdhnXf5PcIUY5449/PLUciERQbAfl pELvJ1EZ5kOJIC+dZ6iIyf35i1Lt/RCIREVJhpVdR3zzXd2u02llrza6ALOy1tFIS26y5JLyEMk9 +Kp9h1SKpsEWAIXKFq7oE1OOFscGT2NmyBBr5NS8vvLi3ZQVpKjMTq8D2H3JIdSMfUAk7EI8DpOf 9+N/cTtca3yOcHv8BoL2RmwQFBGVweRnky9GPxpClaTxjqKx68KQCN6Ko5Gi0uU+E7RM42a+gDIJ udmolvFrMzf9xjPDE75/XjYk39jQLhgBNJ2W4zHP14GeftfVDroJTrNklqrmpsy0cyc2DzhRHuC5 x/6GHpTDSdbZLvpfjL1RIsftg0hm4OylhzCmmKTGtJNYOanGA1O2oj9rIc8Jc8SbR9oYLl2gvLyB VXtmlEugnwkxOHf7irkLCEqR+HWPB7gMTlsajqGIn998vnmvJOgcb22wPtFV7zBKdTvpl0ItPSb6 FOHX4QVeBZvwjrghEzFMUFo6m+ci6q1X720yYGr6bIgVgLMLVaZDpg+xEkQtZnuzppOFwWynMjr4 HYctF5folbVZWWuGMAe8FuRsRHeh8jsKI9o8WEkgnIcHQ+4gS1ZJkppX+rM9pIj02WIyQAkusKUB LPaDMmJ0yYBqSl/AL/MvmRxNKbRvON/R5fMQBPNNODbmeeBDnE1SI3QhrUXLhC5U5W4zxoB1PXzO 42M3FAc21xrVKLPlOUPrajZvhUfHZpk28fPpSZUt6mKfp/o7gt+EniQKGMZdd2NtaHU9f4gxEE3p iB9LgxCQFwH83VxlXSUBs2h5JbTdvclo7uRVJ0gVYgSbxL+0DWymSXMsSbhDxXFcOwp3RFpuB6Oj koO5RfW6uQLZstHSNmKkbx1i6vBpJqUS55BWxQ9iGblxlQI64YPOOCTb/cdxUYaHz2RuHUowABt+ RAHp5kc9JStgKZaTpI/qqSXlIjEbHZuMk4xoC6G/zKKCJhgqe7cceQca27OuJUOMTqGPavhpVBMR 3BqPJ/oQCKjLBhC41qw2a3GLAypfmjuja4Ah3jKN+knhgJpsovniZml2yGZvV5g47yuUKvi2Svuq A3vyAKzU1zVuYkCvjv80gLTF8dG5P7M/DmwlOEJ28qtkwMyDNnjPZPDN+rBYq5DYOJ7jyNh6ip2w jgOkrctW7o49TPnFeUTpABvrq47Shy/1Wl49EDYxY2fg2oV33dAnR3RyG9WhfA6oEeuybaz2b1Ck WN42v3KnVL7fCaV3zZtvoX6sGBWeukJv3yLLcoH5CpskVrW46bFueSiNyaZO4A+UdBwc1c2kToAW YOC7mS5Y4TMLk/Fjfxo1hS5dupLPa7k/fOcZ+g31TlY9t/jBVGV1IzwaRUsGNjo+5ASoGNmrUbyl BlpTGyAsxhL6B8gpHsZ73oPAER6XTUsMPwXsyG2gLGv/CI36AlCYvXSkABLPpM83kyRRK3tHQCbk jEDOV3dCXcvVUUVuNolK3PF04Gnzf2VHHiw3rtN6yuSPXfq0sn32Ia2ydBJmNzAZ7RZVLV/uoMfd Cz0Cao2+lRsgH04n0s3Khx1caKJjj5SDCXGdhJTQgbLaWBkdAeR77zVLeDXqtaJ/D2nxmu2RApqF 2a3J3Vc2IjGsX8tr8FYx+cFbzvdvplrSKuzg79Y9jk3reZE1N2pQ7xgeGICbVVVEag2hhxuuyeDb NCNcu8XBJCw+BQPsXfm+IJZJeoyPgepr1JwGW6Z6D08SxTPAGPW+dQ/VxEMzRU3VLEdWpvM5L5Ni aN0hm5Ohlf6Sq6AVKy7uAl+Rwpx+KGaAfeTwvb8czfY+jIDmwDnstApBMBk23M5AjAwWv+d7ULY3 DMCPDKWqhcHMQua6qn+kGIdwMXZVVXTHTWBlSKgX7LY8p24EF2TeexquCN1q3GzU/yZ7jfCfcD1f j1AtPsT2vKmuCEsqNXBUe89kZlAX4UrzVb404dnAl1KGsFBZsjNao3vBUOVkAd3eTIeDWi+PEbk5 zD48a7AL/T8IZCawRNndUr91yoNi/ALe1ky/YwRwcfpCZVnQ3IakHtVZnbsm91bxX0jL2Fq/UzA5 bsLW/3U0WtsAlCGOifbHMowLmZevAtPyi3+MU2b9GnMhDOCyOZYGh+gWicfrkrn/f/rY14qE0fOu DXOpSFo7WRDnb9da4FiXyw2rFOmtpdfdkNq0bW5yg/L0A2EOnx5CnQ3/cPRxvubDkHbMcGTJ8ZeA yK+rQ8Mq883RwTC4H7adRJf3apMdy+LrJhV95QQodjWC06d6DnVValT0UlWiVecCeL57xNCwZlQl b3wmdOXvxC7/TdvNNb90wFCQy5YNuvVtTANDLUBW+FFe9ihmFcNuBnmRlzgDjE+GhxEogCc7/f3A IlRptWf9CmKxVpmj9n57hVIj8Nay70nA/P6FT0Wv92tdqbwwR2btRApyOZUySq8ylJkjN0MAF7yM /gu7kVHeAdLo0pEE9u4y6tA7CgEN2ySfz0mLI85pv48Mp9MfX3KEBSLC8QtTJmSfyw6+Pqe7rZzi 2OKR40lIEcnVpIf0joZgkOuQhLgFcy6YXi0dXe3kc5Uw/lF6lQJ6lPnHddVgU100UPUbHsJAkQNP Nzp/IXmUmA//MiO5aOOuzkiWX58g/tMtzaJE0mSYb1u2BtLSgo36KD0GbupgpGM6CxWACSNPXCZq uLYHkR9S4/uDHD87MEIqGLqLUfDHBc8pOIpF5I6ebDDMwUESXm3Mb8Gepa+xkxHSGnOMIoRngvCs to/VORfP23qmxXyNd1PyJDTI9R675F4KsDFcFG3RjBedfxe99j6CLvr9tn8OnzVjeYuMcc54lkmK YeNEo8ODVDfz1PFVXI5s82AEeV8exhJ79//Eg5c27+xhNzoYUYm9XuYnO12B7q/UBEJgsFgThGZ/ PHDKF5OxOjXIE1leGMlU78dKn5VFO894q7NLKHiJKFDkOq9nJrPfet46etPEekSz+rif5o0goPnS MQI+dvZfTQG4kz1Z6isOzkI53woXbyUU1rNgFCn8vSciW2EKU6f37t0V8nEqJwm3EDBKD3xXjJ4P n/X++JX3VIwuV4GDsgbHhQ0GRr/ZQ8oh0zikRye2t4GTSO1wKSrDeGlQE3HZujIhQNKFM3xebjMJ HMVk8aXeqg2vsFUmzHvRBToe7aJ6XYrQirNrgSYCOR3vcEyJwzWSAbw3TefotL7mf+Gzu5GEBlWC UntJK2HaF8KQsxK7IPItg3rlJFY+HDjv2guJSGYd3JNQb/wyUYxybzJEeph61M2IwS++otekI3nf LB4g+uspZQNatkFMcBX/vF9kEyWJleifCTeGpZDDaECn7+8tEGmKo3ScwnhqmytyysDJmPFcyjZt Y/QP++64eA7Zpz2bvxSGoB9XuZqZrX5fdzfMMPFNe5meq5hkUTlz53Q42Kqsq2XlU+RWMeSm6KI9 hweLCprsa7D/IgS9CvnaI+zI6VL5gmtKbpF+DTaiLOOvjJTbjx3SiSDe8BRFOfzomZNR3d0BvCyv l/EtVwDGZqzF462rilSRm4ZmSQnMKGu0/UMbgn43URxRBL4EOwAqOABSqK6HmI17Vly+SzaLZwTp MPzP3ctwsxeEFYSHFhunCpnU3HXIcL8YnwKV+E1ZBUWyyE6kfbjxSJN4C3OYY+7E/L76XaF+W6CG GEtfrgsviIcmIP7RimkCFz8w6HZhFGPvBLrD1GUfQwe9toPoJjx4w/SYybMyHoBBQZ0mpCzhrLQe TaizW8O/7yNkK9hsjNqaEGr5Zzvee8Sbt8LgB7MmyOkMwg1j6gLawudg8mEpM82yJjegZOHf+lx4 /Wfmk2I3eRszbvnmD7OikZdTgobduPKNS9pBsdCcrhnnSub6VfBhA5RIJij9Ltzg627dFVZCN4P6 QATK4NwU+XXnYXPdsixZH3ym+ILUFm64T+dg4Z5cseTm4mEoHl6av7I6BDZ4SqHj5vdPxu84cezX hHZ6n7Ntzjjb2OG5b2vC1YujKiHMWljw7iKWlKbu++73ZRAQj4F00Ena/AsCnyRTd4lhB9dEJfmd cA3nbrVxDLwDUmOFSbA16GTQo7jhPvxRvAlt8tqiIBgd5AV6y2VOIbFw0+6OIjB6I5X+cyj6QoDJ 2w0X74ICcDshjRQ30epvAo8y1Ihaj0XIsSqtbec6Q4ysWTEsQERcYA2rv79TdyNhn0swf0nYkbDn MXxZTASIq8kDRSnyCSrpiXRrgBqW6/DgY5XHIPtn5vl/t7cAO5POFiIm1EPiYkAKK4nVX/0GxY5M tcGAUzf51ICzSAgcmPm9EfdfwlQu0Ee8z8St/gRWbOAWNAGLxvjc3iyWK3XjEWXCTOKSnpOV4q5h KYMP0FBJjQF0LVR3I+Y/cieCi7/R4MPwWoMG9f+fe7rLOCNSQHq927oZd1E1mupe6hx7fSp3XYdc AJ0QOKz8gRd95UYRUXauUj9q0WRCrLF/UvUXutWEKT3lhQdqNS4bBIMaHe+Bp9lv4q+j6H+Auzm3 cdYXsCxeSJCCTxPLWIUrquYWq+R9YD1k2t4EFKm+j3jm2AtE7UXAbyg2Zu806/n27wRHBuN84Nb9 tXRpB+238UhESjUYPbA45zyXYUZgNhKSqTRrCpfJ1C4CroqKbzh0rdLEPK2UomUXRu/KXdqF+vbV pJurbk96zn2OaSgGdawuEBfvesd8MvdlBnsIgQQjx4+6qeLdOevsXK4fBcAIdDafJ9RHi2FMi3Um fgqBi9n8et3lB9kmESflpuIFQ812dmZn7SjEOBkVHPijt3RH8gmb5tHo5seMd6gU8OyzTYpitzMb iJBbHJkpslp0W4eM3r5x7vwncAVBGj4I9hnFJZATsgmFfsUVpUE0HGp66HrPCItNPVfTcRHCCFES wCcFnwnxgkaqk0ctWhbk6z0WU/UKitDLtua/xOtIl8+0V/Rs7uGdJ8Im/JChibmSb3alEPjHZkUW 6oP4zgefwz7lxSUtFQTYults+hzY2sQw0LPzxX40ykvydCCc1Cu2V/Ds6W6zen9EHtgAhSNsza/6 SbfTE35o6M5PhDR2VMYgqdTLcWkLfBZHEPGw3SQUDvnkEWl7GPBAqcV1FBbZPKOLDt3D3043CEsB LJtzlsrqSTfrRdliDKUV3FzShiWnDbcwgBYXTdyM0q5YvSS9QlpW38rNXmxCwmfSAXyxv5eByNtV M2dOesgYfifoM9hyKiPsWsWPd7gAZupymPgObCgZNDeAowrNzZaBby6WTv05n3esbuEzkw32dZ/q Iq18/MhrCARlEnlDm4XglRseVDga74Cflx1gtUkbion5kN9aKeYMcXv5A12uYpLz5UynNip+s5vU dbIVvhzUmvktDTef1klWsmGlcNePY6diV53SXl/s/00y7+W4SK408A1/JWC27jlxrOt+WjO9Kqir u5E6D6N3Kqx/GPJoxOCzxKXrkY8BbgK08KPVlMxoreGzybhVxT0pMX+VzhP7LF/QyEH8Uw3eSuQK 92yt+JrRD+2EOHCBwDpMkt4X2miDSItg16lPLyH1j7mQ5aN2hXbgq9J7DIbExC031Fp1bmN5j/z5 fIM3tOqBDIS9/8Af9V1Tk6NJ+8g9BkmJh4IjUcyzsRa4A2yWojVPGC8RbZDQ2iXmtRV+nKtD7fL1 i8e/EG/S9EWDvz/5+Mt4P2XpX2uUZRlVwBOfgipY5wKGjKs+hMhLx0QYC1JaW52M8S02OCtLqviZ fiz29Aouuz7NgJcwp98gN+4i6EZqj7RovhzRAB9s3CN87+sarilj3WQA5ypaDSRfwV7nPXK5ZP+y cLrFcyqOcF+egFlmIBbcPeApKBuxjTReGyj3Xw0z0+4QAHdAGcDWpccKtWSmmcQ0yL8XyMaqJ5hd kSiymsbGbtwpaRmk+jiOL84aYu+N4np3m37axSRMaLchsAKpSYggUuJK4eUbWzKWChA9STBYU/p1 ruKyVaJx6A1ap5U+dOmh0lWz0rq8bSnA7zOW4s1+ssyVpO1P8rHT3qN+0TtVY2zIoKbihSkvoYPF QM9NK7u7IYcTDe7IjZgI4qkSTsagmNo1ChIf4/8O7YxdpurrIdL0kgtr9KNuUiT0Z9FHyhRLum+l QRqme4xY3mr3uWHkmuECFZQKbhCdO+0x3rXi51EioPpIc9G4dGlmkuvTy36/5vninkN+5e8x9GJ2 ssZbNCdMW7cyiC9VNqwJexBGMu44mKWnHluxMBzPiz8EnGKMt3j5QG2dkb3N2R3wu4sNg73v3lAs RFzB/2rcabLBm7CquwrQfxJ3i/BcSnyl+c9C+aEX2hJwNWahaf1TpO/w7xMEzpT4uns2UWbK8AVY Y/t1YRPuUAgAlPCD2THdojk64IqoXnZynruuq0IqyDWuR0j1DNxqQV/2g93ju33zdizzYchg6/mQ RUzu+EuYQSihLYhl1uj0EdeS+fpxLZFXATmQjqtkSJDsGSKZw6OioKbu96tkHCx39WhF6rcIf5mH kBRBu3R8eabTIH9ok51TLoGCPZxRM2XmwE4XC+ZtIt9xZoSeNqbyOwhHJmqQhXWN0NExNXNQ/gh3 lgwRnUpckTwOvPWcIvHferQ2NjN8SVD3UL9MtVpCrNxSC4h7PJtFmtDvGPDiLZ9EgZQgc35RsfQW t11XQMKdtVymOCOM/TlLOGItpXJ/+dgN0DMLF2rvp1hOH6eSl2KpR07bF1FOJz/fSdbrkGYPiUur f8auLB0rYS22yoZ8H1CvZ4PjmiHlOUfoPii6ztCNEkgBdoBbLdsjxrDrRXTEyT9b8nd28akuqSeV aAbw5FMboc7EgHBxzcSYcVnWlZq7ocPdpF/mn325ZHuclKsP3VR3YdggHot+690m2VlCGVWpzPwm ZkT1bCNCYfDUnLzlpveV11+YfCxbP9Yi6mM41NE8jVL8OpPDvQkrDCTtGE5bs0+Kz+mV9KQCVX4s 15tfV/gNTaiIwLZLxBgYFr+mjguKtNDu5UohAF9v493i7gYjEiHtxNh4DHAr6iWkzpXnOdYaFXJG 4xN4xDzHQfISx0o1BkDw9B41B/nvvxIpZZvWLE9VT4VCQWtT5nnVUbaoK1xPGpufyAR7q2IBlvaN pj92jUq9KdglRRHuOxNGK2ootVq8RtLtzCBqD3nmbHlUEqiwLjOKnKnRIMEPYBkLIfn61v4ux77d kG/utjmXjHS/jv/syZKq4tcYG2/cH050O6HVahdA39t4KRdHBfrjubb283N6Q8s9SbTqndCe/K83 JqgZIQVT0+rG9OF/cKnVIdu8uqcIAW/9kVs8g8P5EQaECEzGnRuwWhrUR4ZwmAQ+IAkvOPPG92+T VfXgwgigOYBt+aFS9GJdWQff4GALGorWVXhKNqoBhvOx9cwmRDkztwlIzP8xnxbT85GGngxab6Sz 0Kbukg9aDIDRjyqz0fkjmS8R+WxfuD7ouM8AW/fe5Sm/JKQfd5x1gZDkMQPwhxD8exXBBAaABHQc ORnpvcslSHGP/BbwQu9emM+bYx5BkCgV76vopjKN0u4h83IiT1NyxYeKLCqKA9TGmtYSB06VsZ2i 0BXmfDiwJ1FVEcpDFXucq08DiOS0nEQWF9OBoOSRbZtHY48BAAaDsIl9WbRicE33VRduLkb8n9sT D631PcHIfbD8QgNyh9qV4kW8DKgZI2VkFzUGxASJ8Y5T9H/19NRvDCp8Xh1i5wtGwJ53bn/Bz9WU y77R94Q7Qs1KEthnwJv53ycW8MdyXp31SOSA5KSDC74E0tCBdbpFBNjYI9lBmWf4Gg8wtNuunHNP qA9n8su3FA81TXFCc+SUBAyIqQJyylzjY3kNRbPWCy+pcb93rINBh9QuAu5FueW54fNnYD5n46Xx 2HFZPMupHzqn+uRg8eeCeIOGKUhGbBM82vfpihFcQzyL32m3PBg398UtInbqtWh1hhbFVay+Onhk rrRryVSV0zKd+xWAYatCqlFDPap4joObufaXoJF+krYFmSwIuPNyTfyz2K6t+XAC3Cti+xxGKUDt Mew0Ppt7u6nb0L2Oprq6311PBpt2kuInwz1k7R+QuSvcN6Rgwgw6RU5UacVeS9fH3hAVNpyLqKSq WOoUxbB+0XMDHF81Aw+pkGkR+ZUb2ghcUKidMPRWnGJmtSTVu7BM7XRM78HOspDBnTiVuWQaS0/L LW0N0YpwjzayvZhsaJzgRvVHyiv+9vGQZ0Jx2PCDnUFAbjKirCwmzw7BMiqmyRBjRuH514UDIn7z rbL2ob/N8Ti1dJRGzq7ZUmDxAfWWuYc8qUmQhWtm6wFl4ECOyie7cwLIx8GZNT/CRyHyAn/7VMcK ioMgIDWatLQZklONOd+3MYtAhzvMRl0pzhrwt+dfxGy1K/sreMfXsjVkMI36M+eXbiQbPPFiY4l+ pM/Ij0DpMDDt5EcqdDs9TDiqJ1YTdFXujcfmbT9lLzxquR63jGSreA9E+YEJTaoyB3OZragClz8J Nyldw06g0v2DV8HkmeUoQyazVfwPvJ2AZpSBcQp0FE542MThErjQ4xcR3ilWNmhZMc32Yd0Dr7E8 /7GLlhnNs47LjmtuVAaDuD96HvyIQVbdNqCKDi2h8dYtRt8dFUQWkNrL99sqK+jwXHOb6bumsIE0 +l8Z44D+zOOVhJ8ATedGUzDa5i+4smFPlRpB5VLsnEhrq7Mpt3Qsva7sYJep/o7nfHSFlUnBG3BY vnasycG1WiGWRf7EasY+xhwTKqnu2r1DwEvwJj6kuWgjiLDr31rBiosbp0kmYrPBo+VXZOgErYLG 4VX3aJYd7t9TsmF4/Lf0OAXuyRhyIbwulQud/uYHQg4d22ctK0UA4k0PeczxctNj0eVOlNyuOhsa NQkND1m/b3E6Cr4UA7NOoNqkSQgaZ/LwxfRlsmCuEDm06q8CMWm3C7J8I5cGvXrKGjI1D3PCXXPg aKWdZiCA03k1mygDL7Mdmd6X7hL/ZzCTqaYMUmIvNSsP+AvNnNRWly6h5/E42PWFRfxVlWzO0vLH 7xbFsb+2BA0FuhqkSps+6IXMIqX25XSAuMwD5pqZVCN3YZLoPef6bTXxaSSKs6hX5O2l4VGeGs2R Df6prEwYfNCjPTxRhr6YSaA6ovtQq9CZ641TgYdSkmYSV4jhnL0kdAiqq5wGurqy/F0zF9jA8gfX BZlQKYMIPwbHdVftBlTHN6qq3HTUHgsfeGPXPTgbZSbhS6exqOYpMs2JdAPpFbZzGewJWhA9Sa3u 2S18xlz6/gj2JDdTAOmnD4rDSOELP8Ab2Nzrr/UAEsOlXl4DKMbPgej6HQokZet+4Wh1NiPoHeo7 v7lfs4VrxW3kyTUPeq/sLKDOffySGVeJvGzwpPbesHStIalzS91cbiJ4936FkHxNjI/7K62Z+sN7 NMSR7+zy1h36YfcCJ5X1GfSUoLoBLwnKiGR1wAkQlyhIsrnYZ5LMuuOX6pUBNs+NJAaAkudrwK3U /htQa1Ev5Dlw0rZUrLN0l36XM2Acqxov9C9E4E18+kz7VwES5/QWDRHoVsFj1t0RAOzlDMVHl8zC btxqDIImoIUohNT0c1f23t8pbVzyXTMRqqWvkHjBFzTQC0ptP6Az9uMCAab3fCS7HvT3MHJJZcoZ +/NAt6o9dAvCLCt+WPxGJsHLwoSRIm/jQehDzlvdOU7D1QkFKJv+9DaioMl5B5uWIC+0R6i4Vxkm 7r7piM3iAJJg1EAcdKP3Jzsc97nsFvSns5qH3NbIk+nAe44AE2KvgLVKEXFT6/2THzRTncnl2KUI SmvhenXgReNwRJmAmY2NPQNUVQEc3W77fwwNgk/wSNWERTLMy+UnJidW0arBv4ZKD5T5O2dGpFC8 EO+kLrV/XkqVXWTfutFrQH0wY78F0U0UzGkw4QrGuLE2rJuVi2uYWaKDLx2T+raHrnJvQbIK2Uz6 c3+yLB2/RaP5wPaAwkAwDFP3Us1z8AgCMEb14rlDDP3uNIEPDi+tsEwWkUPMey3fNgszH1taHSKs 16SaMdd/Y+l4JS4EMr9DVdWp16/GDHqyaDTyurqLOeF7oipdF+Ll7y/fRuBLwen/vcuYsmT+VSBf ueFgOvCNdw7UMlaRG9En23XVRzRcTSlvx/tS8jGXpfCta0Kxa1a13jm0DqKMHKHQ3zsZGCXckw81 CrWLUok59FrpsffRtAWNfkI3X2+DWEVkXr5PM98x+CxY+aUwOkqIifJDBpb/2pfZnx3PxieXWc8E zkJml1OW9pARwkA6nKYzEWVPvuHFwvLWL/gAdeASx39iBeFAkOARPXuIw1LPdguNpSkj2OamBZE4 LajSFLPLS/wQfDrqE4yXL1iOXemnM/oABI1Ly6uEi9CHzrPuITM1Je6XT9Z/lETqDAa0gYZ1mk0o B/mbhWL/gLt1eMR4r512OZQnzAD+Lis0D1RHpU3ojNK2mu0pKCEe2X9BsxwHqmPgS6jd8C6lhTSo vj42LdF9DGSD0gJ7I04kc1Zi95Iw2Gw8VVq6WJBh2W6fsVbJN+xcYW/xGonIZPNrLrPDgubLwAo2 EfnP3wPoFdtifwFzJU9C9rjLityciTKMbtImeRlPTZzpga6HUqsYEh5z3JvGd3gfyJghM19b7cuw 60VqynCKk4MRXhAfKxBsSb7a26u/BKHsZnb/FAIllc4qT71UXjTYmtW0CLaCmVEOVL9teH/NM5T2 CgiVOVPUi5nkc8eK0lXnjam7lLdGBlZO6mJNmdQ61M9NR6v+ltJJrdIzii3NfO5ePur5lW8G0tge fl2pnqmdW/pvM2w+QcdfghXSyCAUwE2WNYvnHOHO2owf0q5bdc+n4z7UDgePwwIui1U7KrRcOSKs zDqmoVcnKpE1LCKcU6tQoWku0vFuxSF7N+2BQ7vICmnDr6AjNsX/I5q2iM0EelNF9ti88CF7HEXw n/LsvMXeh0Zmy9EQmIdIvVb0ZJG10zcqLBbcukIuWhUF0azrVcsKRmaty7qVzJB9LhLXEbCFznn1 vL+UVfKejK64cA7Wi9A+la+On4xh8/o0Xu12ZGQJZQXTSuiTjBaFkBFt+lgiv3luZo6l2dfQMozM Y6bc1fYVhPah9VrHWpFReX3V9FkSYwIe55cm8++O/qDsFU2BMqdcNzhlP6kZkVqya5ya0+4i8/Rr d0THrwSnJ8VbLZZNIcl5cBOjWCvQMIcmMnPtMECt4jfW++0Tzo+7Ce9ve0uWPrHyufRe7hXBY4wK l18rEkONPqXpJDiWFdTGe6w6kzyNUlmKtQMLZhE8T2YMjMD/utqhp1PuVT0aIVfrW+G4asVZQAC6 QLxhzB5KmmCDUxsnWqUc7G+pgf7EQUmP/Bl/4o5p0dDpMnc+qxQEleCsd957bRNzDhGzNntS7hTf LoemlNHYtOn3seV+7l+BhtoMTFW0G3SOBQFff2xVNZTqj9xy4QaOVPQ6/Q0dU3L7J/8kDVjpJRCR 7zgKq4udfMUoTsMVuHmCAR1RLNZGj6OZ3540qfQQ53BudtTOIQ814VyE0zBtALmkAhQwy7YMB/17 nlasBPVhebD1JZdB9ZBd+kXi8oOuVfl3F1DVoJ/AOm9OKwVexrPNF/7k2tPmbg2xNkkpwYNicoG7 GTQe+zbnDrY2eGPEVE4jwlH4D1FDg2wXRC/MmEjcX0AkZvtx6jen1ht0u1Ysp2wzxdTWpmWo4loe Ps5sFOaSr7I522Mo4m+Rng4BAshnlX86b/T6Si//3tGl3UxE+bg3dvS1YxzeR8V5p6nPikgx3mld CqWNRSWeATnzxmYWSqtR+ZWAcziyt6HnTwCgMO41ddHa7MPKMnDhgzCYp6bN3cdCdic/nStDKbAZ LlUwO8Ik+L5BfCb4oTOFD2AKT09ruO9aN5p5Rg8E0G2zsoozzhaZFw+7Xub3T0Pqex7P3lTVv5ha j/aQ++oMJ4unMaC5aBFz57Xahgi86iu03ROsK/5P7pLBZuxUj7xlf795JbpOd01vSDJPQAtkgrUd NSmrC0xdpOde5enfe2/CZ26XfpQCyF44mM/QAcrMZ1JxQff69BNXVY+EX7Bo1Ep3vGdp+yozoCiB 1ojHn1QIaNwULPeJXGfFycTEVXMgbDb564agae0cjURAgPIXSw4AK4EAIq8U+h9Xp7vJAXqNBzzu mS7v8xHk3i3zZ6iDoxIeD2o5JIDjRToJ2H1dxA7nFrvXMF5iQv6VFtv8qGakiy9ZuIHbQ6slNfev 8FBchb/DeG9p3AFRD+eTXC3fOqK2oQuNrDQXBJUGVUfurfEaA0KbH5ylH2aOL66VRETB85+EQsnM mn4o++E3SSaNq5UIFjnh+ze0BiqJKeY0JmPev111dzNP8H2csMWymItNEFVeoaHX9BOAGs1E6EBK JKvr/qgWfyrWtKY5EOi8jZEd+AnA9Mayiw6suLBsc1plzQGJebL5YNZaAfx9hMGET2cmKuIG3klo DY8jjg0BKwlT7+6c+aS2ByHG8dmUA/aa1Mcs6GcTni+SZppofQERM1cKrRM8+Q6g/+zqYX8v7+p2 grdPZL5vO1+HV6BXxVuYkwAwbDdFHLTumZFpVru1v4PS8W0rjT2Zw80h1T5QMXCNQ6SsvhLij+Pt 0efguAoUdhqSr4R3TfvIKuOzMEv2SAVqtgVVZ9m5qGz1TE0CXB6eJp1au6D0e4GkSA+RX7cCgr22 K4vnM6/tvrWnuDvkiZ4Gl3QADOtQKjZoO+omTr9L5iZA7uzJh3K6YX+EFpd7x1lrhtzwpDsjRU1U tBNbln0a3Zo/V5ocEBuJ37n3iweSov5x6mf/GAWNy8PSGXiNuVa5jal/SvniUe3PFLuuWXfY+Wpa uyCeoI7rOhatEOoXOTexSnQDwuorrnRE57r+XY4dAu4v2fo1aRVffFFY2Zp+F7tajfd8cFLzXog3 YCLK9SDBY4N4uyfo8Dkkh+NS6JBF65ha/H/sijRAR1pivYZZ4YEiArf0Av54Czlho6LSolrr5jcM Zfdkx0oW5wjWzuqwfJFT2RfctJKHmOm6fhlPC9KKOwP1bVCqNYowj1jvFHK1or+wuElof0VwnIE8 l0dX7bGqGE2TuTOqQa+gfY3o24iJ/zzPkvWV5WaF5wC7bd2B7bJ1XrgJmlmJxvnnQqa4lK82IdS+ STXcF2Hl7teuNxoQOpwQbMq1sXi9rQ0m3WzuXFJp31R2EP08N27SvDH/O2WOSSQR0UGmLfCMvrp9 +H4On5lqIQUkX1KJ4EEpaiOKvrBV0pm0pNByzwZoeDNhzXgRz/isG2tCyv7ZW4Vo1Zwat7d2H90P 8aioVpexKVVfsKlE1R2qC+6W2D1LZEeKTNDu7IZxGt8skqLvSHQlC3vBrPGHjZSPf/W+yoktZvd8 sQbVkpzfCnGavepCmmxzdXe9CQOZf2x/Qmm/97UaRvOTjKTyO34w/mHeKaojRd6HNAmE34LauDRJ jut25ny3dq/F8BNcn0k3rpSabS1MJC61wczQBjp/oyJoycov8uaKn4jzQhxuFLd/z0BkdqxY+Ksv 8jteFrLqhCLZ/IvsIc5gOTVspfF3jpRS949ThKSJmo5aWkSCagCqsCt8u3kEJEGcDuZAhV6G1kGq 0T2c0jj5cqxAeDb6Yyy0hdsJJMF9KKpA+iPjJmLrWnLUvT0i7nDhEQb//vx6wsziZ5Dd/1WUdueK S1m+IUiznvIXwuFWhCktgZ/oDw3ZWR6d3Wz8accYnaYyvlzuJtGW6PR2zfGa9rt0pOz2nGB5m0hP ILNtD2TeIFsVAp6AeAccMVfw674CAsFdcKPz+OORs3Hm0zOetua0MQAvnDeXLo7DOzUO5HBT1Q6T UnQDi5bzSmWgm46s7X+HzBkOz/b+AiAdVBdt7pb3eahdTXmSKYjT0lkQ5WiYnzynT/LTq5m2arI0 Jh+zlvlmTQc1jpRIzyiQjuMwBjd3ZuAVixNKt5ifaYkaMpCweBSYIWqgYjPPPvQCkmF+Mv41IfSN OeapMLe7ArYBuaZtN7tTFZMnCQVJ4z6JsaDJalFy0SaWZuSvQHLc4M8+CfW4To8LIZZG8pfhoEVR sVt84wwa53I6RgiGWe3WrAAIZb6RpIl0p4GCF0kIfCnl4qRyAKTaC7qh2MqQQflUMjYCIwmngyv9 QfEsDOa5rUMwlTg2sddk7hUcpEt1TvIM9nuAV6q9DfsRUBFR3lxPkNgY072YXE1MAO2Ca+yGaAkl 3YFrP2zbld3v6oYwdGoeIKOZ775Me06v66tA7Ch8FGCozpT9uTAianYavydJSsiCRiH/vwTExesH HvjdnJx66ZzxMMydbp/ZYH/BT8UxkVEkLXA2mwJeRpYMfM7lhZG+0MUSKRSHt9Z9F6jalGOtjaHQ qfkQ+B3GNohhbC3r7JiNrPsf2qpwcHfCyr/CvzLzL9uauXUy8f2f+rk+fW1ydNF2m71u6KWmO5Ba 6T3z12vrYzy6A+aS4uIKiz/EQY1wOq5YuMpq0RMV2TuSqceDZ1oMn5ldmtOCQg9yCg5OMRStH1d8 WIzrpwnxGX21DTJzOU9zwhoapNlQeuoFnVhWZTZPbrSMwuFJG9G8XmSncu9uxsAlbdMlVFakaWh8 oWc/ylrbZD8+VomoBK6V7rj0LqhqL8GV8zU+pzlQ7n2cn5of1z8y7lRjVGMQr8LWEdQkgJipRgmJ T/vvO3Xe+gjeDbWu5JLxYKtWgJM0awdDXGXKIc+p9oHsrFvf4yrVYIe0BvetLtyfa4F78WwRn0mK YTb7jlpXzAuiwDE3QaXH9cm0RVC1sx542OtN+c+t8R/yWtogi3Q/STMVVPwY7UqD+6eCTGutZHJ8 cEvKN1NUpBmDpqJlshjL/GrU0kxHtkbGnF8WctZcVIfef/1CGTzvDNrr433RWBTRcrPIds2hDfay Ql/PFS/a2ZPhca5GABDsrbrBJ9bAtHm0dpnos84lvt9iItibPuwdkvcQ7mfcJ614vb6wLDKks2bc zuifsvRzYnkWoGR+sB5g67Zy26qc5REnzJ1UegQCmGPf+cPACAD2I7LNW9myHBo+2QTbMteoUAQ0 D62/H4BKgm4sz0cVurGHzhxZETPfJACsEmmgQ1/zEyEnzf2WXs2Gqi3/P5Wdwp4Klk0lUsOWBBQ9 CX9Ag45mQBqd8UUxq7m75mE7KbMpvT7PSOyl++4nro+Nci/X/w+vTdiJ5MB4U/iRFJXFuROEStRV BK840zsQwm5zf1W+xzLiEF55693exl/7mFA4NkfVkbfQd/9n62z/FaRoIl/fhEjsw+aSMn4YxRS4 iZJ/W8sfOGr14LyIdsE7fxsvnzSd2PRnkLlv8Ck0JmQYomapxib+C4r5TZCv4mpDlbdNoZBRk0zm S/0vwmQ17PJBTG4MTGlm008YphTf8GGIFKh8/cefgNGxqcrM4RZfQjZL8o5vw1dRwM02Xdh9bwpp dz/5MawKrAsuDC4uoWEa7Hw629ANdn5ak0JNDURrFvLK1jFIU3u/sFM0ofnVq2UsBHXeX97rpy5W kUo63g31gj91scfRxJKn2Vyt/H3o9fja46OIprvaKzZhIREPCwU/HyFomoU+CLo7M9pdwMabpsVk ha57RdNeQziXKZbqqMuAcnxg6ubffMg6Ygy0qFYnnKoZGWSra/M64fGU76IsH4894Q3HiqPfJciC 8vCYTgSjzydyK5K55QviaxSJCMSimkmHzxD+3DOMrn+SHyhR0Mr6XPoTvsPjOdOXIMbKvyk+FBUg iZQgNhw6nJ3gue08iwikoY58NyS6RysPYNy5Ut4Fw34ndGnyJ6TD97q1Ejv7oFdAEuQTljLYMsKk ZbvYVKS9/MTTt5RvHrUWUthRY8HcDN04JO7PDMfKh+QTqC1juqjYCqrMJ7RrTxlFEY+JE1JsbtoF gx96Z38eCHcNMyGH2TKq6HStN+6iOi3Dhv7x2GsRTgfo//iX2K344E+ZqI+1cVHPCDylrY52Jclf mTO6lEUQya9GVWToNh8Cqjbv0S6uOqnrjW+4YxkcCxLQkIpn24jZtc4yzLuWL3DpoO6senLmIfng 7DNO/j6A963DXOSscmd4CVFxpEwqHCTuoJvvgP9r6+UDdUSsSAkAhvrerMWFiiw3IHKAczeyd3qv Keq+eTH8bXLyfXWoUOhNIWoDk1xHdhMEJ8Skr1tyzQVnzmhb/MVRbn5Mwjl0b+Pgk3TYclRWPTG9 ZlGlMC/25SKy5PMLlFRiMBIOWTwJgxjScbVkZSt4DkKqalDMTRvGmpIAT59ZsIIric+r1d6jInxl b34imPgRJEWswv3ROIYXURgdqYHcZcAUR/5QOiY9x/hO3vdjJ7KhL7LCNL+phIfFOFCJZAgEh+82 jkCnWEIPJliSsxBqe+UuyvPOR4vSaXYrZFeNtbLJNa7x04pK1Prh01IzS0xHY1cfHQofpVKQ18mg xG+wbL/+kHSxWX684cmGOK9C6qEa0vGzNaIcOn21Mq1HrQ6ecl/9xVIIjqeMjdaUlQBiz7uTq2Ex +PDQQ1ftlwrBfhSq4VVKR0P8uSmk84Qf+bjaxcSVYH+4vYoPxUGaRzWDxgHq7Bq4j4p3v60BVxaD Wwo+pAu5MWquBZNRKpoQmcyqrniGz8CQmVQnuXCo/4ca6PR46pygjRg2MGyX21Uuvw19KVTy5OSQ ES0vOttCs30jQBzh1mQ3gx7du8i2bURTyQi/FUqDWV/fQiR4hdlCSutIBQtPU4GtSsnVElrSRCuq /c7ql62wmA03x6bYcILiRd7L1bfPCantc3EYQ2BBpBj2kx/Mu8O23cALIxawuG5JnB2i5YMYiaqX zN21MsjR9vq8vvZCKxy5C/H6NsYfJQTQoQVz3zAKDCl+9YimVgMqLca35b9ypQqqLD7meLYtLco3 HqrVYm7T7hW3G+wmkZHgiXmX3EIFhQiN5BWSoq2aHE1rHuypGhwDwMU07Gy5R27xHp/QVbNshmed gRtUum/yBGVvNc55ikjcl8xopuMZZHvtoc7CFBgj3kB2ZhyDm4Weg2IhJdjHOvGuujLvohlRFQZe C3fVUK+WMoRgVr7w96EplAlIV4+aFELNLuOIPEfPRsApvU/Yzi1He6G4FS9yGlOq+pYNCoRxUa+K PTANS+ghbLUGeRaPZuNnwpNotygtVUOKYmQXB2zqBTe9J+xFvk0B26VZQXd0Cw+Y99OL/UkbMxdA c7Lw7Q5be9MMA3zvffE/1K1u9nRzrg7QYpHSEusSW6Ki/X3sMdnHgD7lHa4GTMZBFUMD1yv/qh6r xbjXbaSOtbe4EDGYcSqiSDDEfdTN/j6IWiLU++NESJyEtuXeQnnx6DcxGG9EW/7fn6MsXW4yn5E4 X05TjjuVSX5NFYD/25itHSesmKzhWUbJUJQoRhYffcob8dTC8dm5otapyU//PH0cQStJ9DcpQmFL 2X5aWmVxvm4GxQYjHaWmTperaB0RHsXCTH4KqMT4j2gg+OWNXEO70xqkGlxyA6/V/sqnndCC0LRA VLyCco37nEM58AoeXyAcDLG4sCipzhAjtCpI4NaUT3qJyR06e641MFQudAOGVep4iMcXDrVNN8I1 gZT6UdDDTc0He0AfcO+7LfGJQMgbSuUSMmLahUJUG8FEfBXvfKW9mwOy1ZtTqb7nPIMcpY8NO6jp 8XA0yfqhCtw65DnCTD796l5Fqklg66qK7XUmG2mjnLmmWOPxgDNfn8AMUtqXyckdytA5eRmnY0XR C+0QHNf804B7e2ISfMbHc+dVAhPd/HBtzCfe3eNCGDw7XO9GObpA5/ehdr9S4Br6RKrNl+GfoTWQ JTd9KTZZufgiOF8djDK5q2kQ+4ml9/KfLzlolHQHCfJvnUsivd62DKZfhik+58HXqQBVXMkHuV52 8ANlvPG0npMPNaGUZNauYADdwvRXEqFS2OssdePkXEqjSyT7eOAJfzXJjsCi1Y2BydcmzsxEvX3k jjx7di7nSsOG8UzbWRxVDzS8TbE3EcGu0BLXe36GBfDC64WQ6Cle8nmahFXdB+AiVUX70HubMdsA YNy9qkLbyyEchucQFgo6c9FlWA7BoNWDrg+WW4zLx1j1qNlDHfT3Jift0eP0A3To2pbfQN28UoOA asImoynfrCf2FC7XUpoeC8TW1dmjS2FCQBIVt/K+xYKINRFL4VIj+LOvH6vBTAif0JQ4PQBbz5u8 6RJPV6XmYcGmMqIgy9ctjUx8VXnnz3gGo1Ito8RsXDztp2RFouO4WIn2d+i1vrAsK0dc2Qmy1uPo mO8SJyCCBCPXIINY7BnlvkNeF/bw2soE3MAYijXxh/8panS5ZVcj8PeVuwupNom494SE8CAkyx8c fsh9RdeD3fMdxKWyVD+/9hTSu+hTkkRRLDgNz6vy5gqGbhHMpgr68ft5dmdXXyY4Mjo1M6R+cQ2t M7qoaOZM+ZQZHTQeCTnZ4+gVpVRKpevkil2O+ykDdALfqiKupJYwUnuBXMZd2I3mGXZ3erA4Ig+n 7FpYt6LaLK5tZIPRsO2H8UG/SsESXTRGjxkSW3gLG+taQlbJD4qk7iH5lfBF62wCPYeJRHrDbEg0 KwCXkTd5A6wOFte4yER28sdyd/bQFFCcLFx0MCl9+mTH1otz//RkfIOA73jLu1yr/0huNFK8BsT6 IsOzz1jBbdby2+z9Ck6aMnV9lu7VA7JnE2j7aMg80EtAV2IdIKlQBEFwcfzHKEmvko7SSpXV7wNa 0Xw5nAdO4+gUBTI0AGujhTfeWTbw304Njthuc+lsMEKt0Fieapi9Ziqh6e3J4/n+dxU6+TuFUuAC jSHy5HWtqZrMKg4biW0VxVdVcR1gQN2EbVGPszZhxJHg454uhDsEA22siLbnIeJGzYel9Yh8E1u0 ZEZzdg+NRwbTvhW+yUkVN0tA6LCwQ7xXy47x3yxOrTyPS+o+/HJTnqlDoJ4BKUCkQLDquuWNWgX+ o0pPvMlz6JTveJIHY9U8q6eZasfq7UlWq2MV3PNZP/hJxzQpncLLX5twNaTsSG7wQnthjjlXxjSk XcGBc+7gEsa2Uer4K4lbzOl/aCmNffLEt2Bo26EIxq8cnCxxUntO3h+8eKtBM52wdQoH120scbYo AC72S+9Vb//dKb8kuvBYoDrYUXO8jsGgLmx/VXDspghKpMpVSNfb9NUbE+3wfGZQvCHt6FqTO4Dy Y1lcvuhHGqF1sHNUzWnxgytqrbaqeQnc140FWBxblXCPSrs93efXSJCEUSMpa5lz5uywHtTQEQeB g7YQGcN4l47kAfLFXPQdyVUopGO6NjAvnGlDEtXddwatQSX0zaBP9XAlcCmTzv83LftSf+KCtLJd 1owXxTvtNIMDrwmrREpEC9xga5tZRLEuSRZ0GxyFamzNyUDjR5a/QwnIFsg27UI+7dVQLl33QgPO hYZAlFUe/HYf/RDpr/KsxJn8MsOJ0HqAxCCznq/nA3Jzqqxlzp+tdqoss/ACkrL02mSlEanWelci 73c5NjL/I2ZVnbzzrv4qkBUQV8FiyWl6Euvotj2O/kFpgzNltwukkEAlOhP6+OZhNuVbDMrtXpjO /EME1Z4r1LSjhhibm9fo/cTdKGALr4WA7D3fIzxEa4mFVkJQ7sYRqzE5rx5h5Hr64frvBAixain7 XQmyWAxYsoSFJPhmbPFfohy06E91XQAIR50cKSnniQteTZjRC9AwXygbC9wuQesnlH8FqIjLoI3T DqOM0NAaKAMfBBIEVCL1bdPLi0/GVhmAn7ghmmsk/rSeEgRfXi6swYlR07ebu8cXb/iVFh700PBd MYZTbLj88FWzDTjY6vVCcOthk5iNPxKagjZt9LBQyRLhkL9p+BR4TNFI4Yth0fA/eeVQYzIZhPFr J2IJTQJPRhSH8t+GAeiZFaQ93hzfDhHJHMnYUY+3nejEaeelhtNmN4Cs4LqNkvrIsqncVmFjODrJ LTFXxrANRQiZ2QvxNUBBwrF2473CzapDLDYgzdGYaeQZrmmrKgD8AbXa0KV88tkf3NOI4pBqW4Pb XQadcXVwjXbApIo74RgB2MYg+mOIU0GYiWQ2ogkKsEt4JVusOYVYK17OJTjoGQvb+0wQ5v1b/fPS srk0cVd/BAgxidRh550Cbwchj5efWzLyuIBToeMb9RickC/clWNqer4P0Q8XNqDOy3iYMF7IcBs5 EoZr+keXIv5/YhhuflAijSkgoDZ33j8DOgGNzrwpU+CZIQaYaDF9siU2YQwsMr7lNVqp2dPFW6Co yTWJ1rp43QeZHqFiMbEpGfQ1lzzIiNuVX+VLfFEkbSXaKRZVymhz9aabROysW0bs2nMPt0ec5iIK g5xrqCSONpTP+UhMp5R7LvuBI5XjU8clKi/PWZ4/odn9aUR+h/DkiuUQmeq5X+aTXvDVe3IJ5NGa ioSIqt3v7GC0IhRBgEpiUy7f9KhikWDbqD5BNhabFjvNGGZWoBgbampNStCN3wYHJFEb+P8oJxJJ okpxzD6aOh7S6WmVF4P9KsaSbCTfvAWQ848jwXEALw6ohNwWqZQbb+rLIPydDnTv/svNk6hcKmRu RlvUCLNKhfz3KjfL/Suyc7jeWhPaq9+V9TxYLzT0mXf2yWyGri2K2pYVrbCJZSRTVcT+NpxOVNb7 sHVNsk1svaWVLXSeNgM5IM06TIuts+I7wRbDnmbEwu6Zh0Qci7pvaqgmKIxUcYUxqgwrMk50oCaL +xKarCfQejs7AK+oDkSHpqSih0axArBZoDvtgkGEQWEafjY4/g9Wig5SMcEJYWhA5ZT7oFTq1Z77 0A6x/Xbq2O4XzyVCNZ5RJjVpc+PmzcbYi9jnktnnoo8cfI1nMMr7rVJ4wAuwi1w1agIabhf3DKhf /2CFJgi1lUdW9AK1zSg82lICww0TpqUAyT9Emnw9sMRpdvcs7IUjWTWFGHgLFXx6EYOiIlE65MC3 F8UxtoJA8CB0OnZJjLiGVQ+NnSOu34Jlll1D4GJtR4iLMrXwtEeHaqfwFo0NRF8a6qu36SCjsfCB YLf0a/l0ssBPwZ21LhVRDckOc7KVG6YrT4WvCOh7+X8yzORZX5pFtFejLOZMb3+U2dHizO2KsUH2 p5ILdw/qjJ4SJ8Gxwm49YEy4jWc5rOt0u3auOA0FdLEO8l83L3WgWeVc9r4NmYhbli1oUn4zK8q9 ownpEPOg4gGuWl/WEE4JP0hMqovLXk5FnfsxoaI7s8rQ5jnO1S5JVAgFwiEIuDYLjL4W7Jxdlt3d BplyLH8+bb7hfHV5V8n4wZf9B8bagbZxQA2HojCwCmfalBFp4E/Nnr3yR0N8bE3S7I+Z2E7WxvpX weZt+JMHHzIRmaGOK+vefFCNlDGoK/5rmALe8iNjg1d7/TN79hca/eUogKSw0oNbhpy4q+RHVfED H+dqOHbAA0gD4tWCvaGRs7/dImazF83TRYbbFQJuZmOFU0ThtER6O2XbCELr9rtWzT5c4hs1590d YcwTtrtoMVRyGf/KjMxySdMHmHrPxU7sV5SrewreVUhVFto8Fyg4ckgYwFNxAgBwLuOjtReiIYsM voWGn60Q0cdBe214jKwRxHSMufsrraxeVnV4MrJ7nxZStC7Y09KrHAxMVAMpOP+63q1Vaf5vYtWp 7fTjIXVeR+sMOOqGfISNtLmFeVZcLRn++S0f/7b/DxkFhOD7d+WK3N4igJTBkNqDoypZ9aOsn1KU J4h/ojTY/SyxsNyrYilnbA/TqGzYkoG0UlrZCQ1BMjX6qEdbMX8j7e4dWQS2epr4PzwFYgbJeN4T DVjKhy0Ga/gIUc1HVhR/V1LS3g5X1YyAB8MzkZAu2s2+UrDncCdZN7/DtU1TuLsFw48m7IVp2pSl lvz0GlCfbecS89VOMJy/6fvUsemG+k48stzrWr1Ld6JTi8CJ0po21HI0Uf+5clWxGX56HPHMWJtp +60wZlG6Zd7jzwB1Q4xSuGaz87C5EppE0VbhsgY/Kk3yS9RX7u5C4XpWw7hoEq1NwFC2yMbSK9XL CK8i5rsjcNoWlGcty5JqBUheAW+sAocrlaFymMwRtrgDloizPeBwvWWBfxATdTdXRXeQcRF+liMY hc5aQjVyzvtMNOSobWBgUtst9HTY4uLJQzhEiU4lvoFqaA+UUxge0//03xJxkFvWjo76amEvQYTq lXF7CkMEJVqTPl3/EdyxtvsU1J4bihUQn/DVdJzZ9FT1q0uaVpDsswIGrAotQsTbddlj/doDpN72 QpmeedCMbeUDGMxkbvR6/sHB3QYyG/pyO4YpwEp7JQ9nUhP4B6LWBkO/ao2v+p4emZK+I/qyDAxA 2BAbgwu/RyIMy4pEGV5nf3Hcf72WDEfURMNzM3UweMVplCKt3q6z697KQti6E48mqtYxZld71Aif 7HksggKrH8+oK89lgOYz6wQLdg3+agj/vhGDcC6MdrO75Mpa3IZD8BdmKZJTXGJZj3TeoPspOY5d R++RxL6rvVh3Uu3FVRGQriQmXJXrYWozblkg4BA5QbH6CutoTcY4m5iT+cp9XPETseTY6eEE2JHN CDFJxG6C9lQdlZtxK3vVU9pErky0+/Y7e7rL/RJVUs4pv/+UQ0iozcQnYLph+j05YHlcECxJdmOD B5tYjveIT1eLtOczSL1vWecQJSHuARGud8c4V6pVOFYDraV2HGEDNTG9quLVapIArtob/NaqjjOU mqSbgy8tWpJOQKNrhvVNdjYUeQtx3C7J0lcazrBcjyikCxKoInx+36rbapvvm656v3BskZJzi+Oa bi3jLIbycbUPN4fjWf3egz7a56Avm4g1o3K1flJXGCLE0gfmWlQDY5Ih3xUL/Pq4ZshHllfIqIGV 57OKtmfT3CWFGUBHZzVm4nExC5hwF1vDl8DZQTLGEbdLCgsmc8RWVkkDCkx2xOtzPNceFFyQwwQ9 yiWbf/BB3puqN9yzsGA1JjDOaRqofpGGJYl/QIzNb3wzdv1KmCbKmjzeLs0gbnH9rbdwZjAa3PbJ eFiAvr7MIdWzZKf25WX9WrpoquLUbRZGtMx5uRqU2EXpWwq9ate7ZQRzsgauKC093qveZar7Qnt6 OUagaaXAIhqsinsnKeB6OK7bqtMV9i4vfawX35xM6du7TKPRcNY4Nq+T/ZjmWhN4Eh9jZ37CfdhE ooJYeafedaYHsHidcwbI70fBsJw4JUxYH5VDF744xZpETabhfjh7n/rRuVqhKl2s4hfYiqrBm/eJ WHFCldk75zId9hb//iDdgRalZpxDjU/3YKx7GPpfXRbv6YE2vDnoMHkgOuYouzr9QzRo32sdSwcj uAouc4mbxIJpNXpMvHFl38wmQxBdbi1Hf+V+/tQSV87PfkRxcq83wX4wOhplqbT6L+fF3j34W1MR xmT2+LtNU24hmK4aZpp9WADq/rtU0UFfEn91QIyL7Vcol80q64Rbm+SR7jPKDKL2SS7t6+C2g4/V ZfnCq364GEuU2m9GKFiJGjIEQe5o4DeBy7dpe4vS3XNawRUTQZTcpq/8kwWrVCrSXh+HmyhlTIDA uKxUcig7kGaGO3KiUJ8Z0GTL2KVZYK+jbW7sntPfCdTntS1sPCMOCs1VhPnjTSYN+vPc7dCmh8S+ NNFFpHFa6LsEQbCKXzObJvPMw5KnRTEj92loIFjVdfur05OLhTQp5Mr0Znak9Xdqdc1goPPlvpwV cR9/mBMJcpt/8/O6jHwuxNHnUHA6s/s3spmyjHOpVXFvPohi0aWvjk61DK27qIDb495YTLlSuuuv 0f59vJkp1pkExIC2pbCzOcmdYBlsnma2qKLQNIpvrtMGF1x8W27ZEsaMcnkoHYDWFlAoTNFPUZ3R 0HIO89Wx8PmHBLJM3RGRvn36vzeX/JL/xLrtS4uD9U2bVizs5pyX8+QoGKt/Z71SRcgmevtyZDRc lBf/2GaYFeySVNeEDaUoMpqnOi/iTZdA5u1YZIrZH/GqeU2z7ArUFU7oryQd83sCexTplqmx46f8 1fW5Y/zFoHvmR1PUIK7Oi9+MgIf7Hky/jeKOXwaf6Wr5/zw3tRAYylrz4YzjYMIFXmkbRPodayJQ h3xhVbLvNLHY4Tgp2+95u++dYQzYytw6q+WNeU7lgBnNMoVsGq+uUu5+mqKIuSZvPZvijGNQfbvk sxN2fXeHq+EFB1vrtq67OzttYSHW1c00f2X3OPdQbYfp9WgIlZmEijjl97DFyqoWCHHrLxnyJj6i V/glNfbfSkuSd/MCleXyRI5y2NoixfYLh/xT0iwpasCsi6aqeAs/362jOEDPZawXo/wAcgNNmymj NJyYMVtIENKtC6gJG/q57rUriBYj8OPfw5qBB1RC8sTljTWwQiNLyIgXCoVkRkI+i+SCUIgWjcZs /wkjBgzOP0cYaK8VL3dpPIb5g/03YIZBNwC0MDOuFHRlTvsB+Cb/TUn9smkotkeyTI+MN9SaE0B2 Wt8k/kmTvJ6noydRU1aB2R+GdcRMvUj6S8Laeb/rQog2p8H5n3j1C8yg395VyDg0ldVqpquxNU5C C/NSAqMuE9/h2WTTh9961SLNStK5IkDpzhy1gtZfIS/tID3qEhyQWjlpdq0Uf3gGlW+yalPk/3/V gzQuquv0YtQCIEs60KST9Xadem9Y8RqaYZp55KO8Gr2e8nG0RIbvYIeLKnGpaFYKQG61o/lpDjar 3F8DGHyyO4yWmy+I3Hns3YqZCDE3e+lneNBKGKilOv7OneoXszjfYHRkn3UqNSs0zrftMqzkhavC NrlC8i6nfKmv8+tOOKBnQ4to1hbiBmNzR6zX3AOjmEPMDvXWn1RFoe/mYhb5x7TVi9u1tCfiFF8M LMC8mDUmovucLpzQQh8gi7K50VEi85/DpnSEm1S3/8GCIAkPUsQ7jjk7nS+kX3L+R6e2aY03cTCM IMu/JBs8s2PS27FV2jUDK1oUPYXRRvijUWZclEcJt+8a8IfUpRlg7y893I05SJ0RSA3uT/w/hUyh 2Z+AI1BvDom3WvMguP64b2hiJFpHnv7x+3TniCFVwrePHUbX5fjdSa/gq0ZfjB7T8y5KJphmFSA4 Daau3cigflqSDjoIOyogD7nxhdginNaDpn7tDn8OMpqehvVwl/1vfsLTVZm7oyCp1JIIVtl9xBDS zXQY8MzXUqoH5XBuJSQ4xjLAcQxEqZYphcjYhmuoxdsPupS0fMq881bhtQL1TVJJHEGyHCS2hxQ3 eN5RS8DW/eHZ/GUynyuXhWNPhF9nRPJy2OQ4xHaAEV8r40blC7tc6K5+yYMqTiug/Y0T6dncYQbJ YbUapht45GcP92Es5v3hisJb+6gEVWPF0mIBkTO1fr3kT7jwhxjpothwWbH4fK19D0+VWeRXC5FW IEgdnFYWEsKi3Vlb/oQEnI9Aaf7aX57A8dW897IGV1gvPAQz4e+poTHvpzGzcLJRvhxeFUxDai5s sCuGG29G0Jkkp4CYGOnOQIEm7koPBWhgBKGKF32Y9V3N+M2RqUom0G6iYlBv2Ag/gkRamKl8CFJv 5vDCPynVziLOZLNMByhnaSwcmT9RY/J4GECwOvRgK3C3yrGvnGkdIUqSxMvmzOJharIP3L8phF3V hrhUr7Qdmal/gevXqFImLSDFabLRhaZjsfF+6gh//geyhnjsfVGSPSrIupIvIFzkEnFbc2+ZzH0V qDpk987fhBDlekc1aBB4CJnWLnHojYZHrQlDQib43rthsnTxD3Y3+dp/pytKzbf6Xau9I4n7Fsdm 94XVmhRSglntrYs/heOw0KFSdE86wN5JkyvtMilNCNF1ige72tNby/23gEH35Q7cSYptX8hLPdtC R3ufVxsCdEMBbcmxY9vGZ5hjscD7c8nLFcnuTy3tJcKKl31VtyySgc5EUZ1eCtni9t4VrWdrNrKi 9t0tOEi7Y/eYRySBHeZfieqDE6Y/J2XbVEN7k3srdl8elNV9wfPNrnqf3uo18qcQLgqfx8bB+zw5 szLeMyauLTcXFoyrqBJ5Rwcax7cjUR6Icj9sFbz65LdKmjleN4aNc8prgdqMiXZZFB9tgScAquw+ KqKnl1/mCwKw1nvfGKwo1nVSSfZL0QN2E/F4PUnwlM2rx76CYarX8ygnhm7hCl1AP5jlYUVgOtxA a1xcQlCT2DVTU4E6VzCx9KTIDi2xHVHY9Xmw94VFVcPAcfi31HWT6C0L3toDFnOdXuNLdIzV2t+y gOILGEOEjqG45n9CjNHYvrUQ6UUeIbPJL61XcZVZMBMpWMAj1eOjWrRqueASvBqqeDV1eP0jH+Z3 WfbGpku//5YJMtOXuuBvS1rVxcnNNiJF+Wx84CsIXkg/pwAWZTRjnqtbetaC9Kh5eQqMna85HA/c sgMNc0nmLCYtiTaZbngjBnSMmXoHbvmD3qxSEsvcSe6RBT1fax9A+yF751iEu6ydTm4B+qY/zcTb 59uJfhLwqW3H2plg4nAs4DMq1RYNrBmmw/oFybZ5UwDQCtxm2GE48DDAoFtMFiYh8vyNcZVzykGZ /wh8DlZsn/veslD+zxW51Ynbz0jVgF6k+90cYOnMivG1I6JGB5DWtOsyIC9eBqaVpdBB3B4qJEdh dkmwMOYWhTixLSvsRvqEZPezBRrzI7hxxs9q4wmhHqEyxT08LfaAbyoSeL91+k4qTHM9WIDnv81i fRD3ywI5sZdSTltb2EcVjRrwcGkldtkLOubuRGxHB5OwP2WprVqv4cTZimeeCz5vkd848A0RBK7z 44uAydiCUe/LSr8FVzVZG+rrcwPBjOnOS5fDT3GUrt5J7FAdLNuVWx0hrxFo29gRjONtzCmRQ+nn 4RodyVei2jX+3qpbP+p625Yoalpe41nTtl28jgpyQz0shWIYC6YMUqJ8I6T4nTJxnzIll8U7K0Dq 4xq5VaxwvSQygPZQcJHfwOZtgG7C6iddAIo9CIFZWGR7S4U5ge6YpO+n0iBLtP7y+vwH2YUtCC5w 058VyGPGK832kez5OBLNYSQC1sJh1RlRiq73adPLe1+/bI/FXC9NdMJxGFKJDpmnQwas9qTWFmvC 9INkXv4w4PyGNT5rahsAwCkLbXdhai/f8BeZMYcMEKU0FcsXPqNHirEfjhgPsC38aotMmusEmhbs 8cQrUrphWgefCJ6A7LKnQKM6xGiG75w7+dTonr8IsgoNsdT5ATz1/36Zq9FhPYsO/DVFWcwQl6F8 kZ8cj0FcpE/5nhVrG/Aclayc/smCApEvW97LP9Fs5nR4NEn4FdJN83h7/4g2Ds1p9EQyjS/sfDX1 k/wDkOE9rrSFnIgu4VRb36K9ybgNtRQoXxIEp1pa+lEIo5Osnb7LZhwzivYAUGFRh1p2feWFeX0B VmjhrILMekdFMXevrM0TXtRcaKGZl14xOVU+JQkSnrzq7pkQMtcFOQVAvI1WT+B1oDhQ826jf4Bf /qTXrZ7sSPXjiqk7M+7C2BNQa2Q+57n2xQb7nqJdDXtLklswGuuNui4Uvvz838cx7hGT2Ky70KOR to/hhXO6sYwYvvTb3YPrWPMp70eROGMZpPuf61CsQVCEhjtiSXDo52HMlF9Qv5qcge4qbZzf+CRl +P4wjeuwzLa/kFRvwxGzjrt1neWUf2q4lWmIwjQ5ZZED1WJz1zVFrc+1lf61uOZwvoNhWL2IaLw2 5KLcqYYHY+R78PSHxCHszAC1NomY1ZjPJzQ58aCHIVBwH2H6zGrGP7QuhFh8YnIR7KcBZpIwUE8b mcdqDZO+QNSc/1BiZSPSZqKKV584/fzoYs2sMbHqM7PAAHgPBLIzZp31N3AAwj2lrS6xbzt0uhHP h6T60tY6CpndEKKu+T3YBybp6k0KDQrd9JPKRYZgXRWLha4PUYYNsVu/rW4/CEvunlOzPiUBWNG5 YcJPMeFiNtxMb+gTWuQ7+clrJyGI/XZi4XQGaMHb+AXKEGpKaYGflJ/wtkbMB4voipDH0biyJsNp 3mwqdQ2d5UANUBmDthIeucDMLZFS99Bq+7gKwrRZm4P8a80TcsW10bV43t4q//q/7Qa7txd13pKu 8NjVuY7EOt7vv559pqU9eEB7m0cNYaRdNM9mpPZ7mCtOoON3XV+8H5J+5XnaZoEByiaW1pc2dLnL 6h4DQEabkpou1BlDjQeUstV05PqBnANWCUN/1Io3aFNzTYCmksNHAOK2zF3ixDpXiFR7E34Crfc3 n78PijXr8u9Ii16+ibpD75ZaoVZbK5igl+uBaMiZKLlxfhnhYUt5ORjopvwz+HMG4RJlfo9gFMVT QyHKtCztz9bBT7Wghe4HuKNzxmjWbrq78vPiOaVKG4oTSlHRufMGnRsHU/9hxTftUB9LlcVd7LIn 7bO6UzVMs+2kqWEHUprrnndxOfQ8B5yxyrd1dbt7TN3uABx/OGj93Q4j1aKX1dwmIRtIYOKWRgXz wsQAJFXWMldMoU3khIfP/vrI4eAY0/uHgPsxuZJW+RLZ9BFQjjVra1znp0i6XikzzWEgKnU3hAFe Qzlgg2VrxysEnamTPZSLzdCwyW5Xag0MXfeAIdY6UixXrBmigLqXS2h5MN/evAqVgBKZl8gEjsjB 9yd1FzS2YLDr8lAYzfteOAR57AwhuSAOHIXUZrQ6NiltjGTFJe+ip/QPvEDpLIzWWHqReys9kMO3 rM8b7sJCiHt4V0F60AjsbmO3k5J85aD2XP4+I/joVSWfkpGmWtNgEnPXh6cAdKpHY5gHtrPlkCqm 3vjEMj42T/NbL/qfdav01ITnG1ppEJ5H4IsCXGPr3Gh9oV4qtB53IL67QT9nfJvkakGVgW9N0zRF FkIkwMDwP+AsFZgmo98cChADSPvBI3l2TCHvDcFkvFA2kLztL65CS5ORdrbsjjI0jP3Q34UUBNmk g8pJH9Ii+5AZkBysaeaGYCU2X25n5tQyaf1MjelMyohQJnxLl+J2Do7u1zEqK+hJ9bueFpH5RVRe yb6+zjvleXq+BAZwZjcn0ajVTp1QkA8+lRyu+0h2e1ivUX4LJPFWxOgM6WKQoGrjwmy6+bD0L72Z 1vk1M3Qw+kunPDI89yzz00MvXYMniXZWaAumJdVNNpuIiYkpSuDsFvlTvnZicOqbk++ElEJi6j3z jgj3wD8UraHv+9RxHLndXoZa/fbixzz8E5C5XG8KaS9j8cVFUpeSeqHRDVYe52EldmTOEYovzeqn bCcoXN8sRPvGI9igXUpH9iwMyC1RQOkFU3v7TFa1Q24qZry053layzakXJBe4jwIEg+RkT6VCIHx 9rwQQ9HeOhVYm79lsaH0Kp1zu4n8KPmlC9GStOx+rEOu9mBeI8uTK8KKtKAQn9YxvZtojmR445O+ gOyKqgIuNrAqseT9K9aEzkKKE+I1mDym2Xukz2UIwp1ibmf6Q73T0QufdWVjIpScHhcvnKVfgrjJ 7VrCAe09+LXHVx4kpkaer2fV6fvGZB6PGdXMYyCMyxLWXdUVCPA6fCFd8sFX9BJIgBUr2/rvyYWG fHv42TeHesUYBqkpuea5p8vlD6mAiyelGoAJX60uX+gB7NUhIyy9gLaiZnpW6TlIPjDGoxbywqxp I9Fy80FrSvFQE85IStv9Y/jiNijVTQkYl+J4R4cel1O9KNJodPhsE3psgEcA5eNQt8djV+fClBiF jpCl6SIwXkLJycsiU0qpXQulRUDh32fIzb/BHCGNISn5iJU9KnhGB/qTXYEFiHta6vQq4koM5Zz3 AMILupuK7wRo9blDaLofCg6HJFcl/hDCCDfOCck78/FjnkUWXdPBsvrjLPKyl5XjpxhRO3Lu25NJ yqg+fcPKvSQL0hf4ViTwWLhv1GblIfX7C5+MobHIYBkBfeoQPCP8ihqWjNl8qZKaT/XZvwVk9Gn4 m3blR6+2fBR54h4rzwVHK2eQJx/rsDkFc4mhFhE/NHuUZDkDLAr3dZK8Zx2KHbLjfNEO4d9mIbaK 20rwtVYa73GcBZ4uL4Mekpq236uNCTohr8Dxp3pwX/mun1qXhFIlyDOSRNXi2d2pi0x+zP79ITvD Mz2DgLD79x4415wS9WAQLECnb3lOvaMZ+NQBu5XpFvqyL0pVvTkFrZ2Pk4HQMaBmm64mLT+ncFZf OKrn+fw+NjBh7HuXAHz1p+bnx9Ea9O/xiUHkHdWiVE/DBRTqh5pzNv7JJaJlJNZder7OmjC67pk6 5vWdz/4kD0JOhrdHdzDvf/wWSxYyRq3QqiDV0Titp/M4sTUVngPAe/WcCj3EFzEIgAilRO2dmLv/ iOLrbmIbHp+tpLQcIMj2bY4k6jSewyLX3bKw1xgrjIazAs+P+QMF6Mbbbfu01agcoVaO56KwJkAn 4B00wqiLdTadgUkU8MMOa1Agzo68FQ53lnFoaC3vSaenvtqNIGz1JbUDpVkHzNjVSGzx9P19dy0J i3UEAxOokwF+KL4q/5PH28ItfLZt9vt7n9UMb5R1YQTuqzcuhOtuJuAIi2r5TEWIhU9tzSSRV7EH hrdRpwESHnJzIbAtEdkPensYuPgU9s50279GM5vxjseMlSPKeomGr3BvzM8RBDiXC0kgrr2FUxMO BVP1Lje0vEPPlHzfPVycD0zX9vkIsBzw5i8Y3vONSW8/WLu9ya3MgUbFcYlyD9pSPm5ZXw32H+Zf Vx4jbOmq3eSmj1V8jkpoWnd9nhI1ieG+gkgEOcEp8G9DKd/qlSlEYZNZnI0agPFnFkRhiskPeURz KwJ5ZpmabarClnsFRUB+gEN2zZDhkBRQoe/zKHRnvCqDnd4P2WEWKxwpQtbc5pSUWF49K2cBRZr+ OMgEYxjVelFDm1zYaLJ16GRQ5zx6WkGZvRNjls1luexD8Cd881/aW38f52s4TsFGew7BeQWMuGbM +fahL2NNQa3+qJqOejZQz2Tnjltst0qKCCorP83lHEzZTLLl4GxBC898Oev0Ib9g4/VGfy6Gwh+3 BeyGdsDiFPxyx3Hl46EgUgK3ilGtqGz5JlelH7OojmERXwLKn6qWdyC0pnpUU5WHqUM70Fngcncm L3uMQQ7N8SxJfR+qDoqJLQnVDSKoUcOPLOYg6L6mNyFhtBEbRGMKff7g7MHFH+BGJwZhyLffqJ71 St42HVv3dzgAWiTwrC0TtFvyWnV61LACJwoN6rwmm9++gWsX6taSBxigPQiu8SU7mYWRY+yJcngA Ky5hWmAWkiF0FuT/2pjVeiyo4UBnd07kF+usNfRSiQQjNkTeQlwbvKvnqyYRSAwwtVkSsTVPq5k4 G42Hvzl/unI+4Z8v1JU54+YyHkMuhatSwRSJwIr+eTT2yjzix0/P2BYK30Lw8JJaoxWWvZtdV0q4 8ISH3xOhZ4G3snYG6USoQzAhQvi8o3hIQeyLMIMP1k17z/Mjrxyt7hw8bvMMm+PpZOToAcbytdjy jRHftwq2ORBMvVSlNjozhuD6NlHvNjPNUDfr4ISMsJ/HaIfWcKdGkSVoF2+FTAJvJPIa5vP7joeH ZoSne2oaHDl9TYoOsJMrcvlX9rTkT4TCmX+mdAMDlsrDcyno513mCuc4osdnLsG8p9K6QUNd4j4T //aUv45lMSCjHAaUhZpX8ybYa4ETsOoxYQMJ8kWnLetuuPz6WHSU3TJb2mP2DIH6W7DqGDOCW4P3 x5PTLqzktvZZznPwIr58h4ecg23rCxD/6wn69dV8SDgLt6zhnHLBU0ME/oguoE3bh/ZIG8H2CC5R BbT/oZdLIdRrtwFG+qVsNau/kk+7Rebh2lJFj3XenYYnCi+3sCEOfsHoDNeZngAr+Am1Ym9J6imk ACVG+7kKFXv7LVmKTAZ6w4eyf4DjK3k8kAXuSkWwUNl10Ve1uNDiG1ZqvdkR2AYrXAJQ78vbuA4j BRyzI2+v9kJ47za4/JQpdp4BgD0KxSTAxNQy67mK2PXbfL36pVYE+Sk9Uz/tk+f48qnGrLWINf75 sk8JjKq+UvDp+qXBhO5kZMdo8Xovam3ef2JPGrro4YYn4ZLwtMSj+LMKGmkvxeUITB+GgisyOqES /guKrtd2jfLZecZ+DhL7T1UkwutUupARvyVbP41zdQu3dkk47ZTRZJvNGYt+PMzFRthvOKnAiI9O Er2Z1f0XdMcmS8hveMPd0ojN05H1d3JjDOvZnWSRI3pCDX2RMJqUWdhwDtovK55cyxNEzbcnT/F/ VeD5g8aNRVMqK5w8KSy87trqya6qIjLFnKrsjUCHbLePETW+K184JUiDLH4i/Nx/HOLmJa7Nuik/ A4juH+N9t8zyST5GOhZt1hieN0quasPz2ZmCYZMZEfv0VALJzU2OKy1OD3rRCpD5u30x62Drg3qF njfiZI3Wr6dyaW3JwQdKHz7GYAxy3s6FhS1npOB9GFpmeyQUR5QSIbV0yNwvaNBTu0ULcS0bZ0Bj IsyvZlV0bx8moNQhxV4wAApnyMBMqNTa76y6YaG37oFGWDaW3qyaIW9r/P+UTwgZDYE7olOHPkgi MuH70t/GOBjDqRY9+rPhex3cvN2qmjj3leWuf7/BVTdIUW0QaZKXMEGcbZq8DQI8en7xaE6qMIhH Nt6WurIYY5Gh0XCvLLNDJQ5BF5RHKCfZZ+/nqj+rZA/pSwtz8Gw+E6T/SbkQ6EmfNS8/5zPhcR0E PZMnNjefK1hLiyLSDakpzVu7xPO6VQg5JmJFvoKU5o9sOFgbKaAwH7x+ds9LTrM4CSF8sdUzWRMN zaWcveIMOOs+rr3eeSJeRbT8HjuSfhweOSYdKF0UlBUKGOf/ReJjgcjymwWXsmXjn6tKp2C3k9Bd hZRFPj1JR50WXW0rttET5MJf2D4peRsw5/VeAQYInTzcZgzF4UsLzJxIrxaNlq8Z62q7LzEfiyGd hXLWIs84HQcidMB4uBQiR4FkDUE4/8BnxpXn9b8Ek2p48nnoyGJZdePVtkksaZos2TOSLjTXifjm +t6VDIumxZdrm+GcFHdI6BU4uctkZpZY1lakfTyA6S8l7sYDB7M27hmC+8eb8OkaxNPjhAYTkuxd VAK+QUCMR1qUkj/Tg8uqUsJ27MaMCcCDDNQls4rqrXlcP3Gi7GiLt9xBtJuMRW+r9qlrW4xi5cI7 Hi/J6qwuM1FzLKVXuD7moV+0P8mzjUz3UXvWhki70zHMZ6xRPwJbwirnsIkODDCgNneqJEQ1Vujp VfSfjFvhjvwaRnHf5qrglHJABkbxH8NSuTLoZWHCt9SuNDsSn50DM3xmRi/OKdRfqrcTcRljtY7d IILiOlpPXfCjyH1BgSHmoLsl8UT1so+9YnopA/AWaEt/eXYYpdLkhhJO16Rr6q4iLdthrzK512dG w7jMs4VJtlqv6aj1SocUzqVX3Lqs7biYP8f+lulj+3DD8a2OgILECSGRaALLd05SBhPnV9B95GtI tM9EIQu5q25/5wPuCJw6w0TX0VAnuJExbLxLxK6ZZ8tUkouEV0rhoJXSJg0SeCNdTCin7gDwxIga SIA4+ES0Jb/WTpJFxvZd3QRQDKybzdixfQmcpLkVyL72uIUdZRMwhyvtZGM7wNxd6Vo1A0BICUkY AbyPwdSnCvwXbKNmvZauCo47SdRXu9Qrz281z4550q9iWaIt3P4sO8fIsPcHh7U/qDvweYIK2e50 A5+x7xam6Ff0Wh9v+bKrEe/g+ZSSl8Pbj0QM8DkdbW+3fG1ngLWvNreRAlClJpj630Y4TCtdb6H3 qk2Iz8ago7EnT69+8kHS/qvv0BaUrWmYXdUqPX4ueNueGvycv2OlZu/eDLaO+z4g2t3ltxEybBa/ 2rgM4gxdYydVmZuRRPUClPCNYR0pT8/6sim5pOlqpbBmna2QisDdBdfJGuDZ745YO0fzd1gRnt8w KjPmAhxkj41W/JOzyCKkWfYwTQDA+45x1JdcwvBcrGSa4SZbpeqrhHQbVTFN8hfMLCISMuQqG5vp 3lI6dhk8IYfvxUKZxrDe4bqF+zHRKOXRe9U6XdhuW7nkKmGHa176GcaOHu7WcrHc217syhneQSrG RQRgjcZwHBpPxBgeNzPPfUaKaqz19IjJEfMstPv/m1b6PsFWKD7iVOXNnK+OebQOunTy+i3sQF76 4ZGtT80jqrUQ2Uc+FxGmkv+xavIq9Hx05OLo1RiSK+h1NQxYKyY/2SrmxYCZkUN1CMBBJRFi9s89 TWHf1REbS5rPtBxGYdvwCj2Kp4tDaeXdWT1tfV2pTPb36ZYavCpoLOwJT14cVjuH8lH3xYf0yxLk TMR/YsAX18ll5cjpSfAo6JTN/nMSzwSFlmteC/+C+Yw7JRtjWj4wzCvpCqeEHfdvJ/YsVDwmbhz1 y+xrJpojTx3Wdju6lhwd/HBMH+GnRkwN5uj3G8yerZc4jk0xKY6LoklX8vbm/g3rSPf4OD1RR2ny l4LBH+0xQPigaPGXUE04nbwgc8DSt+ccjV7pfmddFGOcRAkWlOdQRGgUdsTPgNCzWjJtvbEmXCeg 0hhFmLX9S+F/VoyMP8xkw/HNQFHO4k6lhnB4r6+Yuod680GyOxbSasst/2qydKIVWK1df9CspkOA AqW2df3ZfMzOmndxL9yhUZ70tubxnkajfyM15Niu/Uxsbj/x3Ws1VCn7eih7tCOjzrI3LYCsG0TC kE9kOZYp0pYGBBajvu17nkcHng6HyKUxwUfuy8qMqGS/aqrdhTVkme4pQnYpTRIfxInt1KhYvcdm /JuaFYW2f/qExMFBk3nTFtO5dzzw3C4p3em6KyXtKA8yIdTAm0NIlL5nyvGp6lL7/7hLri9TYrBN 0L4XdV4QatiTrxV5O/HzcpltRLuI+x/QQmvEtm+iShHDKuDtD+ZQg9b8DVL48FyxYAsJiibiCuyN MEMv8/Z6awh8dxa15F2UEeTuc2oEtOv/ATkn1rJ5qeYza1L6tkz3MnzeaLvd9TNLJcZ/rVGYIidi o41Tsx10rk196FI9XudnwP4T1cmGnyAGENb4zeuHO1U6/beVnnHMuY9i8l3TL3J/b/OUeSvhUOZx l0NnCgVDZbFtTMxLHUWfDuyyQ2YZaWUmlw6VkHt5Jp/BI3Y6fKSARS+CS2znFNRj20fJzp18AQId OneKLj3NHcbxQ/5gcy0ZvMYWei6q12ItWfWJlF8SScQphV+AdnXBr9puhZneUc1xcxN5IChCKDYP ofcHKFhSxr0rcxiXXL7Eemjx9UYaYyWgoBw7mjOcDCvJjR+GhGbXTzoXY+tRQuoftF/+vbkLmWuG b2t6FiBWWoa9hGa3hZpRYTBPBHrGBtmqfDVx1vFr7TiEHxfPu3NGwCFA51rnZNU3oIeY3o9l/4YI RViGTK3bHjxdeots967Dc6PD19aX6JJgxU4qOsgsgWvAURZYxGz5Vj7lIz0X+DSvkCl3L3+8GHxh sRK2GKZ8rFWdqAL5oAPPZzu/gEhcy0WK+/ZNlzO5xewyMzV4MzPmnfLoiiGDeAOtCS6Jip7XmBC4 3i5ci4WGZpccwEW0pK620cuPXaBNiX/wwKRdOaDPNv7DRceTqaemoJffCMi0BsgwfnsazhSYSeh0 8uywvifPA+9oVAgAlSH2g9tNtVqTfvmaliNPdd7Jpd6iKz6qTTf2FqaHyECi57+Mp4ChQqWVp5JO 6pWAtFWYqosOrAJnwOIEVDH96lEgg7YCDBt8aKtmtCpB+oBlGBodjsOUQ2waN5rZsu4BF0JQhJpg lYPEGVhn6R0S2QKrzkdBMUC/MAHi2dHaxG+8AXYMohXrUylzWIhaSlZSZxvzimvF1FbL3OhO9pH2 t1QijJ4jmrqkM5zWkUGwKJT5KqvRtlLftvojnQOK7lW1jkqfkzDx5Djk045l1ETkcD9EhdHQCs2f eF3UPpjHtp0A8YCFJHAPwKaiuFwrBGgBZc4O5SroT8lyGTWCMnOnpr49ZZ6XwXi3vJaCvVsmKvx2 sNxHWP1NM5J598tu0I1kZcyLPJjGIQe+Pb5AtHDQfBd1eJpkEOUm/m1rjcpSXX2upi74AB9oF2kc X4lZehPlc79EJgdN0YAX3O8hiS6Dz4a3pQ40sXkEukfPESRwdcuAJSD10hsZ7ixlxzn/MyMqL8tE LiN4nKhcDKnNrwtVMe2ZgS67QbJ7QU6TDG6K1c6lAWaE6iJxo62D2kZIBPnIb2EpCTGmJZHm4sS0 mwni4CdQSBzib3+m8MCnr0e+Yt+WbVJopAj8Uvu257JnLQnwhAMixTb6L8eLK+TXdQ94F4w1OJbv Ab3+iGPVj8+gMOdkBtP6zHkk3ROJyEji94GNMq1tGDn8zCbp8iIfEZzf/khbQv6631vJ3rsUc8u0 pzk/MpJE8V1WQxNJ3FDDTNdvxvlwzlwRLam4L8gvPKga2+IJmki7FleYFMZdkOseXv50qk/T0X6t hmZriZ9JL6m9Zl0r6ot+g6ORUnGiqCSkJCF04uSZF5ky358JFCdVSeSLcwHhKBkKlpR/2tD1agf3 8SURVara5wBhoiJCQfqwmLMkvgqFPRU9Rk6F3DVl5VqBkbJhSpR/P/maGXnfYE8Qsmu3QKhvDtxW KgV536cGuY1Hfpkbx5b7Rinq/yJ2m6kiQ0njypo3nxkVOlDiKJ1xhoQ2FZLv9CBvg+d70w4Ho8s5 FkNksOh8Ff79eaqytUGjoOeeZjWMquxHjBI5dslFy9kPFRZ1tMmnWh3MXwzhjYHi9oNKTa0huJq4 dsB8muYpGN1El9WtEyv9ipJtnjt4vKK1q8qE/1HdixY/ryjdgQurqXXH/gT1qHC+oVtrpcgDtz+s WB/DF8gRpAs53M1ayxcmtGqzJW7Uc6b8O9al9Vfn3BMjL5hZWSlqF4C3Yh7/AKwf/9yrH0FshcPS qOd2O+2Weyl/S0+lbl9Dj7zakVE9QZxUZQ92mP17xgBZvCUVCvWbjuh1QLK5r5quvNNBeF+0iwIr Ol5VAb4zFoWXMiYzdtpxUPt8eeZf+mxI2klZR12c/DsTHv1jmB5hw/p1SZmzOLb7pa0ZYc/NChl3 BNWTTSpbqoPVSoCB/S/Wn7oh54+HgatCYRxNTDfJCmunAIqZ8L4NHg3Ne5oFlavIQWqPf96BRcmg nZlHsm/KQOnHDRVvN3kthBQ3TD2jBnmJioNwj6jSviyk5Dgjlm5ywgPI4rJ2hJSpkhx8MsQvBQsM XlA0tK5tfsNLit4OZ6cIZMki5tNwC4wBBHCw1wPkwYGGTSEkcQ9d52DSyrZc1COR5eYA4X/zmQ7H WEKDbndlbxvtk/o05xCIgt8rIqA4zVlQSTSirTFpk684oI9OuoQIlpprDNc/y4UFpDfR7JIEx0FT h7W9VvWoop8TnPCaEU1wKMPjIXXbsKHENmoi4EQaPwH9poveTXpw2NA52H4boySBaUj+JliBm6i/ bYUEX80BAa4eIlx49EEWCvhTRTFLFf57LxZDenh5OyTTS4eAxV7FpxDhxjhQuSm69atsnfv+I3+o PQhCNLfXyUAit9wuIDHeSF2JR2fLibhvIsX4aMQVadXmk0XvEs58eiggiuXaUDnynpggOjcVwpT/ 5ImFz8mV0fphSE4CgckUr3EH7i8OxMQ8EDi7nnWLj1nB5MiZLuj8GxDHyVrT2WcHOcAMMn7qeBQc MKDdNsd1b80xT9XqwveL9wTOzH6UtcbdyCcHaXR0WSRN1TFWBrZRhR0cfU+0BuD02x96i1RN/bEf KlGB3by/Pr8Y5nIXVlLUJ01UWY08YD6Hlw/upK1FsjjnH1e8B/g833CoWjqsEpG8DI9Kcs16RP0s AS4Ty/m9klYoo4ybqMRRAmbXV+k1WsydcJbUYTdrNRRFXJjcFu6qdX4nWMg4rXDAu73LQCaViMkO qlTt4+XcWtZUD2+IaQjJDQ3J3Fa/U+Ke8ZzxKFpcZm4hNLaWbu+R/f04/d6ygP46DOnxVDXtSPF9 FNVq2rkbTSfRe0OFWErdPmIcYYN1+uVoBm8tSYea21H8xssT4WxEgApABEMDZbK1OMw8Q5ws+1Ib spZVpwlfKUi8JwALBWmzDRSXWDiuj4rSbWa9DGAh/lmHZe3rf3rameZKLR9ak5bvsZ75wjLbNI06 R9q0ZgHeHgysaMjCqdP+MbEXe/6fp63kTjw4VFvl3s3sQ6KVQNUCBiaENO+HjdAb/XQkN1i1+eSz 0V73+NN6ciFzhd3WljH1MUofR3fSgqkDq633Huv7ON5yYx5BCfOPPCaov1ykcG3AshRsywhDhls1 vl1aTb+R9P1QUwOXGwL1KmXYH+hVlp9OidvjHCVJ+JNe4rT6gr1Muw1DpPrdq/SXvsk/jNUbPjuW 2XVrk+mUZ9VRhgam0jncvoHG8Qf+xh2HIVd1nIukmFos6QzukaTjnZnv0yij7VhFfG3Ga9XWDKiJ 6lT1/wGYd9TPyDivseazSiJC5oCzVowKd+sg/+v/mK7yRMQJyjz+g+3HHog4asc9BG3Nmz4kj6QA LdEGbzZ48nFbxSi19GhtzvE5+C9JeRDuvnAIscvXv9aX9IsR1neptiFQi+xMLtl9BkE+vUW0hFzq ViBWZnaN8ilCFCVM7V3vsxs1XxEVqtr2LsjileHZf+DUBW8+hE6MVVfcmyttMEOcAUbDo7LN0+no imqOT+Mh/UHyaiiUteE6ta8YxOqvp7NnLS45eK80stuPxjEXYKb6lQb8VJ/pCNkLGmGC2vRyTIxK n9DyFfBGNcauCM15aU27lPFwYRXfKj44iSoBcOKjcgVwoc03eJGJdJF99lNyRKadYQuyVV1zUMNN WYBAMnozq+IH+yfdPvPd3KLpu3lG/x7z5jNFOHTGBRs0/A23d6gONlRQJfWiBV7y8RSFt99Xt95O OhPNcQA7URhojDC4jVZlmAopMVUKnFZGy5zRrffLgzs1LDAxplHqKXSHuFWjC3AYZo3z2tAWtubP JMm1HuUoHqZSxq0JHEVa0sWPy5IR6BCwgKgTo2RskyBGL+c4TuKdbE07SjVKDMnFhX7dItLfNJdh unJpDTWYVte20P0P8VV+CVEoxmTPHaFSDCkV3tokx5+mU/MJEhSw4I58DLV762xOFdmvcmcAtBYs lM2y7pvOfT6xqKeW7v3hwQ3PtwDQz1Y8+HS13OBRCgBFVhuW1zZzYcNXHNhGliP1iagFCCVYTwzU LDvtYe6RR1PQXMRI9fDZDe9tApL7oqwN8rsifH69ZJK/ztZg5FSYt/6VmFxPsnizFhPKcv1UWpSF UqKaaMtbzdf5uU6rikms3jqRNDO+Sg1oNFKu7/E7wszm0ofXsnFttkI/A1nsgHaWF+go2dqYSIQb RePegjspqPBPuusRmdj0eSNJ+75vZMsHwyn/hQNgHQowQ+QYy3hofO/ELeRjGRTQB7YasnQOc3JT ovdypnTkT6l621qpdh9Ix+1b0CbSTnAg4R18qc4nyjokm56VUPeP7kzxKgq1lAtkh8BZq4jPFAXA /FBy1ofecUrNOJ2Hehv9+U9mwQWfuyS3kZDY3woGdzyG2uv8oAEd6WC4vbQF+qfdEaju8KCLkl7j PrfyJ+I6WlJ7pjU3cS0a0KsBNKPum20UJAZyUKfM18aoiaInWidlSWxI1+z2WbUaqzHdRz+7sn1I 9ebrX69cgXbMZaPBMLo+WQOTZrSjiThSL4FlWZe9C+ZfYamTZnfK1KluhrB7oEpAonHkoYYdqeYO rM8NYXjt7Z09ButpimL+AX3d1poD60AZtkU8VYx+koXXmzb90O4JecuJTsaUf8gL67vuDk3KX7+z kJc2RnnkAGJJuO4bTTqypmUWNRXzHWwTBeXaZbgC1qynWohuurvB/Bes9/4cr4vzYu2oNtamLRry 7hOkskTmyBz1tn4FlX/W9dDTqPRVY5yV2URrPGmt3CWoIJGJw4Lr9UNsq+gwCYykm0awcpZExkdK yiHU3653nTG2ewG0hNlN23ugOkFIqYokq/D3+pl1HLHPvy5nVvm8eH979XtIipWaCSEnvZA/9pl8 KpwUmHR6kf/UAw9lHFJsx58jbUSRZBPxiVrXixv+7qe1DZ1yfEYA56j2VjqfhhKRi27vVGGSwc9b r2fPpcoWNP+IuTXDQqoS4dddXGElijRpxvb8xV/Rqweme2W5HS0JaUxwbXUNibXCiCC/83kNRjgE 29c8wmW7EtCeeNk1RUKvpLisL+mHNkRK4DrG4lCk4IvP+YgmgaZpwFq2/tHAvRSadkfUfweCJz9P QxvuZjzvlWGeg8fuMUE2A1V2zpw2cNhIeUKcaMUVCAF+37bfRKg0dQysk9J2F7cvaszxJgQziThO YyaXNZvAaWqqmrjemoenrSnw0Pt6IpCU0IC1pRRMMt0meV+B3XrFolhnhpiE3LiIXh0Gx+c/U68G p1q+HH1Dt4bzBdsOF+aM/06k7OMWZjHo1Jt4vQxVLWcJxQccXFz6jmbKGb1F17v2hK6OUbsOGFM7 zIk9+83cTduNaHVOEMa7HN8WFEMGgjACFlgbSys5XXD+8MP4ZT4P3JAveEpz+Fjwgi16svSxFIeR SYfs2jt6sdZoYJAUzeMYArDpRmU42D8agOZ9yoa9L6/nZDTsbUPPQwaoBJVZ4h3e4NMHqnRLlRc9 wX9zjxooP4/qt7hGHYL/m2WqilNa+/n4qFj4TkuUkE1d3enUGyUDCYwVTtmhnHC9gyAFLND6TNvm Mjaj0z1ZlSgr9VAbnlzveYHpjlyhGr691zXUS/78Plqc+bEInFyb2173eoK7JFoQC4JE3W0nKPNY y6AQVEpI+bUhoSHkej1ZyZ5+wZC+XrmrGOiUErErM6z2Th8swnjAxVXzNGaG2YwCLVWS6YF8iIH+ f0993ywbqAOHrQrUxM/1KZ9FiW/QgFuMDhQ62q45AxAX9jVQSCUAuT8CC2WuOEHJM1auvPeKJrCY 2TCkBJqlf1sN3WwE8+qK67aFNJXuJCZ+fau1I6RxxbyNk2cvRLUv3zEVAljqgydSgz9Kmz2MEcBB KdSsEyjvRyC4wt3wercqCcIjWdp8kfZiNxudiuM5duHTk0VNKg+LJyTlXEY6Vq1MDmy7RoT47X65 nVcA097BiV5SmL/vwEtg0LkLqqpk8jJn0P7ipim6uGcDtIQ2cZbnZfw7SOe02aQBp4sawKmqJDpd RdDbH4vHeomXCE9GQ4VfxTIY4Kk30INe64Lhjyd5kT0HrsEUZtFm1I4uSbmRP7mZLTdNardIdOK7 6nNwj3ulaCf1GXeWAkXZWjk+XBwSYeQMe2SyyOKZYIwLNDqSAos/i7EloqYgx7W0PurTBaLZ0jkR doZbzoUmeSwlaoKeW6YrAUiwqyOl4MyBOu0DQuOxFM6IiR8GcEDodIzwqSj3kfcHKr37sWM/YjAl BHX6+qyiRyZMR52Za5jkfNqdoCYpMPHE0vu33V64KWGpCa/Tb14HEQ+xQABBli4KRmLkmulDp0tm E18S2lR5UGwKd8d8GJoYthmlZjeqKpWHuCvr+whws8pRrbpqjzC5RfwZFFAOjuytUc6sskNQ3psh DFyE3b0KlFLfd2ePnfO2qprBOg4Mh6zai3Orqeu8PLgh73epNj6ijzBvPnxB6mazKOmEsfPheIkN gkF8ZZiMNb9GWZ2rBIW2HFFYdGfdbRpb8IqKj0SzMp9Poh51jyrJlyK+/lovlBr6vJWu0qi2Xlr+ yLpwkAdzHQ78irOqYfqHrDkpCD3najhNumvfoOSSzGICb+i6ogiB+ZJyiucQYNmBYwLxK9k6H0f0 4jOazqnpMbWQzEZcOjTP8ilPMUMNHnns/yMv9r80VuOeeiGzoniCQ2/GW28T9BxT+y6sUCur2qJt M9isD9UZFmbhbpDgMH+Da53Z5/qcz0QQ+umjZF8t+jqX7wbrBEI2h9q53Ocl2ehyNN7R6h2crvFT dP3TLYIIjhPfPf9iXA4Q4mVuSxAvObpYE7H1CY9dGHrygQrNp37sKF4ahExBRXq+IkQk7jP/BKQJ QVSxWliwz4tiPSf9Em+XeQFKbwTcdU276ICK007te9Bd66PMcYMTmz58z1dxtHGAE3UrdDjzAoQC DkF3PVE8ZekYw06FQQAG+oXQGJJkHP58kpKIQgl3Isd2vjdFGDjsPYcAympv8J15bw9ZeuxJG9gv 1OIrJcWNJmiYU0DQehJFIJ4rv/N+DdoSTxI6UOdKUJs2qZ744hquVhrkiRBJkwWhRBjtxQ2mgpGe txYjpbCRt7r0S4Kw1iEr5BTVjvoz6QuLyL3tD0LmDfa/5rh3BCpjmPGuEPegJS11niDVv2LkvyYD 8Pus3SF3eNi+J/aReJzhMB7pFmPHkPUaAmUPEMCOC1He6D0CGYrqfYeygDwS8nVgOdw2LDlaNB0c tip3eWVBsP7TxwRZjEsZ+TE1BjDi6rbGXSTHl0ldGA0+0BSY87B6uhoWivDziRR4ViQ4sfpm6t6n z3xI3OdNUvfCxeGKeFEm1cepOONLVneKqfaHT0rEVzJjG5blYtJx7x0OQcxKnIBeWsASKEInniwK /uJcxFhcLW7uW+jSh7q5TgGxNOW2lOyc/Yy3nA4wUuXLLtOZ/lEPtPCxhnjtkcIY0p1kN5CEOcaY /8JGwhWFlbTemI/ZQK2hA6jh0jIu6tQKu4kSsc0Bz3YOfXiodBOeWZk9VHPu6dxGZrfje+uFepFH McCenB6sK9Qv/89zBfTdzerLhpMSVxKF627J/vfncrBK8ZBF572R+Z59OCJKtffamAdgxHnE01E4 ZMS36YeyUi5blmnyaRrn+MmMEjQx/TWQX5Uu+XfgAsNRrMbSRRtTuxJX0NPgeL7T1db5cpBS2HeK rX+g24vh6y55fR/RKRDbBIPojHpjPgJIePtx7pKJvfORJKj+LCP3OvKdski29Z0wfxEP/9FrSRVT ygkxKJXNF46tRGXXcBDcgW8pA6MFwTQfqFwZxFMW7ubyiB8ZbOdna76Gb56S7rTH9Ka304wcfeie rOPZEOwXgoxguNr9W2dI0TsJvpigIuH4N8lvawExpkyMXKfEcKMoihwHB5o9xcKcXtfNn4JZxVIf lV72kop2PSdLyGqDaD9aPDulZ+9yZNC2bZi6TDRanOM8c7v8NfCpVhC+1WHmoIkYvdUbctrBqZcY /iboH+4NREnbFzZ/9jQlPMpWE5CaDjqPAei8Kig4SmQf85vFvmZ+YoasKkO+QwML/ud2FtawtO78 EaXY3CoqGwrbkaRFoh1VX5KYNOJmaoVRHltOl55UEsvISgrLm2MeQCRKbHeBn81gxLkYt71N5j+S Vkp6sHBlsVUFNhdDzCsU3jxgKZ+vWg3LdenbrP8KVpNHvYpM/0OIDomUzAbIAr6nQW9/R1OgRAa+ 5m9iNV3RQfOFKjxv+S9/Op3Ql1fz6AexU8KpNeOh0fJFjA/VVcDQwY4C/b1LBHeraYjDjO9WuD24 5Xmp0s2M1ripc4Isze4MQKbjNtGKSvkId4YA9Ju3eRIgp5w5Uf8Qurq7Ij/tRtLcSHY1m6/wefib EpSPN15jE2v1kMqYoKyBLv/D0JxSWrPd7yElqW1FN958INxRPhSekJknsodTnEd3IVuZk1qEBw4g 02P15BF1F1ZLSMTRpKqaPMUaqxsusaiJ9hz2ui44sbfBzLjeLj/8nMCB8iZSOhZodaCGgRARBtWU ZXCdkwKJdZ4lh1/6v4rYXFAh6wbXqwib4jvENs1Wvg02qDeWAIAKwB1CHUswxjHFtzThtHO3wVqx +utm0zgouyTQ0E2FRm3rseXK0EpTYwfrbR3/jHKnlQP8mpfaSgI4F6dhQDbp5mPbqb8poQuUHQxD ERxrmxpmeAzi30gn4k1zBSvzja3h0j4VYJuNs0wlAq6KhDCedpEI9CQfYyJtK6jrVcL9sixaFNm3 OJU1W3z2G3O/oFqwCO8vtLCeioDDcA6MEbcJ6q2s0iFCPOY5sFumHDlAHh9OiVsKiOIK3F8E5ylu HWC8HrwAClYqogOZcsoPkar1uPWVy+a82aYPf/7e7hvB2/xdH3aMwlNVkPnAr6mxDrD+c9aT1FEc 62q4/yFzEHZVThJCV86U8HmYvCo37VWkdMu/dV3u4pNKyWo7CrWn7CCe6EgMgCWwq+U1QpHhSRMl 8HZubSIRY9FfvWPe/NsvxI2fk9ccXMhWzEJbo/tmrK8BUc95SxJoFQMZo1GZlIRC9rlJfEeXYAxK JgR4XPOR5ApdJFbBcK9NuJhhWIVOJr5OhxPW4jOH/SUzDCd45hm2+8YdQXEI1TJULrmygAoV2e5R 3dhmzCdxctrgf0ZFzlrVF8Fj+AEGUtgtJ7DInY6IOktTRGXme6tke6E8yun9BbI+SDBs6MhmKhfc Fb7+Ei5LvMF+wNqlFGHRK24Af0R9cyYQQaGywANAqc75P9OR6GRpz594G1fsg0+M3jxqlyGQt8om g8i9MVNk7iVlNn+CLU0bqt8CLLmWzyMpkJ7srsD/FTsWrvEvrHAf9pdZhbPCJyPkDswcvUALsBPv /jt0YHiIbZ+AoiOAt+Ce18fVVdifdpTHRI7v586LsJq87M8yTZdA5ziOAtTSav7K53AtyFr+urUr dLVtwVTf0QjzOiY9RG0CXQydtk+WkFvG5kPjZMUNX9y38YylZ7NzyvJk5RaFOgF5J62JWJOpxrqI 78qafpLOQ07SLzpZboGMIgm5HdDc1OSKQgOsxn+KwSThFXAu6YD/YH6hxVW6+tPnLEStYfQ8/Xua 4VtWGaWy50lvhAAJVHoBD6j2/1WiOZFrKbmIzvr7BB3wiuS2D0gTFRhtYdYT5/Bky5Vj+8IWB5hE uwL/VyF30fCnq1xBHUGajbCdIWrvjOhbtrYlrJnImuvkgMf/EZby3tpLBp2Qhdg2+EI4O539Uo/K Qv07fqQjkz7o8B2KeZvZ8oENam9QxvoWaFhNH9NQiex2H/90w1D5mMEQauQHwI4RxKtgKNh/wlvf SVmGcALAFhZdQTAX6/4yAoQZYl6cKXfgFfs6WS5/qIAZFwkXMNH5cBa29ER2sOHxnsZiUMWeneEJ m3rIHmjFdHC/AbsviYhqnylhwZ8o4Xp4J2UyBDyr1dcvfCJFy/G3Ixj4py11aOXglUfV7Np2CgSy pHiGV2ryGrquTcW1ZZpLuQKfbCOGugR1YjcAbhU3GM6qy8Xy1gy4eI9fDc63SlZ09alnbHVy98Nc zSIiD9EkcBS42OY0oVh5s2WS0pzyE0ekuFhU4GNx8Kei1JRkrJrmy1+rIHi5t8KccqJcYi1uUjIg jjaZdvxJhAAs1JVu69dxPOxqR3qaY7ltHtbAqlkfVKRemCDenMjkrMCQ7g0pKN9kP+bac2+81yv9 havlhNBkPRVbfHnNYmUeoI/7qGncLMgmpGixBv9bZHBkABLhvyy9IMJn4K1wZoBs8SiTzIm/LmJP 2FincS6nLVUTYVCmJFoJZ7NxXbSrzjw50sMWz9EnxPjtdks9pIB4OpxyuBp5Y3sk/P9Bjrifr43n 0RGouyfh0eQvZIuf84xfaBzrpoD/NiMnBT/9WtdSNWPnTkgRtFSRZJgYbC08MfWx9y1gUgcCBjCs QPtYMxFQhF/oFakmAIhoO8X5YnfjijFkc2hM8Ku79GKDKyxfxzW18YTGZ1ZueMflW+95WBx4nXwV oJbX2dP25OXnODREwA8PDpmOgAUlaHqKlUI6i5+IBNyCJWZZ4zgLkzBroy3mxUIqs48Hx7/ozUUB e7OPxHM47w6Sd3Mvv457tVt0YvZ3KxjDLMVcbUvgKvDDpifDCM4O4CgQGrLujLfW4uVOWhQeR0UY r4YnLIXaCkDLTtw+DtGqaYoSpxnsR9EvBIjkJT/dbtMYY5+6nfJc+qVnkGZ0XtxwK0lESLSGQn2l BQ3vAMTGMasiW3aqgDCOl62ruI2eVbgBnSqvfpmvxtN6lP1z+u8YRdEcN6gwhnnmYw/Tz9Z4BJTH /yxHbHvfseT4sXRx5jm2MmYPP/b0bE8PPF0SLYIZj9+WKtGGYnD+/0tkmXqOd0J9kJlErMkRdjlz G8C3yBUrQklEe6bv+c/JPtFWDHWOCqV8+ecKxc1SJw7piLmX5vR3ZnbtdWRe0ylZDwx4iF/nNUH3 JWI2ta5uzufvSzN/abOLEyH9UX78JNbBSkoeoMJ+zhc4U9sdww74Ds2hYFB6Xwf7BCehK9vB+Fzx wxoXidMkEMBv+DAfr99OaKxLO25lOXPufiRudnna5OJ4AWCW6Te/bjgziN8cqq/NDZXj3ZHG4eiF KQCIfiNUJgAGjQqr7WF+iHtnguOGGZkPSH4ekQ7s67U35y5/GSB2DLF6IQfVlWrjNMKcKrF8bOdV WS5DqTiSF5eHbglwQRVmBtaiQrY6mW2XjZUQiU9vt+nBq7u37VdTCL6sxOaoWOetzDKxxZbGJFM+ +oiY+dKl10ny5hNI0mQva9+jYRfHQCZADG8C8hxBkFNBFvOok+S1hrFOELScaQmm/dsYGF4+xYCb OpaYn6Hdwt16VYHLWkV97FWBVBkc/bJq8/CUm16pc473q+lcPJDBpggiB6GzTD1UujmKS9zyWgva 8x3wRL+vMYW+NA6mlgXwgoX9WCaB1++IRSiZ/CUbPJqs4iqzfLuMpqfuZfTvgcUaYuRqsKdbuSpL xsaLq4pFVh9zBRmuR+n0zO5ntKb5cJ9RKypEHx5xajeJeBKX/+PVc2wwFyT2daB/z7DZDB7A6JdR kubz/sadVJ8AREF+rGEN6EGYyitB7XS8/cUdiYeddLqke0hg4M/lwmHYKKL2EZJgY/i58e4EPlMq tqf6CSi32w8TWsJmbFimB5h7VqYevexk1NEN+NLhPzSOZ1nrF1kZb7IpvLjQ2QTQAXI2iHtlnd3Z Pyfkp21Xvq6hVjEch4ORLv04c6citiRUVypNvmJtyUeXSerDBGXYztJ8yX2GjrB/hJy3+Pr997cb Cj9oixQWfbVYsICWBXwBH+o7Yy3LkRwB9GgaWrXq5AktrUq0wqFd3l1fp9WfnxCoUl/Z+iFy03oQ sc/5Sbd+EKkNCzLJQh/i6BuoD7d2f9FBuDXcyfeq/g1pt7+6DRup2DiKDVkTfd3Ze3zqKNC5akL1 u3nk3svVG0beniR0SirFK3uKcLF1LtNQt2Pmgv2WQkatrwkeQvC6NtiWUATwWwM+GfBM+w/Vp5CY h84DZbn86o3eey7nDXmx8Qi3WtNfCkNFayT426940XmIrFlag1+4BEU7FKP4xE8NhtQSIaL8lBam 96XCSvl3YoEZLT+QKROzKJz0HFM3TPQJ0kMQhD80zd6mZlCtuEIeGJuXdifmvRswqv3+cDMwsxhd /t2eOSD739DK4UVciwq//nNV/yupFhw5b+FDN4BnDsf8JBFuD0YIbpSZ62qGSziK25T5kZ9wTLEr Z6RmSU1050KRHNcesivWRyAiFGlXNEzSUPM3Cx4RtMnil4J4QQEjYaXU3GXM7NaWFLwLnvGzpbKN y0fprhkc1u254qwXE6pc6qyZ5IBCzDVkPyFqQ5yI0SGm8yVStYRdyiN3eRvcUq0jyt+7oZ8gKqfa wwYJqt2cVWH7CdfUlG1IvPtQ4/AAQLA6rA9I+7+WcEmBJ0+tO2IIUoKKUUVA4ipX1HqR3fbiVtmR OiD/njl3jjCL4D4ePXMPisWO0iBLrS2nyO/nM+tGrNAoIgBub3njjWgbHxg0sgn8zkN7KwtexV9M duDbGtgXM+AbSDQMCuhZt/j5lRpXUg9bcoZrQuXp3O8cNhab5v+XRsMB3zUyq8mJHWCQqMjIrVwG RW5CnSTjGTBBC9dMANjr+YLDMs2sPDsCrfFMk0Z3heDTWe0AOvlXegKDb4aKRttokfGCu8/cH2mT fPsZipmZhCIVbXGP7MuJQou7PAcbX+Iz8lvCYVqRBP76ATvJ18iNM7ef9p/HoRr80c7qAqVjA5m1 uYhT3mUGDwVEZmNG5v6co7AeMIgXOjvduW4B9kd4HejoYv3tLyyPIA6nXe069TTtWKta4BurEeA0 Fx11p8zWxqGQhabBt+1k2QUDDa7XbULMgi1/LCOCOr3kqAm5FjKTRAOECcUJ/ehvTT8ENMHcW4d6 IKwSh+yG0Itkuz4JDvYO8GdOTuc+x2CcG5SCwiRa60KrhHWI4x1DHaPNBooVBng8d2pHifpSsLNQ 4veSLcjPfT7Ncef511OX1HukSZdkzT2hT+waL9C72U7V29u+YEgsJzAlFz13RFawMie1k339C9m1 ZeSiomhZ3HWD3Kp0QNSMiStBDDO7nPk/D+BoUFTrglg59eTYg3HBQBO/0NqmDk/r1ulpoUyJ7CSY Du7tM+WI9LNJjg93JULgY2OJNfNOH1fQIhMorDl33A8lj1sDPeTW36WSmAF70+jUM7FRiosZKePb sfaApl8p3stgvSgyWlq2GUMPfoAS2i1rYkbYjNiQzEFqoizlBkeg/KJDGkROdVBEouARC75z0jzQ RY/fXVTZISXccv/dRobkeAUnBJIkqp1N9fQmWkrqlHzRwdN6bJI8JB2/CzIa59NB5ePlqnpgokng huKEMtmvD4xj37lSrRoxWvIBmx7Etv0lPN9tvi7hJ1Mm1X4xzQLDzpmc197i8NNuyDEgz3PpXmfp BJ/QOlUInwVzS4P/qTbbDKyEvUMWknWxtlwCgd+KLxoBoyPQlaocxoIAgvual9UhimxT1Y3B1oYi r/mSUnG2Ey9yyvqggT1BRm3NZCDhbyHvOp8srfJeHhFlUOn+2retKqpNlUFvFQtTid1PTZusMsJB rOM3qqlCj3STpvxS+DIRqwqOmJ4m+whPqVaGpexF7wJo/v0poZF75I7fuQWYkm/ZCQwWfX+HojrG vt7e7DTsHmasi4GihZaW8+brd/NaGFXJjU4KxBKwPwy/+WwHR1ncqSDFrWGbC5dgCsojzT7pwLDJ 5gO4xxODnD8YhROYsmbZkU5dTBan8hfLgdD2XYkxrvVRQtKCZr6itu23kEhUzB0fGlbQhGZFbyKA hQASLbdnW0Lb6YtD72J08KOlNwhToszSLAyqqfDWpy2/gBm7ipB0UoNxoWvLk6u2uVtn4OkBT/xx c3Ql+KTbuGPMT8txD8DcqfiyLHZ3X0zXX7lIePps90u5sasUgPL4H9CVXpLpR60p+Wx/BV905kEy dE8HlScS/jlfgmc6dIn2qNSVEWVDkpeKHt1KcnUOfKOHJaAk+4AX/8oc7Xv0vmtK1FCut0lKc9km iuf1qwy27dcz7DfeUcSIvsJASiuJGJFJil0IPP+z5u1g9jdo2EGwavyOHRujFyeoAb5HumHR6hLB gEMjLUG3DSrrUo5O/UHlCzy7b/hb9E5PEJsL/kD75q3zB7nFK0/4+1fhWP2WkgL6lkI/Nc8q9AaO thUgRyEY82sBDLIZ835SECGoMl0yrb5kLQX9dCJ3ONE1j//NnKC2QW550VNbKMxvD+Z7RCpiMq5V nk4PBT+XSEqKqZ2v18J/ohV1ynttW1nCs9t7k799e/3Qb3zcPAVrQhadPstE4PBLQWRImgZP9ycH OdVfyUAdI3tALfM4dMjlkB7Hl9oAAvhPxo3lQRDugyq8eY5X1pigz1GCEyAMDusidbMyE4U2+8gu 8TfL2pdrVjxF4D5/EaLst80enlKAgPt47KLwGfZaEWTaOa2YVQlXjbkfmU18O29ODtNApv6WPnpM 2+PekTc/c/Wdjle9w7czwdq+s0qmf5KBw49MBDNeBElAFiblmcEOpVDZBBHc563uHXacVFLRRtu3 pEGxZ/sBMM3xrbjB1EcXTqNcog4022jBpGUB/tHGDoiqkheFFubA4QYjkviaLD0rNLU1+vLkGSn7 tPstDxDbDe7Kb4PlyE4s4OiUccldyCems2RojWRTo9aKjbC4dzFvDXDduBzi2YxTeKYl+SvBHCR+ DVenDo31/gGWFoluO51kyxGxFpTlxUzWRhJA1Tyolg3Cqayfz/mWiKqa/pXL0B7iDwMjcAGgCio4 rN2Or/6JCN+Kgf0HAZAWwRDC25kY7jlsXwFn6e6gebEBm+G9PA0Z7AFBOSP6MG5tniqPsYG6zmaF gGyG3wQfJpegHx0xwFl1+KnKpAPKorCR8PWIA3Yk1vWFES3LTQ9SsFDLDKeLuGeGYjwV6ZmmbI0v QfJDYKlw0B8DXS9zYf0SCWKa13TnPJ4veKR5RG06x4qsn2OFICEV+9Ma/X9eTC02TX2HPZSeJaBP EjJ9xqmKH/pUaJYXIomZZmS0WQIH0o9Rm1bdisuLa1TpdZsgGGO6bmCG4nwsTDlHrlFgtQybd0ep mC74PHjgaeQfeJvvUhO1Y4pyF1yvZN2NBY7xpG5bK2K6AGSKx9FpSvDBEg5PCKGXY8YzV1SwAA5d WmZng3sd95FMkPRb1LxWArB4faVdhZktGZR4jPg5iUa1KNbbPSeBL856iTkh4D7yEhsbm8wTTFtN Ee8rEof2k6vxxBINC3lt0xto3q2pWgeviUnd2bAZ8UQWtQV/ELGwj1PwskFcKgTU6IF0qwbESd0D 8kCK7GeX7DLmNUseGEdmW2jnffzFEIZUIG3u8y3WSXlG/3ydLRgo/F6o515M+vBqXde/tg1vOV9Q fwNBjh/imZQ+/w3542MNQaUp9Ik+bIDUXv1m1qRtsBVei/CF7VYxG60mr6ZUyj4HIsUg7VMM4l01 BnanBGsD+vRLayoEQoJhFdLH1aLWPKdZOGVhdD7vDI8yF1DX45VTaLvRRkYyLCgi+MypOomJ0GbM Oe2X7RHb/v8WvH6a41v0Mqh6JU2XYoksGaHYVPUUboVQ+8ItBgFD5bnBFCglghy5omun5WPF+2Dd 3WOpvdWsjPGjGUS3xnb5SQ4seBPMGjBvDoZ2uADIAoRIzmCppMlX81vZl8w7ITClSEj+gC7X5RoV zFgA/euzOpm/KIqwGtc7KbFSf91N9Oj9H3AU4/aQJI1AtuzL0iBlXLe/hOxPuiDXqiHnrtlJ73nG Dx3Omz1LxejYE0IFuQX0VQWUKuS5pB9bqTietaC/XQIHoQld1RSVDK2VmH00X51UatBJHjYbN8T3 LhnxeD9aA6pQyB6r1CZkFURNnSLhGqmdZSrbx8p8e9eSoJ42sk8Uxz93ofntbMJqlbJsdOzIsqC6 6LCH0U/hDlZYI6qyE2HSHzwI9EDyHMdE7g+uq6nwAQVGOD7ItPXlOIyjCJbR5+5tHDY6hjiaImEq 2AQEKy5N1jNle+JVs/BDsZT2HVG1Gd5rTUIxS4a2bTxXM9MRfIPl4mVLgoX406eFYZcEwrpj6Fzo rbjluhs16doR53LCkXyc4gWcNO/TWsU4AJbEEFRgeAbwcdLWZPqWfFGheylKYwGmP0M0QMWl2VhP nPQ+H8j/weO3/h6TUHa0+OSojEFoERfCKI3gY5lL0hsoyjjgTTTmOMVXwn2UaywOQV0jGyHUcCpb a+HmuVKfTZaBqJ3BsRJJILUIqqNPLUS1892eHteIm94tWhPwIqOu9lBsxKTKc2jXRpFW2Pfq/zaB Dr6DoZbprLmPKdHh80Pnzpzx0/+I8ayCQ7JXTjMfklBzgVFLu0Yg16nhS8rUXjza6wBYyo3leUh4 goyIJO7tKIkoPeboFRWr8rnmbzZunok0ieI89fdZwzfSeWtZvSFvAl0p5uGsPPwC06+Qr9RVHWyO W1iWJVIQLaMVsQjJ1aOYdL6S9F9c2c49RjjBTHTATlrAIXCNAT04KU7OOhxraJn/qiTG4mOrE31k dC0GJzti2++G/IStY50umI8QukjMIhNoC0LfkG9fFWahbYYMdcqd3H6Z1M95lfx1bgYDRzljwSih 7dEjZqDVGs1A7sWEdFAGvfANGEDeV5C+DX+VeXj3Y8d4IIzt8Y13k+Hn5qByEkbg7ZvVouIWGdJc 8nWDMzHKLfmVQtM9l7SopIfDUAyUImQR+Jk38xwm+cgLTVnMEXl/v4LRT01lAJeFiD7mA1333f8n eck3I429r+136MqVDPP177vwtwR0/lAYaU2RPYTfwRW03f+Nv3p/Uukm0T3ozRkGB7R+KrZ+EDeZ 0wf+dOks+ZC/xRKsJPena6CuKDTVShuskdz7F7MefPEQ7a/3EA4K+jhfeJLTOmjf0mvOxUq0VPka 0DDxymxqV8vtdIKUW122xS409PIG3BJ1HGArQ9eNr92PtSdA51yJGwb5oKUmBkYUn2FvNvd5Lx5x 5tOZArR+/zQnV/I9wKPbUCIWOpdqHASTV/jwwl3Hzj9XX8z9Z1p6rPgtsGn/Xb4dJliuDdgS5lHl LNWn+IgAUi6dBX1xPbcMIeslJyMuyMaovIAqPiLaMb4TMM42PPC0ou/q61i+RqwyhCCBpt6h1AS0 SDPVWxkvH4QMXSP2HP/g+kvqwmenljvg8ZlYBXS+33Z5Xr3thLZlFYtwQ8igTy8qIkIS0TVb+yZg GiupvDLXvhW+uETZA8NkxQ/mT2AyygwrPtQSfrMJkKWUBi6Ll7Erd+JiVyPkapOq+/+Sw5+2HBNo mhmuoJS2LVJhdr8RKqugEsnezTomORdQSnd7Hgr3dNH1vvsEqC4MZdgheH6CEHsvpOYGOSy223wv 7+oyaNrFW/WYIW5og4v6hp2iZt1ttwdViMizMvqUQp3vZogvzLRsS7D/No1EHRe6iBrjSgMgooQ9 neowL6kb/0oZWR1Eq58sHyHBKCtp1900D/W8jJwO7XAs+Nu31yN38KctQfIgn0a4QIzRL3iQCHXi 8tcd8yNQfgA0r3DfFuJeXthXaH8k1mES3GG+xODoOxS4iy/kTkB53hrviLHNWTElCx9AhyOyFKTD e8Aa+jdKJ/d+/qUO5d9IQXWB5Y5UVJ1j4+nCwuCfKIR3auIvM1qbsBjIQERBztxEWmCR/E8x36ud 2vL7sZUf8Ou1/mkK8ZRzuKETBIrTFajPgTxKHTptgbnr13qD9x5UXoDT2UYWd2+rvglHTILmJ4Xp FWAwwqNf/xHKRKqTXoMP4W7RZS9tEZst9GyBuY1Q7YzeZMb0V1BTIaK0AWiroTLLAj9XQIfCAcI4 KKFp99CSqPboJbijH/kWhKc4T9mCJauI74JH32I2H6qsSl0c5UH+qBtEk6dZrCb+33rraKFuYIf0 7ok3PX4eQy7bkO8YTlbl9ztyL0A16GE+cIz5ObYxth31utfujJ+boux07f4iWUBqq2xRPOq4fukz UcYgKxdfikqSXoyNj6pv8Fy85CfEGfF5ZK9DQGAijqTypgbM/431AoO0y6WVuk0fVgJNtLjbI5lv zPQzOfoYwFvMPeNxRDjY2S7e88SP4Cm0HplaRP3oyZbtCNvCTl+uGX9x/coZGTp0HQCTiUtPT+/m fjCzjeb7Hh2x+xvPKaBRb/NZMJFin9B1Dobu8TF9wR+sgE8QhvL/qJeoxkmEkP3n4djHVZwpLjej 9ERXzmg4t1jPqXZk5ewSOoSLV27vkKt9t2oaaRgJ/jfbs81YjoqaY0qLjWaXb9blwDiWAyRv6hbW 89a8HuFcPa/33YWb/u3zS00Nd6VJblDT8R7aAv5dvN8RswITyADdBrJ3T1z/o3Ef/xzlGMl6/huM 4mPVWFzCOfVgode04BkjNNum4HvUs1qjnABKPB5L5qScGpaw6OPPa+lr28QNr5gwUw9Ac51PUmaG ryEWOo/f7ZfbcqvacfyXIIEQbFcuB3LFZjTpi7mbqOozyZT5eZuQkbWztUyFJg1sGQeQ0x0rSc/i 5plMmiPt3hhRPV8gzUtzEN2kdMIheKdQ3a+FPMD8OcnxlOD6TQrXZxDbM1GZOxn5CzakfbvG6FlR 6UKhxpWZ5nj40k8CursXZlJVA7tfQmhW24IgrFl4l6cfsFBPqFuntmHVJpfdpFSXF+7juQ1jAB8f vrrLUjxy0iOL88+MnCSDTRJmANUk6XaOZkx+VupBJRNqe3azDSnntkr1D7fPL+Ph/rI2KAJ5KeEe vYCZsK5n3wW0kUpwIfVbaTCx2G9UBsA9VbCfosOl7e5oyhrmHU2xkDsb/oOrqk0dAtXmBNbD3adA N67LnB90szri/3C1P74Dt3s7EdQPp5Uva25nrkPtDMbEOQCH3sCPQWCyzVjTIDl6Cba7hNHbaMY0 K7oI4gnTbT7xFY9MU9ozs4koWt4lRDvU9lyThLyL/sOsQ2w1loYiIXXhbqWx9OW56Wmf66Q5qPBN izqPTWJ58JM10EkC+5Vjs68lBoDHeI2fQsnxKBEcSAqVA45EE7rDBEOOiYSnq4JjC78eaDfqKTts PR37livCDwaKL5PS310pVkfYvGy8Lr9p5CMsARjfdQ73ZKQ9tkJCjKfC75zGsgDeMA932hy1uSuE K4hBfgFxEoNlbVsTbnYk2h2XDBu6ruyKmVkgkZdW3zzM5Rd5DIL3gPJSFMd/wEVd4lX+U9DBFCqp B02uBukz0oR/kFVAKIuxbBnymPWIZdT7bAlL9UFpayYFPD+jkZnd5QK904lYRK0QNfxjlsCNWpRq Nzvzi382oBaM4RT9IDdgE2ZkIxMKbdKe5cfUEfwyn8W/sHtTDWrfoX8FZbIq4VgfuiTll691DRQ9 nyKd1u8bfvD/sZr0ZQXMId4Vt3+e43JBkv9LBHzCOBAx3uUwrG8WYDUepZqvn/I40GJBERq9SASK N+RR92KL5I1W1ofdO3g5tVWvGGJK6aCWDeyFLAmKaADP5HprodDl+RrXha9ltXnO3u5B69tNrKlR qMi8DDg4Xt4TAOfLXyXP3BLz2PQAcqTelySkzn/FoLGPhpeXBzUuLTD0szS5BIqDFHvefd9A/lt0 zNcw5mJUFKx9hpJ9pJFVCT5UTuD096ljohgvZs8xxCUb+rH0b1OO3gKXmc1kXuD3GNCcUvBzyNpM DEggX0gh1We6SRYSx90X5Gq4hjqdoWhhBbosDQHNZQD2ShfIYkIzxnHdxOmsuhwdTGxAnWV81TXs OAAXLpHDvxEeQ/SL8vUww8afhyxOH3RpefWTA4UxtZYl1JV/hag8W1ZQGLsbZTBH8yGlvXxGM83G NdhyFnFQ8p4krwzhQSD2J/7L0tSLD7Nkuc1VfjgpUnREvt+Sg5YU6U0SXrxjKmsC1jcXcSIN2r6Q B5xUxOHPAafK2EXPWjr9PI01k5Q16qhhlaxdPAKYBWHnXWh4lyD7j/yIP/murdPd83IP7QD6kmRX /DVD1zGitWmgbALeU6roJMdiJtnYl0xCi/7UC/UM1oXjYQso+e/G2exLUWm4UySCSmijzYuFELA/ NeesaqsrXREw0fqPVbb7BdDN2wbl3dZOLrLcxk0SoC4q9EcEYT3JJJIr3BIhIz/ekidgJuBjOefQ pTZanbaSIV7u2W/GOB/ywsXn0fCt0YEVHv26EJy4Zzh2v+fNrfb0Duepg1KknpZJH03cuHEQEh1d k2PExD3ncnA2LO2do9TzwCBqXhyhB4jw9MEGEbuauEP3PNXiXQiEIKNO0imqCh1slaI9p7u73yUw jzke8gUzI30ZY1axJnEIqPE98wMgTPdRSG7EbGjSmmDz9mHeS5gSyZvfvgaOM8iexD/KHsAmia3d d4hj+8u4OI3WraW5o22Amwb/jBcTL8RuiD+/PxBxvBMaQnhQ5PufVDtCbSwzqZBlXvUCe2yUdw3g vu7SQw5sVPfxh7sKLWmB5iDTY9WVKXp4AHEEXyoOhHCEB7ZrtWFsAMoGmb0uiyyOsV2T/Izt4PtU C9JovULzOQFVMEFpA30tM9iRhJnDQ//2P9IapkQaPjBOY7y85mOkT4EvIa2WbcuTJd0fi1GgArUn yiQ+k0ey2RHr9C4hWsG+FHql8CoLsmCjRBAIjBxertCzZE2cwc8htRHIFxDZAIh5MgfzvGFjhut5 0ZE0w1aC31FXH35r0Y2Y6ekLeVEdDREKO/N6gqrcCONhIMLTHrzKvcwL9sdKftP2/aAwwilnUpo3 ZuljZTyfLgdM0vNecwKIYZYg8m5qLI7TPWfv0MBJmQqoZvDT1oNhdHqhq6tkD25etnNq3AGD9KHE SE7fPhilTcY0mHFOZtbYHz3g+E2Gb0hUt8pjKZyMuZ+NGvo1ebTZu3sJ/47kbuBUjf85YODMbYYp nZojzrsA9jTqTxvoj/fEBv1bTUDSL3tF5sr+UlKDKCunRfpPWcXvAb3LCnjCHAiELXIsfmCaArSV JN1NzDvTDa3OJS9alUo6Gavi7J7gml691ZeEZWkMaVVMhRvNbCW5skJIqBpoM10esNmkKvFN5J92 yEwUpH5oPSBA/o6JAxyxtnt4Civ1l2c6J7rbDBNQxcJEkCz2ZANoU7UYe4D8bO1aT/pAIFTVfY7W qFpPenfRQiTkBMSa8qjbtn1s7xcTNChUTeh+wxyVoO/JKAE2SK13PVZ66mgldMirHBoJuv8VYhFw 6PLDVcZD/inkRaOSkWqgSrzIy8yRk8YRBe4TbJgOQHW0y62grIbC4jwQ+OKB0Iombh1Q1yWkCq8F JVgojgksAhhvdyS41VAVA/rAzPS6fhNwkvWwAv8Gr88qsZThNRvLWMKGzzexQkjaerGu1WTEddB5 Wq1g2P6gDzN5b2Bg1gMJT/Ntpf0xDWJyvcoo2jwR2yPwOJfVHfejAw02CVe+v1YHmrnlrS4ePZ8P dcU4oNNTNY/j3cgtimgTTmANcFlYq9K1LAtywlvRbHWCiKO6jfu5Y3Ap2oULX5RU49FZRlUO/JAb 81HX6QIpZtDm/0WnJiinTBkqQ1LYOqwdiKcVV6kpsOd6SqJBnz5hH2F3yYTRytpKWBFRNAWOj1M2 A4f/Yv1tdWnZpQ0/8HXC9g2U/5nosMQKEGoRq7Z9nLsr/fArtgKpVKzXpO5M3+yBQ+II2uRjexgp xD/U20H87qKaMp0ncQeeYGJPZbYf6xhNUO1zJsxfDG/kf11tV4op685qmlS+lJgs2P6DRCrnt+Tm DsJqhqFL82Foi4K2D9u72bKrh+kmwqETwWwZVtGum4I9yiRmUrUr2nbEGeiEWLW6QCtowuQxYsFt 8nmTj5U9Sn562TEnbo1JQRcdNiRJQpho7ay+QC5B0TJv6cyrV7dSqI/Lv7hQM6q/kVetWQKSPVCm VNaGUt/eNqHVunSwf+CSeAIrEktU9a2JI++8z/1NFCKPiEkxc5FHylHGVCW5XUlgpw0hZWgq4z6F fekQrEc4ZLP6LFGBOh6VDXDwB9CtUfUtEhQC4nORWinczp9ex467Nur5MUzSXbmR3VIJv+D9q1gQ LvT8DIw3r10k4ZHpv/UdvSJJqqxdHhoCYABqbhVWHrf05Z8lwn38NwfPkPR8bxk6Cm/DRDuP77j+ DSUiOcalViZc18kuQ0om+RMu6WYSHlvKDJJu4R90nxTrYn1lPx4LxictcoKgNttoyg3uLpEZJ4AH WGcgSXBU6uW9oGOmiZPufAU5NG5L1LXbb2GYxN/RbN6IUrlT6l3n7j/HipJ5o0dasB8bH9qeYdyG QNyrnLJKz5A57x4vxRgK24f+eUS5z4QfSocVEsa7+exW79P3GtfeV1YSIVbnxuXhslkVQySiTvEd 1lX7Hj46ZVYy9sAynHVRGCI4nazysBfWffW3agPwKNXYarGafhfCK3S2yzEHpRmiohYcffzu/et8 SOsiI3rkfSPd9ILiYHfS4sluNdzNogeXBIbMp+Pvbu5AY4/yk+8CnvNUvP+FWNmJE6uuq7+c2C/8 TG986o49rd+BLGb/I9c7i+lOdenA4VCX7vkHWigwDxZez1y0b7lU0Q11btaylR8iZxe8prNy7jbh WPIq2Kf39wfP6pYsdMyYmb81vACe6/A340b+DoMFXtRJ8w8z6C51p12TXA31r05urFK6b2BvIFQ2 WUIoJF9R0DCD7tOtIE0rB0Ke/UgbYrebQMkfFohyfyT9Eoq4dZZVvb51lDt/c2igouBU+zCBZleg ErFDbZthnGTpfcC4L3HeTkmSeKzCT1GVWPxDZ+eChlEDyNwBjQN3v6V/lvgYfoV3dZdYm1YIyZy9 vfduXa+yrsmfwnfye6xQjs7eH+azUmUOu8CnFV3KFhNNT5ouvYslthhuQt8DBownIBPKgLnpMT1S B8qin8bVBWXc8uMVGPsAJ9SZPcviYz/Gu7D8bv/maUdMynoktbUNado2BvPZBNODPPRbhatV3jTk I3JF5wuOPiyh7BqywygVO/4QVcNaUiH5C60hdvOuYIglrMx7Y4zXHepH8uvDbLKu1PVmP+HRL1Fp 7qIEIPejw8tYZjnSWQbn8sERUYnidS4VrFIa+GGYPaBUCr79SKSIkXfnT+5KxAoz+OdU7mdEhQjS sbwa2Rx9Wa9XqkFo20zJgeRrFvyoBaAQoaYILd2LMIVXqCvEWUR2IAkAsMrQukRt0EPsa9VVTq5s gKW1sAzCKRFpBaNsctCaxG0YdVSaoNEdt6FR8PMiRxmQtsqxtw+8fdNBJq2GoPBCIEZJAuwTgooP JMtw2viu4RVnXJLmNSlebtko+3lOx29RhepS3XtbmubvxfMRv9ksunwp2KcVsgHu7w9qKA4f0FN2 IbBwZKbsI/mWBl0+nMc/fGSBK2/G1UJrTBNgkddjv0XhQgP/MtG20VCo5rC5O6KGh0/iHzb+uZKO HlrDJejG1YleES6vRWbFGb2T4UOEK6vWurxV0d5L8u/viDPwLcWhHND4Vs/bEOp1E5og1A7r1hgT DFjBdsNY95e1VSHFotn8Sb2GpWibChxtO1ZMX0xqnHhdelgHW3LxTNje1AyKHIa594YFVDKW4ca4 WnTEgWfzMgnvSTV6TlMR94lVyiRhWq8v1LKZWSyPqKksfMeWbfRzfWSjMENcfgkVSOaTZr7O9Qqr GsfMtU2qBICfcI/OJR9qmAjcgm3rPeKEdDHQiIY/BOrzZKavS1+I8k2EMb5qza/ut5vJ7SQ7o2i7 2TX8Zj5qRLBTvVBLckGuvkzNzVo1pyMbcHcSjNDmh2b+0j3dxxSXaVx0eZ0zw4ZgtM3ZpDV8MLtA qTiRhzQgDvg169SBE9f5pvCIoMmDodEn1gyWArMDG6TFJdE5tKT31nMCkdsLWjuWi5ObwxV57yas 35v0G04FkvTfZ9wGQT3NeLGSsgMZVkPUPTR5/VFQaw1biEsrbviH6KJ8YsfOmKYXwnoB+OUm7NpK R2KqBijKqtBzeVBZB/SwaN/eyzyr5uzY2nl+er2/ueRP8soY+NZ4CRfAxMJcrzbznQeEUMGxBA6v r7UMiJ5b/UE93M406/0M2z5OINbisKFyeJgfZqBsryAx5xobNMO3DZWgzsS2Y+Ak0j2RzWHncaO8 etLgGMfPi5tZld3AIx/G/B9F9N1nRyL3xUPdTWXMfd2C6+ZTN5uzgMcIM7wJL/Xg6E3xKFsmD1fz sKLui+0aPLRdw9gxbEXFsI9XdZ6eTDE5/3vrMMuGOLYRrPUQKWdA40UreZ73HlZNV75BKQgnerRI vCNA55B6YRUlVrB7UkCXT96FjwLHXheAiqIYcB9mGX3Pd4g81pjYPbW2EbIexwSzuAr+1vRGwQbJ OTb/GToHbJ5mKMbZGbzjRV/cJJoAlNZr3hPotMWx8o8ZUkCZEk6ed4IeFWFd/56nuproAZ+ZOp7p 2OgELgGnCU16vNVS6larY3pgGSOP2dMg9eGTVK0sNZciZ+Rjd7o2Kd0lzT8N7GBVkpKbr+RxhAo2 flINYssD6+kZnUjUEihlp7ZXuWcfh5KpCYLPFwqX7XGb9q8N4rh9LF3PbVFraYJLZ98OgvQAISLH PR31AzyJpO/9MZRoQuh94I2XPtQq3TKaqi67+kN89ufS3T8NdDvh6WkMa7RUz3fkuvAEzKYS3OxI jFgjEOuShsQbmSMfWLGhjyGfnp9EyjTtYSbvcctGSuKDtIN8ZYJbs7WDm+u6IVjRS4sn1qQpXgp3 15xmqSIPVxl9rmEqifEJ/UId+oYIvkfsfqm+3ouD04TfNmHH9gSVQuXb/Sb7HmXDPLj7NCAoCvLE LLSwvteDHblgYXDsVIUxq+g3rOYtU3dtqNToqadilG7qyKYF4LgX47417gDEv3oK0eH9/ZgxF2rl 6rL7nbqiDbbauVGO84bup/PBoWB+3yRaToof5RIswZ4QjkDhcv7idsc2AoHOtAP1tr+ZlPrCL+Vb vfMhINfF9KNOc5mSXio3K13vLL3G22oG+wv0AQBh2GbyJoajHy5M8fwhB2Z2PMiC4ghmAi+EbuRf T8aSrpGQptCnmvLI70AausYk/Nb5L7gXr+pYTyeaUkDH8MMpsyt2xpcGJcRrcEEeDPseVIIwzlY5 +Ebz+/zwwa14j93GqHsnhG0UDCoE9Dv1BP1PiwN0hfAJC9fvkUiyVOU9Q6HrBJs+iDCqvzOARasW peX6WRWkitdppmcWDYwtPfFsZYjFC6p0fIR42cZ4d8wm7AlFCA/6KPYGOBgnkKFFhppYJ3hEBrdp HP9Y1O9eQEV6Yk4GO7PDyi6JYF9bgVc5M1i1Td4vd0EOT8X6YhUTwunAdswIX8HbJCQ0cHwt0Wrv pSVqRRndMxANnZO/IP/hT9Kcm+ileaCKC7e6Y7KcIMOLvoUyLTOPcdUCoauoTqhubRsrIqFZAF53 bmo+DdM+pWtHR8AvmlvnQ1nT7Zi8Q7g088BQB59SGZr11BMEJyDT4ixJ1PCwZzsoR139dQThSPsm GK8WyIO80kaLWXlHey6JQzZ1LD1xa2mB8Y7BsPM0f6HtGuYa/HEbE3k6F/h8zXztmr0douITzef9 0QoeASxRGuzeyiwbDEmDXLQVBpcXJD3caoLVa6+KE2yK7ioQkpH3FT6MupDr1E/QtS3FhLv4RHhX G3LAXBVoFD+8qs+M6DjHgxuwUBAv/EcraP5EkspdrD00apQJB+yzE3qDvT7pa37Ho85yTD7LDzpf C054B9RceiRimbEeB+QBmzt4CFmOaJUbU5lLVfAiBNlz0KFotIC/q3RewNeisUsKLYmuUGtNy56i EBOhpYpaLtd3fbCRcOIS9VAcmUJhY9zng2efMRzAVnkWfYUcEfqTLoSPDCEwwBqCslmdMgzNEjm8 GLjN6YQ+mNkAspJqYZdx4eAQyA6cVNl4xqckZu8IEwoixFFmeO/hIJzF0Ewf3/FFBRyBZuhDntUz jiWcOLJkBI27BJ69ZMQVrTLK9TD0XESQB/8RqIqf1KGdUmoDg4e4jGVpg3BgqdeYn2QtUwcX8XL3 VefuabKJuVZ0gRHGP0zVtYrDsxmaVn2dP5ZL92f6Oagw2hidbD9mg7ZNWpm/fat69e/ribcS9BSi iRNZwC6lSqtVP9F+1WJt6NtGh7WLANE39bYVzoQnZtMfERKrlkMlcY8vyYu1VpkwDpCM18YJwfFf b+5mAWLoRxczjaqqhVnwSoiGeYCPzZcteqL+9c0Qy5R3xczSsA4ir9qwA4mypRdl9JbcCUNusSMK 8SiBs/B4izo+TO99PQcw73QvZ+lSTCbTRbN8599XiiIQ8+yQxDdd/Bk1zKU/xBnDsdCFmHNBfLuM L2tH37NITbaNsUgqwtus7U4BscCiwhhrhSykR1IV4/Nf3DW3K49fAdR1Zy2F2wbvoqb4I2COcw0a ydsBfcx58HrotZCap8oOZ5XXNHB+NmYro9xN08vOnvZUIc33U9t1T7oPL9viK8UeRplmx97u7ata jGnrgJKp0NnyjGeohY1zLI4X+KEo8POv5zsav85UtLXF5uPpuuLyqPL5yiQsz/oE1LmxMtRF9bFj 5LjgAo7+JqM3QyJS3a7lykWjsx+B0tcDtIHBjAseb0Et5hw4Se1YBKJqT4h6WOPKHfTmNtc0OE9p cCoUH0C6bvpz9Q6lMWd78npr73z2LdhSwXbFqfZ1ExhflPqpS6OB84vP59RzdvVgMhuX0jXEI3Gn fIdyuA5sFAKp5SM1dCFkhLbX2o8jnOMEjrac0fjy8mok52AQC7jHsn58SFgCqZNlj3BDPEKm/TY8 itDGv30pnEmqdjyV9kWTwqZyYR9F6p2uy2tT/GATvvcqoqpR+6S2ugwYy1OxI2zXbu2uQxw9upy6 cu/ACCbe0PvwKL5ezo2BawKB1UnmRTRMceMJdLj7PtGsEI0jjM7Ovy+9ntNOyNKGjlZ3oj5ssS1Z Sge/l4EvsBcekwJu6I5qG0Vg+jsOX9jC+9+1qJP0k6EjntDHDTRgDqOjgIzzAZpsmb/iuc0HXuAc 5lbrx8QyzT5fIeZYf+Na0rdfFlUgxRtA3qiBu5lwahI9kfkLSbrJNegkvVoRKFfIXwsvze7NBYc6 y5vq8gSzfoNXFiGpXK7G+062b7FlgYg60EflNqS887yvOr8V6PirQuzW/u3Z8At1rF76OrV/8tD2 7f8iJpipIicuP+ty3XmCFAg40z/oWTg74admbgeu6TxquyTb6oiBnPdWnEGCersO/y8tcXnJ9fTR tPKVANA8WnY+YoVv6kyT9fDh2A6cmgb8DcEfeUQMyz3l7UjI1oJWPozWzV5B99riQsFJDnA8Ufzb LYGCzfyk84rh4jx9WBIb9/n0AJg1qDP1LxOgJM8H5hIoqtwDSiS6KSeju3DYe0Xjg+rNV5YAsCcn S/u+VibdsHva1xnQuNyf4SqvF3IVzCYPlJa/IyVf9AAsZQXjXjAEcS4EzNiqeZmL8i9D4mNMfuKZ 58Pz/nXnslpsXw9+wnYXhLlTAyIWE7SniZGVam2DlrOeF1PITCcEGtkOPPMRuLuXJQGw7C1JJICc XD/r4SYjaN/4wzJ0P+LcHF017qci2mVYcMWe8ZgOa8k2ibRXEdVo1s1DRpnlaPxxuThdNcaOXVEA Sx3BSgwyvPFAbEv0aKNqsncgSkuZqE8ZBnrJlJs0+IX2HuCVRWdIjGgfwrVCDwAzOXAZhvMoOgDC v4cAJCvdvzC4E36vwYzTtRSHT4erbZs8dFsT9oDj3r5ir5HSx4GZZA2qv0D9RAsntXM2NpqzMiBz yDvIQeu+mFI4hAhKXD5IhZypxyNqG+vZ1q0QnBtuvM6nzjP8jrYLJjhRdJbCpeDzBpy5MTexqZDU TZBAZTpUl9BUYGeE5z28Fo7auMbxDccOZjposqeriQLYwdR7kHzNJr85Hiy3SfSEZBI8kNO3mDUf f273307z42e4OGoBV4RoU5AmEAOKRkQUaEtengbrDXzQApROpQqTFFH9xsjuF/yoqgzV0eyj72iS Oyh5LUjhoR/GFZzDOHezmmPuAlHQrkUvQABhLJagJZlF+XOXGjxx+B5QGHLHWjYPFk1gQC/06a2M pvv2o1c7SeflbT46xjxITG45IrcH8VcxGOdOd2S5Z9PdI7dNDJOGOQHGU9HTO5FOxNZYS4wrpEtz Mw43jwSqSkrGG4X/4S5+ZnGRktT/mmpcnPjE2H9d2BDYPzvw/dk6VVv7VNKZG6zGb80j8XGYkQDF Q2TXxZxfv+Wzjc4UiIRli4KvYyIHPG32S9Epqi6ZcJa1aEgBED4ygvpTaSsFb2XCehx+b75C0hs3 Yg4MVWFIQeCLX7l23PePchgU6uZVG6V46vH/wnkvNZx7IRMtHalgyVcg/0GhadFxbKSODDSIDsSO iSLC5xrvypU3V4q/RIpJ5uOsQRGHZ9LKsphZSdCpMnqq75hMrkMX+k+iSKKX6bduRg67tDadgEWk wtL77u9nQYf5ORmuLcuPqc0Vn2tAo+UpCEN68rRcB71IvGMRpVB6zZ6l2Yn86ly2/STjXW6zYn38 3OBfed+ghQjGzCskemrjMZl5NVQz3gKnS3dyTHZJcsjwmp/G0D7Bqfumkd942l2MsdxbTqozXndx jPTc5Wncndn4rvZTikWcwj2JTyd+ZMG55o2bvUwF2jRqtofCd8lAoFqZDCtVMwxPl9eO0EPq+l4e I7kpu0OViItuY23XOMUdQEamAu0N2jMEob5WFlvVp16HDxUq1PKyxxtWHnE6Meu3IDP6r4ehFhNa oIGtAtx5qveBM0658iVAMH+zSxU1u88MoJtYKctxFg81CMBiuayR8YPIm3dyZj5a564DBESWgw0q I86mdJx9SahJDPddcxKOwUronGwKgFu+AGTNz7Vcj+Ncc0PgwZu5li8vgILV+4oy3v9cpWMjNevX 4YXsFLU7w5JIOoGNgyIzbXnfUuEkHNSOZLKp90k7Ky1dSXj1Q56kDZdXW2cv/IvHmGhI6fuCS8Ls LYNMQUcDlajJZghISFk+8ZnWiteaxTpHnRzfjAzo8NWQZA3vCqeNoh8KVL91UW/7cOMLPmU769zj R1WBrw/+Ij5EygtfMxWDWAEUwxj9AWqzR7JFD03ovBvCEDj2H/auE0+7QbpcGoQPze1EFh0Wb4pA SA1UbAWaU8hnaWcoxM8EC2bw8uJfazbE057gKgBvrXX1cDNzoT7lsc0USjxsYqyszUkvMpKnUnhM tdS9dxJ0/Pivu5N3VGr+XH5Yj+GMUY1ZGkx0crli+SrbSg+XCClPXQ6PscdbExP1lCmRfg8nuQhX U47ii4nf/SETQCxM0Ds2STOAOdWdi4471TeErlqJzZuF1tQzu4zoeLGFMUqYt/A2NCUPANiZZ2Ix 2r1ZtuIhMqxlIaW5z5LJWWBWenb9iAySAr4nLeqTNXadjfpch5S4UF2XtM2du950zPr4W75+hqVj DmNPoJn27kInwLp2AJEOlwlJb5YmvlsGnz+MPwajH8dtno7Ciit+GZyv12FUJJkN8e1RgnfuHSYv OMxH7O9si2VeesHEaI+7/nThh0NH+YQNi//V8qUVSMNVv6+iSMb1LngxsHqfuqwvoOOn5/SrpQUC /59wmPhhkLYe9yrONEGyWxtJ3WIGO/hKNVjOAVZ2BBLf1XSO6vUW1yrVYDQ2GDjyNkoWuliFbSms pPbgGv7TuviKk7Z/xsPJ6PPd9lyLkdTFcUGZg7fGIGGpJiJs+zCL9ldNWGjXHDmRrSApQpNxlf0E zJMzZK8S9ucwVKbvteJbs3ZV8TEui0BmKXnXLIOls9qUusz0lS3tV2THLhl39K9BPJJcnTs0xuVY AlIHgqpJofcg25geAn7M9xTRUvmL90i/H/K8VD65RrYdgfbWR2x66Itpu2Bqux80cxMH8X9axEWx t3KWqhqq44R0g6eRKdU7Cwpk4fyq3l8aNR5f6SjLAwoDG+HfDLXPm/wFLxC+hMEw5L8SAtHnCg/N IC6mTXAACHoxugAGiOsMmTHG2Vay8E64d6BHcvttAn48ScYfKrJ7MazIX07ZBAFsbsNTDD0KL7b/ I1EgXGj3udgKbrZvRaexhShTOBM7UjejNiptFSY5fDbTHPc4k4pU1Qv118dESztcpGYUyisG3o25 2RWUSt2bS8IWwVL5meTy4L7Od3N/bY72XdRAeDl/Kkh6odFji2ZHxvlFDSGXOf9Gj1odvqSd2zp/ ADbzzfV+w/tYxp+H+RtkadZvNZAFaT+K0bNOYUbXlEVmfdCOH8fJUEbB8boeIo5RIZcH4MrnVR3H KrF0pRA5h1kF1FqvCMBRf/RMm6Nzz90kXa8Ojr+yNb994AWQ6JEQOPDt47eH1Z+J/Nkx3/JWP0zA MAbqK0KdQBPZeNH73lEOAQT9m4cCl8e1w6CsNOP/EYSH7tX9QGoH/nqb23ldXrkiQoxew/YfbARh Pbz9rp0S1IWOCKGeByx687WA3WaO6MIuRAl3/VpIbPQcYy/tkh+Pw6DUUpv7KKgXQUR5MweyNW0R I/lPESNHNGakRB2x19NSS7Foua/acH2UNDFRa7ff9T1ins1XtqSJ1EPxKr5x7JZuxYu9ywXZI2ln 9f8svlqWbnA63nZa0Y6sjjrEzeuxkZkSysuDl1QbrAKsEc69gphmxcWdSA/szpfRYkqmoQWTXJOB 3gZfkeLT6J9pBBimYLBN5UYL9q4FUyhHoCNiRKaJcmaQHya0KgvmZoaUh9Uxt9Hq3cP0vMeY68mJ vmRi04GFsyPAyaAed9TDZIDaXcAwBDTLzpAOfRoTg6LnGus9WJqUJ03LTr5FJFf/QZ1LDaNZaudp yoF7jQfZGoQLBowdOt/OoXWvKOdveUzPmSiqVuGlFKDg/cDd4WgYgUWCO/wup66A4eBadsNfSaj0 6rDnA2DWLT7PMdWhPEkh+ucdh9HNcILcNECUk8XTHf8cD/DL57Osic1jWc+5izU30a82c7EpOoxB gOXFIOqlGMkBf3AwKVD/wtSa30iWnK0OXxwHVhWQQePMBpMIN/2bX/+IcN+6n76VU55mRUcfb+XS 2vqIf30QM9Rg0KEzPMUr7BVzYtNEreU/FQt8F1tjzPkXQCYNt9DK5fkW7E4KF2ZhKh2R2SQc3wjT qMeVJ7yzgSVDNBR1ewcUlwtA1ti6ghKcFptYVPg7Y0g8KIEpBk0wMA2rPRnYr2rdlPgY9YoTciVq o+N/gBdgoIdsUtNQu7fgVnpto/1b65Mfl5YFJJwiDvVBuAg1UIbYarLR9mlwTV4JS5Lad6TYmn7r J73hmJkkQoLXPfXVVJMcMnYcCReVTg5uGkm6ujDgbrUt3Pk2CjpezA62v1YG+y8Zcge77E6UYUL5 4xANNT6zp7QI+1xBI0Ei/tS3i327W+/9S0r288zjVk5ej5gKQXGQIiPuF/RSL6X4N9GD9WCSmCo3 1gridTI/p0bvKplzch7/MGIZqgXZ21p+dJNYhV+1FlIW14By5s7ypJSptk60XJJwKCCERmt85jxz 0hViEeeQW6ax89gIxBKTWA1pFvD+PRMDtZ9o9VKO2gbjDpFpPAmECIhtmPsouQ6tuLBubDk7KI3U i9lZdFK73X9oJqc1CurHoY54tJFqjtzokLo0ALMVxADIiNTbHI3fNtP4NIfJcD9k2Mzvpf78rPq5 L5ITBe0MCUaiDofG1a4j+wK4dBhmyxBzccF/R+Y/RC1QXuLtpsHOKFS3O97PqwjtXLKEainNhZE3 y2K1/7JEajfyhC5IydPCI8yYMUQT4b6c5AbLA7Osvg3qhObiKhaz25+NLL2GM/HM6myB52J43Il2 fjwB+/U7sxG1JC95JYsDn62eOxlDrIagFEmVfcSpN7i42DMwbcDbd932AQs1Wt/64qnAH9UTSXI6 fkMsv0MFEieeMCt/FXcUsdm2uhbmgQcs3Sx7mKeyLtAwwkQxrod0mS5NboHU7MPlwaSDmA2ViVW9 mx7pDz5G8tgCRLkNcRoE+dkF3Du6yzjqWdOYCUzsK+Y0oNW19lC0kJxOWwaglM1M/nraV3md2hgW RFimAPlfXWaN2Mqwrmg0jTntKXLvodz0hQiklZE7ZX9E9UmBtD3CiR6p0ossTipJldcUoayOVfBz CYnpQP+wDoOZRT+AVytnSGC+vZvCKfrUskpEJ+GN29ZLhg9K/WOjeDI/2HqH+fqC6HBpur5FDyXn 1/9ttdBY/VPOvQi5Ez6FtWeRBzxPA2v27jmhbO/t2yh0RvkzABIkpABDTbG1dknHfeCXaUPVQmdL KtDRN/JhqHEHHNg4w55+mdzdxef/r3xaeO5GvJv70rXnbD+bMkSiGXWROq3LXQkcjL/fbfHme3v4 qMsfcRM93nRG0WgxeOLJ93R3TIPqW4A3YEfE9nQOvV5yUyy4/9FoHnAwVO6Bs5xmhG43SMI2YT3z eviD6T6iCqq9r3OD+xuIlWyOttW5jvCF+FzbSfPsWREEEA5I/NLivbi4S46NM3//5mt37fCYBKSM hMNRJMGPs6kGPS1wB7hlg74ct41VGotoLtRHCAbMD+aM8KhLnyecCJ9B+mVJSu27VRIHvy6oZtdA BZo3KUTiYhWaYtnvg7P1B5Rv6sagmdhV8UMFgORB8dIn+mmC5ekwefCB1XeaWp1mS2dM8hfsaLlV aQsPRhwjDiWmJSVlOrwJ+NElgbJHZZI/YwIgZJwfK05kvWX1mlgSOsYN++/T0GlfQa7l1AbKttWh LTjL/Ck8VOa60Y3MfBnppyVyDYFK+AkwFB5jadMRpfmFIWxzWCAg6bP9/CNPkKKKeDdO2hG+FJ4j Lvh+MAlO4Vp4kMIZJgU68KSGC8qaqtEvkPz0ORhIpGCaUPLnno81hGQ9J1jrn8lz29DZlgYLs3Me syxvXjAf6tE6lyF4DCw3N2FiBlq/XVcOFwwMSIVRA4tP/FDLgMr3dV3bGlTstMDPuhJd189b6ORR eWoWlkpZMc9qsucpHx71Y74xojGaJ9dau322e3CcBltAiD+7eUCBfaWSu/0nE6ZWUYhLU6f59Fz8 uuIOnx1FlahbJ5A7DJSeQVgx80l8Qq2ju9iVeQtxVXq/lpdvzS84SVwr+zOJhzAVy2CFVFlb5xba ZTYFXJZMNSbMWg6MU97EyXrx8RGyY/XFCXP3XrUKCcUKSre36lp9nbSYCGtyh4zD2tCr3YwlXeuA NopTWy3szdoWPSt5WCV22jKeNZIuNz2bIoxfMUmpellrMulDLtwQzrWtsJJp20XbkyBKX4tuq8iF lZGEhXIf5OtmFE7CQdwazlFOwLXULYw5XC1JuifLDYpsmRTccRzJYe/pu5g0aeeHHkhbFIs0RreZ ZoYgH0QQSrfaYCjpc2y97JhwTBo5aL+UBl6ynhfWJUSkep5thfC+hH1CejKn+q4mse2uTqgYetB6 80nV1Q7Z5uYFuQLs+y9ii4W8YtoMkNjZOK5lkvj6FANQqcoE2Y08kyo3K41eRdZjPJYk83DzgIxv uSrXg2FJ18zkcz2oJ9uQCMPn1ZLmdufLiWAvRaB5UPkPYz53i5XLegfc5/iFHxiP2XhwNd1bUUG8 pLhmXcR9aLbPmGqObbwhrpqGnkDxBWI8bSTJ1oEt8O9yzxHDo/3cWYkQF02DCdrab+K2yBOBiXiL SGGvCEdBhN/RI8T3blLfw3HFFbPZj7lKMCTGXYrrEjthFArEa3fqp8wBxM4PPZsx74Q8WkcewVPp YzWR96wg9NsJj0TAXtzSMLFz2DWhW47a09K0CkzkBkw4sw8rghj28Vm5qAPJxZdM8UgwGCJHcR7c SPuQQZtl7t7bJTBMhAigeKRFsIWBAqPU9a5FqtmVLLgMCyOqGHNU88CyM9NHrS4SY7hUisjE8doC yPjrwRqrlZgLMF5M2Ii4fq0R2qnw0MhfSGWiUBqDTloFQWUi/hD8gsRoFeDbzrduVptzqJ/1S3JJ PaJJgDtM1Xx2pey18szDCVMgPZG1MoeieZYw/8dIi/QmqP2zI41Mum03Mssc3hNjJhamauv6kwhC xONDPVwTc7tSA5uZy61BLi7fB4jl2szEOjmTIrjOO0zyhEnZNQlr+YCRFnKXQlHqw2xumPa0zSyW jSkc40b3wdV9T6fIteK4IaFJeXMm1nBVzXbQ+GNT9i1beMQOaSbHqkc2iX7erFop5TGy0sckmHeV 61152tJvg5mReCW2nuIV8ABgypQ07dhg3L/vgLZDwL2N4bHOfzTJON5vyeocV2wr5hkvOIZ+gBfB UVGFKmYGBnWaK6JPKKZ0/GAI3GgmM6xf6qYQ71EnTX/iQX2U5ts2qqCpgcpY9knYO+p5FWoDrINa bmF1HXcLBZSKdR5OzAIP68XC6fWa+jr5cmT05p8UZEA6hY/HaFGruTJnn/7qcfAyHGROk6bg9Qsr xSkkLHnTlA2yCdGOEdhC7ZJ6dZx6JgyToHlLs8yxMCZS/3I6X0FA6UjmQaSdbFyLyb4bk+UGcM0V h9AMLNFpEwFpoVfBmy0BrTwoBTx9EOZlyq7CjHSpLkPGA1OQx4XSl5C/m6BV1cxfcOd6WnFbVsiI e7867v9LQV64C4uygK5CmbWkGUzcWjLY+DzqluCl89tCGdsLOY0xs7T2DixnNv3zQE8J6diFxn+w +k+BgKNWSS1UEVN/phfINDBktHvkczAuKEUcQpRo/DZ63ydXSL+zK97BcTMR7+77BG8bjnIGuGP1 4GaBZZl5XXBcaJ6Nu+BirGu082AZKj02Uuy4iqfBmQAUFbWgk5Dx6WVFWu2T5+jAjxBS+6LI+d6J fKFmrWJjcthMFZKsgkN8WZP2td6APpXHbJJfkXzVFSx8WMMuC0I2LU9p/Pibqw2gACVuY2r0TbMB UdSM7ZwdmMuTvCT9RzmuOpKT+LH+al92GD/vTlwydWX4woRnAIPSC1QU2oUiHs68RmlI2FSyB0kr qlHR+w5zkUmNvMJJcE7P/Jkd0/hh2ShVBC8+kJzrcX/0/wnJ6ggzzueEwj7LV/n9QgAN478K7Adn trCb4xdhyRfQHxwhK2vpkP0CZk67EYWSorKVgI8lXpY8rDhSKGbYAz+GGZ8lluhIQJ52eHKSVJ88 x6iu7jF1Y92ZWBLYenw+di1kibV7NCAAB9Di4RXOK5G2u8GrINqdoj4m5qT4QK2tN67Ck5px7EtU Z3mr3feEo8/rk3xVOc8FA2AR6dd7abGdRnp+yW6DHoW2DcvkVb6v9KcO0hv+DKm22eIpdfkCAlVh b8BskJ2TVz82t0l0/BRzZQBHp1rCbyMcWvzLYCOAwdpGTH2sl2a6j8xWmyMO2n555DTTaPD4fFFU ySqRrfP2AJgg4B0Sk6H3ChqTuymiBtByEYgwmfMA0BCfV3s+jOu1oFyfusNs/OrGlvI+0dGckybN DM6Kwpe7jvWzqUm6lZmfJN9uk0v75foPKe/RPPl/3/M6IMWXGy6Z21PgAPqhO3pOcJVHg/rwK1Cm YiqaHLP/JHLcxuWnvCv885YP9AFFH6V/cAYIMyCYRSdeIGl6OsV2xOLNV4ZvEUyhjdYJT7wJ2DuB ryIwa6LcZgd+WEIzylCTXtxHasQElACYL5NP/xbjXaTxh5yTr2Waue8kgdThLou7IgCXG5lekCoA Nb9+tScibSh+s+NfW6ZRPXtrXRhZz6Jlj7I6rUCoXPG2qcDY+kwLyPbJ9+38KTnVVzjD+s32N5jr jwMWb+ksC3oVAsj3cL1dBUvlw1vaXJeZUufC4FsqCKVaqiOo3KDnf6sKJTz/Ic6OeRE/TB6sqRQZ G6kEidmpoRQOrsF8hlozKziIno7BfBcuIW9RsQnHHd4yC1tXzOfyV0kIA1SjujoV+hgCkwVRh8+0 wPNlr0Ouij/l8EshESBwEoqLd5fEbxUn7BEYnx+dgslAQayv0VG9MRjw8wp+PlSQUrFknkBBj0ZE xdbKf2ZEdOhiM5ijQj803yBKAIxmfHs3/Zizsw1N4pjV+EPfhId+hEvzSJVFjegGv5YqiJFaG/dN iTg6899kh5vwiDo0XtI9s3EGpZRYTyYIr9e34lsIAS0y6ej744h/yoeSiGXcElaWTZvoQl7BljwA iCKTOcjeC6FicLhrIIp5vYUOYWuTxFKwTRmrJ2scBqsuCdTKL4VjPYbSFOHjCRYL3bv2247n/z63 L8PS+lD0q18dKj2PE4qNzbe35wvpUPp2wDcqjQninQ6yPiV1qfqQBpVKPnYSIQHSn1HnVDa/b+J/ w+ODMY2knMBCLhVPsehAcjHCl4gwnyrxouMOcpZakNMsdg3G7D5QsRl2zBAUa94u9iEHVtsPSQp6 cBvneo5g7Q1+TXGX92MOzZsZF6fEzIvbucn8hD3MAz0U61PiImvpEzn0FMY1kkps1/Qf5x6dI7CH Dlc0Oggtg8304x0+ugx30y0Kyz7yUzjrsh6DiH4yv0oHgdjyEX3Uk0SrYgN6Y6YRr+/XTeW+9hWR CJ14aqUYLseSKVkZyGZa+8lD9WGAmvgm8Vsx8SvGJEtCRDWUdfkWymGOuxl9aKzt5jBkG95nfLyi OvgFtSqFMx6B1lbdRT3UU4v+ua34RxWQevSJsuO0pCULL+838QnU/jB1gwB7t2Tt7lKg42is6Vv7 EhBpiG0GIA6icrmAOjw9VL/P+ZEbf+sxg8cbDfA8xYcKEI+8H/gzlrjC+rQkR7Dazq9LnL6a6Hvj swNxd0as7MuWIWGQKYwAm0HmGqJ57cCiZCvR8iZCbDotQ5Vc51I74HsP/GCsxSi2MqRP+DsXn4wp kdNSII7Pz88JkldUbEMOChJ5O8zsx4dIohkI0JrbXfa3knF7h1gfljuVKY/zMiQt/0ebDsjKsXaD eDgFccJgHvUaUr7S6aNzAVLsR2I/G6vEICOfAf++eDY/s15kM0I/0R4vr2S/WjCOu4DGqRcxI6ci 9WczwUHerKDSexi2DvouGfPcXIirgBBGyT3YoeOm3sBb526huDmlYMX+HU+ghlxMs+sE1AINrTu0 Uw8vW0s/fiR2gAdseKtvZWMkGGZZr1Ld/Ce0QOLvOqyMmLGAdKO5BooMzDnKQ0KFgRD1YFCp5lX7 Ot/ZSqBJMgERltaiV3+alZMRo5DELrx60AIHIZyN+BGsYROdL/ysdsokOz2SbpvDQVyG4nVrsuKU TZbeie144TrWRdA9RLh45OtMlY2o3DfNIwERok0mVhVf8D84Ff+/hOIWeuYlPIXYQnxXBDJX46Fy JPcCQuv7H5kjzRQ0U2ZumxACxVni06NYn0q0BXfkWpJ05mE6Gon9SIaauoHASVo4XZtKzgis1R6T Ksz1drjJDlHtd7p382EoTuMeuGLeXXY79op0dJUGiNwUzAXr8mrznVWeEuQCoO97QdPZWqA9DXRR ICQq3DAgIsdtNusP+drtdsnB9DRHjl9Jnq1ETtEeoD3Bw1vHeEvwX2aqUPlv/fVQc/0E2bvDtuLb Pl+VT0AoyPasgXfWf/o76CTkTyxnx7DNnvjDGPUyqkX1Y84gmHlKq56MfFxEk1uKNImur/zmtKiN llRIyopuT4ZUvqZjmsXr/ArUoZ8U69Ig8wU8x1x+6LPz2W8FkYO0uWv8bJIwJ2zpkoV4XC8zE3vu 4BL3JUBjKWPOP2VupJ/1M1sLqMFjLG7+aCCyblBCK+z8ZUorhqp8AUGIoqJ68f0aN+r4qku5gKFE imB8MGVAhs+e9uA3Ji5bv1WDJCu8/Pa+4Vh6B4s1P9EtzcAzFldbH7nzas3HC8oxYkjGrT12Jj5E ps+KMzLT9Tdmw1Ch+hr0HVFBEBKLHFUe2aOwFeuFnr2PzvLGqrOEQDv5no7T7W7BCEn1Ksru4ne1 ywNvq2U43sa6Q0mUECjg3d3UMgfIzx8wv+waM5H85a9sW9Q5m4Yr1hpIDw18csKFgPs2s/v65Pi6 xhN5/4lfxeR6/jgwWkE41mP1V2xzs6I75csp27Wtjkx8+1w/6OrWlNWHs/2yuylaRTzn2ac/MyeY prInGlgo4lj0/rMDZUy45Gh7Te4MEgnl+VEhv/lRbqOOXbFIEm1RxWNGAEp44LYf9nD/h7EM8Q5h 7RkeRfN3GszerG8/iMg9gGU2/G2n6FGjfJOVmb8v6aDFAgQpl6YLQBFAjMyxNx5aAWEYxfI2Rv9T NgbMpZVkb96sCpVTsWurbrNHAZfnPtaM4/NIt0L48ncaO8tsSQqHAUXzvIBtwzIosZav1fkDaeeK wfZXHYcnODpLqodq4fyfFUS+/jGWEa+QaYS8fosBwBEXfESBVx9HcWk9NOBx+wBGnlMo9BBWTfpZ exZxWlmiDrJr4VYtlZGy/G2KbYpuNd8QNYv2gIf8CU0SnOuhD1nF/uaHnrhzGFjesWescWsd9BAo ttRLQ4d5GBGMUmNXDNKmapOWSUN0uYfBXgV7t7Pn8ApPyl9Xz+Dy2W6Aj86U4dqUq+B/ELAiPmjC qo/ytG76+Ak3hS11iedrV+9K6cJK9rdVV+XBNDX1k1v+dNMFjbF5pkFPTBnOfyhrPlTxj35sBdpk sl8kik+gH/K4MjktaeKqBb65PRgpw0xM7ONppDgg0rP4Aij1wbhNUleQkoGIE3Ndv+ejW4m/BDfL ARacmSnpWtgooeLmgREt8g6qQEKhV+JhzSwCTee+0kDSgCxnLCFxWi0MH/WFMaf6xeXxY9Hiv+Wc iyI45kzBbHZFJVF+K1vaIPZbzMapS21mOI6KEOd5Bj4s2euk2xi9Cgw/1aK5gLfEc7J/mNQbx83+ b530WXrjsKRoSODwNg/NIf7vN2Nyx8KoGpn0t8lMJcGN5zwNcTgDFbBI4QOTBFd9AqHmCrxNJx+9 LBgBSRha9AqISYbnN6wCsJdr+g+ntlso+85WNx+WcdBa9ZG3RjkLOvtoeq3XGFx2K9dgdESQ1cst ujPzsgkBYpTEPl4LMS5nzWBJ7NPLuMyDyzmum1qRiTSOBmbCEROXIDV0C3VN9NjpXeNsqkU6eQtx xvGrCZHsBgf84ZO/PdbA7IafUBhY9ro6uvyQy/tdEynSMxueDO16cV3jCmJveeRGIc9b97X/xThE i+5802lWIXmKgtbwly7hDtcHGA+rwg3hp68kaibq/SmUbra//TkSu6aBmK42E+i1/6AHZ5p9wY8r XQgYUQkVftCnXXs03JmV9OFdmeXmm8v50qOr3IxS+aTDO+4vqaB1E0SYKrdKMkSzaRgtscPvJLqP 10/EUYgbAcMcVkXaEWqZI7dSfpsc1IXWB5CQdXrd8Tga4k4hsaIzMq04buWQ8IJmKq2SKGEWirEG N/ermnhK1Ro1/n/o7uGvb0UnkTtPADYlpqAo//LIIzGQv/LBnRbrgAk1wIPDP9x5WMuT+pH/I9Yk KZS5e5bQLQFjc4MIDkRsC7oKL0m8KcNQ8nMZgiu0RGruAK7ndp5qS4gEjY/QBYvskFZjkF2rF02y rB+5lYRVxeytKsIoNL1+4TADn+smO/s4Oq6UKAPNqcHJXAwdgWTtfdPbXkrvdNkXyA7dpoToxT39 fMkgSybuX3prK/u5kiy+Mv0njvY+6WEetJE7q1WjJHiWu9f/aCSC/C5uAB8mzX09aqg2FbxwzvEy k0IgAY44/1PbHE9rRpGSopzr0euorl5SG0HkSJaHiNT9jYMNWxE7pkZ1ehi135ZULQxKJO9sIEsg vhRicM1I1h/I5chhDpQwEqWY5nX1evzsyagpK+zsmgUKj0sPOhzTI2o0m5sKuJqw7uj9+/28SMl+ SwK8KRZGJmvQaPyNEmn3+6bRfeJxyv25aSED9L05ib5qHhvg3s8bF3i9IKdy0+2HcqCRXbW0eXdV FXFEW5k15VteK835zgn9NCZjSPMsF8m4uw5DaUGhm/NxqXKScz5M1pB3rvXmoBjrlUur6W1gbYEJ ABLANRspzlyH80gO0C4mX4SuY7fWqaK1qG6A2P9wLRB/w4GS72qRnx93DMSRqzEOYcPbZvq4Gbqa yhYM9slTCBY/m0tC3RALdeE/ais/jztG6GwSRWmbvwEhVWXBV0HeM85D2oZ+/POp3X2zes41zBzT U09hiPmkp6FOfI7fdnf+HVeKPR0hX/rnlZ5uhbUrzTd97ZnV+Xpto/o0UPz2hj8QG5Qu5E3XERge +5MwHD8W7Lhu5gjfYwgyBBFAwBpLFEZ9M2tZH6zU0cI3YJWmZ85F5JXTsuhX12FwQM3W597OZmNd yRl+Ovd5j2Ct/j0X/8DGcU7I/p/9SmVpH+fGgdMi1/BmuSb7+GuU7Z7P8y1Xla1cWINjNnxPkOcP 61PhBVDebJMlNLfzBz2XjvJgmZfHOgo+6u+4gIBjq2i3414MnMXdEqT40PU9XT6QS4mxG1b5tmC9 iHVW4AwW/A4ZPEFjiEg8+lMzUPTu0hxdPuI/mZGeKISW2yFonukT6yn2HC9PJDMaX0tPIL4TLcMu tpcIuVOJTjSGxgkbtu5IqLRQeOBJIdWvvnVT1cZL9mBaGBpFrKrPy+Kl+jEsmHP2gFhgEYHdiaVa x3ShbW7vqgX1b0jVTCKs9k2vBmSt/4qNC/thuxrdcBlothzK+BEyamO8ge2Eo8XvMKI13TCYmdUH sdCrmwWLJ/kFfsjnzLXyhnvqok6isMnR9BJdaqa8aLWlVgSrPrXj9muN6tznjeRM5+axNcax+cf9 dIZ/DO5tEKEgsnd96Q0ZDksCQgaxgA4PEloedlCNpEO2m1cchCxVWsDpsWyG4hgIstOGgUjq9DXI UYJq/WYwcVubaCAoKfbHSBzAjGclx8Tam8WVpZufydEtdzoYW7Av8Cn5SaDCpmIHJTYpjYN8hsAG WzJrh87u4Jl2SA765L+rIi6BDpSajY3Kh1iyuPaKKUPY7Z7AWU6VBYluaQLJeFoeR/CQqqvCx+pd eIuOzBfPFliIf+NYH6j3D1GHlcf6DvpRU+thlol/L+JH+t39w11BIyrB0vr/VI/Eef+ViTPsG21K gITidyN8BsvrGZqv23ZJsi4I2sc3/oWtbUtVX/kbzo2SvJGfe++q8DvSxwdxJxyQEvwUEAes94gL Nn2yznJ8bptW88A1/oDoiqc580Jfm4Fkx7lUPQCLwrPTUOerpT35QteiF3CD+EA/RSGvA38t0hK4 qlgXNh6nQrwYa49D+WaYqvSw+5MXEq1uldbmPNnzzANQW0H+MFjabpwTDUqT5T3u6Z3CUxMCwdun Sd1giPL4ALDgASHRl8/owxLI3UCbKaf513dynY8gCUx75gnw4QhI0dp8mpkECOWpXngu7AIFZ/Ik axG6KqCABftff2GdAvxVG6c24Jqj07qBe0fplYmNwlat8kHGEQsyfMy64ZFxPfnHQ3afSsKY+sQq UXNtdqf3DnTPgNn7NzMGNxj9h5bXOcHhKOKR66xAW2bwH9wZyViUx73M9C0aly0L1p5dfC27rJnW WgFiScHtHIBjl+qvyPm42pN5Nwhm38Pb+XDkORPHzmrDqTgsu6EHPik/oXs1eRLMnsnZ3AsmunAW +Lt+zk3pbKFb+gd5K/kciwTdT24San3byWO6Ym9Onr9aIqWfIoYGpxKA4HrNCl1qK1nHV1ICQDaG RQX0JqkC4C05AD44nnbxgLPrrVgWHx/ljVI5lQE9ujPrMLBljQ1plVaZWieZHY0mI0uvfR2ggQrV mr+dQXowOlUms56qfxNZq5V81uXTUlBFsL0xqA2j6EcRsmWcQ7tt9E8UQuuQBCnTF6i6mZFLgej9 09rfJG88XbT0n3lxzoa+vI/KomQti67pceQ0iMF/WGRQhll/KoQ3Qlr9TWPS4aX6K31m3cQQ80EO saE32JApXxHmzdWL0kbfTq7C6kgAthU+0HYtf7OJkGOwiJfOQrc6mg2zz8cLWV3ay2nh3PucYnH5 jolFvwXiBEbegVUtsK56e/Kb9wut12b2RZNJLdSpLn9FBPNwI4jXIjrLAfTN1E3oJiKa53ZxLgF+ 1UWED+zZHTfNCITqgFLKtzaURuG+U9EnjOAbpJrBSjIghzZQG4DtCj5fqniBBsa3iQkKQrLbJLRB 97IyHMx+qp38F0dnVjLVtaouqKCo62F3TF4QGjmjVJ1fx7vfxQeLfDs5Fc9ykzIiOiAUN3xLiFcC 3wg0NJdMvLvqgXvSh41Kxr1w8DH5pz4HZh/a9xRxOrnSjAPMF8dCHr0HDCMbvlS5cmMWo5F3LVwk 7U2wq7aVCq+EeQRnz3Js+2vyOXnkZ5iMfbMQtQxKhjAR9mW7ImCDq1k8BvoWBmhUDC2BVxWk5bHC DG+00scjK435602GGeX5W5Rwy05SvzdCf3q6cps/jCABZVVmBL0YLeCvwJiaM6JT7dD1sUUwYLkV /8o3dvum++6qxZSJew0fYwX8yrQHNajQdd4qqupYmHDBFDdKJjEAxz16cE8Mf23kecftk3bmP9sz ptjJJey+BmVzSP7QKabSs4gJ9jp28omh0FfyiNKtfTxKIRVaQLgK9+4je4rwwmL6WKD1NqqNG1wS pehRfWeR1G3LoJDyCcciug3zkxHKagYdPzw6DLYnO5Bx+O1SonLHo7S+mmg07RL0EEenNezBNxqC JhGnAp1I6k1wm4rXd1Un+cxxZZuDBc2ZMEoYQGrrtEt+zNQ6T03+xp07ZchZHv75y82eXcXYQ77Z +QymjR4re9voksnYGF5bgeIHO3qB0EIA23QpTYJviB2SreMAPPttppFPUsWSZT4irY+b8V13RqKR pkNKubm6/ruDAkc0JUQy7p0COTN11W92PM+g4ToWtz3CBG/aZ5hUQjMDr5HDJFxJKKvknbmTj7qC Gxhr0XA7Q7bq1lwAWw/MZ2oOugWjAEhSX8ahRrYNopGaKrdO0wSCNiK+VKUkkzRX+LOJ4kkoHwqg J7uv1rgBNaz6YpslodCWdTcVWdJ5XKWIABW0MloUfhcRGufJGvitjXQCmoRa11d9UZzwIApnhtrp EeDMJbnRRMsskVZzfnYR2pUsctotk7VuFj5gsj4Kn75B0JtWeVnpVAVgHSmc+5wAgSVB1UZWrYbY kRAVRKRcFHOLladsFRtGS6aLzXL+ZJGxe50+xODd7CdHfnclcCJWbHV02CElzjq4zTCxcTOwinua qw6IWURfA0nCB6nFq0qS7S6pArvdg2XWCNft0roajPQhe6Rz/xvX7pFNlpPfumYiMeDy6Qtt1erC slBt7XKZNZRC/p0zgDjyjs7SXgrysM6wVYeXhEn8BGZ5G2ZgxPLmYcBiOKgtrMl/0HW/yVNw34ye j3WtfCF8TsziItdGCT3F1c/XCvnTqq8WrV6zLqvgSDnjt0DtOiq2NnZcFnrzkMYXyKPxWAitZzeN k5v6wqp8Nz9HRLMNFS1Y1ik2PEXbi/1Zp9LlgffSD8hcozkzcBW0Nk2Oer5BXfDAg/YCf2XUBPJE YtV1sQqojnnxRPJnr6z/IAVPvQMGHcPixdHHUFJn20oNANrhyap33ljNbkSPgebWZo6dYoQBbDoK yZw9y9gy6k+Ykb/QLrCHL9hNPxI6JkyqAWTWLMaEFn42Z+LckHp1UngcxC0otpzkIMkm7NCUG1Ei zKdr8VS5eeOOdHu3wV9UgEZW4l3l3ZYX2IihLPGRdwO7goNl72FYQNDfSGuY6YhGf+i42i6EGE64 ED2VQsmm4x1QzFBjUo3Zk75mdMgJHCEeWlNl4KLbFbXrnSu6BAmh8g/8SxFXxdzC0Edn/Z+eT8bW r56R8vwm5T0M+ckyyayCgFGB+Y/Nq5BxyviFSKvWCkbvCKUgsMKKDTQyX0h//BjwkC3R2FQE13PG QB8CBKCIUbEURZ5WDg4qLkGYFGSwNa8strzaHmmgcOOCsn7ms/pNXUCI5vRBgp+lelbCNIHvh+C/ 7eBbUYSQX2HSLDleTBuKvsOx3nc/BBlQDdKYswi7e6BJjHySF4+/zHf6zNualGK2tzUNZLK3WDL0 /ToznhzAuGO/JKhhBopB2bVV0lWik8Jycyf2d1cv+eJPXroA6AZ84B/if4jsfdTi/oX/oXzqGDjQ gd3QQjCRDPUjs5uRxhNCaLUsB3Ls3rRv+XSVQM/kQ3u4p73VSbnavNAQl0Ue5wG4bVYxZ9HIAXxR fS4EAnHe+NqZr72nPlW36A51v9Tn88b1OMzz9kgpxKkhE1GD+H5E4QQkXcc5+OD9rOpTMtegAEYb v2fC4wvXwEcvGsITjBEfTPvH1gXldq8LwT7NePMAyo12/DvXrclclp1BJOozldDLZUzE7FDoHKOt YXCif2qsg4rBGLCR1jXtlmSkTb3R/U+zQsJp4zNQlBmHagCDGYJWUyfWE+TFd+xnUre43cdU/F8p Vez4xNniPerWIyS/efS138YDPcMk309TH0hNsoHNHGyVaTINhXbH8YgqjpyBtSuzpgj4QsVicp/h DiIqKwT5w4c5eQ/WNrn7g6vP3r88QLooUUCe2xe+2qC9wKo/iaPH0053fgZMnhlSyVdQ8sUHJUdr yFLR64TwvDkKrAN+MkgEoKtPp0T/NhwoPo3iQreu7V1tPbOMmhOsMcGcsRnW8qDGUU/2mGNDsRNp GHj17VMinz+bjirTtgo0ulbKyelv3GjEhNi9lQ+YKUQ44hbTSlaHKBZXNcAYoi7QW+HzFILmFtpR nfZJnsYGPThCXoeh6DdCcPPtXei0JeByXibad/ewoJ9jzbLZhHm2l6NbZHsL6lXhhgwxh9qQj3S5 zb9MdAr4Y6YSji0VZVpE4Gjm4kC1gPLN9TBdV/dJX3Xqzo0DC3Pyu82llxxz38+FudUOLqaePZCM GgHoT7B3X+Mo2hSjhJPNoFYX04Ol1VCp/VJ93onGBAbHUuoyw2AJOZ5p2Jn04O36h5JlSLXytdgL MPDBGeb1otrt7YKTxRxDtV/yev/JI7HRUJVoZJqsBwV+MVqXkmxuL5RtPJ9U1zvnFTEmu9vpG5/W 1f4hfZv3LqK0Lbb3VgfhVuFzqWX5jR7PjH5VbYkAyw6k8OQGUj2SSNDrsxtI6qoSX1oLTuxYerjp AZb/EYsop3kuJLRf9RcYNgwFaPUlIwuVRPQZmwe9B0bAdiZFtLC2flGBZGGEiZ/ai1u1aYQPLEbc /itTrd/3Ok7N+GrbujKEZEh+9x7bIrGuPhLMb3xsPdUbQvQpbFYbHFMvLc7IuA5FWGKYY8Hc0QPq Y0gpNGUSKgD2Lu8Ztpji9tDKOsy/NdF7AY+Wm7x4CH+hQMtaY5OV02LzlE5vwyGIadQhkPIqTLYR wZVoT7MXYTZV1y5KS6NKbsggDfq+9kiPfbtK/8DDC4WSlV6lqELPFo6mbm6ZiZSw0WhnCQgeqedf vELZAJANlMBNT2n6RG7K676ReehwSfdLLJryBW+5umJnETm4Qs/FP/aqDQyEcpIZ9O2G2ohmJEBB 4W6aE9txZVwiOaB/NjELU9oFNfZYx10u64seZZDOvNz967bLdYr9yc98iVDo9RqbVeo00RxmYA2v H3Nad6t+F7Sw8pRnfwrrx1TVIatAS4+1qoDGDEg42nIjfgrrynKfwDECf1RoP0g9PYqIToMCsqCW KySJlLQPB96kXVUFNp1alsfd43dQNfTQiQljZPYQKf53AUnJwUaSgjhUMcSgFMzJ51Dc/ZSBkIVB lKn86PhOfNhZxzhbhZyLhZUGCahNEJOFynrZ9WyTykqCuxOj8a7nQJ1m0zm/DoufTGObNKmWNrCA nogmhjTAxjRFxYMEwO00pLlv3ZyUkVZ4kl6xlc2uKKZ6hBqI2raEbI23bEQWMqDmawErocNtOsAv 9LcYA5tt+MX6JEqllPg8iTfg66iG35vsXjJM7QRogkjN8r5G3kc8x43ESk1e0U8euHlu8nr4sdKu n0ppaltYrPr+AmpnDJFlSEixgN2cdPwMk2KmJkT7a9Er9LGgAHm/2B2sB4vhYQ1KVUSEdC8SHj+p KUem5TnQSJEtLsGIUbJs5fb5Z2OyzSSNiJdvYo3cJVFMpKQ5RRWnbiPlRgXqo37lzWbNsqlH8ktI DV4yhxSvYnEjzs8KfvBj0ngIQjf+Kuc2xe93ljR/2ckcqt0JWZL5gqdFPtk3/oLGCUjWYrjufC5E mGsNCJBH6IKkL8/cn6TTsqhg9udzfCoKPbxj/pEesoCgLWT0bW+VuR21R2LwTwTnNIwgYlhiNFVm uxgOqTL/Vufh0VhS1t09rwlGeIMqFR4TmQwwdQg2GZZb1YGdA9Pcx8/BCs1ezMBU1Mv+mnaffmMD SxLu7lu6qnjK0V5srHuI8uu5BnWt8OU1ucxBvNgqc9yKFwFPkLMmkrag/ntQ+tXt7X9UcYRvjX/9 lbMh+Goprhjn/Jaf5JzoA937EharxFC6QdMlJieI1tEwj1rkyU7VYjrURbctSKWem3LbwgO63XSH bNWAVmxjq/2D5vDYWxITvrld9TITYyhpsI3lmvcfiBGojp5WaqocFy4LQH4OVLb3DsK8l6nxx+yX 3gKwRXiNEceCIa6v+pPTc0f4J4PVtmalVJSEQIfE03+CSpS+M8nLoQk5YK9Os4wf7GT9kp5ALoAx 1D/e+q209T1CfU9gBN0ZPkHYJvN52EKlN8IrJg6F0GclQkNwGfaJUEq7I5kcxhuerfiCsal5s1Ch RWSfemOnKCbCbxunG7mGVegpHAoZXGTtLmONV9mcyvgCNCJQBDZWPvoLTlILa4Rign1qaAjgucr1 Z5fC6cuYRqry2++ZNKtpZr7Cpm7CRXOUrvIqYxWcAQzah/0RLB4uAstgh6ELDmj1Cp6V8TOHpTOi PbaQV+gV9sDS3bXEuxUFwculvRVY0zRX7SEAXA5xd7nxBJ9CoDQnvaIqLU04yKAwm1hT9IJOe3cr kYKh7YAfBYnjzNHBi/m6IJx5Ht5KJVvueZqpZQYwNymJcpzo0Wzi34vs5WAtU5zhncAh1pHwWfGV SPvmDdOM6b1YhTiBWQkvsJtVNMhgUNetFOIQxk5qLpUdIYUTrlO32Gd7xgdFqJigUPxtIszdjCFN +zbeawlIJcn6C4tSzosr8hBrK174LlR9F0hIRfz+NMl7T4sD3oQ1wjc7IztRctDvvOhLMXCQ1dic tpT3nlgTqJlsWVu5rhpD/chgaMNFWVqj07RL4V6IBPDutdmIW6ssTOypSZ66zBqQLdC/rjekp8R3 HILzLbMMRou8twc24HP4UkLWaaO/m04UGImtGi511to9Sr/bMD6FqF5TN8cyp6MOCFRNtcG9moZO reXyDOvzi8AwpQ1Yk2IaluqS1dJZhODEGXlyFM5bgOnwGVlHPDSv2tVXmLzotLymrM3YkRz0MenX AkVkrWaEzV/h/JoLBRKRhn7hn0DpWou086s/ynhT1KXyIE85mez1CxwBpj/8GoeJ7VJFWSMAtnT9 jgYJupn3W6HnJ1MsKporFUlHQe+0ZftNs8aojEHpYXfxfqiI1YwAVRPOtlb5EVspHU+bgeGBWp/D BMYr3GZPEWOkYvkLl+H00ULeiVIVUo4B5RIeM8VlX1BsL5LJocBm8m3Gx+WBitpFBnZ43FHse8zD u3AZ81HBfcEZd2S6NjuriqkIgwdiBKRiNlafM1UPQ7ab82gXglOPhkZr93fCUak6953sV/hiJWOK 9VAYAcD/Ji0n/ltEZiXAzkr6LrxYbrNF39kBjtwa4GRK02yZlE5XHgrtcRq/105syubAM4LMMr05 6qu4ZqJ0Whws5IfMWJH36Q0p7blofiEqeHV79mvhe93TEmfRmGbWHHUfFxQda86CV0//xKTToxXg 7V5zvc1AEqVDvu5YwmW8jTSHlYnqtgzUS8b6UMqt2kb37PmL/MaQDQRLCiepmMX7CQQ3EGWcL/lg slbIbOTNMZgbdCKndJ1vovaxaAFY1/hhLf4GiliTB29xK4j7o+IKMEKrYnO1AbwFFC+vkTRUCMdN fsdZUYvo0+rZkRWJXkB/Vfd30txYXFJI2mhPYXPFLyN89q0vRf4yiOE02cVDDkJPfRmp57ya389B hjvFXy2GIPfgWYtKXFxjwXO+JqvdnOuvjJKd9xF/+stZQ5av9UgaWYPoNM/EhPxsvFC5xzuUofZC F3S8N1+JvHUtthwZ0lwJCknU541WCgUVn8p8/jVGHewqIBKp83irdxxt8e2ODhuu8Vzvxb2XRL+s mVsMV/KQhnQfnNewN6mIUC5vMswC6x1XQr4D0gj6LBEw0zIvTa557tDLRmdPDVD97CbwcL/zpbm9 Bv7tSpSx7wk87i0mHPvWrsAECiNkxEe+qdjKbqKatzGPwchcD0hZuvYAiWgaXd3JOig/nX8fkgac YxURyAR00qtSijFtxwKNZX4NHHrh0JBk2fAVQPhE2kawZ2lT4AUNvEOK/w1/ak9TDGISd1TLrilx QVxdvxnVE4etF3Ly3/30AjVkLiuSYa3RUIIgNVgG6uKh4ja0Uf2kEyIcraNV+PaYDnT+BTnV0o1H XIfJNKGjaHBhfBu6DbcbeL4yxpuqiHSsD3deZoBGgYNNwEWtAhPpUe9YuQaM+6U7nAthbiiBU9VR e+azndpETW1wlpt7jtzTCKdxPBvTR8sdVObJ/hP4FlODw4Xx8kzd6ZMDn28MRsrojYPC9sTkzZp6 4DqVc7lj5NyuGMq28alLR2eB6ddQ5CWCIheJv53RDHVsI+HeeN3LFT/zH7Z/6IEkUgqHO7nicFXv 3D0ftAZ1uCjxrQxHqU0NCdWxc7k9bBhZYUHmwOK27dbT+5YX2N0g5jYKkaTUH43Sq64xZ18+X+cJ t0lFNaXBIgssP71aU8gGa73Yk030F/Y6/IJgymezZ9NyER4NQn6qVgTja4RVyltYqjr/XnIz8tTO WODmIdmYyo8yeILRa6qlNJdFeMZ0JbR3jowYHujewVI5IHVQr87G8ic/V1v6U3TBHqTzZqrGSn6e 3RsiNmky/P/3inOayDvjpcp0tKCFLdREC1mWcCcaRalAmj0AXvctXRFaybueRMKafIwSh0IXAxPS tGcUIO7oi0ZKksMI2TJEW7r2IQjtlna34FrAUoYcGRs/Vo729xQNDyjJASxTPpARakHNgGXxT7Pu EezJegN8zrENq0lm99mz6jyA216t+WQg0jfswVmM+ySD5oIh433oybr0YJ3Vx9grXHKmIkbYk3Ay 8OmUSW+uhaDFKX4mv2X60hziU8gwVPgzt6FmZ9zaURZ3wHBr6HONu3IncXRtus+VgWc59dnDVqKC UTrM+3o1VPANbTL+pCYWoDI4HpMOHa1O1QzwMA+frwVA+iRKTC1MpdrtOpGASX7dMCCOy5kNa1EH sSyc67mBomGnVQtx9XbUEiWj3ULE/7aL56uLeEhlu32ZoKXd8mBXEJsaA0d4SM5AFmmKcgncNvFZ +prgsCTSXzuMh2B7KWS94LCfyLSOMsCYrJjrp3frPKqb0AomMoOVaIPNGWko6sEU6u2t/h3aTbjY WtCeatfzEBcUJVxP4TuHyhnpgBMIYQU8ObpEgQnbMK602nMHJPtXR1iFjcjDGqoS9E32zTg2Ep0c 4pPdYgUT6zqC6HEzQYYMBzGkUQnew8aCHYo2+jFDuwn6JCxL93k7FkIDgtqJhx5HnkjndfLhECHt DQr3Zrs2rKxXsDXLWZOnaERXakuadw5g2FVXitjR1UK8w3H28KhmW/Tu9ys+J6ymp/WHVXwApYv/ RkpozkYJep/7ou8pSdOKB2BsPOCtWN0F7Ky14ZjuYxXl98HAF2xxT3BOGdm3Uf1qo/fnLlPJq5y3 qdEwoCPxEUKrw50H+5nU+tJS40Df/zWZUqmPplf5OjXI+mkN5n1X4bi8iFXlWiofBq6KtkiWtMbV d3HKfpKeW+CL8pM+/o97MV9GhvgnrkdZKLeLOH2CCyo9C/nWb1a8q4uDq+NHhTrZF7RN2RoT/XXK A/1/VKyIElnwrePBvq0C623ZPctegVd3U/mviX6cwEPKwT+C0PDVLOTQ4RRwFiLRb1f9OAAN/DLz Kly5snxtNnhYYVrrnmUcMLpmOQIi7Oh8Yh1Md6uv5J19lr2ZOi3qxi9TVjG145GR1ukgvun9Pbir c06iLAcfXeRct7leecbLRRWDV/vHo4O998/obsjFSJYoCt0tNQMrt/AmTWOYiDpcB9oRZd/jlFga Azilx1slj0tVxraof4tyllkW818+5ak38ZGC1tqmWCbxwrA48y8zWozCDG8wAcOMI54I5gTHgUfV TDQWduCJqmUBqPTfwWDCfKSL1E5QuMSa4A8mkgZ265ymQuPv5h43GjDvZYafvD85qtq1oKzMvN4L Sb/lA7hQuey7t7EFTDJLXW4O3agU0BKc4Lq7rUb3hHU5Cxe+cVi/HmPvcAZIK8BeQMs+vz9qWUeK cPIVWr0VcKO71r9tbPqUJrFoKaewMu4O/XruSGhH5q8LZNDySQGvxI2XLn+AmufntY0U/JvoEWJt 33e5pGSD4R4JglE4BRBy4wGJ4UHC0CezBoEZ78o7HDBxSQ9cJlKJjFNtCdWsaJ5SJJ5EWxzNixTd pab0RkXokzxR1E1ZzToO5S0mHsAvtzzBuJOzI+CXpele5BJwe6gLQmkGFbkp7BnHL+B8GiDh08Kp r1cZfoM5/xtM6ZPqojqymDn841SnH6LCF8rcJU7EBbIgmBRn6bGNYwdDY7pEXb9kh1HVJ3y2GwES Hypvk0qAX7b1s/XbgOqiP3/P6qJbxRsLenRSlP4ZelR2nRZP5v9KgQg8WUsKyYRrPMMT2ToR/R43 jFt5ptcG+W5Bxts2g05XcXd7C3t9S19RkpQ8OpHeILAKpZl3rH7LCRHHlEqZ/2HweZY3ub+ngFrj DJ0xckZ2swQlO0Kg22eeo8XyjcVjhug4Nc78nlKqPHxEAiTHsoyTsy6W1YrdLGtded39/cL+0qWn a5Jmlo1alNb0mkyP9blAVQu4VGFZSJLZvbMf5kxx4n3N5QA+GuZA1e2zSoIJZgt9E6XlOlXBHZ+O K57qoY33PDrPtaDMOmv3zeq7aEIvZCWKgV9jSae6bzO7hn9CsXFraPgRKAwcWqBNd87xNSuAM5Wc KwIDhM59Ukwz8XN4YcQdaLDoJfIP0hKeINMNWPku2ev4iMs/51myIA8m6Av4LVniX8Or3cvs/4TX 4uTh5Jl+RjBMAQAxW9tIcHC+4R59U7Esr0Xx+9u/oFPYSGmn+qlYUju8uvIsgtGVAJosNrFWixo4 zZaT8gZQ6r6+p4g2twbu6NCZGfyTLIZBwXJcUJ8/mwxiAYNBcyAlvlIxv7icBXCfrtyxpbSeczzX FHXfOdxCKrP+JmbBZ8bNxtdhGdwDxBpOJJ5+9saLzOOYKsQwb2y/kKuz7LhOL20i+GJL0Qa+GK0K CBpwqrevOCdwyMS6SNI8Nah+bh9OSMVHMes8hQot73+CEEOZhyH+yGvZtBiQ4UhpM6ykVHxAY9cc FfohNUjrB79gp4BKe9Le6N1fWvdYl9FcuUhfRHWze5KB97gz6RHY5VphOEIa5iJaJ8rgT1rz8mls 1tS1YWQPaZsg9G9urfSjyBQhK2guvNTxWOJp3QHnChQJETQdUmUAfB2/77iZtQ2RRiJHMisS+hdH 55iwDNjXwN0uPXQdHuuvttt7Ryq02LbSP7gmqXDJ9wJzRap5hSHAvcfPlgf+D8wxwcvnBpF5sAz+ b9Lqhehp7mHh9Tv82EtQq3SbAYqOC4kxi01mbSQFwkcFYhYfENVOO4GFO+/U4/bQqUmvRLg8+ZsC xQkrsN0S5HtFWcflj/20QXKyodzyrqvVmYkmfxytutqQgXt58oVn+en4izA1yQqiFjjucEs8ALEF i8XVyGX9qMGEIY2ky6oF8XqCxEexzMcR1vq0Q7xYgtUQj5st5M+ZmltaAgDTftOI7xgFdoe3Sl7B KHfKPtNktDgjq9QxyIPPoHtAJiDokiD2JdINZoB8GzSS3Xn1nO32Xm1h63/4HFWNMir7B0PCeAK6 4Iu439GH35IMiU26Ho7gxM8kJmE5veQVMwfvSKAqfRMFYD5XzbXxVi4teDP2zRvBo9qIumKvzElQ 0mqEz6DWQTKnIu+qBoYuv/nnBgYXFYevTSkXk2+9EPFaH/nBut8mGmLLgxAtdfOA1KVHmnGeQ9lG w7uZYZeN45i/4rer1C2BiIMjHdHYnFZoTW0MJ/KPQ54SqRoLdHRNj/VZiG58lmPQ+trY6rAXjLLR m5zB7qFDtziFjtFS5cgZ3bg75KbsMSRdVvbi+1OvusFWYH4JtHp+gKWMqxy87ChqRgoTJqfFcbKm IGCB3Lj/s8ExVLJhdvkTVJD3QQEHvY5Ajr2TJTsAUeX6JiX+zQ1mDZmxbpnKCzEw5yxjqbwhoKI+ g2XUXMACsdQHcblKHpJkMNX1eDhI3cmjJOKtMc7tzMadPnURqCz8O91UT+7fH8JwFiKZzWTbmZVw 06qEUvgW5DA8k8q1PijUx/vdg/zyaPMBomtdwoojt5Fk70NX3Jz6JdXcFVsIlSVzh8IvwtVtDvnf XQ4QTzAAzgziDx6RNl2bSh5Gt8+7JtNSCtrSr1T3qpq943DDTgzmz9PMowhH9pWZ1IQVkD/vg866 XhgD0Y1Pzdv11eTwhhe4txoh9q17RzGUs2qSlRCQKkIwqr2AA7PP7ABbcrz9PaunFzpJ4HGKfYp2 0aB/yL9SoykmLXdQY0OdslUPmv8uK6X7Xat1Bw4jd6cEOCP+KFbrR6BePteQjc8Z+K+zSUoqPDV4 OGggoX+7CZYhOwh/bqblUdyLyU0FKrJwnziHVMFueJzCHBR7qgTuJlLJ3R7xnuwza75cGi2IKB+L ukN+pQ3EYg3gi9HRndN4TErjQ7Yck2Eq+qsDDvTicv5+BrN9iXv9qtvKjJejiLvDSldW0LCAqht/ eMGbfg3y3Ut//ryJHMUYmlWrbatx3h10yeIPL3u39r5GacXvITYNEfBkbCuaozWHJWCmf6meVrl8 NYf18PeYWIcDNkCgj1FRb4uHJK3RzYFnhFwj78cdQ37scuc+k+wIOcyOlAEUJDvrWaLR8tk2MbYI QI7XN+IGMVunjmEbVtFT0K34TRRD2+Cna2FUm28V/8z07IkQKAJ4vKjpgWcPOXOHkXZf4Fz0f2hO 4bo74hHrlr+CY9Ylw16Q5zGi74qhMM4grNzvhlEphYVrjBzjkh2HCMIrupmC31NQhifq27t+uNMi igY8I74etontun/JU3M2E5mYOcC0Bal0pYeGCcEmfwc1e793YIufxsumOELljyPDCELsQvxiBnpq L4zCULeSU8wfK9t9q5vumCCgfvP187Bj6nhlfVitCYW/f2tNxTEBFEBHHaJBGnF2Id63x24D4mY9 r65KrRDuNSHlo6QM73YgH2cLmXjZCbeFcjTrSWsNy8Z2I7H8kL281hlwNwn6Q6jt7reJUazHpNWn jGoErvoq4iTUndWg0FseI8jJI3YYiYc1DhKjZo8nPlBEW1CNSeFHb/6bAtxJT0vEUkZ+yRJ6Rh/d HlByRtEhjowtGb3tN/3E4dT+s7ONWyv462599lbulA86UGT2nwsGlrnP7qpDTgoEw9LaBhb9cdf5 fMSabN3cFuHXgZu+4IG9lu2GQEMOJAe587VnqKldMV9W1ubx7ZMMJWY+ppWNbkGBVpyUh0ENh8a7 GJ9uHs09YXKlLonP+sXo4rXllKPLlTOFHF7WdxFGpFHI/qFVItOMnuE6cAa6ejSpalY/VHhn5OO/ 1JG3NSM5QUGlac7OexZf0rIZFoOXMlehoeiDVcR0vmdJf6grnvHyC8vu/lb+WF5Cge/CBwHIAfl3 KVVbYwAFdHRwCT9lfIe9iRdcVQ0Q8qor/+IP4JksjH6Ialz8kOtSBg4v1Mfvxi7Cp78Tu0YmgPh7 yF7TBV9gC/X+N6Rbd8Z4nd9ZOGNlDmdvcrNWOrTEvoXCbeXkzY9YNY/77OtwwFIezsp9cdsQoh4s qgT0GchFR+gERHRkMm14rji+FBJMdk3NZ+CwfetE2G4V4IrR8ALxurkiKTutu06ju1fhg8ayiK1C evMMPGph1+tAuGOk2UGIc6smQHol0LDYKISgnuBagdBXNV/44TCBquHgO7cRceJcM5fyeNfQnmhz 3xWREU5aJTFAZ489/h15QEGQ/JqpwebGMjeuyBbtutaTtQWt39BmNAVDvcGVjLAdfQ8J79KhYQPJ YHndl+iVwlwILDcUnduuN+T2TI7kvuTSxmTcQvu6kHLvafa4as5I41PfFsfbTXSvLATw1W5Q5QkL 4DjHu/W9sDa9A8rR5zdksCPLvsUUNecuw1lsY1ZFoWuS8K0mTJkPOKWBRP6dACWRqx6Cii3ABaZr WO4k3sWxXRu2EhxRCJJx8wMrBohJ+qqysn4YawZ06c3ZZKjBSvAeLqpjYpgkyGTvrK7xbPJ1Rk2A inFBZE9ffrjANDBVJNr963wndPSfhEenWM3hColWCmv277xsYTz4KcTVoyTt3ilchzjGL/zMVp46 o3/iC96TDpVoHFcUPzBXgPxWVkn6BDcUseGZfh2i36KX0PWuA8FvKEH3LdhQSA8AIAsCVNvypyfr wyuyZYqM6g3RDnYUxBa39U8vIdYGBYW6qO/TD+NkqGV17HGROX5WJrVCzHYQ05LikDkKY1GrEmw4 gZCjnveRD8OwUUcCVJ33Xs2v7m+qdptRlBjszeoGW4naF9y5Z0MrZMzyJyME2iZ1Pvue8CwewyTg 4DfeMpK4rqHFp+nvjHu8N1nGcKpN/FZTi2/Ll/NlFKWOH3XrW+uWrq3PwoT91KK/XfDRAoX39x67 EF940bimpkHfgdK6KLWtxnyhG5MJGHCadAjJlxEOum4qYy3hDU9zs78m3ceRJuaqlyKrl6bcaQea 6UkR5ZdhhnFf+eIz48QU2acLuKFYqDinNJReWSBI7iZObSs27IxAXxg+B8/WFf0Ierv58Y5sp1JJ R/B/3WvE7SoU/1Om8K+TKZS06pGDZo4T+GGXvmfrKApObjyEhm0WqUljgOQADjgYHxwdQROwAZ67 Ax+NqL1a6ASh1nOW0Z1T1w7Ve2I20e+l3PrGY8nFD8wQht5OgpyPUZILhc8tc+K+DeLHngZLEnSv ljhCPF2TJ5DtrIvcFHvX2oiU787j44rku8359hYL5b9DOQIpIWGoqeKRAqDVaZcYmxEbaFblrLFI LU5NzG1+2blQzQ79QdSSTOoGPocE4ZgdWCquQmHH/bva8QGzSabdrrazswa1CUV6aa+9XyJ5gFoI CBFcj3DBTnNAu8VxtYSdFuH9txwgE/gH6yCMExZ9l1nHXspRnsBU8CgUtyvhuKp1R+/VDD0gLrnb u/FM40WObi84ayUFLwAzpcOOgifaUOQmhpIBN1Vg6xxner1c2Z9EkgpmVBUAKUDUI5XDJ1Dyjpdx OpYwVUyUNYtPAH8R0ADK4Wo1p3jQ0GeJPXPD95RgnKkvMFJ/W29h58fbbOLNqIEOcRhpJ/stx4QE 76muIAIVZdySMztlQs9IF7nAk5J+qGPCZQrsQLoPaLHexLm3gOQCeh8Ea+0HTLzM0ko7fDxvQviR AZyIeS0CUaf1u4ELrsgzSVXSxjNEfSMdu2zqn2SdzpO3pl1Ur/oiMsWSgwdc9FaiBRh3Pzog3fAM fKWY5IlmrEIMSKpW1y5qDLFdE+0EAmv3o6z5SXnrskpOlyRpElvLKOWQ6H6sA/GmoM6yg44Am6fd CMoyDVCUW0TZ+583TfgkerKnewo13QsO2QyifjDlt1nB6bwBtexDHTtNHlLVZra29Rv5BatleV59 jnCpOfdgzplIpds9f3CnyVbJOBxodYXp2/Ukfah4qsF3XcSSk3p00H4u7pYLSdlgIJ27ypX3Pl0x +1qtOtrmh6syKpimCfEfDoqSBWefIbocFnCs5Dea0luDTQvFfxNBCz6Jw+ls20uWUqJb/9WzVy8L QR6gftN4OBGmIBmu1F5eSs4zc+rQ1H3+GBnz8Dhr09Sb4MAaZWQTsc9S/L23bVCE8pN8Kgqbpbln /jTqW1cKTUKcIfbzgTwhQAaGcCgjz5wrFViQ7azMUh7IkJfHuukVTdX0WP4sWGlDpbMLvu0Z7hr2 Ae9UrFBkjSIvh2al33yo0gcCp0tvaBR/7lIsPGZ2gmoUA5/Vp/Y8vp6slf8LvQ6vEQArBD7TTdhL dP7ehbGdLeLV3Pbnp7E0kp6dj3/Fs4hzlm5F2ybElbWDMvmeNCsKm3F4SNXrP2YrHqZCmM8AxWkE 97yQm4Y71t/6P6V8E0/xBYIpu8Q4WAdqR4rkuQ1ttJdb+/5VoTLjCoVHhLNYl9ovZPy9cCL/duy9 UAdUcD99RCi/N7NkkQh/wLvDt2+0JIb0kIIPZgniQ04ZC5rvM+l+NP4UHsFjnWqVK3inRVESXMrm 2dPlSJg9v/x2VBma9Bnow6DhIfoHtvGhkrVcbNqyyUX3WpegT4NRJAuEhrmxy3xCfQcEsHHFVbcA Vjw5/XJuLX+68xjopJixcBcxBeaqpaHtIhTIhALZITBcvCOEBFaM/wrGkk4xifvkaMJxBoR7es4p WE02tuRzyRxBmykjxFBxf+YbopidyaaIcIr58Zh4VcFmS0HRKg6xc5QQ9gV66eyiHjQ8Xc7l/eKz wouYg1Wx57G3Q9bF8hf1EeDVKYDCvxkJICz72+5tYH8TQRchBnCA3iczAdVfeXl9RAbshjI2elfn KEGjZTSiezBOXBxGs7iKgbq0E/7pzN+7UtxZoCZ/TVdxhXR1NM6A1WW0eJGDilNUiNQibPw7u7F4 PMgTmtatDUI6lq5akGEPuF9MCWDZIotrM19pRgiY4Um23efGdnxAlL2bzC6+o3eMSrpdw/dLWEfq a+BXB3rJINZtEIJQEmHgFR/CQ4JNWGbpEXGCe0nOvBjOC7hjfaFZSdmqdiWyCknDqrg5Rp8dvpeM Zk9mcEQ3PV0Oi5o0utMe0Vg1KRAudT2TtQZaq7m5gLF6V6RgUvEH+ih8+Er4cJ5aBNgMAA31y6kk qaniBWE1gAgUophgnrCWC2puATAvmpFc5BJXFdg2bBrTHgR9atvvjlAHhcZHtVKaSTAwuPO16B5X Wk9zuyTynrNOfHAeP+3UcTm7GbGm97XvOZ//BSzKrNBmgFiFvvgqSOMD8rpMaJs+nCI87NB/LuMB cIdF0f1orxy1hG3+Rez2zRrhl/14XNXqp6o1BlqzEmyut5KOCDxH4MlamHG1Jtdx3zygDgePVA9H lRXLcYR+Z1hX40YZrrM5JyoNkWPMFHtpLxE34usfGAfxPGBtaJxUtVYidN/Qlt+3Hl4dUBm7PPNq Wv80jchWjizvwEt+o7Avvvgmdl/rC2jOafj937D+Cl9hhlcUypDpp8itu0ox/sB95U2rS1s1OltJ QRvv/0i8TyDBPEbZ2g59D1/0TPQjUV1So8souh1udxKjHABr5ybni68tnmlVe9FNP/xaY4jw30Cf pYLm7sfWeL+0JQYhjzhqfRWXxHLD58sTwuTyAkkgvZTL4rf6k4u2aRRGXdJtQhS4yf34nbLRFz77 Vwj5hD/uwYoD82IjwEUUUrcsDNgODjLkhn9azRYtZTERmuaTdbYU+zgtX5bk1pydgir7my/tGgRs ciFtTWQUhP+4O+SXNuVuu/TxffIveMazg0+HJiVlKK7gMCfNYhA6XhsTufaRx6SkauWH/ADYxy7w OVVmheqmc3Jc7l+Z/Y3chJ7Y4gPkQErsuRF1pa0Ly1PWmsC48soM/40r69U4liel5YJ0LyhgMc1V Lya7226DwH8ruWfjNcaVpYF09YoKtortqzUSUOeuY9UETpsj+eyU0xAzWdlZiFWHAFTx9DDT/OTD /3f7Wa14ovbe9e8X2TRg9Xz8oM9JmgUfjEcdmMQVsC5p0rKLb5BEbgpMr9aYc1Q1WGk7odq8OEJn +Pui0homnZwGWNJkVcSsXQNR9Wsbt8fCvC6Yfj3lBvCslzLZX0uK0L7ixQfkIuTBA5kKLZLtXAO3 lDDU3ytOwXL1p6WIIeyjekemmcHCLhwn/U04mVfY5NRjT7iy+LFfG5PpQ1cJao3+EJsQ0Qei5n4r cST6MpeTePCoQBQkW0mSk7bQa1xp+DC8lb5BLlLFDkM49PQgrX5e6FFkjJktfe65uk+bcPpbkgei jdBVH+r/vkszyW7cqIK2Jp+lXNysf9yfiH7D8713AG0XE9hLplB/u80T01RqipzMVg3KaMr3v6tO 3Bh0dZ5fSIG7ub08lw1+MPysPHOsYBil6lSYnVtGKHUa6kVUMKr42mCHAvnynrxgjF8qauriEcNP kuR4IBRBHc2/WKU8FkYGIgWpg8dDLqeV9YMF2UAV7MDpnz8YkwGNNPwtZ4o1O2jy7/DtlGzABLU7 PMBKSHBxXlPSQJWk07bfAd61MlvhOdDJYdCIvzm2hHpyNzjYzLa3qEiuZ1sWA6rWqCBmHylJAT9u 8M6qJbLV8SBkzEHaSJvTH1NbaLnsev5dyigpeI9hIii+91xE+KTOCBqXenhoU9VDs5GJTgY+VHal XI3ChjO2MPRveITNsVGsTXoddzesCvOyCkkq0Rw5eBd7z+s18FrEqs+nBnvI5vJNHB/Ow50LdS5g 2cqhx0Hr0Rlztk+mXqgkF5ieiYm0gVk7FT1cZ2DrjPlwa02+rPrsvez+liwJK8dgU7ldKl7XKSlI qzlG6872QJVZc8MHEjK7dD47rD9tbByLVYh98pVIstNVbuVOfoZXqV/LHZnMpmaKdlZhYxbWQGHg WqUDcHgqpBO2NFG1fJuciLFdbLe2FQkjO2O770+hp8FAOEMjXAn1SWCFoGBbuwqvPLW9du3wrBFE 45xtM3mDPHmtAWjJyESIlLEbm8i0/rlOtHcCk/CtbDum3e+WMloOI26tgnCeVpy/zoEE0uZ4lYv1 TfkHAgnPPzt7OgAforVQrsKRRfRTqmmQpEYn6zrOFZWnowq/VfNDF7zaw2FVaElhxFBk9cx9nqSE ewClpWwIhwP0jKcZxYvB1SckhFAukAximtRtCJKLg7YztQBoETwNBVN1+wWdK/zlN45b/1FTGJVn WN32u6t2I6ic2aNgLwyBBd3ylleLjj6nf/iuFFKerxT4peiaPNiNSmxnGi3o5zf6jiNKztvO0yEv ajY9jXlqIGxaiSttCRRAQTpcIjEjRdPog+KSK20fsM4HF2md/kyjjZonJgTQokVYl47pbJWjwnPQ G3EVbG91yQZCLX9Qc0+Pq1lE8zrAEAQ1dlpTgNHyQKXbFaAilE3cUItQFYxZeeKTJCaBE+wATvx0 lqKeihWdKaOQL6RNIArjFEfgVBLZyqLNVOYmMw2c59RcO8TFvHrzwR6fJS3bxU6xhK/njv8uPbs/ J7IDmKx6yggdpD8JpEi1WCDg0VgWczBOIUlDTnqPBsEt1bUtZ16VoyGO3u/OI2XjJtrXoeATs5dZ uHH/lbVf8GzpG5VitggpMqlaJ7kVwunHelBnKtt1Ea/UxfTdS2OyLvhOT1malZSVIn5LP5kp/ley nBB8zZ4oBBPV5fUJz0w3QjC0Iqu59sARndBmG9c2bSMW7SKZ3VPdLqJ9zy4OvGfyVnpSTDpprcau Oxlyuan7/QF7Ux2qaJ7NT2+tLdmWYG3uUrU4P2w7vmG6mKe9TmA3Yj/V+ypDnYBTxNUyY8MjjA8S zDXKYK+mXmDDn9FmlRGE1UZUs7gmvN5O2Jfb9Hu81tOVY1vvbFxgVit0odhScZTbc/Rb/aS3kEie YtqH2QLVRxyx8Xo6YmQULYLCfLgkKPdggGAKEqgxjY6mHmo9ktYtfspEaUudRcVwElFDq2rHHkRb GhgX7O0myG6qf85in8pBD7s0GtjvImn1R9Js+eIqDF/oZtjuIS6Cs0VRD+7ogHu8NDUyhcklzAE1 OAJdRLLuCkEu926dORLR1or740V/2GSU19ZYd5cWbjZWEZjFwp6MTaarGUMnOCw5+Mez5B0t2XR+ Jr4pdewem+GjxgRWsJ//8zueWKNJCTcepYxUxKSwgW+KPYRegXJbgcL+2qYsVk74wtS9ChOYbBl/ XsTOVNxu7SKFkDJdttHbasfMuKIpy6KLW9RAkNqJMhM2nnsWdTA2WrVdBHu6MrScGoTGiMLtxZiS npcIgjW1leeIyZksYAScIQNSkRWfR8wH52+0kuHsmUHvDBD/BS8Ntw59rXyINkLSj7reOViAA/Mn U/x8MxqCalX9NZa3C1jMrkXsTiEcaaH+xD6dHdrU7ciJFdXcu93EV1nH3oUMLk9eSXaQj7AwHBJb aLmY6wLqh7BlXnz/yO+8rnjOVjmF3Q5PJjFbMZhGP9B8gADDNXbNIPKIbEHKghn//Y8fvIPfkPJp 9RzB+GPc+4RAlIjWZX5VjOAp+6OjUqdaGykeMnff/12wBzl9wodz+mV8Ld+vmy+bEMfd02kMRj/z wAc7F04m9Mdeemlc+XMXeVhhl03MHzmupgN9R2OktJx9zaJJTDBVctvZUuoRdXqRSkrz9ZZuAmRZ CD1gQcTslgRDjfYnmeYnIFTBAUl9VvMRIHPR8vaXd7dSB6aC9Mqi/6hKGJtsunOvSd4Nj1VasKIW thWvDGdqNSXmO7ByigWZK6/pFFT1iIRPVyqVjkj9wcacCHj7aCiQZPtFIh3LGCjLxhZ5Xyc3kAP3 2PPyHbN60OBlqURF8mhyMYElW22lQcUBLvfTgBjfYXj8A1NXilDdlhIUaehVAYALyQYIy8/U9UPC H9Gd2/rT3tYaI7+0/dflTlBgz3qlZ6GdDOquqt/6MWNIivDns6zMPt5+Qmq4Z/lIdWAh9dtXAbz8 3x//lCIeQVyh6ltf0+TNuOCjxHaPIFLWFj5ZYbjruKqiKhJJGwcavfniwWSfkpUWJKf1nxyJpNQ7 lqSNGfnIlB6lyhQTprSSoKct6k46g08CJULMz0Ir1EdmAufhK3js42U1oRqwBxkyttZrPuEY6mAE GR3dmixCdDMmJzOxXVcqmvb/HNZsR2BCZg6uflnxZcOiOa+CUeNB3M3CEuGFn+h/DKNVNkFKB2hZ Cz7krPqfvrhlk1Z3QJSMX6H6Fa7iOOsJddW+iULTit6v+oBjM2vyGkVdPJbzgmpFFWn9ycAKTtRe syySSqnCdsX8QotSjQC31bahKDg6hT7O3muoqaYNBkMVQZvHYMlxo3UjAtx7yZKk2oEgb/lxWzcg lHGnAhZdetmyP7bTdWlAm0XfWMqwv+DPIv9NSfgNX5RTKPu37JhM0131o2AIQhsht/WB3IClGIOg 2HQ9QzCXkqfVxuF2KhDAOkru/2iwmuEgKl9cMnULE7Uas7fCFxXuNFFvsGLUccduFcZZQulXBkjt 8h7FtwD8Vibv6J7G7PpVFoB3MwEsmdDqXd46XYKttMLPqdxvXp+PCo10SBW0ERGxYAF3kuJrl+zt Ygvmv+DccjGjvMSwAAq88J+A7ewLSittB0aDvZT0S5pS+gDLupy4htIhujvVN/HfQgY0nSfTlwZ0 DIEEuqgoNQUZO4gvz7PdxDwUNrCTgdaV7V7Tw9PjgcGdH/EqNQfChCCp7xkpP1woiZRyOYn3E/tV lNL1vveTvt7ws0zme3ScdZDEahwipILFDq4sLtBrALQc1k+YJHYpdwxHDe13RBpnSNKGiQJjVwnc 31HMnz4Fb9uUMMd2qNLgKNDHUcMcecZVoLAcFbJza4sYxEe+68zcL9A+fgR24DpSkMOI/TZS+h0Y 9jjeTZUzVNgKAfalz1+0dgb3JlycFbW0gLPFpCJPip45DBCuqpMDoIxc4Fwf1YDh32/e3xaZOA7h VpUt1/G2YJx1S605PZwGCyNtaWxB7e6MswBAQVHK+VyQFYPfG6NOD4S7YVPoX13yVZ1E/0Ig/n/H KZy8E5gD1HuOtp1va9TznNS93lMiZvfV7fvQRAbMauNKpSCs5OaG2nd5pYs+J8HQomhLdLdluUhC UoS4PszWc9nP2tbQKwxf5ss48P3USg+GooXdu23KNnl8OekXvkcIDf6JnrE8TglcQdXvFnabsSaB FNO3FofTYEA7MPQI2oaZSFiq1044a8ra9zjvZguUD5BHAQdbiz2EjaTNrE7JO8kGFGk3BC8w01VA EibqNnhSAm3KWUxEHrFOh9ZUTzA3M8W59KEdNTGWC7eiK7C4e6w3qxW+mWI8SgT4JpFdb7tNFVpY De3gmUoUmmJeDvAsyiRTnQrynSsyXXmMSIm6RIF+NuKQE1ckWZwzWQxZIQi+qJaetiFcaEs7rkul 8lNnHOwaeRIgqTqNEzjXNNtrj3m2alpFa3Ph7pysomdWKBKy0RH1iG23sxy8QstBL+RIWkh3vC3N svE9MZ5Z57i7RwZn4S90NIXNdQt4nrAGFYRfJeCxEATfYUzGm3HRZgyBmC1DKQ82e1xqyoq9szpM AuU0fP5INb/tiflaiWrClbqTgNlqhJM0G4T3KQ9tsHObfqND5mgtC6lHzW4cpQnXUi/Jn7KwWicW intTJqZF1YQh4X/EcEhcEkvSnvYW31nQRLc8jf8LXv7dJouxbUwdOygl4i9QBIzvjFresAFlxASO p9f7bh318+77AxpIHBI7yxws4sIqRrsRsZ3rnLN9X33R/GSLZcd2tsZUSC5WRnebyfwGIiEMs8xl HXin+6VFp18pSG1SSAqjl1zUqoKbXmH4vWy2++pcucLLQC4hijFrHAXlVlI6RZ7t632W0mekMi0i 1qV3Ky5zrDsCp6G6L3hVPa/r/Wb2UlOneJyOW6SZgl9T4PqS2DklMhJgBGwk61ODxtVU5aw1xkYn vuYY0DTiOfHIE3ikob1HkbPzTLr/4bwrjjSa67Eut6VoUurQkufRDkCzgQUFqhJ9T8v7l9D5nMEC jk1fh+SM3U6JaZ4Q701+mkvo/5aYjBpjozMtTf/H6faef7GQrJSNrucD0qbmNVT6HIMxr2zqfh7M 2mDoioaYdrPhMBSbAliFokomu45G7igZRDfP9VXJNpvNyPTpIOFUr6EM3b+10JIbPQceuQmVOzGu M5o89cfOGFY1iceiTt8cnbPUpKzWfwLrZ4ygmSB4UhvKJ8KbTUL+EYHL992ccKByXAmx3ksXp/Ib MiNxakEYnVj2vE5BeFRiJ/pwXcI3H9Sk9mmXh2RHmFGAXGtyWcRXiQJ2vuOmgSyZZt1c0aJaIlcK mCSKg9EW6CUFcN81WwPrf9o1u2dyJ5rD0/pORRRUDNC7uFAJaX0OTVgJphzf8+9VejwakCAUnibw Ogmb5yF0hC5a8r0/BWHLomDalLAoWeP8NYAkgbgx/x6zNIzub3ikGJAcBnVbZnJVjF7LIBCFAUzF 4T6/ZbExuGx019C8U9eKjFsnxbNqaxSWye1US+DdG529yphWbQknTlIKo5jK4qcmjhP7s02Hv0k8 9Xa0JuZpNksBnKY1kaJhFyMEHPb8qqB1TbqZ5ToFJh0ClyVtV1pM7ABzIPINw9dV/QJoGwBp4M1v Ievng2NHcYPesrwxGC7Rqc17LS4YF7J+na0E+X5VNOnrhtwWqWCYSUAGw/KGormJiUjR30rmxNRf rx7J+nrG2Ux7llTRnbSNuYw0lTwJCj6q+DxPPNFqw/uj0hPAlSC2jKEivreS4mjfmQMF6fr8RZgj imA+okuQpWvTL5oyjEoIIqbTp70Th0guceEzWlaeWrWpB5RtPQBgUgXTjN7MJfYZsTTeOH7AYGyG nJTSOR57CXF9S+qH8FDs0ogOUjsPvimrUragmpwOLzXzxn+Kn9dN70+uYfIS8vXH1ek1qUuGV/NT Mg1mlj/md0D1vX1vZd0CblWSdoHMtzYWjuSHH+hY6k/Bn2f49f5f/JCsJysdgyIUy0iPqMHr6mhu kwVhMNV5FMRgDu6FxvlYJZgYpna46wBSgRY0j7Gk7S36hGrujf2XBPoDa1YVtddt1EMMK75NWKdF BdN2EeS4k0CPjPg80VYwtCDU8CWo0jWDTnKDWDoArHnroGOzAidcgnHIBEqctYNo8ZfkJKG6Ow4D uObKPwhFDq2mQhMFCE2w202+x4ig8UfyXzuAxCA03Ng/tJzXn2Ei23WBSu68cpvEn6l5UxehZfw7 hEHLfQSaNlZ9O6SKAZGho15PGAW1WwUoGxUKxCQD8HemqALi85An+UlSBcpW1PRLYiap4NOx1725 nyez4ImVgLJ+54WA5V6iGpkC77eWgcuOWIfFgnI7AckDARwwasiwXotwzWdzqskfIqOnrinakV0v E1nGJpmdYxf2N3rTd+17oA44gYn0TIg7PF6pYjRzQVif/ep+n0EgtbFPCs6BwDF8c5udQmKms6tE muwtpRVCnIFWpY1wD2cXQIuTC1rVrRr8E5KcjskecthMGDNci2AdsfVKl8UvTTyJIJ7pXOMFH9/2 n0sfyke3pCQtQtp/TN1yuzPsHJ8xkxpy/fDyf0cud7nFo8b8OI1NifDeP+0MWzXZNaiYmMTU2R05 eyw9bcDSklrOgWOeYWAVHuXP5oIrBF6UKoLgBnklBhnLg611T6ThYfX3B8eAEacchE28Fv5A3pPI QpL7IOz1O5oNzEkyBmsNZ1/L5l0vpp18Ko+R+oRvl1xIG/Hm27YKSWFhMhxq7wdigq/r+XqtKUMT W7/AH/bF6/1ssgOJhn3e32+yA+mhT4jkoP9/1xw0vASSRLYSxoh2v6YAEgvTs5D1+UreUbFP0t4A rZYE6xJHScIBp4v+MFoCZ9IBR1+voKW4GrLj6maCxPyWvEneRLQMALlUiuy5lGxuVm0KLtxJ6ob5 Ug7XEQB1B63BkmvwxovFIEXh5+PMxT1I0RqHRq/3TXrbUFmoohduqxgdle0ucdUR+cUVKlRHhOkW xwAXzXF4jxFiZbjpN0y9J/Ovt4Wk1OgWBeQ9bqLN4QpoSVnMkj2tk7iPk8fF0KRd2bGStZ5juDQP 4CYg4NqW5awbKRvyULGrc177mcxxT0k+Tu/VzejYGfBUSh4y0Uu9cmmrawBJIcRVOToVfsXFo7lz j958CsA7Y2hNr+kc36lICOwggr/YiZ4W9hnTVE7wo6fXDMp9Q+zfs7g3PdkcKn0Nj0z+vhflGniZ SuspB5XDCmQUy0i/axXjrGGKPgjfuPUdaUPEOvIqKE96P4fLi4ZFBOameCAj75Fubp1ZaYDYnPNv 5kyUlJ7r+b7eLCOH7OsH3zrgyDJhz9zH3S1ze0jmYdCsDbaxnZmBN/1VMQWCl+3tN6xll8cmIYOA t5epoocdsY9TVs7+MjxwJIqjWYgBL33y056JlrKG9eNuoAbIvYdnb923+FHZZomnsJXbJQHql9Ue BMLH4j4bC9CfUCHbWL2WiThb3QYdS7mVcDB8+1Lz9/SH3iQdq8VNsJ7JZqIlvoAYo9DjAgooMU7r 0V2T+vUP/xoamqUcH9HEKcHXaUVZLtQbZVz7TZAVvFaaf+2n2jCy/lil6Nzx8LcW04UsMLAPXBy1 +ee5nW4UbWT8Ylw/0mLlVwKc2ncN2GZe5EGyMNFmFmR9EyHoSqCVHW4l09fQU9JIsfakl6V1Y+qt zEEx8CbzA2G3XnndNHspNvCodFs5BA5goJ/RvVjcDwMwfa5dW8Et6YR3s9qPKAK7Wmh1agcS0eGx J8ZGXTnoFpZkVbg9jPMiwZKALjnnw2ScQOHPuIua0LaqY3Bkrc5/elx6PhdgljvMntfqZ/6mOtvf ig6fLsExhuWNAs0SVNLPaQW+4Nh6DQ+aME7Y+9G5dYfDYj3EnO8iDeE9uojCDIuVikYjlJc2Fd0D xYXRLlHrgL4lpiQbyOm6EMcITDft87OJHFZOruDYjiFh20+oGVYMbc07fr9htLQ/KzqZPLUlFtDe m4pAPHgfUIxNbR84mAycSn6ocs4aiL/t91tzWjq5aNkkWnHQidygdWMrw9BPrOLurSeZRXMIC21K bz1mhXEglZwSaGwTOpSEFxd65aRmZJxzJKimxueWx2B264DQWDoRMt4Yk9NKwfECwIEKSEiE0fQ/ LkS6cPBCSZv8tgyXFaYMlKs5i4/EtA7f/qdG4LqYIXBBAyO2NueHCRvU9Kq0t8DVmM+YCAxwVIMu YbUzH6b0xKj5CFX/l85SshXzth/u6efJgggKWtQVqG3kf7ysqzaTr6zPc/n4KjBIKvbNwd+Tt2et 5RHX7yjVlNlEpUGotYsK1vomBqH5EJhGq9Ex9NGujmk9Yq0HHfZ7x5RW9qdWQvMYoUFrhQg5/kWc Wb6i/m9+7Siyt6r+2wozpSz0WQIqyPmuy1StJX46FPoOjSQzgGPJ15Ev5ZRcMxpiKAzTlmpNk6At 2xE/q0SNwXsxkc7itCfg5E8j+l42gO3P6cXiO47OlqJe7x2wB8Gr1FaZPGwPxVp8r/Omrl6VrVvu wM8vw1VCx0W9MQ+3dxwOcMPVfKqZwhvA9mW6ZBrKj4ZgWa+jSem2D5z//CLJ9HIvuVYcLSyWknM2 JBADpM30IqV3pu4gFW3COpcK3VDKdZla/2HLjf5/lFDVQfozNogcuDrU2ZqAKkQhJqEeKTI1q/Bg MMrfCUvLdybOPK47EBsajNqTILjxMy/xRApQnWitvz4XoFZZKWk12vKKUxga5KRGuuvKWMeCorKW /ejpMUPIOcSjLDAcB3tN4BM= `protect end_protected
apache-2.0
b569d05534f7a3862c80f6307bc23cbc
0.953471
1.814063
false
false
false
false