repo_name
stringlengths 6
79
| path
stringlengths 6
236
| copies
int64 1
472
| size
int64 137
1.04M
| content
stringlengths 137
1.04M
| license
stringclasses 15
values | hash
stringlengths 32
32
| alpha_frac
float64 0.25
0.96
| ratio
float64 1.51
17.5
| autogenerated
bool 1
class | config_or_test
bool 2
classes | has_no_keywords
bool 1
class | has_few_assignments
bool 1
class |
---|---|---|---|---|---|---|---|---|---|---|---|---|
witoldo7/puc-2 | PUC/PUC_567/PUC_2/decod.vhd | 2 | 971 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.STD_LOGIC_ARITH.ALL;
use IEEE.STD_LOGIC_UNSIGNED.ALL;
entity decod is
port (
--wejscie BCD.
bcd : in std_logic_vector(3 downto 0);
-- wyjscie dekodera 8 bit.
segment7 : out std_logic_vector(7 downto 0)
);
end decod;
architecture Behavioral of decod is
begin
process (bcd)
BEGIN
case bcd is
when "0000"=> segment7 <="00000011"; -- '0'
when "0001"=> segment7 <="10011111"; -- '1'
when "0010"=> segment7 <="00100101"; -- '2'
when "0011"=> segment7 <="00001101"; -- '3'
when "0100"=> segment7 <="10011001"; -- '4'
when "0101"=> segment7 <="01001001"; -- '5'
when "0110"=> segment7 <="01000001"; -- '6'
when "0111"=> segment7 <="00011111"; -- '7'
when "1000"=> segment7 <="00000001"; -- '8'
when "1001"=> segment7 <="00001001"; -- '9'
-- stany wyzsze od 9 wygaczaja segement
when others=> segment7 <="11111111";
end case;
end process;
end Behavioral; | gpl-3.0 | f8c6f0bebf3add8187e3919521ff4e0e | 0.610711 | 2.872781 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/prefetch_buffer.vhd | 1 | 18,235 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
NEBwqXv4+G0ueyfKGyy+/B+ojTI2exs+dEcCFMS7ODgRYSZipqNFxMJ4rE1TW1lOPKjBt7TGxPI/
vixgtCSexw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
eWzVGKrgOOVfUt67+oO/IYLBbZ5+u2he762kNtruMPati7Yutt/Pu9S4JJkFEXrwLTPznp48bL5/
CdA8/m+dDyoz8DDcJQU9Chk6XPOvGPz7fjovEToAGDCFWl9Tx1ZwxjSbpvZwZ7tRYH4QDlVIfvNt
1GVR80Ev+SDSwpQOWDA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YPP0TD/aioUt82NcOcdWOz5jYCVboZZhEaTsNyylDWwRn+8SE4P5MnQ9FUN7UPc0QgHRVppmbxN2
Ya6sAgwfRlYRLCSuLsMtqy2ImWghToTGZ/WQjxePgLw6JERiSZhHQV+BtWCWsZEqKHtTfgwO1IwV
On8g8Kn5p3x0uUqxVuI4Y3t/EvTOZJpx6NvxKYcxcYQvUcv6jzpBnO5x5BlS8uI+FA288tihqDji
AOxE/lwg6hTZypEERfRMJ+Jp5AR99nZYj+Td0UwkKpER/zCuzzBOM2j3ZrBUBc6bWqLghleCchXt
I2M7FZ7QNFDrAczEreyBEHMq4of5Gf78+l117Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
b2FQ25y7lK3+y2KrVMq0PDGGvCuaawOggaFHiaB6CFTm5Ta0ISYe5hdyg83Oz45k2fVHPcz13qWq
6nCsDNvTLPKwopc/UXoSyDX3445h6N/jdgopz8pABe8Op6jrJPa3S1AM3vFQvkI2iDDTVTFWUWw0
DHfRXJ12snu6Oiif+ac=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GtEu7/O1vBGWmQcxmRFAg50nT0ffpJCmGoauw+L4toqYnUJTIIuI6QwBKiHMmULILpEw+m9uho5E
41ZX8qz7aOVtri2ed0xBN6NULqMoqheXVV4W2xGQk6vmrqvzDgayp8EoEsKqyweZf5ZfauXtV2p/
okidMNfBCoteTkb0qgvbgGwqj+RaAvbT4butmkmd7VlDbmwSYpdSvu6x7DNsMdHy9IknBbNZGgPb
igS4Tp9vZ4aN20vWsbq5VdgagPDe2JM5iUOmC1cR0aTwxI1q1+CCjyAPySSNP8tyO7zz3ygA59kt
dRJQd0mJz+bKgTlEdd7bBOKen+kfHTET9estDw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 11760)
`protect data_block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`protect end_protected
| apache-2.0 | 0e45a4d57f8aa3199e7e1169d4888509 | 0.93836 | 1.869106 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_vt_testbench_128bits.vhd | 1 | 10,286 | -- Twofish_ecb_vt_testbench_128bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the VARIABLE TEXT KAT of the twofish cipher with 128 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity vt_testbench128 is
end vt_testbench128;
architecture vt_encryption128_testbench_arch of vt_testbench128 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched128
port (
odd_in_tk128,
even_in_tk128 : in std_logic_vector(7 downto 0);
in_key_tk128 : in std_logic_vector(127 downto 0);
out_key_up_tk128,
out_key_down_tk128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched128
port (
in_key_twk128 : in std_logic_vector(127 downto 0);
out_K0_twk128,
out_K1_twk128,
out_K2_twk128,
out_K3_twk128,
out_K4_twk128,
out_K5_twk128,
out_K6_twk128,
out_K7_twk128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_encryption_round128
port (
in1_ter128,
in2_ter128,
in3_ter128,
in4_ter128,
in_Sfirst_ter128,
in_Ssecond_ter128,
in_key_up_ter128,
in_key_down_ter128 : in std_logic_vector(31 downto 0);
out1_ter128,
out2_ter128,
out3_ter128,
out4_ter128 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S128
port (
in_key_ts128 : in std_logic_vector(127 downto 0);
out_Sfirst_ts128,
out_Ssecond_ts128 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_vt_testvalues_128bits.txt";
FILE output_file : text is out "twofish_ecb_vt_128bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 1 to 129) return string is
variable our_text : string (1 to 3) := (others => ' ');
variable hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := (int_number - (int_number mod 100)) / 100;
our_text(1) := digit_to_char(hundreds);
our_text(2) := digit_to_char(tens);
our_text(3) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
twofish_key,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal key_up,
key_down,
Sfirst,
Ssecond,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched128
port map (
in_key_twk128 => twofish_key,
out_K0_twk128 => K0,
out_K1_twk128 => K1,
out_K2_twk128 => K2,
out_K3_twk128 => K3,
out_K4_twk128 => K4,
out_K5_twk128 => K5,
out_K6_twk128 => K6,
out_K7_twk128 => K7
);
-- performing the input whitening XORs
from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched128
port map (
odd_in_tk128 => odd_number,
even_in_tk128 => even_number,
in_key_tk128 => twofish_key,
out_key_up_tk128 => key_up,
out_key_down_tk128 => key_down
);
producing_the_Skeys: twofish_S128
port map (
in_key_ts128 => twofish_key,
out_Sfirst_ts128 => Sfirst,
out_Ssecond_ts128 => Ssecond
);
the_encryption_circuit: twofish_encryption_round128
port map (
in1_ter128 => to_round(127 downto 96),
in2_ter128 => to_round(95 downto 64),
in3_ter128 => to_round(63 downto 32),
in4_ter128 => to_round(31 downto 0),
in_Sfirst_ter128 => Sfirst,
in_Ssecond_ter128 => Ssecond,
in_key_up_ter128 => key_up,
in_key_down_ter128 => key_down,
out1_ter128 => to_encr_reg128(127 downto 96),
out2_ter128 => to_encr_reg128(95 downto 64),
out3_ter128 => to_encr_reg128(63 downto 32),
out4_ter128 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
vt_proc: process
variable pt_f, -- plaintext input from file
ct_f : line; -- ciphertext from file
variable pt_v, -- plaintext vector input
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter : integer range 1 to 129 := 1; -- counts the encryptions
variable round : integer range 1 to 16 := 1; -- holds the rounds of encryption
begin
-- key stays fixed to zero
twofish_key <= (others => '0');
while not endfile(input_file) loop
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
input_data <= pt_v;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00001000"; -- 8
odd_number <= "00001001"; -- 9
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector(((round*2)+8), 8);
odd_number <= conv_std_logic_vector(((round*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Encryption I=" & to_text(counter) &" OK" severity note;
counter := counter+1;
hwrite(ct_f,output_data);
hwrite(pt_f,pt_v);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
end loop;
assert false report "***** Variable Text Known Answer Test with 128 bits key size ended succesfully! :) *****" severity failure;
end process vt_proc;
end vt_encryption128_testbench_arch;
| gpl-2.0 | e3af92890ac259f007d96f717ad11c1e | 0.649621 | 2.696906 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/metaheurísticas/fir1_spea2.vhd | 1 | 3,638 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:30:48)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir1_spea2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir1_spea2_entity;
ARCHITECTURE fir1_spea2_description OF fir1_spea2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 and input1;
register2 := input2 and input2;
register3 := input3 and input3;
register4 := input4 and input4;
register5 := input5 and input5;
WHEN "00000010" =>
register6 := input6 and input6;
register2 := register3 * register2;
register3 := input7 and input7;
register7 := input8 and input8;
register8 := input9 and input9;
register9 := input10 and input10;
WHEN "00000011" =>
register10 := input11 and input11;
register11 := input12 and input12;
register6 := register7 * register6;
register3 := register3 * register5;
register4 := register4 * register9;
register1 := register8 * register1;
WHEN "00000100" =>
register5 := register10 * register11;
register7 := input13 and input13;
register8 := input14 and input14;
register9 := input15 and input15;
register10 := input16 and input16;
WHEN "00000101" =>
register8 := register8 * register9;
register4 := register6 + register4;
register6 := register10 * register7;
register7 := input17 and input17;
register9 := input18 and input18;
register1 := register1 + register2;
WHEN "00000110" =>
register1 := register1 + register5;
register2 := input19 and input19;
register5 := input20 and input20;
register10 := input21 and input21;
register7 := register7 * register9;
register9 := input22 and input22;
WHEN "00000111" =>
register1 := register8 + register1;
register2 := register10 * register2;
register3 := register3 + register7;
WHEN "00001000" =>
register1 := register3 + register1;
WHEN "00001001" =>
register1 := register1 + register6;
WHEN "00001010" =>
register1 := register4 + register1;
WHEN "00001011" =>
register1 := register1 + register2;
register2 := register9 * register5;
WHEN "00001100" =>
register1 := register2 + register1;
WHEN "00001101" =>
output1 <= register1 and register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir1_spea2_description; | gpl-3.0 | 5f646d405190a5193caa73cc1e4268fb | 0.681968 | 3.239537 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/mux4.vhd | 1 | 10,822 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
V1qQ/dO68m3x2EnMAwJABWD40Yxb848s2Qek5JN6KRC/8MOasBnl0mKJFELD1NDie9fgcLxKhTAj
JB47bHQVCQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZBudBrfNpokdq5xFNGqXytmiQloUs6dF+EdzNphIulqp+iN9Dh2Olb6+/Zz5NnOh+T+yFlI+3EKq
fJNCNB3gIRZkLI3sKG4B5YfI78fTh/PEBcP985aHFMXYTTC08kh+2Il6DiHxObU+lX8F7Dso5+Wa
gNxPwIFhAxn+H2OZ5I8=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
GmbFyNHdf8DhwMpH7fWVVMB6RX5cf+kYqOpoXXlze1gf4WXTwlC/GRC+Qh8WWDRIG4twF7BPe80d
aAFD14dWPQrUvvPpMbp186WMiP2l0IT0ANnP5WeywAF73KHunQ7JY0Ny2iFCT37tj88rpxj4CWwZ
artlcK/B7NAm0d3Y7Au2seDBqk3pKXqTVbt1rq9yBtZBvUvYI+lUOriuf/3avIAo5wQagQ26F5Cu
My/yOKHKl+fu8CkLzLxyFjaHoYOMUPaxELRBHg5IST0ywjrYgaoqbjVLZPmOcamnEdEU42k09XgS
nQ3Lzr9PQ0NrLGwBqeQkwC2p8Esy0mioYFSH+Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BiYn69Saf66vv8xlV2GIFeO/YWzj+WsH4Rw/DKXfRd7eU7R4GIEBJEgGucn5o6L399cOgsneivWK
Fbi/jecG7bmyk2DhFtKBXcluVjfRxVCClVp44Ibt0GgONl0x9gCl/GI2ESRcru8u5QQIWh8SpQNH
1btlIAezhDHI9wk0D+k=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
S4p72U0eTTEWNqkxciCGDR9vJZrZMqzfzH/g7Kmg9Vniw+/g29OTnZpdoQGdQwjzARF68Yw9Ldvc
DAjHvXnjqiGznsYU6Lp1uDUDAY/nSC18an79HynEkeRsTsFk5wcwE/2MPv2FGrj43e82Emwzjmsm
1pIZFCji0T1tcSbnp1Umxn6ZTgPaGrfp4vAFSjXAyB5OlSFsbs3RzYKXr9gJIixQYe1bzU/Uj02j
dl90KVMterr0wotEawN4daHqcjx6e+1W+H/hu/AvCmdcVIYw8sCqx3xElFyRsJq9h+HtfJP2DArh
wwadKFMOEvD7d3OZ5xtxmumXo5pt9fEGEYnpcA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6272)
`protect data_block
GHHGRuu9Y64x63XFmJ//1acflUPbhdVVg6gQZT6LmZspQY1s1PrswU0nEKRZk+C5Y3gVrrMpgyYf
183P2BXfDGQsMsTqtzI5660XQKrHm40SXET5jVZZ2mBYW/tFpUe8DeUs0Ly0neDoYtXnyNLj69uD
Hlj46ocd2zroS1d+7RMqCwSmsWfCE9p56Vr9kQxRFkiP9uIoaQUztS6WV5FOopof/4Rcjy6to9wV
rO/5ZmIwh9WZkd5V1CCxttsON9X62ACYbGss5NTM8KmSHwonj/bmCh1g2LxI5wwl9+jwmpxZiLUJ
VK1LF10YD3GLgaAHumMASRJZukmj3O5A41Cl2ZOOe3i7kVv+SMCzbzvyWwGSJMG/Qm+HxiB1KD+Z
roIN7Y+e0ym378tyxed6WbT/mgCCGSb/oxLFEqcG9V1QfJTZyK4Ro0pCqE+DrLNQ75HKVfddiP3B
ImLq/Ia2MOI05uWXKoxYASZ7WL4noibV5J0Eh4oRpoatUmZt7oojhnnKrQdjwhw/Z0whRMAZX0Q3
B1TNHlbd/ZBohSyZf1Y3aSA7cjus9WiYkpevUcC0cMYUYEQVa9/H73VREoSq+HW+7/YbEhH73Hxb
8xLuUikVD+X/k4y4hBO1o9X66v3hmG8TGzhZfoW674gW389GSD6YL6NQtEFBYY5fiMfewMYb4v9H
cat8VilqpYn9ZoRNa0A8nhuF8VdpIjKddjUon4tpV+KFH5rg2b+nJUBjt2iufDR4Y47jLpwz75rQ
yBM7Mxg5/8hfdLQoZXC7y2mUGJXq8ab3mB8MwytmA/chwKoVXRaCXIGnUWUmaCOdpXjn3ammMHsq
4rcjYwsBBHGaMgjV+ofvl9do27GTl3vrRwYpaL5Rnxw7r79OejM4xnRNpjM9OZBNnohBlBJytriE
wGofgd9KUCF7LXnUNq/VnM0FdVdVv6dq1xpvGH26V7rAJ8Aq9aVYRHjVOH8rcLPlDvHkxk+K63Hg
jEb3Yknmk3aW1Oi0LzeRuEnKvYC5511HkJ0OTWW4kuUd0gHhH4/WDhBxS5mCJTqlMUD7PjGD3cV6
BSH8QRhyVdmkPdJTgn+Bxzb+emvAk5etISps4mZRboyR/AbjdibXJwtmkBUSW7YHnepeE1WrjARm
cex+I3VPhpEs8mWy95pA1jSuqEnS+eXrnWgtHCkb8K/COKSY7e0LQtbowvWVpp7XRcNge3AMu4RG
Cv7L8mAy7/scwQfNalr1jtvYEDH6cJ/uX304bizhgDjIm/yBVMM7JW27v2375tqXQZlUJVaR2HLF
+aaTGVK6T/e7u34/AlWGmxmw6RiYYvAXaIILFkumyhUhb+9VxOBiPwTZIyneJ193rFpRIeaYx+fe
WeyaMVpCDNq9rM+Resa4Lj6G6DVRyqHC6XNgVm28R5F69WKD/JNLbo3KHQLtJOj1mY6N3mXLjPMO
VynMBhhXHm0Erd7eMDHd37in4V0Z9dpaVBilOU1tsPVObA05wm9/p6X2uFIP3DHpP09TzVIGas1h
9Noyu8GMpN5cqVu8alOeA6br3A57lEy+04ygf1imVQHi/+QHgkibV1UhVxGFByQIg1E1NIiYVLEr
0KrCzV/BlJwc0q6MHvs2OPkBGXeZ5YVL+/rPxk/idVtFVZRaKZAuwVWr4VwYi277d37r5EhXj7cr
Y4s9XctoVuEnnaw9E88HE7c3x/aBipVQSZuoJm8JxBss+mOA02d7vKyrZmA2QqvikO379IGjspC2
p+EHTwh2r3WOzg0tQLUDgAVQHMANkEXx5kH9XK9HvWNw3J5FZEXbT+QUToIeNCAxz1X+NOL92ID/
0gxtCL8morm5TYwZ3RiAa3gOmwDr8jaoma36MhwHf90cJ8Ff5uK4O9V2WY4x+x7a52DcAuo8mowe
uPi1omaxTz7JWOUXTIK4b/Q7dC68SnSvEu/JqeCk2Rhj0IttCtnjDr50yHGUF3nPTmmMckQTF5OG
6t/KWwx0ACR3pSDCkABRgYlNO1qZ/3Oy9v9qih1khR50GRgShO9dp9/xR9LCK+lZqbutaSeHmtxZ
+hecXqrX596Whr4b5RwGdwHPZTDNxJGjz4oLc4VE9SaCbkumaTOh1sVzrEn3R4r/HPsS4rjLKwMJ
D65y+W7OY08l9iY6oiyf4tIKS218OpQr8mO+ysWQKwmGTfuazlksfVTEZiXpmQ2kEE4ZP3Vcr5pW
XF2e390WrhbfuFW9ttUMCAfY0F8E93YH/cxXq3SlVYPzK/LQp12DyseZ2gO19gTWRxb5TV/Y1Jfx
KlONc8wmSaPvbmuIyj5XTeJW1DiSMKKdC9Njd9/ajk9L2/qo8cb9eMStwUIm8OsMXT06MNuWumYR
kxdgl1s8j0Al+twS1QikGK3+li5v4f7NYkWSuGPr0Mwd5a3+vC79ZVBoJhTiTxZOPOd+meEQBi4a
iAMb+fymnMpNCSUq23GSDw1oHVkUdS3GIEy/sjwKKkosoO5UJnDUe36bmUMLAvkwvvX70YUZqXL4
TKMxfK3jFAAwcKbzGTjBNP1+/gwFfvedk6NUEAQljyQ1JGfnEJ+USjO25bQCwRlRNG9d6ECpUuuQ
S9yvYhSWyZn70RYmh6Xoj9HEL873ZTnYVv7fCZk2MuTXHx4raneERx8u2TKB4sdrkdofEPwdnGV/
PMAF/qzQaNCjPP4YVhu6Toud0oZUxNyf3VnHrrupt0GD8F5vkaTxRdofHP2wv1qQqOQkSq8MU+xU
eSkdHzVMYS5QEucToeiEBk8WlbdV1Q/bvedaN6Boi2E3vnm6BTiisZSp/n3Z7wJFJZeB9ntQzPbD
aRIBeSdflDS3l5b/Uh/OcBGC8JtylC2cDtifIFbww+j3oq9u7sjwJOKnxQ4beulII8kROoZcxzIL
HgEgpAZ0DNMw0ZL++7K9OP1gXOiKNq9eP1+iaoEIocgemMStP7HZ66PnhJoglXxSeDajpdMbOK8r
RUe46i9LQFEHX4p9md7DXd16CW+dC2LkB1QMaGEefK6QLdC/O/YqDdUZfvQHf2HKSI5ppzreRFi4
ZHINNTEB7mzr+MOIjVQ+RDwPR3xtPt/kZG5SsNM9+Q5/EsxUJswkhWrS5sJ/ZfofeRzu/ul+T4Zr
trBZVCO+S25tB8TixBaW8YPWN8ceIHcTkAfT0zG1Qlwt6AAUAKO0iqGjDfxEulAh7QysF7oxOPAq
29tH4+F0OX+5BIfzen5gS3zYKiXG8sb4Z65ehtBZZl0PNR9qRH08MGLlu4drvfLBYo+8WPlcBS1X
uOpioKtsLcvke9WhGpr/oVIGHH5rLa1m4ypknIUek4zaYVCLz2J5ZfiGOJYPHSMZFmBHbeKgIYpB
j5dqnXv6HAJET+UdAj4RRMaHe1MW4Yr5zm3now6z8bW1n2z9MYPZbEnF2hJtwYYyfuwUDVm8CXm5
dkLnABvgz5Pyp3zO4EzrXhX0Y7bqOdsztjEJ0oizK77w77I7RZ8hx8WXoA5CCMO3K7fUwnZz0jMf
5D8o/8yHxEuAdnUcjZ94sK2MoFZGW0ExVRCGCKAnN/k0BQZWeQHB5a51t6todaajsc7/Nwem1yxZ
vOc6n2vY+zB2ujoNMF4Wn29Mfk8dgzweXBM8tdcL7F1Vyp0AmjcDLt9/V+0nRTP7o84x3UU6KpG6
cxj/lKWQtG5sfR5J4aTo10goVMgmNU4uAPM0wTZ23iXtMAJ1lwoKFbVnW9dHdW76I81hW9FVQcTH
VA+4hULj3iEO6eI7Jc92nRR4QniVwFnuvIJa8WoaDYE+whr1XkL6XVq1UUTd2fJA5qiPBrPvluTi
dwTgjunUU81nPEUxOmitG+03iFXexepU5cgKZlbdVfufeHe35txDjye28tWJO5ek4BCwN72KDvtt
bE5CiXpun8wICUsV0AEMRNhxI0esposyuOzQ155IBW7Wk/Fejh9o02y4hz8X2fhu2uA75xB/PfL8
ZdHSo465tj24UBKbVSvzsHYzqN0/QdIDLRm6UFZoo0gO3jXpM3bdLucTPggUcOuxmjmVsJHOFLln
IdhQS7RD5Z/QbjjpBGlch08SJyhH+WMgLIflghmE+zHoVAvtFK6ggv+g99rRgRV/RSm7DjYShqPs
ZVtaMelDU5+yKNf8lgcINV84SxA9dYraUr4u3dsNCMJUWE4j+F/U0Zjg1IFjK/6AnxcdFFwwZDx0
hL9k0iVA8Me6+gtULYTPreKLeu2H97qdt+viPR5Nke+c8+zZQbPo7xR9cT9JpesZ2g+fOeQt6H7k
gGPAmcduuDNcuX9dUTRnMyDw8H3zmwsKXC49CR+95c3uXUrjoOHY0hXjH4Qo5HFZP1BLT+5xaj15
FXJ0xxr9+F3j6NmHfz9dI5nvdDLuX+RWY8svpyepaa5PcdMrcGKpmXOWj8CDPGjmHlFam7BdaSPM
wSOLCr2TycgQ5AYYt+0PVET/qjlSo1TRSXRZjulRZh0/qjdbcktAhr2T8C/zkidl1Qc7D/b44dzw
L2mtrL4CyNwQ+D5B55QNcqrBVQP2A4vPnzehINoDxVOYlsPfuRHevlk5bNTL+AkecbgKcjhBEmUQ
r/5f61QWVqcVuy3hjrZRvyCOxt33zKfXzULGBPKGql1gCbAQYe0GW+MXglZR2KPZOsDQV9qTBEhn
K/bpYYf7+Qtoy/rO/u/QHcAEcxURLuOl7DUTL9emP5+fCXEbdvlVSkwNZFG3mzEhf66djpim2Ug3
r4Fe5MI6UFLUOuoO6UhPn0X+oV/a6EJs+BATiNAeRjp/8Qowv1EGle46D7l3u2HpWrpfy7orrGqw
IBAvOVXppug56lR4dTkGNj6690GSAmGx2w0lOAM3uprvCu3NYKdLK/vpMKCv2WBuA/o6sHGM/ySE
G/O4LYETtnoYQpErnmWpiHI3L+FQGbz896fBossUvYn+U3jfn8q8ycRDntvwXKuh7V8La5rp3o+/
Uwygi6617NoaRU9AA61kDGDpeLLtDA/Ca5oWfFEjKS19zIxvaWrWHSTyqovYJCoViQjrE4/5Sr5j
OI/tZKVkHDw8VlD60/HugIaaLCkPVCCfEeC+4rnvlu2GWbmlpAdOXxewsz1z37k3GTGbWR7cs7PF
W7KRqqfDaBd1fK8JtQdp29C0gmAM5S8ngwizvxYiFKnV9MfhOY4FsXWek44a7xPVlDlJXOFAmDnt
0mgifE71mWB285H/wPJjKJsA55tbj5Sk/Sb9PQIiBWK2ivH1zDglgk6VC6PtdanmscVzLgBnyaJ8
I9Hqm35Fca3DB0GYYLFQ5MYFsJyau1OCMVNBeyzMoq6PjyyMVRUiKryO60sdWH6vuVlg8Bvt/N1c
PZCWSzWq/V84W8h6zu3mBBMI6u7GP8jTanjORZUYgb481qlN4/ZwSMCD0dd1MZrYifmFJ+hd3Mhq
vcIh56cVgjOXB87bxOZNGtTvN8yyD9da/mtJ+YX7xwxzd5IyLXDJeCKFfOlVg7QBaYwN2FSMSk+T
tubp15WmBiwiMVSQP84y75rshaMrnpcXuIZUEaukWM0GcwXPCou3BValgiYK9qPe2Hjrx8emJmvL
01xa8+dugJXokDkRIfNok9W3uV1gnfKFbCSgiVbB7YGrT0r2WnFFSEl8OtY75u6j9nStKBx9NrgI
OC8DViSu+CKN/DWCdIAsZBWqy9YYIIkgWAE3v/qDv+BzbBHl0R9lCFHE/veUGx/bNLMnEQf4ULqx
at3gOUJxt010JbKqes9Qj4tppFKEGHPPW2oxB/HPvTkSXNxL7LZ6gaZvgSh+BG5iBXa+WKe0MSKH
2dVPyp0l+SnZLgVgfro8mCVdQZ3T6+0f8j/NPuyG79BGvSBf/pJBi+KNVczY+g0G5hAbN98YoWkB
k552nRWSCwwKE2GLv+xvo9YelEFEZ4nZAXj4DL7rbsbSWLv7mhL6BXwAJ32U8n0eispHje1O02Yi
nNY61SHZTRmNi1Cj5l1OUShCIGellCdTIvU1LyqGwaEQjw+MlUamZqL6s7DHWdzBKzhBOg4XM06I
TSjEOQ6wcUaWbSTNGvIaU8HFntiAZ/WvAQukxcgpVvLvdMnTXdAyitSbJ7T/6jRBG6Gwl6ApstYR
E9NaTjmhFteaBBCBMBgMMnvuHHOlMJmKBPglbtA0S5rm93+4ddM/woC6CkwDQdngF8HCUiuNlDFN
HPaTtM7SSZBfk3M2PBgFngUu3Cd6FpfEdd7nyXNM0G11uZIIDwFM0/8TJIiSg28z1VeJnAhCpRFh
yYqrfQJ6VIjryUzdggZAMA+eNrg0SE4rav4Rli7tFoioBpJI6jKc8plp0VbyrQzfAIxuHNlDKyfs
W9aeXqe6pNkOtSgWw5SEnsTfS625d2NulVJE7jivxCBam7MyGV/c7GbhxMbe+ZY6/EmuuUvcbIrE
U/f7HMK+kTHo4ncSugiGed0alBOqUs7K+hrERJ3awQt8J9pcY2Q758ennniuSES1CrtzbzorSzEj
FHprjeIDVlHOis1XDzgWb4R2a+ZFH7oEoF16lw+Z9wZCN9besEPpUMLoaiTiKA1iJbGMGySjl2UR
nxY/Tmala3bfDqICu/QS1ztQAdFAMHzvczvfLj0c9OtZ9EL54kACWQvYzIJltmppv/HxgN6E+Sem
xz0r/M6zLGDINgelh8UvSKWAQDpiVKyqxYQ+sSmHR5aRONitcp2WfL4Wk5Sce+NptOP2FMQozY4Z
C0B6aPX1I89iqAgfzlKCLwxgEnKTwnd1nEHSEHlKYcZieIx4noB1lZf1pxzcSIPzuVYj2C+wTWZ4
uX0QYY3yzm2eOm5upDX2l+h7705WVd9zZYgt0AOvLICf5+130lTOgm3PHgpxE89S38vwZ5EbKQYw
uCBuLiybcVGhDbMMowjyyi0mQM2CALum2AeWG6kn2mxBAl35uMcZ0NakvNtp1aUAGW2FvawIoJXT
8ePpHSAjS5ClFt7OGI6B8j1yuF33dcC+Ldz/ruoVhlEfTno8uorxPB/GQq497lVuOLFNEsaw4CZl
0gCoouPMlOCld2XR638vke9t9G6ywBQ1chhYJLdW/z9J8eQozdeHYa3mjbJzUEuG8XFLhXrahKYg
LgHdl10gsPHEBTFBeQBt5Qkr3zfxAVwkerK6h9wVi26L0Ga/43xplat1DwhffLWebLP1AxEh9EOa
afubMy9KfosCQZpklg6bbr5PKMR18mp9Qg2eg93fgZObC2yF2tb9CU8GA3MrsoWcHqhSpOCjJ1+P
qNNI/vSNblCRiAXdKLNwKuIbVRukGYn/vdAAb0jrfoOjFBSTcmXXKPLtuCxJjmr1MXJZkoUdI5ER
7cmvg/Zw7+/RKVHtMa46srgTzQ/x2hIraUi7EmpMPXiF56HZeZfun1pkVkPNRkfQaatHZcTf5qou
AbqZLkbxf9/yxYv3irjdCMuDTB3Z4fnX83lyn83iamiVPityldgU26pzpzAtGH/NL2QUZsgB6O2I
ewMyr30tqWp+uAaTN6HCXSR5vlo3ERVsNNXL3tHc3b4zLQd/kKiTFWk+ReuBvmcjAHccIZ+QC9Kc
aM9lqpJ6/fTCt/AwfK9enD4yrklAAOtI/2Lh86+YXu0/ypeS59rdCQPcHjvTmk6+mT5+rZss1oax
C1NGOza3p412oubohngyxgN8F7KMjlDj0toqBQoRdlzlGqQKT9IR7nSP4M0fx4ScXIVAgn637lnl
4YcDeRxz5TGmuxUA28SUFjwvGK/sqoq/4QR6mMRycOAgHLhZw9ZZqjlhvT6A6GuShezDKdV/71B5
1O6NqZg4vPKqrzYo2sKmF9DhTRnoV8RdlB6EKurkgufwttX64/aS5Y0h2j6fh8dM0V7Qoo3UqQhq
haiRWYh97FuZbEGC0ciBtdX7AzNKShAZrOqtFiLbiLEDkXmccQWWbN829A8N40zcRRa7I0jpFGBQ
fbTZaqFK4HT/RxmCfm0jbe6Ais9DD7mwdILH0GCPVAIoeeI/BU84abMlk4mgyUFl5++7+pRtr3Nr
5XwGJzhID1kXRzCZELnofxaX6tjBHZTPtK3bvqp1uLVB4VwCnzFDlz11wS79CCqU1Ezrdv5d67Cz
ey7vGuO21rL51mpd5XZYwG6cQhPNOmCPOfFQSjzn+sTd9yyq7KGkvMk4XdKYFgvOEQVqifs6mDGZ
gZlYvPle7+dkZcFni5DI3A8hAaGegrJ5aN/s4UygN4vte9I7LM6iSG4Q9HzXg1+uZ9AwDx62pbXC
ERAEH8QoELqXy5Z7i+w9NyPo/z4kH16pbeWPPVoNJhQRWeE9V0I+OXpg5PHZDDDhatGYJIh7CPME
SY140KYacef5NSgvCozVnKAmn/03wJF+V7ilq+uTCbWh0AWl0Ofd9HrBzptl67u1rEtL1SezoKu7
A0U=
`protect end_protected
| apache-2.0 | 04d97893b4a3e711ccd6b711bace7a6f | 0.925245 | 1.885366 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_rd_llink.vhd | 1 | 17,302 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_rd_llink.vhd
--
-- Description:
-- THis file implements the Read LocalLink to AXI Stream adapter for the
-- AXI Master burst core.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_rd_llink.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.1 $
-- Date: $1/29/2011$
--
-- History:
-- DET 1/29/2011 Initial Version
--
-- DET 2/11/2011 Initial for EDK 13.2
-- ~~~~~~
-- -- Per CR593485
-- - Modified the Error logic to clear the rdllink_llink_busy assertion
-- when the localLink discontinue completes.
-- - Added logic to complete a Read Discontinue per LocalLink spec after a
-- rdllink_rd_error assertion.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_rd_llink is
generic (
C_NATIVE_DWIDTH : INTEGER range 32 to 128 := 32
-- Set this equal to desred data bus width needed by IPIC
-- LocalLink Data Channels.
);
port (
-------------------------------------------------------------------------
-- Read LocalLink Clock input
-------------------------------------------------------------------------
rdllink_aclk : in std_logic;
-------------------------------------------------------------------------
-- Read LocalLink Reset input
-------------------------------------------------------------------------
rdllink_areset : in std_logic;
-------------------------------------------------------------------------
-- RDWR Cntlr Internal Error Indication
-------------------------------------------------------------------------
rdllink_rd_error : In std_logic;
-------------------------------------------------------------------------
-- LocalLink Enable Control (1 Clock wide pulse)
-------------------------------------------------------------------------
rdllink_llink_enable : In std_logic;
-------------------------------------------------------------------------
-- IPIC LocalLink Busy Flag
-------------------------------------------------------------------------
rdllink_llink_busy : Out std_logic;
-------------------------------------------------------------------------
-- Read Address Posting Contols/Status
-------------------------------------------------------------------------
rdllink_allow_addr_req : Out std_logic; -- Active High enable (1-clk pulse wide)
rdllink_addr_req_posted : In std_logic; -- ignored
rdllink_xfer_cmplt : In std_logic; -- ignored
-------------------------------------------------------------------------
-- Read AXI Master Stream Channel
-------------------------------------------------------------------------
rdllink_strm_tdata : In std_logic_vector(C_NATIVE_DWIDTH-1 downto 0); -- Read AXI Stream
rdllink_strm_tstrb : In std_logic_vector((C_NATIVE_DWIDTH/8)-1 downto 0);-- Read AXI Stream
rdllink_strm_tlast : In std_logic; -- Read AXI Stream
rdllink_strm_tvalid : In std_logic; -- Read AXI Stream
rdllink_strm_tready : Out std_logic; -- Read AXI Stream
-----------------------------------------------------------------------------
-- IPIC Read LocalLink Channel
-----------------------------------------------------------------------------
bus2ip_mstrd_d : out std_logic_vector(0 to C_NATIVE_DWIDTH-1); -- IPIC Read LocalLink
bus2ip_mstrd_rem : out std_logic_vector(0 to (C_NATIVE_DWIDTH/8)-1); -- IPIC Read LocalLink
bus2ip_mstrd_sof_n : Out std_logic; -- IPIC Read LocalLink
bus2ip_mstrd_eof_n : Out std_logic; -- IPIC Read LocalLink
bus2ip_mstrd_src_rdy_n : Out std_logic; -- IPIC Read LocalLink
bus2ip_mstrd_src_dsc_n : Out std_logic; -- IPIC Read LocalLink
ip2bus_mstrd_dst_rdy_n : In std_logic; -- IPIC Read LocalLink
ip2bus_mstrd_dst_dsc_n : In std_logic -- ignored -- IPIC Read LocalLink
);
end entity axi_master_burst_rd_llink;
architecture implementation of axi_master_burst_rd_llink is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
-- Constants
Constant STRB_WIDTH : integer := C_NATIVE_DWIDTH/8;
-- Signals
signal sig_inverted_strbs : std_logic_vector(STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_llink_busy : std_logic := '0';
signal sig_last_debeat_xfered : std_logic := '0';
signal sig_allow_rd_requests : std_logic := '0';
signal sig_debeat_xfered : std_logic := '0';
signal sig_stream_sof : std_logic := '0';
signal sig_set_discontinue : std_logic := '0';
signal sig_rd_error_reg : std_logic := '0';
signal sig_rd_discontinue : std_logic := '0';
signal sig_discontinue_src_rdy : std_logic := '0';
signal sig_discontinue_eof : std_logic := '0';
signal sig_discontinue_cmplt : std_logic := '0';
begin --(architecture implementation)
-------------------------------------------------------------------------
-- LocalLink Port Assignments
-------------------------------------------------------------------------
bus2ip_mstrd_d <= rdllink_strm_tdata ;
bus2ip_mstrd_rem <= sig_inverted_strbs ;
bus2ip_mstrd_sof_n <= not(sig_stream_sof) ;
bus2ip_mstrd_eof_n <= not(rdllink_strm_tlast or
sig_discontinue_eof) ;
bus2ip_mstrd_src_rdy_n <= not(rdllink_strm_tvalid or
sig_discontinue_src_rdy) ;
bus2ip_mstrd_src_dsc_n <= not(sig_discontinue_src_rdy) ;
-------------------------------------------------------------------------
-- Stream Port Assignments
-------------------------------------------------------------------------
rdllink_strm_tready <= Not(ip2bus_mstrd_dst_rdy_n) and
sig_llink_busy;
-------------------------------------------------------------------------
-- Stream Strobes to LLink REM Conversion
-------------------------------------------------------------------------
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_STRBS_INVERT
--
-- Process Description:
-- Inverts the Input Stream Strobe polarity
--
-------------------------------------------------------------
IMP_STRBS_INVERT : process (rdllink_strm_tstrb)
begin
for bit_index in 0 to STRB_WIDTH-1 loop
sig_inverted_strbs(bit_index) <= not(rdllink_strm_tstrb(bit_index));
end loop;
end process IMP_STRBS_INVERT;
-------------------------------------------------------------------------
-- LocalLink Busy Flag logic
-------------------------------------------------------------------------
rdllink_llink_busy <= sig_llink_busy ;
-- Detect the last data beat of the Stream to LocalLink transfer
sig_last_debeat_xfered <= rdllink_strm_tlast and
rdllink_strm_tvalid and
not(ip2bus_mstrd_dst_rdy_n) and
sig_llink_busy ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LLINK_BUSY_FLOP
--
-- Process Description:
-- Implements the LocalLink Busy Flop
--
-------------------------------------------------------------
IMP_LLINK_BUSY_FLOP : process (rdllink_aclk)
begin
if (rdllink_aclk'event and rdllink_aclk = '1') then
if (rdllink_areset = '1' or
sig_discontinue_cmplt = '1') then
sig_llink_busy <= '0';
elsif (rdllink_llink_enable = '1') then
sig_llink_busy <= '1';
elsif (sig_last_debeat_xfered = '1') then
sig_llink_busy <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_LLINK_BUSY_FLOP;
-------------------------------------------------------------------------
-- LocalLink SOF Flag logic
--
-- Since the input AXI Stream does not have a Start of Frame analog,
-- one must be generated here and inserted in the LocalLink output on
-- the first data beat of the Stream to LocalLink transfer,
-------------------------------------------------------------------------
-- Detect a data beat tranfer between the LocalLink and Stream
sig_debeat_xfered <= rdllink_strm_tvalid and
not(ip2bus_mstrd_dst_rdy_n) and
sig_llink_busy ;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_LLINK_SOF_FLOP
--
-- Process Description:
-- Implements the LocalLink SOF Flop. There is no SOF Flag
-- that can be derived from the Stream Input.
--
-------------------------------------------------------------
IMP_LLINK_SOF_FLOP : process (rdllink_aclk)
begin
if (rdllink_aclk'event and rdllink_aclk = '1') then
if (rdllink_areset = '1' or
sig_last_debeat_xfered = '1' or
sig_discontinue_cmplt = '1') then
sig_stream_sof <= '0';
elsif (rdllink_llink_enable = '1') then
sig_stream_sof <= '1';
elsif (sig_debeat_xfered = '1') then
sig_stream_sof <= '0';
else
null; -- Hold Current State
end if;
end if;
end process IMP_LLINK_SOF_FLOP;
-------------------------------------------------------------------------
-- AXI Read Address Posting Control logic
-------------------------------------------------------------------------
rdllink_allow_addr_req <= sig_allow_rd_requests;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_ALLOW_RD_REQ_FLOP
--
-- Process Description:
-- Implements the AXI Read Address Request control flop.
-- AXI Read Requests will be withheld from the AXI Read Address
-- Channel until the LocalLink Destination is ready to receive
-- read data.
--
-------------------------------------------------------------
IMP_ALLOW_RD_REQ_FLOP : process (rdllink_aclk)
begin
if (rdllink_aclk'event and rdllink_aclk = '1') then
if (rdllink_areset = '1' or
rdllink_llink_enable = '1') then
sig_allow_rd_requests <= '0';
elsif (ip2bus_mstrd_dst_rdy_n = '0' and
sig_llink_busy = '1') then
sig_allow_rd_requests <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_ALLOW_RD_REQ_FLOP;
-------------------------------------------------------------------------
-- Read Error LLink discontinue logic
-------------------------------------------------------------------------
-- Detect rising edge of the Read Error assertion
sig_set_discontinue <= rdllink_rd_error and
not(sig_rd_error_reg) and
sig_llink_busy ;
-- Force the assertion of the Source ready at Discontinue
sig_discontinue_src_rdy <= sig_rd_discontinue and
sig_llink_busy;
-- Detect Completion of the Read Discontinue
sig_discontinue_cmplt <= sig_rd_discontinue and
sig_discontinue_src_rdy and
Not(ip2bus_mstrd_dst_rdy_n);
-- Must also assert the EOF on a discontinue
sig_discontinue_eof <= sig_discontinue_src_rdy;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RD_ERROR_FLOP
--
-- Process Description:
-- Implements the register for the read discontinue flag.
--
-------------------------------------------------------------
IMP_RD_ERROR_FLOP : process (rdllink_aclk)
begin
if (rdllink_aclk'event and rdllink_aclk = '1') then
if (rdllink_areset = '1') then
sig_rd_error_reg <= '0';
else
sig_rd_error_reg <= rdllink_rd_error;
end if;
end if;
end process IMP_RD_ERROR_FLOP;
-------------------------------------------------------------
-- Synchronous Process with Sync Reset
--
-- Label: IMP_RD_DSC_FLOP
--
-- Process Description:
-- Implements the register for the read discontinue flag.
--
-------------------------------------------------------------
IMP_RD_DSC_FLOP : process (rdllink_aclk)
begin
if (rdllink_aclk'event and rdllink_aclk = '1') then
if (rdllink_areset = '1' or
sig_discontinue_cmplt = '1') then
sig_rd_discontinue <= '0';
elsif (sig_set_discontinue = '1') then
sig_rd_discontinue <= '1';
else
null; -- Hold Current State
end if;
end if;
end process IMP_RD_DSC_FLOP;
end implementation;
| apache-2.0 | e889472c2e191605d031a7d5a87355cd | 0.455265 | 4.974698 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/mdm_v3_1/0d9386c2/hdl/vhdl/bus_master.vhd | 1 | 22,788 | -------------------------------------------------------------------------------
-- $Id$
-------------------------------------------------------------------------------
-- bus_master.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- (c) Copyright 2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Filename: bus_master.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93/02
-------------------------------------------------------------------------------
-- Structure:
-- bus_master.vhd
-- - srl_fifo
-- - srl_fifo
--
-------------------------------------------------------------------------------
-- Author: stefana
-- Revision: $Revision$
-- Date: $Date$
--
-- History:
-- stefana 2013-11-01 First Version
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
entity bus_master is
generic (
C_M_AXI_DATA_WIDTH : natural := 32;
C_M_AXI_THREAD_ID_WIDTH : natural := 4;
C_M_AXI_ADDR_WIDTH : natural := 32;
C_DATA_SIZE : natural := 32
);
port (
-- Bus read and write transaction
Rd_Start : in std_logic;
Rd_Addr : in std_logic_vector(31 downto 0);
Rd_Len : in std_logic_vector(4 downto 0);
Rd_Size : in std_logic_vector(1 downto 0);
Rd_Exclusive : in std_logic;
Rd_Idle : out std_logic;
Rd_Response : out std_logic_vector(1 downto 0);
Wr_Start : in std_logic;
Wr_Addr : in std_logic_vector(31 downto 0);
Wr_Len : in std_logic_vector(4 downto 0);
Wr_Size : in std_logic_vector(1 downto 0);
Wr_Exclusive : in std_logic;
Wr_Idle : out std_logic;
Wr_Response : out std_logic_vector(1 downto 0);
-- Bus read and write data
Data_Rd : in std_logic;
Data_Out : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
Data_Exists : out std_logic;
Data_Wr : in std_logic;
Data_In : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
Data_Empty : out std_logic;
-- LMB bus
LMB_Data_Addr : out std_logic_vector(0 to C_DATA_SIZE-1);
LMB_Data_Read : in std_logic_vector(0 to C_DATA_SIZE-1);
LMB_Data_Write : out std_logic_vector(0 to C_DATA_SIZE-1);
LMB_Addr_Strobe : out std_logic;
LMB_Read_Strobe : out std_logic;
LMB_Write_Strobe : out std_logic;
LMB_Ready : in std_logic;
LMB_Wait : in std_logic;
LMB_UE : in std_logic;
LMB_Byte_Enable : out std_logic_vector(0 to (C_DATA_SIZE-1)/8);
-- AXI bus
M_AXI_ACLK : in std_logic;
M_AXI_ARESETn : in std_logic;
M_AXI_AWID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0);
M_AXI_AWADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0);
M_AXI_AWLEN : out std_logic_vector(7 downto 0);
M_AXI_AWSIZE : out std_logic_vector(2 downto 0);
M_AXI_AWBURST : out std_logic_vector(1 downto 0);
M_AXI_AWLOCK : out std_logic;
M_AXI_AWCACHE : out std_logic_vector(3 downto 0);
M_AXI_AWPROT : out std_logic_vector(2 downto 0);
M_AXI_AWQOS : out std_logic_vector(3 downto 0);
M_AXI_AWVALID : out std_logic;
M_AXI_AWREADY : in std_logic;
M_AXI_WLAST : out std_logic;
M_AXI_WDATA : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
M_AXI_WSTRB : out std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0);
M_AXI_WVALID : out std_logic;
M_AXI_WREADY : in std_logic;
M_AXI_BRESP : in std_logic_vector(1 downto 0);
M_AXI_BID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0);
M_AXI_BVALID : in std_logic;
M_AXI_BREADY : out std_logic;
M_AXI_ARADDR : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0);
M_AXI_ARID : out std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0);
M_AXI_ARLEN : out std_logic_vector(7 downto 0);
M_AXI_ARSIZE : out std_logic_vector(2 downto 0);
M_AXI_ARBURST : out std_logic_vector(1 downto 0);
M_AXI_ARLOCK : out std_logic;
M_AXI_ARCACHE : out std_logic_vector(3 downto 0);
M_AXI_ARPROT : out std_logic_vector(2 downto 0);
M_AXI_ARQOS : out std_logic_vector(3 downto 0);
M_AXI_ARVALID : out std_logic;
M_AXI_ARREADY : in std_logic;
M_AXI_RLAST : in std_logic;
M_AXI_RID : in std_logic_vector(C_M_AXI_THREAD_ID_WIDTH-1 downto 0);
M_AXI_RDATA : in std_logic_vector(31 downto 0);
M_AXI_RRESP : in std_logic_vector(1 downto 0);
M_AXI_RVALID : in std_logic;
M_AXI_RREADY : out std_logic
);
end entity bus_master;
library IEEE;
use ieee.numeric_std.all;
library mdm_v3_1;
use mdm_v3_1.all;
architecture IMP of bus_master is
component SRL_FIFO is
generic (
C_DATA_BITS : natural;
C_DEPTH : natural
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Full : out std_logic;
Data_Exists : out std_logic
);
end component SRL_FIFO;
-- Calculate WSTRB given size and low address bits
function Calc_WSTRB (Wr_Size : std_logic_vector(1 downto 0);
Wr_Addr : std_logic_vector(1 downto 0)) return std_logic_vector is
begin
if Wr_Size = "00" then -- Byte
case Wr_Addr is
when "00" => return "0001";
when "01" => return "0010";
when "10" => return "0100";
when "11" => return "1000";
when others => null;
end case;
end if;
if Wr_Size = "01" then -- Halfword
if Wr_Size(1) = '0' then
return "0011";
else
return "1100";
end if;
end if;
return "1111"; -- Word
end function Calc_WSTRB;
type lmb_state_type is (idle, start_rd, wait_rd, start_wr, wait_wr, sample_rd, sample_wr);
type rd_state_type is (idle, start, wait_on_ready, wait_on_data);
type wr_state_type is (idle, start, wait_on_ready, wait_on_bchan);
signal lmb_state : lmb_state_type;
signal rd_state : rd_state_type;
signal wr_state : wr_state_type;
signal reset : std_logic;
signal rdata : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
signal wdata : std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
signal wstrb : std_logic_vector(C_M_AXI_DATA_WIDTH/8-1 downto 0);
signal do_read : std_logic;
signal do_write : std_logic;
signal lmb_addr : std_logic_vector(4 downto 0); -- LMB word address
signal lmb_addr_next : std_logic_vector(4 downto 0); -- LMB word address incremented
signal lmb_len : std_logic_vector(4 downto 0); -- LMB length
signal lmb_len_next : std_logic_vector(4 downto 0); -- LMB length decremented
signal lmb_rd_idle : std_logic; -- LMB read is idle
signal lmb_wr_idle : std_logic; -- LMB write is idle
signal lmb_rd_resp : std_logic_vector(1 downto 0); -- LMB read response
signal lmb_wr_resp : std_logic_vector(1 downto 0); -- LMB write response
signal axi_wvalid : std_logic; -- internal M_AXI_WVALID
signal axi_rready : std_logic; -- internal M_AXI_RREADY
signal axi_do_read : std_logic; -- read word from write FIFO for AXI
signal axi_do_write : std_logic; -- write word to read FIFO for AXI
signal axi_rd_start : std_logic; -- LMB did not respond, start AXI read
signal axi_wr_start : std_logic; -- LMB did not respond, start AXI write
signal axi_rd_idle : std_logic; -- AXI read is idle
signal axi_wr_idle : std_logic; -- AXI write is idle
signal axi_rd_resp : std_logic_vector(1 downto 0); -- AXI read response
signal axi_wr_resp : std_logic_vector(1 downto 0); -- AXI write response
signal wdata_exists : std_logic; -- write FIFO has data
begin -- architecture IMP
assert (C_DATA_SIZE = C_M_AXI_DATA_WIDTH)
report "LMB and AXI data widths must be the same" severity FAILURE;
reset <= not M_AXI_ARESETn;
-- Read FIFO instantiation
Read_FIFO : SRL_FIFO
generic map (
C_DATA_BITS => 32,
C_DEPTH => 32
)
port map (
Clk => M_AXI_ACLK,
Reset => reset,
FIFO_Write => do_write,
Data_In => rdata,
FIFO_Read => Data_Rd,
Data_Out => Data_Out,
FIFO_Full => open,
Data_Exists => Data_Exists
);
-- Write FIFO instantiation
Write_FIFO : SRL_FIFO
generic map (
C_DATA_BITS => 32,
C_DEPTH => 32
)
port map (
Clk => M_AXI_ACLK,
Reset => reset,
FIFO_Write => Data_Wr,
Data_In => Data_In,
FIFO_Read => do_read,
Data_Out => wdata,
FIFO_Full => open,
Data_Exists => wdata_exists
);
-- Common signals
Data_Empty <= not wdata_exists;
Rd_Idle <= lmb_rd_idle and axi_rd_idle;
Rd_Response <= lmb_rd_resp or axi_rd_resp;
Wr_Idle <= lmb_wr_idle and axi_wr_idle;
Wr_Response <= lmb_wr_resp or axi_wr_resp;
wstrb <= Calc_WSTRB(Wr_Size, Wr_Addr(1 downto 0));
rdata <= LMB_Data_Read when (LMB_Ready = '1' and lmb_rd_idle = '0') else M_AXI_RDATA;
do_write <= (LMB_Ready and not lmb_rd_idle) or axi_do_write;
do_read <= (LMB_Ready and not lmb_wr_idle) or axi_do_read;
-- LMB implementation
LMB_Data_Addr <= Wr_Addr(C_M_AXI_ADDR_WIDTH-1 downto 7) & lmb_addr & Wr_Addr(1 downto 0);
LMB_Data_Write <= wdata;
LMB_Byte_Enable <= wstrb;
lmb_addr_next <= std_logic_vector(unsigned(lmb_addr) + 1);
lmb_len_next <= std_logic_vector(unsigned(lmb_len) - 1);
LMB_Executing : process (M_AXI_ACLK) is
variable ue : std_logic;
begin -- process LMB_Executing
if (M_AXI_ACLK'event and M_AXI_ACLK = '1') then
if (M_AXI_ARESETn = '0') then
lmb_state <= idle;
axi_rd_start <= '0';
axi_wr_start <= '0';
lmb_addr <= (others => '0');
lmb_rd_idle <= '1';
lmb_wr_idle <= '1';
lmb_len <= (others => '0');
lmb_rd_resp <= "00";
lmb_wr_resp <= "00";
ue := '0';
LMB_Addr_Strobe <= '0';
LMB_Read_Strobe <= '0';
LMB_Write_Strobe <= '0';
else
axi_rd_start <= '0';
axi_wr_start <= '0';
case lmb_state is
when idle =>
lmb_addr <= Wr_Addr(6 downto 2);
lmb_len <= Wr_Len;
lmb_rd_idle <= '1';
lmb_wr_idle <= '1';
ue := '0';
if (Rd_Start = '1') then
lmb_state <= start_rd;
lmb_rd_idle <= '0';
lmb_rd_resp <= "00";
LMB_Addr_Strobe <= '1';
LMB_Read_Strobe <= '1';
end if;
if (Wr_Start = '1') then
lmb_state <= start_wr;
lmb_wr_idle <= '0';
lmb_wr_resp <= "00";
LMB_Addr_Strobe <= '1';
LMB_Write_Strobe <= '1';
end if;
when start_rd =>
lmb_state <= wait_rd;
LMB_Addr_Strobe <= '0';
LMB_Read_Strobe <= '0';
when wait_rd =>
lmb_state <= sample_rd;
when sample_rd =>
if (LMB_Ready = '1') then
if (lmb_len = (lmb_len'range => '0')) then
lmb_state <= idle;
else
lmb_state <= start_rd;
LMB_Addr_Strobe <= '1';
LMB_Read_Strobe <= '1';
end if;
lmb_addr <= lmb_addr_next;
lmb_len <= lmb_len_next;
ue := LMB_UE or ue;
lmb_rd_resp <= ue & '0';
elsif (LMB_Wait = '0') then
lmb_state <= idle;
axi_rd_start <= '1';
end if;
when start_wr =>
lmb_state <= wait_wr;
LMB_Addr_Strobe <= '0';
LMB_Write_Strobe <= '0';
when wait_wr =>
lmb_state <= sample_wr;
when sample_wr =>
if (LMB_Ready = '1') then
if (lmb_len = (lmb_len'range => '0')) then
lmb_state <= idle;
else
lmb_state <= start_wr;
LMB_Addr_Strobe <= '1';
LMB_Write_Strobe <= '1';
end if;
lmb_addr <= lmb_addr_next;
lmb_len <= lmb_len_next;
ue := LMB_UE or ue;
lmb_wr_resp <= ue & '0';
elsif (LMB_Wait = '0') then
lmb_state <= idle;
axi_wr_start <= '1';
end if;
-- coverage off
when others =>
null;
-- coverage on
end case;
end if;
end if;
end process LMB_Executing;
-- AW signals constant values
M_AXI_AWPROT <= "010"; -- Non-secure data accesses only
M_AXI_AWQOS <= "0000"; -- Don't participate in QoS handling
M_AXI_AWID <= (others => '0'); -- ID fixed to zero
M_AXI_AWBURST <= "01"; -- Only INCR bursts
M_AXI_AWCACHE <= "0011"; -- Set "Modifiable" and "Bufferable" bit
-- AR signals constant values
M_AXI_ARPROT <= "010"; -- Normal and non-secure Data access only
M_AXI_ARQOS <= "0000"; -- Don't participate in QoS handling
M_AXI_ARID <= (others => '0'); -- ID fixed to zero
M_AXI_ARBURST <= "01"; -- Only INCR bursts
M_AXI_ARCACHE <= "0011"; -- Set "Modifiable" and "Bufferable" bit
-- R signals constant values
M_AXI_RREADY <= '1'; -- Always accepting read data
-- B signals value
M_AXI_BREADY <= '1' when wr_state = wait_on_bchan else '0';
-- AXI Read FSM
Rd_Executing : process (M_AXI_ACLK) is
variable rd_resp : std_logic_vector(1 downto 0);
begin -- process Rd_Executing
if (M_AXI_ACLK'event and M_AXI_ACLK = '1') then -- rising clock edge
if (M_AXI_ARESETn = '0') then -- synchronous reset (active low)
rd_resp := "00";
axi_rready <= '0';
axi_rd_idle <= '1';
axi_rd_resp <= "00";
M_AXI_ARADDR <= (others => '0');
M_AXI_ARLEN <= (others => '0');
M_AXI_ARSIZE <= "010"; -- 32-bit accesses
M_AXI_ARLOCK <= '0'; -- No locking
M_AXI_ARVALID <= '0';
rd_state <= idle;
else
case rd_state is
when idle =>
rd_resp := "00";
axi_rd_idle <= '1';
if axi_rd_start = '1' then
rd_state <= start;
axi_rd_idle <= '0';
axi_rd_resp <= "00";
end if;
when start =>
M_AXI_ARVALID <= '1';
M_AXI_ARADDR <= Rd_Addr;
M_AXI_ARLEN <= "000" & Rd_Len;
M_AXI_ARSIZE <= "0" & Rd_Size;
M_AXI_ARLOCK <= Rd_Exclusive;
rd_state <= wait_on_ready;
when wait_on_ready =>
if (M_AXI_ARREADY = '1') then
M_AXI_ARVALID <= '0';
axi_rready <= '1';
rd_state <= wait_on_data;
end if;
when wait_on_data =>
if (M_AXI_RVALID = '1') then
if rd_resp = "00" and M_AXI_RRESP /= "00" then
rd_resp := M_AXI_RRESP; -- Sticky error response
end if;
if (M_AXI_RLAST = '1') then
rd_state <= idle;
axi_rd_resp <= rd_resp;
axi_rready <= '0';
end if;
end if;
-- coverage off
when others =>
null;
-- coverage on
end case;
end if;
end if;
end process Rd_Executing;
axi_do_write <= axi_rready and M_AXI_RVALID;
-- AXI Write FSM
Wr_Executing : process (M_AXI_ACLK) is
variable address_done : boolean;
variable data_done : boolean;
variable len : std_logic_vector(4 downto 0);
begin -- process Wr_Executing
if (M_AXI_ACLK'event and M_AXI_ACLK = '1') then -- rising clock edge
if (M_AXI_ARESETn = '0') then -- synchronous reset (active low)
axi_wr_idle <= '1';
axi_wr_resp <= "00";
axi_wvalid <= '0';
M_AXI_WVALID <= '0';
M_AXI_WLAST <= '0';
M_AXI_WSTRB <= (others => '0');
M_AXI_AWADDR <= (others => '0');
M_AXI_AWLEN <= (others => '0');
M_AXI_AWSIZE <= "010"; -- 32-bit accesses
M_AXI_AWLOCK <= '0'; -- No locking
M_AXI_AWVALID <= '0';
address_done := false;
data_done := false;
len := (others => '0');
wr_state <= idle;
else
case wr_state is
when idle =>
axi_wr_idle <= '1';
address_done := false;
data_done := false;
len := (others => '0');
if axi_wr_start = '1' then
wr_state <= start;
axi_wr_idle <= '0';
axi_wr_resp <= "00";
end if;
when start =>
M_AXI_WLAST <= '0';
M_AXI_AWVALID <= '1';
M_AXI_AWADDR <= Wr_Addr;
M_AXI_AWLEN <= "000" & Wr_Len;
M_AXI_AWSIZE <= "0" & Wr_Size;
M_AXI_AWLOCK <= Wr_Exclusive;
axi_wvalid <= '1';
M_AXI_WVALID <= '1';
if Wr_Len = "00000" then
M_AXI_WLAST <= '1';
end if;
M_AXI_WSTRB <= wstrb;
len := Wr_Len;
wr_state <= wait_on_ready;
when wait_on_ready =>
if M_AXI_AWREADY = '1' then
M_AXI_AWVALID <= '0';
address_done := true;
end if;
if M_AXI_WREADY = '1' then
if len = "00000" then
axi_wvalid <= '0';
M_AXI_WVALID <= '0';
data_done := true;
else
if len = "00001" then
M_AXI_WLAST <= '1';
end if;
len := std_logic_vector(unsigned(len) - 1);
end if;
end if;
if (address_done and data_done) then
wr_state <= wait_on_bchan;
end if;
when wait_on_bchan =>
if (M_AXI_BVALID = '1') then
wr_state <= idle;
axi_wr_resp <= M_AXI_BRESP;
end if;
-- coverage off
when others =>
null;
-- coverage on
end case;
end if;
end if;
end process Wr_Executing;
M_AXI_WDATA <= wdata;
axi_do_read <= axi_wvalid and M_AXI_WREADY;
end architecture IMP;
| apache-2.0 | cd90c91660d59efdb698fc9ccb071bbb | 0.499298 | 3.619441 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/iaxi_interface.vhd | 1 | 19,880 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
W0mm9PANnibWCInqz/meRykIJCBlWb+i/x2+8QsB/46uesv3tZQByrJd8tkA56d2wuCk+ERzSpgI
MKAOfjdL8Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
adFpoFykodYtnjDSWhUffNavurInXpYR5ew5eOa5r0kye7V/SA9q4kfCf/6oZT58jKTwgJeQWvHM
3k2b8DVNZzyPBDzWCRQFC8Roi7NfrtR8apjOfLUafrJZNIOvgpqAq+qbOYnRfjdpFWJ6K3QtOvfK
sgTNNr7nW1BcQVJ6DaI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MK7j/Da/iTLRsXZQIBazzl2HVATwSQYTSfv6AgzYGxMB/QH2zEfjSm/UI5RHkwhTD9yoclYui/nz
gfAkb+1+NT7HQluxW1ZMJHgdgx/FRyEQju7mX5gqyjvAeLEExwSdwqBFMiiuEF3cMkQooVzAbOGA
fyJnFdw2jcpbPjTigqWdZSYo8yLI6UejzqzdVzqvOcTQOKK2kDDCuX+Mb0GSD+lq00dkkO+9idK/
JOXAwT9Qwxd/JN5gHZikEfmIc6kCxo/Qp7MLsI79e/LvQ0OoINfiBq5Wk5cg1vQx91gxQG5Tqhr/
FH8uvLtDcg6mdD+49l+AYV33x7oL7fDWIzUv2A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YF0CYzAvLM4MSOq+2fJwJYoE+E3wT1/2PZq1wvC0w3RONJ+jjjkeGHcqmq5B9NltYCI+R8YUPTPV
5cSFPurIPGpwYoYYta5JOk1CyJwDQcNkrni3RMPllBN829GXmujTeIij5EnV8lHXZb/EFxRIC6wV
zB2+OWZAWjXbKUlCyjc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fA4jwqq/BuVcz4RWpqeO8xsJbCtFxLRoPK88Oorso0ysrhkVqRB4bRaHx5XVlwfOH9ELDG3Tpfvq
FBE3pA612JmsUituK6gdar8Xz6QOIGTBuEjSRU91WWwxvyivY52+mb2msOeHzMpCkf+llPmQpFlc
YZIeyS5PQB14Epw9oTGYOu4fbds+maEKU/Fa2cP33sXtfQm7P1G0BTarF9HSIXiTyTg6LqOdXllu
rQNUI8LqfdA38z12WAV5G+4mJenX3NuveGVPrCbGRUNf5+suImTyC4nk2KbQpgU4qRjo4UvI6Ai1
GVv5zUfw8ghsM0Q28W2u10lm1Gi6MfC2fkgWGA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12976)
`protect data_block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`protect end_protected
| apache-2.0 | b84e97dcbfe9eae17b029329de546b08 | 0.942153 | 1.866141 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/2-MESA-HB/metaheurísticas/mesahb_hype.vhd | 1 | 2,077 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:16:56)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesahb_hype_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2: OUT unsigned(0 TO 31));
END mesahb_hype_entity;
ARCHITECTURE mesahb_hype_description OF mesahb_hype_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "0000000000000000000000000000000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
output1 <= input1 + 1;
register1 := input2 * 2;
WHEN "00000010" =>
register2 := input3 * 3;
register1 := register1 + 5;
WHEN "00000011" =>
register1 := ((NOT register1) + 1) XOR register1;
register2 := register2 + 9;
WHEN "00000100" =>
register2 := register2 * 11;
WHEN "00000101" =>
register3 := input4 * 12;
register2 := register2 + 14;
WHEN "00000110" =>
register2 := ((NOT register2) + 1) XOR register2;
register1 := register3 * register1;
WHEN "00000111" =>
register2 := register2 * 18;
WHEN "00001000" =>
register1 := register2 + register1;
register2 := input5 * 19;
WHEN "00001001" =>
register2 := register2 + 21;
WHEN "00001010" =>
register2 := register2 * 23;
WHEN "00001011" =>
register2 := register2 + 25;
WHEN "00001100" =>
output2 <= register1(0 TO 14) & register2(0 TO 15);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesahb_hype_description; | gpl-3.0 | 162cf56a33ad3a0a0e4578984b0947c4 | 0.669716 | 3.200308 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/address_hit.vhd | 1 | 16,249 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
DCEYn+oGacMbtb/Rx12VUIgLT+e+KoqiPTk51dvC4GFpgHNPw0nuZURNYj8opW/Z0stvbqcVfCRc
s/Rh5++pOg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
CxyxxA+DMiawDnlCl/tHrc6BiuwQYZnb+KDmOcDhFf+QGlLh9zsCJoLMIoUn5l2Idzcke9he7DN0
jMePduFpufaixu8m2FSaqxV8pygU1geZMeJ9siXxBcCB3IuvntSgmbmAUlM15sWVtHtaDrc13Wzx
XQwi/uLId17y8RVu8fY=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
O9q0jfUZbFfk7JAV3HZG4vTvmUwtwxCmfvWW9ChYWaAEOKIeNUQilrvp60WFQEtFJACl5trPivsI
/0VBSvKvdC6smJW+sjYcJ9+Zp8Z/T2Ig/7uOGm+/0Q0TAQ6SqdmVnye+PZ5roqAdnsdYP54gsO2i
F6Guz9JnzOqvdbA2QKpfxlCS8k5CqXW0kE9TdRVa2wFmcyvQaWsgYKWGJBblOFxuAUJA+9CyX1qy
Np2dJP83/Xvphy/GnRKF71HTGnDbmstdth9CBIGPQ82x05XTyVMpGiQFBW62j4xtACL/iDN0Tgr/
WVTaeo87kUQVECL9LRYbsQ4bhj7yROe3/CeIeQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FhBAlj9tAuyKZRt+5RaBxpGZ13mnTT3T2z7MGwGMENwQk51+T4rqXsz+4UClPG67SjfY+G+jneX/
058zriG3V4kvFkbg+afk1TqbDvGJjkNaiG2MNK3GX2E60P/iDlACuMLHJfV7KTkEotkMHn4jhJi8
jwZIYsnl8G/h2STQwtE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WUKGUYBfy7HFVlP77JdPRJh7CY9BvLLat9tNiSG36awF03CHj8ckBHRAt+wd2Z/aYjAVno0XVULB
wFngWuKoA5uGwkCzBB1tSXDr5OY8naqA0fVvgcNcOHuAbVNHDwhxO7P/uU2YIvL0XGnb2D7NM1YT
09emQj0vr89gPBQw8qftUyHDHUc3et/Xk/cXPdc/J2OaSrE1RqcAMal5koIrgg695ZIt1lRnAhGn
qEd+tszWbmMI4LSrZnDH+RQgONglmNh0dWySyM8/GOpl/brJCLaOLbK96V0ncuq7jGDvzHelcOSP
j1rx7pII/422x4dBpfYtwDr3Wd9fkI1dgJGQcA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10288)
`protect data_block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`protect end_protected
| apache-2.0 | 83d80bbd95376cabb8d01dbf59f5309d | 0.936427 | 1.860431 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/asap-alap-random/hal_alap.vhd | 1 | 1,749 | t-- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.08:58:01)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_alap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_alap_entity;
ARCHITECTURE hal_alap_description OF hal_alap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register3 := input3 * 3;
register1 := register2 * register1;
WHEN "00000011" =>
register2 := input4 * 4;
register3 := register3 * 6;
register1 := register1 - 8;
register4 := input5 + 9;
WHEN "00000100" =>
output1 <= register2 + 10;
output2 <= register1 - register3;
IF (register4 < 12) THEN
output3 <= register4;
ELSE
output3 <= "0000000000000000000000000001100";
END IF;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_alap_description; | gpl-3.0 | 1716d2c0a560073bf0530c44ba6020e4 | 0.68725 | 3.238889 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/bram_fifo_rstlogic.vhd | 5 | 21,262 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Q3ir87Ss8Csv8JXx0hZxoXIMCIySGSVYtnqo+6umuqjo25mlLUPa83phb7YO7LBkId30dRHV2tD/
st0L5r1Dnw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gBvadK2EP+ZGrCQXYhLJmScnocQn12Olgvqv/MLgbNyeUJmmh3evDnMj2yfMiS8n3RYit0KbAVqc
1k/Kj+BwrTL5d50bOqRsomX4+iHo3BrcmQ/GXnMz5dnFfvhw+W8Bv+iFw1vadySK+O8CQ3w4+Q5E
mZl8BVczP7v7l4BbabI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
AS3fZ22RGlt6g33O6el4P9fgu84QpFBRzKGZmSwVYBjwn7fBnzBpX9QMhchCsxr+kvMq93A5rATx
+v8E4AhcM7/c3fTxq1DlHNyahEpWELGz3gW37NnQCol6nr5yGRWBsy+lKKJZbgWdzkPJ3OcQ9r3L
LOv13DeoAl+ZFygMlKNr7OlIEJDN2GV8qdyNhfB0XN6yCFPIctCMOWnxF3DM0/M1VeQdYYaxp5P2
FEdqXNaDQsa/j3WtsxllSsFAT9wcxOOVlm4hJ5QJH/gNUSBwTxMb8msfmGTfDxjelVZsBOSQ6maS
r+zVppQxDzTpuo7+WWUZ5pdjD/wZON8xQF37bQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
G1bm/+r0gxybSLHEAtR3fEp5p2DQ5zMaS10rTZImokNE+g4y3u+4tC/GB7RusMxByiNv0mJZJg56
7c91js1nn7ciPatJuIPw6a/eQ+yQcqfHvdrbRwpwMJa9sQ2QsMbEHaLjJQoDbXgbAZC8O8UbS7kl
L9G7roOYQwOWCRC4T/U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hGKB//Gtat3sXJIkCT+zuaGKTsIsV86j0iAlfn3rYgX77lQNaIKWY5P5Vzmuys4C4eA2xo8syB9J
Cdjm5J8UiTllKRIr4gYPpep+3MtUUI9/Y1L9/q6G9mWWcu7yG/KU7o9sZATBMNdzujHLmEiI3xmk
DJes/V18hFrq/EeolbrCfFnynSZ2LDjkRZh6j+fLeeAzljhTXgOxqW+Lb1gThZGUcxTp5GYLmFkZ
+EO2hSnrv4tC6bBQYCa8kFL5T4XYwmsbV1nxlpYbuBrRh34vCbOToDsV98v1pbX7dmJNgGb6pB3y
moQ4QO/YHtO4RbPD+NBeX3bvGiShE3RHVDehKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 14000)
`protect data_block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`protect end_protected
| apache-2.0 | c46659f7ea6d8acf8d2f4003cb5a9277 | 0.941727 | 1.838796 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_bin_cntr.vhd | 5 | 13,156 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L8H38Pn5e+65ZnMCPrgePq372lx5QboRhoemdcS8kzXm3WxhEUO4tSa2P/S4rld5sGInB1EDi4BC
mUYJhaWatA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
YCgZI3vLQn3JXWTnPUPW749ljnkBIShBSx7VlBLDLuJKIQcDFoTqIIK9sRuKRCNw8yOawhQEgaD7
Cr3Bm7UDRo7f+Hc+zkxV626L4tuu7+0Xs86Vg2gCbuIWRqH9nAGGgTiSFvU895Qx9MT7u/sVJ5CN
3KOe+zT1EfwRIC7tGxM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HPHzt+lwYO8YO6ONuv8Lm/QQwSgKPk6gIRjSaOLRR30MiWLnY63NjzuQk8b5EzuxCH53czJDh7/6
t+eZjQ/fHnD3Z7CvNdQnXdRsve2+qT7PxrAfpFghWHkk4VKh2OdBy+sti6m8EK82BFJqOVqbmlFO
pFJ6GlM5mRRsjsCYyqjgLfulfGNMMLBVd/UhBJhRj1mgiHTM+IjYJ6mZ0x8iBWaF4auUS0vW8dkD
8gVOMzK4t8MD2NUFp/YtRdr6uJqlX69e6uKdCFWHo8H6AZ4M1V90B1BDr7D9dxxJKuCiPvV/mmVL
IHnUshgpq02c2L7zSjuXJseWAdSAzxObqgeapw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
1seO8D1TglRAZwDExL1q9tv44rCD8oyQiE2di29aSVb/lPmpyltiwxraF0YFxFYpJoxUb/8eM6BI
DAzjTRT/7qqXzC2+tPQQnDQsI/INhfZb0mKldM+JnW0yyVcexTk0hB/0lhGHjZUvpim4YXIShAGc
Miur5wRO4grMIg6LXU0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ltrmPHNy0YITlTbIso3YWorJhJLaMzmLqQZB0I8DyBZLr9si2To/bRkTyexOEJjV7l2AQoZaR5YK
PU5ebh/JgAlxw1Fai+rGxLhu49Ejvt+96SvUHJksQXU72CvlZly3afoTJAxrDvDTDA/sgO3zOIJc
qSkMuVNgxnueJZMhCCgEuUYWhTVM7VeXV7q6nhh1K+gpBYuElpfrKwjLVPZiskxaIStImZGI97ch
KNlIX+M0TEOW15zVA5J4Nboad4XU+SufaHZ2AyhrVA9JYlyZhCS2H+9PNouGFxy0BGlzAR3h63oP
4B403UvgysCyTKigiABXaWIB9C2wODNpfJ5D1A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8000)
`protect data_block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`protect end_protected
| apache-2.0 | 25fab46bb63a69529f5dc8a8eddac7a7 | 0.931818 | 1.87648 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/msr_reg_bit.vhd | 1 | 9,914 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Hnh4TQam6QPzVzgIRmXRh+2bQDg61RGxPwzQtWaOL1v/UJzxBjZM+ndhXMuMCKI1S7g790r4UyiP
fkNiDTz2zw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XWPK95CR2SqA1QJ8dexwZTtrMRchzashOqmnXQHzBSOwg5+F46pZL5rH8eJpCuiD8km/zpxfdj6F
EhAhMQkEvd8KGmWULdkPpv2F/eWIfRDKtSbQcDJ2vkTZdlXEV2VcZ5q3YoP6bHUsB4i2M8E9+tAE
rflHrbvXNxnpj17W99Q=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
r3NnneWCG6X9TsnyNb2BrmYUmJrEMjoQRl5Dc/0jm4lKWQWIjoUImhkXIjEtsE4y3Wi40D25IA3z
B/LW7sSiqiAz4H8Ih7wzZcDEuYdM68cdqVmwtpU12PYp1rVk11bHLD6Y28bZ782We543n88C3UfG
w/gnHyZPlMGQZrjosUjKSULVfT3uwDwDZ5fXBJsN7L1rwcP2YSXQnAlbTAWNAtXVuggN/YakhYHc
JF9KmAoEka/SWvGCzJZapMrqF6D+LOv+puE6V+RN6N4a4gPxU0GGcIRah0qbmRJRoc1yNm56EmXv
eHT8gIZAuun0rJ1VmxfWuoeJyy576F+R2Ja1zA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
OUIjT3boi46VCtHvJX8Q3gCO3T/7IGNBIxZLnwzpHtkyEVgf/t63HrW/06jfhgVbNFYVEIWrzP10
HGqUUDgsMFAK9vzeDBtijXZwohpCSgxXEZtz3TCxSg+mi4BsS5ebtpnIbmJzhEWGqMTex6szKK+1
QA+DfJEkF1IrYakIWfg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
HxHF/mOdA54gRqZ7fwdCSiKIbqgMRw7pVSCB6yPW3gghIwhn/QtV10rS82lmSWpahzTZXZj+nz4p
5NuWaPwVPOu95aV0HfyuE/bRHsdK7phIMlecFRIQL9gnJn3zwDOlON2keTQoKu3ilmczVNS5dacU
dZcmctY3WMDMNyHMdS/f9WauH2GgugPgJ/vNPxk+K9y48FGRtBVj46vrE1RXdZSx9k+OjhThOtrz
gwnfWQqirz+YF0LtJK2WI2zBivxCdAtHUlfKwHZJs90ZfV0dwLn0nOeld1cJ6WbGnH9WvXbqnABn
bUnCNwYQYD9QhAyiCH8WShqm+hJBAtHeS+EySA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 5600)
`protect data_block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`protect end_protected
| apache-2.0 | 9ff16e9ff03edb329c8eb3885ac0a7de | 0.923643 | 1.906538 | false | false | false | false |
rcls/sdr | test/test_go.vhd | 1 | 1,519 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use ieee.math_real.all;
library work;
use work.all;
entity test_go is
end test_go;
architecture test_go of test_go is
signal usb_c : std_logic_vector(7 downto 0) := "111ZZZ11";
signal reclk_p : std_logic := '0';
signal reclk_n : std_logic;
signal adc_p : std_logic_vector(6 downto 0) := "1000000";
signal adc_n : std_logic_vector(6 downto 0) := "0111111";
begin
reclk_n <= not reclk_p;
adc_n <= not adc_p;
g : entity work.go port map (
adc_p => "0000000",
adc_n => "0000000",
adc_clk_p => open,
adc_clk_n => open,
adc_reclk_p => reclk_p,
adc_reclk_n => reclk_n,
adc_sen => open,
adc_sdata => open,
adc_sclk => open,
adc_reset => open,
audio_scki => open,
audio_lrck => open,
audio_data => open,
audio_bck => open,
audio_pd_inv => open,
audio_demp => open,
usb_d => open,
usb_c => usb_c,
flash_cs_inv => open,
flash_sclk => open,
flash_si => open,
flash_so => '1',
cpu_ssifss => '1',
cpu_ssiclk => '1',
cpu_ssirx => open,
cpu_ssitx => '1',
spartan_m0 => '1',
spartan_m1 => '1',
led => open,
clkin125 => '1',
clkin125_en => open);
process
variable seed1, seed2 : positive := 1;
variable rand : real;
begin
wait for 2ns;
reclk_p <= not reclk_p;
uniform(seed1, seed2, rand);
adc_p <= std_logic_vector(to_unsigned(integer(trunc(rand * 128.0)), 7));
end process;
end;
| gpl-3.0 | fb9713dd70b6c5ae1bc512423aa702a5 | 0.570112 | 2.849906 | false | true | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_handshaking_flags.vhd | 5 | 13,954 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Y3vQYJGoi3blgkeLg879oEdoe1iB1+/mlgPLGvrwhHjuziZvWcfMDQFZS5sjqzLt31/gRDV5HTMM
ldRRpb3CDQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
dIFkgaVIz/lIyn+ihhBfHj42UOgxqtW1+iPBc/E70csKfvykrX4u1seWzaBPfEuarRV5vi8m/M7P
AU7E3JXglfI5x99BDc+HGZchCRYDHkjgA6esCvNlhVE9XHv8eRQgqZTj863FbU8ayruVEcFz4r2O
LHmdpZwWOp5MfhSm3hM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
kFLRSb+px7e2wwv0x+CJxmHcwTssJsTFcxLC2a+8paaRd1PBHKA4Gacci9U+MJctxdW5ViL2k5mi
Vik8BsiJHVMyXnWtozpPERCdP57gSsT+P/oawRTWgr6GhjloTipzMsZy4PPb1Ta9wF9W+boGqanG
/QZGJoJl8IQlujJn++DXQ11vhAvInrWNuDu2sK+4sOuXx4Vj5zicpumadaDJefD+H8fa/nkgjSm9
pvmrORhPDdOsoLbbZN6Pal7jiqSmO+WL3xMhYfwpXe4nkSYEIo70rwjYPq10pGY3veB+OVCxVvqL
NB7wUs9YQeRJYuHH+9DZW2csOrt3elW1u3o6ww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
a1/FnVKqm/JQCzg4Xfmv8syNjE+66CsHGs+bi56hN5aYZnuO+bLwIfU9rOkFY1ITNF2HCBe+uD/b
mFugEJCYjTAKc2kioI21ZeAAvgLK+JwSJF8iJX+vS35/JzfHfFEAqRVM+v32B1RhWTzYCyXMkYy5
FFqSnRbA58jKB2xivLk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Wv9V94CAS9tEczd7Vd7LCj4v7RZvLlPgjHz6rIlOXQ+PzRUxuzRzx5D3Jtg8gN2SdapVssKTXLue
+LUwzZ42sXQodXJHB1tTHr62RO+lhxGGn66XEqAU1v47f5nog0L3bebjPOX4eq9+7y8WKLpXYzjJ
mTe8DMdjQl0HmBbJ+GnBk0oqNzwYHrol1IdAh4mb40/mpu9e5GZbasd9OOCdrVoijfC7qhc56KXV
LOhSTfL74ysBmCRb7G/ROSf2sqht0eS+HA/JAcAaK3Q/Rkp1dhSnW+nDKbv9Q5/V/HZ69YhtDpXU
K0tEysZOg0pygcQBo7iEHIdsE+IjkqmMKbVqbQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8592)
`protect data_block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`protect end_protected
| apache-2.0 | c1baeaaf04cac66f82581dcac141cdf6 | 0.933567 | 1.881861 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_wsga.vhd | 1 | 2,862 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:50)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY ewf_wsga_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2: IN unsigned(0 TO 3);
output1, output2, output3, output4, output5: OUT unsigned(0 TO 4));
END ewf_wsga_entity;
ARCHITECTURE ewf_wsga_description OF ewf_wsga_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
WHEN "00000010" =>
register2 := register1 + 3;
register3 := input2 + 4;
WHEN "00000011" =>
register4 := register2 + 6;
WHEN "00000100" =>
register4 := register3 + register4;
WHEN "00000101" =>
register5 := register4 * 8;
WHEN "00000110" =>
register5 := register2 + register5;
register6 := register4 * 10;
WHEN "00000111" =>
register4 := register4 + register5;
register6 := register3 + register6;
register2 := register2 + register5;
WHEN "00001000" =>
output1 <= register6 + register4;
register3 := register3 + register6;
register2 := register2 * 13;
WHEN "00001001" =>
register3 := register3 * 15;
register2 := register1 + register2;
WHEN "00001010" =>
register3 := register3 + 17;
register1 := register1 + register2;
register4 := register5 + register2;
WHEN "00001011" =>
register4 := register4 + 19;
register5 := register6 + register3;
register1 := register1 * 21;
register6 := register3 + 23;
WHEN "00001100" =>
register6 := register6 * 25;
register5 := register5 + 27;
register1 := register1 + 29;
register7 := register4 * 31;
WHEN "00001101" =>
register8 := register5 * 33;
register7 := register7 + 35;
output2 <= register3 + register6;
output3 <= register2 + register1;
WHEN "00001110" =>
register1 := register8 + 39;
output4 <= register4 + register7;
WHEN "00001111" =>
output5 <= register5 + register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END ewf_wsga_description; | gpl-3.0 | 4d1781c07a35d3099ae1e58b461e37c3 | 0.655136 | 3.165929 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/interrupt_mode_converter.vhd | 1 | 10,757 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
EtvFbLPb5/ViUmN+E4oCzR67LLUNWT9lAdjisACu5e3ysMTSAm6QX4zWZW2t6tBMfgcz9uz47AZn
7ix8p2hnHA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D1F6c2cy7stO5wWB9kMTdwIWkY32QeVRlup0gIBvg5fi9AS3TVrK1KRSzdWwHV2PqXlOLZsvnhFD
+eNyzthy9UJmZtlk7fCnuUeSBytxFxR4ABF7sS6LOPJdByNgkhJuEw/Mioh5RE+Pt+Oim+4KBEu3
bgd8woXeCRqHX4pBU5o=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ROFLr1TEjC//Zu7biLgvnFIopKP7kV+jiWosALLrMyjhiOtNLhs+u380g+lFJD1meTYEwDgeUuFT
foBFvMAIcYmpp5/Rf2hlZ/w+95mzLLVB08Ymoqq+yruOZI4SzDPayCKHL0a+xIol/FynGCC9WiLm
7jO2+DZdJI+87lXlTr3/B3nzz7trxy8da3zW8mRhQCx+n1nwRsVYfhW8WJQTG9ZKySYLdRpjjeVr
tvF7KjqNk/fXsVUVW3QW2E9G9sRT0RTIXty8CLfaxa7CucbKXA78as+KhOse74JhbABeyTmKjskT
8/w94dWnhRCY7uVE+ZdA95E+esqKW1dIdXmTjw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
s4srLFUKWyh5ansV+lpALjOTD0wd/pAfPyYzWqpV2Xt2eIVDEANGi2dzRdJYkAy+MjihGy/sAvLm
ELhaB3ucvWV7eSKJKJnqcWJewYXb409+z9UtVaJc+HVuVJk+wK8RirnKPttXQcBk+inr4L0bDUr1
h01PCkQZZ4MIMmLCNzw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OsBJdCSiQPam8iRXjKavpDeMrchswdi2TygxZoywg7a2bFyaEuvAwocHOeaVFRczSeRcc8UZtO7t
NS7LfkqYd7Uk5asBj+IsOMgWQn9Cdend9zHg1s7rhseZTY6lduoq7JUVfKQI9+eS+2xgFmLg8Oa+
Lgr9ASjFW6eac3N5QzVQYrJhZW2JEB0bIUht5GeopevTvmXmN/Q8I5DqekjjJRyEDmtdpdCZzENE
hTmGVLOPnFX8ryTdDLZuQjkvMuUmeMryqDDu8WrxCIQeprsT6SD97Vbb1BF9PCHcK14FFodil/fh
6SbGDTCTjtRLuR64O+Wh4ixinSku/pfkA23m0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6224)
`protect data_block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`protect end_protected
| apache-2.0 | bafcad7d5a8a15237f5b0403cc137792 | 0.924886 | 1.885209 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/gen_AND_bit.vhd | 1 | 506 | library ieee;
use ieee.std_logic_1164.all;
entity gen_AND_bit is
generic
(
width : integer := 4
);
port
(
input : std_logic_vector(width - 1 downto 0);
output : out std_logic
);
end gen_AND_bit;
architecture Behavior of gen_AND_bit is
begin
P0 : process (input)
variable result : std_logic;
begin
result := '1';
L1 : for n in width - 1 downto 0 loop
if input(n) = '0' then
result := '0';
exit L1;
end if;
end loop L1;
output <= result;
end process P0;
end Behavior; | mit | 2c2e578cdac6c8e4f651c563c92c4e54 | 0.634387 | 2.663158 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/byte_doublet_handle_gti.vhd | 1 | 32,370 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
o59SXgBsAZI27RjDnQOkL1t3s0Lw/uZyEcTgyF1ouNKqRhiknx+HnazyH2c7UG1r+MOnVOWihhKn
kph1KsKwtg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kkiGuJrpW/TvAvJBAPJQNsuswD6JQpUxTLES1CqmN60EFwob77ZziuAh+NQ3RtHh7uWJlGLC8/w6
trkrRSaVDGmvoPTpI2EO3aQghWpyQwDglHDtTuQFbyU/EH61zjmOvAyIMsIJFQbwQKqxQYJi8kEb
u3/ikqgKjNNcQONFruo=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
bqmGGV2RMKw36pf9oRyVYJBDcSp2h/Xxw44fBNF2epVolS3xgD7laa9h/Yftt0YzVmUXE/xJaBFX
PVoi45g1p24R5J7jMQtzfRU7kFtQQdI6ydykpv5jkaMFUN2WNQZMmyQQZ5aGTwwn1bHpHuEGyafL
ZBHVWoYT5bvCV5gYDWTaF6lTASFCuPq/JzsmYp4bQ9YryFrW5dvi5cBu3dkNtOxtuiVJeCuFnQ6t
OvikakZ8mSITTv++xNIHJf73K9wzW9Q9uEIp2X0fCxdDMn+OI5ULElgJTyjk2cpiOJj0z3Gooip5
m5Vq+5XY5il3aHgmjYJdNdm4tI3SUxa8wIuyng==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EAjzFmeMJsTQO75YXVGf8XmrSJyqhh1zBwyjYheG/DYJkcdXB6hCK0ctDzrrYCxSOkRYjB7yrky6
TEWbQna1/QWelAJeCFDlZ1hL6AXykZGuvR/ScZNiddLR0wU8nFifrbGK0vC3rwijPViPkW/2SK+o
PGDxFXd1NARnhrU9sCs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ft/y3gtxaKWSBe19/tOQMVc8m3NQwqBsejCjyeg55mb+Cm19CTZ/cBeun6rfyP+C/9eV+SGrAndy
cGYTtU1bd9IA4ee27/JL8RSPWOJYavUs8kTzyLIF02ts6XGg+6s5GkpH+rL9bWlVl8WN+qhVhZRM
kS5rJu8Jf02yPU/AN5SwL6TnSvrxdHTPkoHPn08stylG8xqJPBhCVVDNWEaPPuHr81rMLa3KiHtI
wjK6j0GCeyFCHyewEXimG9PFMw+9FSbDV/BhJiFSXcBKwIP5WGfK1G5M1kMjez3HGyKdYbrRUjXV
Q4mBR25nMxgPrZboNMzEEXKZW8IB4J7zqrpoag==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22224)
`protect data_block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`protect end_protected
| apache-2.0 | ae4537163f9136778b3e10fe5c169c83 | 0.946277 | 1.839414 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/14-MESA-IA/asap-alap-random/mesaia_alap.vhd | 1 | 6,651 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.13:54:59)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesaia_alap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22, input23, input24, input25, input26, input27, input28, input29, input30, input31, input32, input33, input34, input35, input36, input37, input38, input39, input40, input41, input42, input43, input44, input45, input46, input47, input48: IN unsigned(0 TO 3);
output1, output2, output3, output4: OUT unsigned(0 TO 4));
END mesaia_alap_entity;
ARCHITECTURE mesaia_alap_description OF mesaia_alap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register12: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register13: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register14: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register15: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register16: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register17: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register18: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
register3 := input3 + 3;
register4 := input4 * 4;
register5 := input5 + 5;
register6 := input6 * 6;
register7 := input7 + 7;
register8 := input8 * 8;
register9 := input9 + 9;
register10 := input10 * 10;
register11 := input11 + 11;
register12 := input12 * 12;
register13 := input13 + 13;
register14 := input14 * 14;
register15 := input15 + 15;
register16 := input16 * 16;
WHEN "00000010" =>
register1 := register2 + register1;
register2 := input17 * 17;
register3 := register4 + register3;
register4 := input18 * 18;
register5 := register6 + register5;
register6 := input19 * 19;
register7 := register8 + register7;
register8 := input20 * 20;
register9 := register10 + register9;
register10 := input21 * 21;
register11 := register12 + register11;
register12 := input22 * 22;
register13 := register14 + register13;
register14 := input23 * 23;
register15 := register16 + register15;
register16 := input24 * 24;
WHEN "00000011" =>
register1 := register2 + register1;
register2 := register4 + register3;
register3 := input25 + 25;
register4 := input26 * 26;
register5 := register6 + register5;
register6 := register8 + register7;
register7 := input27 + 27;
register8 := input28 * 28;
register9 := register10 + register9;
register10 := register12 + register11;
register11 := input29 + 29;
register12 := input30 * 30;
register13 := register14 + register13;
register14 := register16 + register15;
register15 := input31 + 31;
register16 := input32 * 32;
WHEN "00000100" =>
register1 := ((NOT register1) + 1) XOR register1;
register2 := ((NOT register2) + 1) XOR register2;
register3 := register4 + register3;
register4 := input33 * 37;
register5 := ((NOT register5) + 1) XOR register5;
register6 := ((NOT register6) + 1) XOR register6;
register7 := register8 + register7;
register8 := input34 * 42;
register9 := ((NOT register9) + 1) XOR register9;
register10 := ((NOT register10) + 1) XOR register10;
register11 := register12 + register11;
register12 := input35 * 47;
register13 := ((NOT register13) + 1) XOR register13;
register14 := ((NOT register14) + 1) XOR register14;
register15 := register16 + register15;
register16 := input36 * 52;
register17 := input37 + 53;
register18 := input38 * 54;
WHEN "00000101" =>
register1 := register2 - register1;
register2 := register4 + register3;
register3 := input39 + 55;
register4 := input40 * 56;
register5 := register6 - register5;
register6 := register8 + register7;
register7 := input41 + 57;
register8 := input42 * 58;
register9 := register10 - register9;
register10 := register12 + register11;
register11 := input43 + 59;
register12 := input44 * 60;
register13 := register14 - register13;
register14 := register16 + register15;
register15 := register18 + register17;
register16 := input45 * 61;
WHEN "00000110" =>
register1 := register1 * 63;
register2 := ((NOT register2) + 1) XOR register2;
register3 := register4 + register3;
register4 := input46 * 66;
register5 := register5 * 68;
register6 := ((NOT register6) + 1) XOR register6;
register7 := register8 + register7;
register8 := input47 * 71;
register9 := register9 * 73;
register10 := ((NOT register10) + 1) XOR register10;
register11 := register12 + register11;
register12 := input48 * 76;
register13 := register13 * 78;
register14 := ((NOT register14) + 1) XOR register14;
register15 := register16 + register15;
WHEN "00000111" =>
register1 := register2 + register1;
register2 := register4 + register3;
register3 := register6 + register5;
register4 := register8 + register7;
register5 := register10 + register9;
register6 := register12 + register11;
register7 := register14 + register13;
WHEN "00001000" =>
output1 <= register1(0 TO 1) & register15(0 TO 2);
output2 <= register3(0 TO 1) & register2(0 TO 2);
output3 <= register5(0 TO 1) & register4(0 TO 2);
output4 <= register7(0 TO 1) & register6(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesaia_alap_description; | gpl-3.0 | 61feb06886156fcee143aacec9940140 | 0.660953 | 3.238072 | false | false | false | false |
scriptum/geany | data/filetypes.vhdl | 22 | 3,042 | # For complete documentation of this file, please see Geany's main documentation
[styling]
# Edit these in the colorscheme .conf file instead
default=default
comment=comment
comment_line_bang=comment_line
block_comment=comment
number=number_1
string=string_1
operator=operator
identifier=identifier_1
stringeol=string_eol
keyword=keyword_1
stdoperator=operator
attribute=attribute
stdfunction=function
stdpackage=preprocessor
stdtype=type
userword=keyword_2
[keywords]
# all items must be in one line
keywords=access after alias all architecture array assert attribute begin block body buffer bus case component configuration constant disconnect downto else elsif end entity exit file for function generate generic group guarded if impure in inertial inout is label library linkage literal loop map new next null of on open others out package port postponed procedure process pure range record register reject report return select severity shared signal subtype then to transport type unaffected units until use variable wait when while with
operators=abs and mod nand nor not or rem rol ror sla sll sra srl xnor xor
attributes=left right low high ascending image value pos val succ pred leftof rightof base range reverse_range length delayed stable quiet transaction event active last_event last_active last_value driving driving_value simple_name path_name instance_name
std_functions=now readline read writeline write endfile resolved to_bit to_bitvector to_stdulogic to_stdlogicvector to_stdulogicvector to_x01 to_x01z to_UX01 rising_edge falling_edge is_x shift_left shift_right rotate_left rotate_right resize to_integer to_unsigned to_signed std_match to_01
std_packages=std ieee work standard textio std_logic_1164 std_logic_arith std_logic_misc std_logic_signed std_logic_textio std_logic_unsigned numeric_bit numeric_std math_complex math_real vital_primitives vital_timing
std_types=boolean bit character severity_level integer real time delay_length natural positive string bit_vector file_open_kind file_open_status line text side width std_ulogic std_ulogic_vector std_logic std_logic_vector X01 X01Z UX01 UX01Z unsigned signed
userwords=
[settings]
# default extension used when saving files
extension=vhd
# MIME type
mime_type=text/x-vhdl
# the following characters are these which a "word" can contains, see documentation
#wordchars=_abcdefghijklmnopqrstuvwxyzABCDEFGHIJKLMNOPQRSTUVWXYZ0123456789
# single comments, like # in this file
comment_single=--
# multiline comments
#comment_open=
#comment_close=
# set to false if a comment character/string should start at column 0 of a line, true uses any
# indentation of the line, e.g. setting to true causes the following on pressing CTRL+d
#command_example();
# setting to false would generate this
# command_example();
# This setting works only for single line comments
comment_use_indent=true
# context action command (please see Geany's main documentation for details)
context_action_cmd=
[indentation]
#width=4
# 0 is spaces, 1 is tabs, 2 is tab & spaces
#type=1
| gpl-2.0 | 6f6c3b6f8568a3815b1677d29be69f33 | 0.817554 | 4.105263 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/metaheurísticas/fir2_wsga.vhd | 1 | 3,226 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:52:22)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_wsga_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_wsga_entity;
ARCHITECTURE fir2_wsga_description OF fir2_wsga_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
register3 := not input3 or input3;
WHEN "00000010" =>
register2 := register2 + register3;
register3 := not input4 or input4;
register4 := not input5 or input5;
register5 := not input6 or input6;
WHEN "00000011" =>
register3 := register3 + register5;
register5 := not input7 or input7;
register6 := not input8 or input8;
register2 := register2 * 10;
WHEN "00000100" =>
register5 := register5 + register6;
register6 := not input9 or input9;
WHEN "00000101" =>
register4 := register4 + register6;
register6 := not input10 or input10;
WHEN "00000110" =>
register1 := register6 + register1;
register6 := not input11 or input11;
register7 := not input12 or input12;
register4 := register4 * 16;
WHEN "00000111" =>
register6 := register7 + register6;
register7 := not input13 or input13;
register5 := register5 * 19;
register2 := register2 + register4;
register1 := register1 * 21;
WHEN "00001000" =>
register4 := register6 * 23;
register6 := not input14 or input14;
register1 := register1 + register2;
register2 := not input15 or input15;
register3 := register3 * 27;
WHEN "00001001" =>
register1 := register3 + register1;
register3 := not input16 or input16;
register2 := register7 + register2;
WHEN "00001010" =>
register3 := register6 + register3;
register2 := register2 * 30;
register1 := register5 + register1;
WHEN "00001011" =>
register3 := register3 * 32;
register1 := register2 + register1;
WHEN "00001100" =>
register1 := register4 + register1;
WHEN "00001101" =>
register1 := register3 + register1;
WHEN "00001110" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_wsga_description; | gpl-3.0 | a6576abe2c4a0882f2e6eec2ff4e8b5b | 0.66925 | 3.187747 | false | false | false | false |
witoldo7/puc-2 | PUC/PUC_567/PUC_2/mod10.vhd | 1 | 888 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity mod10 is
Port ( outp : out std_logic_vector(3 downto 0);
dir : in std_logic;
carry: out std_logic;
clr : in std_logic;
clk : in std_logic);
end mod10;
architecture Behavioral of mod10 is
signal temp : std_logic_vector(3 downto 0);
begin
process(clk,clr, dir)
begin
if clr = '1' then
temp<="0000";
carry <= '0';
elsif rising_edge(clk) then
if dir = '1' then
if temp <= "1000" then
temp <= temp+1;
carry <='0';
else
temp <= "0000";
carry <= '1';
end if;
elsif dir = '0' then
if temp >= "0001" then
temp <= temp - 1;
carry <='0';
else
temp <= "1001";
carry <= '1';
end if;
end if;
end if;
outp<=temp;
end process;
end Behavioral; | gpl-3.0 | 9ec1cf6b837a7d9d118f2bbb2474f386 | 0.556306 | 2.837061 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/CONSTANTS_TEMPLATE.vhd | 2 | 576 | library IEEE;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
package CONSTANTS is
--IMPORTANT: Choose the number of IP cores
constant DATA_WIDTH : integer := 16;
constant ADD_WIDTH : integer := 6;
type data_array is array (0 to NUM_IPS - 1) of std_logic_vector(DATA_WIDTH-1 downto 0);
type add_array is array (0 to NUM_IPS - 1) of std_logic_vector(ADD_WIDTH-1 downto 0);
constant INT_POS : integer := 13;
constant BE_POS : integer := 12;
constant IPADD_POS : integer := 11; -- downto 0
end package CONSTANTS;
--END HERE--
| lgpl-3.0 | 2da98711b56c607f0f5b4dd193c0323d | 0.661458 | 3.113514 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/profiler.vhd | 1 | 5,146 | ----------------------------------------------------------------------------------
-- Company: Politecnico di Torino
-- Engineer: Alessandro Salvato
--
-- Create Date: 14:32:23 12/06/2016
-- Design Name:
-- Module Name: profiler - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
use ieee.std_logic_unsigned.all;
entity profiler is
port ( instruction_code : in STD_LOGIC_VECTOR (5 downto 0); --from execution_logic
count_max : in STD_LOGIC_VECTOR (9 downto 0); --from control logic
target_instr : in STD_LOGIC_VECTOR (5 downto 0); --from control logic
Reset : in STD_LOGIC; --from control logic, it works in asyncronous way
EnableRF : in STD_LOGIC; --from control logic
WriteRF : in STD_LOGIC; --from control logic
Read16RF : in STD_LOGIC; --from control logic
Read32RF : in STD_LOGIC; --from control logic
EnableDump : in STD_LOGIC; --from control logic
clk : in STD_LOGIC; --from the environment
carry_detection : out STD_LOGIC; --to control logic
dump_detection: out std_logic; --to control logic
dump_end: out std_logic; --to control logic
data_out : out STD_LOGIC_VECTOR (31 downto 0)); --to multiplexer
end profiler;
--each control signal is considered active when is high
architecture Behavioral of profiler is
TYPE regfile_type IS ARRAY (0 TO 51) OF std_logic_vector(15 DOWNTO 0);
SIGNAL regfile : regfile_type;
signal count_state: std_logic_vector(9 downto 0); --in place of register
signal target_instr_state: std_logic_vector(5 downto 0); --in place of register
shared variable indice: integer := 0;
--signal RAM_out: std_logic_vector(15 downto 0);
--signal count_out_RAM: std_logic_vector(9 downto 0);
--signal target_instr_out_RAM: std_logic_vector(5 downto 0);
begin
WriteProcess: process(Clk)
variable tmp: std_logic_vector(15 downto 0);
begin
if (clk = '1' and clk'EVENT) then
if(Reset = '1') then
for index in 0 to 51 loop
regfile(index) <= std_logic_vector(to_unsigned(index, 16));
end loop;
dump_detection <= '0';
carry_detection <= '0';
elsif (WriteRF = '1' and EnableRF = '1' and instruction_code /= "ZZZZZZ") then
tmp := regfile(to_integer(unsigned(instruction_code)));
tmp := tmp + std_logic_vector(to_unsigned(64, 16)); -- 10000000
if((tmp(15 downto 6) >= count_state) and (tmp(5 downto 0) = target_instr_state)) then
if(EnableDump = '1') then
dump_detection <= '1';
else
dump_detection <= '0';
end if;
else
if(tmp(15 downto 6) = "0000000000") then -- se dopo la somma il contatore è uguale a zero, allora c'è stato carry
carry_detection <= '1'; -- è indipendente da target_instr, qualsiasi istruzione può esssere soggetta al carry detection
--buffer_out <= "1111111111111111" & tmp;
else
carry_detection <= '0';
end if;
dump_detection <= '0';
--carry_detection <= '0';
end if;
regfile(to_integer(unsigned(instruction_code))) <= tmp;
end if;
end if;
end process;
init: process(reset)
begin
if(reset = '1' and reset'event) then
count_state <= (others => 'Z');
target_instr_state <= (others => 'Z');
--indice := 0;
-- dump_detection <= '0';
-- carry_detection <= '0';
-- dump_end <= '0';
end if;
end process;
write_register: process(count_max, target_instr, reset)
begin
if(reset = '0' and count_max /= "ZZZZZZZZZZ" and target_instr /="ZZZZZZ") then --when reset is deactivated control logic writes the correct values
count_state <= count_max;
target_instr_state <= target_instr;
-- dump_detection <= '0';
-- carry_detection <= '0';
-- dump_end <= '0';
end if;
end process;
--
dump_mode: process(clk, Read32RF)
variable tmp: std_logic_vector(31 downto 0);
begin
if(Read32RF = '1' and EnableRF = '1' and EnableDump = '1' and Reset = '0') then
if(clk = '1' and clk'event) then
if(indice <51) then
tmp(15 downto 0) := regfile(indice);
tmp(31 downto 16) := regfile(indice+1);
data_out <= tmp;
dump_end <= '0';
indice := indice + 2;
else
indice := 0;
tmp(15 downto 0) := regfile(51);
tmp(31 downto 16) := (others => '1');
dump_end <= '1';
end if;
end if;
elsif(reset = '1') then
indice := 0;
end if;
end process;
-- writing_out: process(buffer_out)
-- begin
-- data_out <= buffer_out;
-- end process;
end Behavioral;
| lgpl-3.0 | 10c68a9158b5a94aa160042d9be7f406 | 0.56335 | 3.385526 | false | false | false | false |
sils1297/HWPrak14 | task_2/project_2/project_2.srcs/sources_1/new/LEDPWM.vhd | 1 | 749 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity LEDPWM is
generic (
WIDTH : integer := 7 -- that makes 256 bit combinations
);
Port (
CLK_66MHZ : in std_ulogic;
LED : out std_ulogic_vector(3 downto 0);
duty_cycle : in unsigned(7 downto 0)
);
end LEDPWM;
architecture Behavioral of LEDPWM is
signal counter : unsigned(WIDTH downto 0) := (others => '0');
signal ledstate : std_ulogic := '0';
begin
ledstate <= '1' when duty_cycle > counter else '0';
LED(0) <= ledstate;
LED(1) <= ledstate;
LED(2) <= ledstate;
LED(3) <= ledstate;
counterProcess : process(CLK_66MHZ)
begin
if(rising_edge(CLK_66MHZ)) then
counter <= counter + 1;
end if;
end process;
end Behavioral;
| agpl-3.0 | 3a784feffac2bbaac45605fbe9084878 | 0.648865 | 3.120833 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_hype.vhd | 1 | 1,623 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:29)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_hype_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_hype_entity;
ARCHITECTURE hal_hype_description OF hal_hype_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
WHEN "00000010" =>
output1 <= register2 + 3;
register2 := input3 * 4;
IF (register1 < 5) THEN
output2 <= register1;
ELSE
output2 <= "0000000000000000000000000000101";
END IF;
register1 := input4 * 6;
WHEN "00000011" =>
register1 := register2 * register1;
WHEN "00000100" =>
register1 := register1 - 8;
register2 := input5 * 9;
WHEN "00000101" =>
register2 := register2 * 11;
WHEN "00000110" =>
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_hype_description; | gpl-3.0 | 7dc9f251fad1bc47d49f65997bb82ddd | 0.671596 | 3.127168 | false | false | false | false |
marceloboeira/vhdl-examples | 008-state-machine-calculator/_example/calc_avancada.vhd | 1 | 4,444 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer:
--
-- Create Date: 22:01:32 06/10/2013
-- Design Name:
-- Module Name: teste_display_e_botao - Behavioral
-- Project Name:
-- Target Devices:
-- Tool versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use ieee.std_logic_arith.all;
entity calc_avancada is
Port ( clock : in STD_LOGIC;
reset : in STD_LOGIC;
bot0 : in STD_LOGIC;
bot1 : in STD_LOGIC;
sw7 : in STD_LOGIC;
sw6 : in STD_LOGIC;
sw5 : in STD_LOGIC;
saida_display : out STD_LOGIC_VECTOR (15 downto 0));
end calc_avancada;
architecture Behavioral of calc_avancada is
type fsm_botao is (inicial, polling, ret_b0, ret_b1, operacao);
signal estado_atual : fsm_botao;
signal num0, num1, resulti: integer range 0 to 255;
signal oper : integer range 0 to 9;
signal result_raiz, resultb, num_raiz : std_logic_vector (7 downto 0);
signal p : std_logic;
begin
fsm_p : process (reset, clock)
begin
if clock'event and clock = '1' then -- Se houve borda de subida do clock então
if reset = '1' then -- se houve reset
estado_atual <= inicial;
else
case estado_atual is
when inicial =>
num0 <= 0;
num1 <= 0;
oper <= 0;
estado_atual <= polling;
when polling =>
if sw5 = '1' then
estado_atual <= operacao;
elsif bot0 = '1' then
estado_atual <= ret_b0;
elsif bot1 = '1' then
estado_atual <= ret_b1;
else
estado_atual <= polling;
end if;
when ret_b0 =>
if bot0 = '1' then
estado_atual <= ret_b0;
else
if sw6 = '0' then
if sw7 = '1' then
num1 <= num1 + 1;
else
num0 <= num0 + 1;
end if;
else
oper <= oper + 1;
end if;
estado_atual <= polling;
end if;
when ret_b1 =>
if bot1 = '1' then
estado_atual <= ret_b1;
else
if sw6 = '0' then
if sw7 = '1' then
num1 <= num1 - 1;
else
num0 <= num0 - 1;
end if;
else
oper <= oper - 1;
end if;
estado_atual <= polling;
end if;
when operacao =>
case oper is
when 1 =>
resultb <= conv_std_logic_vector((num0 + num1), 8);
when 2 =>
resultb <= conv_std_logic_vector((num0 - num1), 8);
when 3 =>
resultb <= conv_std_logic_vector((num0 - num1), 8);
when 4 =>
resultb <= conv_std_logic_vector((num0 * num1), 8);
when 5 =>
resultb <= conv_std_logic_vector(num0, 8) and conv_std_logic_vector(num1, 8);
when 6 =>
resultb <= conv_std_logic_vector(num0, 8) or conv_std_logic_vector(num1, 8);
when 7 =>
resultb <= conv_std_logic_vector(num0, 8) xor conv_std_logic_vector(num1, 8);
when 8 =>
resultb <= not conv_std_logic_vector(num0, 8);
when 9 =>
resultb <= result_raiz;
when others =>
resultb <= (others => 'Z'); -- coloca a saida em alta impedancia
end case;
when others =>
estado_atual <= inicial;
end case;
end if;
end if;
end process;
disp_out_p : process (sw5, sw6, sw7, resultb, num0, num1, oper)
begin
if reset = '1' then
saida_display <= (others => '0');
elsif sw5 = '1' then
saida_display(7 downto 0) <= resultb;
saida_display(15 downto 8) <= (others => '0');
elsif sw6 = '1' then
saida_display(7 downto 0) <= conv_std_logic_vector(oper,8);
saida_display(15 downto 8) <= (others => '0');
elsif sw7 = '1' then
saida_display(7 downto 0) <= conv_std_logic_vector(num1,8);
saida_display(15 downto 8) <= (others => '0');
else
saida_display(7 downto 0) <= conv_std_logic_vector(num0,8);
saida_display(15 downto 8) <= (others => '0');
end if;
end process;
num_raiz <= conv_std_logic_vector(num0,8);
raiz_q : entity work.raiz_quad
port map ( numero => num_raiz,
clock => clock,
reset => reset,
saida => result_raiz,
pronto => p);
end Behavioral;
| mit | e21fa8e990af1fa1c0aef1b056ed2838 | 0.519802 | 3.125176 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/axi_master_burst_v2_0/1af76933/hdl/src/vhdl/axi_master_burst_strb_gen.vhd | 1 | 12,943 | -------------------------------------------------------------------
-- (c) Copyright 1984 - 2012 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
-------------------------------------------------------------------
-- Filename: axi_master_burst_strb_gen.vhd
--
-- Description:
-- AXI Strobe Generator module.
--
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- axi_master_burst_strb_gen.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $1/19/2011$
--
-- History:
-- DET 1/19/2011 Initial
-- ~~~~~~
-- - Adapted from AXI DataMover V2_00_a axi_datamover_strb_gen.vhd
-- ^^^^^^
--
-- DET 2/15/2011 Initial for EDk 13.2
-- ~~~~~~
-- -- Per CR593812
-- - Modifications to remove unused features to improve Code coverage.
-- Used "-- coverage off" and "-- coverage on" strings.
-- ^^^^^^
-- ~~~~~~
-- SK 12/16/12 -- v2.0
-- 1. up reved to major version for 2013.1 Vivado release. No logic updates.
-- 2. Updated the version of AXI MASTER BURST to v2.0 in X.Y format
-- 3. updated the proc common version to proc_common_v4_0
-- 4. No Logic Updates
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
-------------------------------------------------------------------------------
entity axi_master_burst_strb_gen is
generic (
C_ADDR_MODE : Integer := 0; -- 0 = normal, 1 = Address only
C_STRB_WIDTH : Integer := 8; -- number of addr bits needed
C_OFFSET_WIDTH : Integer := 3; -- log2(C_STRB_WIDTH)
C_NUM_BYTES_WIDTH : Integer := 3 -- log2(C_STRB_WIDTH)+1 in normal mode
-- log2(C_STRB_WIDTH) in addr mode
);
port (
start_addr_offset : In std_logic_vector(C_OFFSET_WIDTH-1 downto 0); -- Starting address byte offset
num_valid_bytes : In std_logic_vector(C_NUM_BYTES_WIDTH-1 downto 0);-- Number of valid bytes from offset
strb_out : out std_logic_vector(C_STRB_WIDTH-1 downto 0) -- Strobes generated from the inputs
);
end entity axi_master_burst_strb_gen;
architecture implementation of axi_master_burst_strb_gen is
attribute DowngradeIPIdentifiedWarnings: string;
attribute DowngradeIPIdentifiedWarnings of implementation : architecture is "yes";
begin --(architecture implementation)
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_OFFSET_MODE
--
-- If Generate Description:
-- Normal mode strobe generation where a starting address
-- offset is provided and a number of bytes beyond that
-- address that remain.
--
--
------------------------------------------------------------
GEN_OFFSET_MODE : if (C_ADDR_MODE = 0) generate
-- Constants Declarations
Constant INTERNAL_CALC_WIDTH : integer := C_NUM_BYTES_WIDTH+2; -- 2 bits of math headroom
Constant ONE : unsigned := TO_UNSIGNED(1, INTERNAL_CALC_WIDTH);
-- local signals
signal sig_addr_offset_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_num_valid_bytes_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_offset_bytes_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_end_addr_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_end_addr_int : integer := 0;
signal sig_strt_addr_int : integer := 0;
signal sig_strb_value : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_select_value : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
begin
-- assign output
strb_out <= sig_strb_value;
sig_addr_offset_us <= RESIZE(UNSIGNED(start_addr_offset), INTERNAL_CALC_WIDTH);
sig_num_valid_bytes_us <= RESIZE(UNSIGNED(num_valid_bytes) , INTERNAL_CALC_WIDTH);
sig_incr_offset_bytes_us <= sig_num_valid_bytes_us - ONE;
sig_end_addr_us <= sig_addr_offset_us + sig_incr_offset_bytes_us;
sig_strt_addr_int <= TO_INTEGER(sig_addr_offset_us);
sig_end_addr_int <= TO_INTEGER(sig_end_addr_us);
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_STRB_FILL
--
-- Process Description:
-- Fills in the strobes between the start index and end index.
--
-------------------------------------------------------------
IMP_STRB_FILL : process (sig_strt_addr_int,
sig_end_addr_int)
Variable temp_strb : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
Variable strt_offset : Integer := 0;
Variable end_offset : Integer := 0;
begin
-- Establish the Start offset with clipping
-- coverage off
If (sig_strt_addr_int > C_STRB_WIDTH-1) Then
strt_offset := C_STRB_WIDTH-1;
-- coverage on
else
strt_offset := sig_strt_addr_int;
End if;
-- Establish the end offset with clipping
If (sig_end_addr_int > C_STRB_WIDTH-1) Then
end_offset := C_STRB_WIDTH-1;
else
end_offset := sig_end_addr_int;
End if;
-- Set the appropriate strobe bits
for loop_index in 0 to C_STRB_WIDTH-1 loop
If (loop_index >= strt_offset and
loop_index <= end_offset) Then
temp_strb(loop_index) := '1';
Else
temp_strb(loop_index) := '0';
End if;
end loop;
sig_strb_value <= temp_strb;
end process IMP_STRB_FILL;
end generate GEN_OFFSET_MODE;
------------------------------------------------------------
-- If Generate
--
-- Label: GEN_ADDR_MODE
--
-- If Generate Description:
-- Address mode strobe generation where a starting address
-- offset is provided and a ending address offset is provided.
--
--
------------------------------------------------------------
GEN_ADDR_MODE : if (C_ADDR_MODE = 1) generate
-- Local Constants Declarations
Constant INTERNAL_CALC_WIDTH : integer := C_NUM_BYTES_WIDTH; -- use math clipping
Constant ONE : unsigned := TO_UNSIGNED(1, INTERNAL_CALC_WIDTH);
-- local signals
signal sig_addr_offset_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_num_valid_bytes_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_incr_offset_bytes_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_end_addr_us : unsigned(INTERNAL_CALC_WIDTH-1 downto 0) := (others => '0');
signal sig_end_addr_int : integer := 0;
signal sig_strt_addr_int : integer := 0;
signal sig_strb_value : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
signal sig_select_value : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
begin
-- assign output
strb_out <= sig_strb_value;
sig_addr_offset_us <= RESIZE(UNSIGNED(start_addr_offset), INTERNAL_CALC_WIDTH);
sig_num_valid_bytes_us <= RESIZE(UNSIGNED(num_valid_bytes) , INTERNAL_CALC_WIDTH);
sig_incr_offset_bytes_us <= sig_num_valid_bytes_us - ONE;
sig_end_addr_us <= sig_addr_offset_us + sig_incr_offset_bytes_us;
sig_strt_addr_int <= TO_INTEGER(sig_addr_offset_us);
sig_end_addr_int <= TO_INTEGER(sig_end_addr_us);
-------------------------------------------------------------
-- Combinational Process
--
-- Label: IMP_STRB_FILL
--
-- Process Description:
-- Fills in the strobes between the start index and end index.
--
-------------------------------------------------------------
IMP_STRB_FILL : process (sig_strt_addr_int,
sig_end_addr_int)
Variable temp_strb : std_logic_vector(C_STRB_WIDTH-1 downto 0) := (others => '0');
Variable strt_offset : Integer := 0;
Variable end_offset : Integer := 0;
begin
-- Establish the Start offset with clipping
-- coverage off
If (sig_strt_addr_int > C_STRB_WIDTH-1) Then
strt_offset := C_STRB_WIDTH-1;
-- coverage on
else
strt_offset := sig_strt_addr_int;
End if;
-- Establish the end offset with clipping
-- coverage off
If (sig_end_addr_int > C_STRB_WIDTH-1) Then
end_offset := C_STRB_WIDTH-1;
-- coverage on
else
end_offset := sig_end_addr_int;
End if;
-- Set the appropriate strobe bits
for loop_index in 0 to C_STRB_WIDTH-1 loop
If (loop_index >= strt_offset and
loop_index <= end_offset) Then
temp_strb(loop_index) := '1';
Else
temp_strb(loop_index) := '0';
End if;
end loop;
sig_strb_value <= temp_strb;
end process IMP_STRB_FILL;
end generate GEN_ADDR_MODE;
end implementation;
| apache-2.0 | fb40771d002ab25a4bdce655c5a8b8e8 | 0.510624 | 4.452356 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/simulation/fifo_generator_vhdl_beh.vhd | 5 | 613,661 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dHLKy/nz06UYVZQugnMYVzoizdQga5eiPviTNijLVrfka0e4n10maZroENH+i/d3D4nP+6rCehwJ
Pg9u9lMPEA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FU+YgOMEW7wHhcRbjPDmbCo7UM2CGV7VwVVl4gjrfWCjnM9rBXIcGtwrCocUfVrUK5yAzNllJcbk
RkDirhqVsH3Dq1TEQbXSZIdRul0B5/DsmxVfyk6xwHMQT4GRVIhAj9IY2BPlyuQe+uiGABvn7SO9
708Iw6IfcBM3Akln2XQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sCyiVB27tH4McbS42COLF49X2klsN9rEOOt6PRuLABV0U4bnrzrPA7cgvCNRl5ervASr1Eq8O0E/
6sNkTJ0CNnexp9PRJnvCTuVEqf5rDmOexlkysQSuvCf5I9IjBWrob+rfkQE29AHt4/iWieHDAA03
fAnUI5CoEWnbH6V5/BSBkNIB/STwgBz+UXKuJnqAumwRextGnYVz1D3Vlf7gpOMaqMaG+OYUB6Db
3wK0S+kLVMhec5dwYm6dh9Xy3uETRUEPZrin0TkQKRx1pvXxIoNFQSWapro5z2PdU5ClAC3zisqb
DjX9vdb2ILrhSNByhRV2/IS9z0/lugc/VHH74Q==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qwVz9qepk3J1bxUCOZC+3ZtoY3qbZiPW1Bkyyou6j0YnDBiKN5Jk/5EfBzmR7Dqzep408KKnGwkg
I8IQZRaFJRbCPYH/CX3nnRBLW9hg22xZDUESYSDyQPNfnaGdXEa/c/+VYUWHtwEjEQsjZtsNsAsa
iQzYiQoN87u2pFro4tg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
J3IBWs5TG54OVxD3K6WpxUqKy5qvpCmAWVBYJUQLz+wM82DQcBxD0CAagvYWxWUkhwpAUzKKi1wO
YUxr4jRVrxo1FtXZLUP1SjdxSbP9maRFzxJ3+/buydDsNIEHOXkAuAgCrNwv+PUWW+ZIH0FWxxIy
oRAA6/AdlpE78/juksgpt/1tbxMQ/1EUHnlEY2bst/hSr+kuuOzrc/qBqnFFNlg/OTPvxFy6jd9h
MBQXulj2wJ82hPCq7ScKTJibNl1EcNHRZZptGiphEEhpcBsvQyOTNq6x5zpcFGceUXkKqiB5Rti7
2UdBYt7dyuUuPqp60TVu2mLCEdQKcryOh0M1JA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 452528)
`protect data_block
azQoGUAH6Y4js7lGt5Q8UjSNz7BAz26CH6rxZX8MRNP0xNrQm02HLZOq6C0WiXDmKrCZQZ3B1zrz
jLndjCYkYJuD7TOjFux5fq5YD2dU6hF8SF9NsL9op7xNJj3mghCNw0d8++aT4VQa/CvnE4IEI0Nm
bTNq55sp9OiZYtNOEB3N9F2A3XT+vUQnBW3wVkHLF+TIasSeIZs3XYsKzRYNJEb3f2dum7/TXFBh
GFvYvm+2AwT3xOsHVrRVQhI8PHBT/hqANOkWzvR/E32epQgVbA5gKtQwTassEG6cntrkqGYU1peO
MPQ7DN0qNnQNgOxDicDEiuXVDVkGQuJGDrEE6uiNlkLglYibcLnEIlPHLq3NbIAVjhiYxMYiEV9e
newTOilzj7aOAeuYt9WkWo12XvVr0umUrpo6QOLn+Y9CmKAPWwlO+LzPBK1k64Jnv1FS6hlHKGAx
Yx+rRB6oZ6iCe0vE9egtL7AR8FUquBEgj2Lb0MMHK3x/R1AYsYPbUyqwO8xA7YvMToLb1Y8OaXQl
khpzYCuQB7bordRzDCQLbwIVCjz3X+DoHuLe0g6k+A63AC7GpU8rjOS9EEDkFqQNVtaEmBoIL87J
S3+IMjdtD8YPtEUldRHRe1r90y+r2T4dOrOcVryC3h7bMXvcn8ixWoCLoNd8athbMLgMPrEdhiaY
uS+fLA2fs8j7/1wtnasn+j5VMfJXB28/c00n5lO6uMNfCyq4mht9DZEHxH9Ha7g67itMagZYGy1w
V1tQt47mKTy2fFnMtU0sptlkPot39QrSnA/DJqVRl01Bzep4yq4Oouc+1fGBqTOWZWMOH0O0Kmfx
n9klPkk9kRmB0MpuD8siC7DbPXOqKZTT4kfLNFRPThzdpWwuuS89ffK4MsddxdELTdGGoeilGh96
GsWJyGfEy9puCGEvoG2wjCRzmgxbCaSx99qWq4M7gn2iFOpEFv7x+Jwx/tK7X7IWaB2cMv5e/GSp
9jb+AxfO4uG77UEuIG0FK4o3Z99keW1QCmRGXTjdP++1MxO/FO5oZKIfb44yT5lQ6C2XR/jpd17M
mHf0VRkbJiLjrVytZ3aAZdBGJQwBELbILX3kZzegl9xIBnr7K1ATPpdWfr+b92x4HaXNAz1jWFeR
E6gCCtxfigCjyBnFSWsgk+ql5ZB5v7+TNf0mZORAtahg88SCVZZcVt3hKOXrnt2dxtj8Nqnqd4IV
hWcRRN4ccZfiH4y3G7i/4MXvWJpxRusqfqmyJtmwPYxbWHRY+4tI/L5bRhB1VHqtrAONUQZr/jFh
qW9HtlSA0vbKde5hzgXd/2Uz0TW+epdk3xrjc4VZDXuOQxHQT6ETAocAAiGlY22TSMWKi06ERhet
41pBmyknBlCLgJYWPbRPrjs0gZOnso9gbfl7XT+zEK+FHU32xFJKovDz/JihV7a+6FWWBcCA9gpT
QmaS+XKhF31hdsDpyR8G/wPrjCnfngFCLZH3dAtJZMxZZmIet2g1Nu6no5bNKgioBwSZ8Qz4YaXI
AJtZPD7tOP+Wz/OwsYh8C7FIBCKG7dCvZSqU8t5w+Q/8lHDh159+Adxi5/w7Ovjn19X3X4jijIuN
ksT4zPDxevjkfoS4biTHhpNnseG2+alPuZEV+kOmEP26I6FuVRY0wcSyDE4NUKNc6eJsfMEDHXqP
79WEvaC7k51HvTQ0xgSCiXrjTb9Gd6yVw/qtlrz9H+tS+RONuwSUVEy4S/LGOy/sXCaJzjy9tV+M
AyLcvpGPx3dR1toExx7y5tGvgnvJdv7aJ4sm5VjR/B9TNGPSBEksp85T5zIQ3vT0A4Kh978g14pw
SchxBZHskFP5tlPPZMqafvraEvixr2urN0vZwYBVurAR62L8AnubkOL2LOjyFcTsoIxNTP6NWWnT
p8k07k11IrGk49kqq4wet8WabkltbarqTf2E9RlQU0Ep4Nq63VHdlA/G310HioClmfmH3H/SuDnG
AdX16jxvBEmljZsKx1ZcKhBYaHTjlEAEpNzJu3KypdKljbS5hnCGxToSddZfE/HHrm7Hlm5NLdhT
XZfEPu+bXUAqyXyZ3L/i3UJfwsJdrcO2V3Y8SjRmxXrPuPkPuOhRZilwVkna/z+nQdSbB47LkVbs
QxgCj5ogZwNKhv7VvXm4vjqyNdwxaQFgdL1mwNe6DoCpQQoDgDl/z9lAHZzIfXFXND5hPJ9pcY9s
ZvMXF3lvUJRUOuBB4D92uvyeTnq8zLkiPAplahaTIdT/m64XNjVs9AIbBMY57Jzvk7f40+V0fTqb
WkldeSimND9JhYDHliYipaPikJdM1+SNHTlbcfVXs+o+MuwJcFNClE/u21xGXo94vnhJBlE4YZPp
f2xlOcRnaQT8WMRB54c2ovg/eDj/Hcw2GiVMCHQRKeE6p/M5Y7glYVXrJ5bol9QhtiTCZtP0Rvpm
omnl1CfI1m3ZVa0FatqZA22F+jRYaoCM6MFN5Of3nl1735oNW51JR5GwVjh5RopiZGhtu09nr7PB
iIDBfS91bNDtPyFeIl/l0Mp0Ieo4Dis9hl459D6XmBp8wM6J3Y+h4PZwCmBCQ0pYNxaJyka1UeES
oPt0M0+gQeo6LriQWyREB42jlRCarIrjZ4heWm7ITJuelyVmFRkePrCaAx6+8fVmUkWk0QSdUOZc
rObqWafAXeYUXR2v2Q6803x4RPYEtN2XEWiWqwV54xWRSfPpBsDjk6poyAcAgaORiSv9JzSdpcig
H7AhEZf0Ud3v2w5QZ2oJhDBmkOz54zCRIRUth+PnrBHQHOhGc3RtvgFUwHl4MrO1az685pSBurm4
RQj3FEmB3iH34M5TrCd0KOl3y2wYfk+VbxVpQtLFbFT/cOISP/eUtoqZmGsuGI0QSXb8gVwdTZ2l
l/3oaT821TyHiod2Z/BofR0BSjrMsFujoR61/243QCWNPynLYASqOyhB7KuuXnWK3zL4AAGLXhIE
mXCAsLKAw6zFjJssUnq5PK6h+3tC7YrZangiNDYy0GCfEokk0jl19+EMmyhZk3IX6dj0Ruq+VwZ0
egxBvULsTTlXmm0rnllxqIiMm9gu2IHrPPJd2/Yavlse6zz6hsvBqighMWTpTt9RuUx08AqgckWe
msP+p/XmNaAvnGk5VKI6KWnQFKJwH5Rr0xsTH2LWK4odsR1dBynw10nrV23iIe3sDAuXPWQCvzDT
tH2niSSwP7yppf3gJW+9yMwm3Hc6tyeE5j6lydPOhcOrCMo3ckHsHZOnsi+hMaoysdbOlRabC60X
7OcOGIxd+p5H4VzweA8tR+xMm4XGyOYmY4tgw5V2Vg7ibgeACq8eCZ/MBo3t22jGdIPDl83LI3nA
jiRcseGo6LUcbr44v1LpndEhEepLbh5Fs+XKsDahNsaWy8OELTEiZlL70Amb0xahhMWxFo5wPrJP
E98O5h60je7k/0yK+SovhgF+quhzjx3uyABdhGfahJ+or/9GpbwLEIW74ykFrpnmVQKI2U1IpGz6
AeHQFw228GZPpYNnTYeolcO3a6lqGy+HJh0Hu5EAf41KOk0FAQgt4Nhzk/Z+kg1gu4fsaijmEFTS
uARW8xTmBYBnSNJ2TP03dBTf21TlyiwabtRTXHkjGNJNIQp7SyH8+cOA/+aMRm/avzrNASBojrQ6
f+TmtzUB06sVKLNfPdZvELbCWp9KZI0d7aOPqhzhCu1s+L9H7W1lSKCmOUgBpHuDNM0gQEg05ILR
fDZ3K896YukJWThfUHhdK42mkAVMbJEjlsqRwJ+t0BXJUDS83rA734ylq8amoUFHcy9LFvbcKN1/
palkgN3OcTH8AaW4/pWFB4Q+1Y7sVJSaQikWjnuEXMUYru6nsdNMB5fJpX3yEOzmgjjDl7yjpT5j
ahibeGt+5j8jdyXtGyYak4XiiGLfPBusHY30MSP6J2eGXQWPTz9/Ibqw97YietIJ8djxTR7Zh0Fy
lVxaLwN6tOvO13uajpM8vPYHC0dd1yiyLzwcMuvo8pFCS9VN+6PEAlxSPE4ovWSFfGKXCWHwQZl2
FlzhBkIKPQOom9Ujvv92+6Dzzan5wnzlIUZiWDlj2MNXsVscNOnKiFN/hcGWCAwkK7Jfc4++Ifon
5+hwxjtJNPS6sDwNPIag2oFmS70gjfRGthkOF1KpvOh7U+kb0uSAbUwp/34CKKCE/RIr13PQtu2Z
/O56kWaaw3AuIs1uPZ+PREOBIJ999GQXEAjhOJF2nEp6K/rvGrpr9F8cspSvuW0j58dltlgQ0quI
o0m5hN+tLGP+TeP1VwE58ZfM2SkbqGtsmvZQuPozYXtnjCiJsWVb2q9dIe88WC/X9t3aHcJlLyrE
9+6YdJOUwbHIY5Q+SysHwXL6z9EOa2hKd6nFnVcTMpjFh2jIls5bUKs1grR/VioB25o+twwbymZd
YHVDdqs+MqO/fjEH1wBVr/7jMkil15o6vVs4UoEc/CobY8qklI36tHAt3DZcbALKcyGp8eOrQTRt
b9TxS3cbIgLGIVZDzCZfoxObaa/FWGtcsozSwgz0xjkmzCWsNhJAK0pleXpjlhQD55VIp0sbTmw2
AR6t2cOSjnhf9SaA81g3CieZwZWB9cNU2tUcHSMTCBQlxVY0QqbzDZf6GLc8W4l3PuRPkRigsBIG
nGVBTUpXLKxxK77eXm2h50yis/XCaYOiL1PHPgtdqYgJX5aSXOsoGiS8BmDgOfkzd5r0xZmjdxCF
q5MQlISbYM/ppIWOFjo8VicX4KLI5IJRL7ZMWZgfigH9YI8b2afp3zI27b7mrbm1JUvDYYRaEyrw
fSTcB8hGpoRQ3oEHj3F5EyhwzqZ7KKkJKKQ43gLy43YYb5025K2gjQhmeMOdKI2R3e6CLG5v0UDj
f3UPtAabE+oJmFfauhmq6y4ccRtZJXDsWSrL/A+Wb3AUR/8Us/N5rzoE+8R0EK/S4Fy9djFabFa6
2Em8uyIXIpaXKrHBRfsq4Bbyy5zKqie7XYB6A28TyKf1rAyqrsF82N4xOter+HkSY+RaQwvFrE1t
q9E2f1Ys3LWzyeP3F6EJVJqy27QWuuOt3xVrKi4SkAgOGCPMTIKW4tUt1SLSE9Ky4OqYmH9IZeLt
5lELL23rAj8YIV2gvddCF3WfGbMrF197i9qyjGdHnUSJCIJEOLb9/ToLK30S6CwLj7MH4huwv8wB
ztN21+rb1Y8dwcUokf27G8KkLu3wry5BVmiHbtPIL/GfCKuGOpV+fOIWl5My9hZM0Ra4vi8LqXGJ
6ja0sWTSYAjpyPi+wp65Tq1N5AWCeSDYUuj9pABvnTIsGkpNVJc73ypw0jy1qV85FWk7zOTkoPLB
9pv7B9anCGulmP8CBVQF2UNmxYXTDtqd3AKnmJ47/S84vCuEvXL1ovywIvIoOKQG3f02hV7p+Aip
U4yc6NZROTnVKvjJU695fSh8tP/Y1Zdb5Z7uA5XKz8bfh4nNlz3ZbiA2Xh3qR6j7mTdNAUJJdnx9
jA2YSjzE288mNSGMS517zC+Uoj8B5k4XHHj9rJv85ov9plg8xaPYCjImMnzRfoJCYM0djCwzI7yn
FTxqCSmE0uRvJN1CN4sLAQAbYAJNPCA23covEOFUwdhkyKElrmAI7saznYIJhcF0HldB9ILCJbHC
ms7wm+unvpBfU+CTVGIGaY6f7nc2nOxE4kKsW3jxgtdyBpeTJpusrtf5z/JWTuovb9Kjn8Y4Y6C6
jT2uZKFEHedJDoISFIjB2K14NUeB+/boEARpRX0jnEfomn19ZmoOpMB6bUm/bot3TK2tD6tXK351
O+lDpvxiz33e4CmzU0jXWPJd6Gaxbupn50N+YYxIIfGVQuoJZi/ArBU87KzDlQsLYSpGML88hqBe
dwqcTY0hyU9t5BCt1/QZQnT1U6eTRmqhjaxflfZjLNbYCV9Qx5pwLD3DInraKjsIy9QPl0nRgXxL
i9yP8pObOWL4D/kJE08OrZLeaEOXuvDkIFdYUntqZUdCV2hB/CeRXP5ev7U3qlWo+nJcwYDKU1yA
SO+7rJF6RxF5qp2V8kFMazS67kL3xppdaXZBcXXCTnBrTWKi02BiM8ryU69paQI0ZpCXh/usioN+
9QUuuuZfNQA5E4jNe5pTCykW4Xt/hF0DOIOx/MAnbU1LOdjX/Ah/r1MBdUKUHP2YdV1/2SO/1OPg
6wMdcjUNu45xzSPu6H4uHmt4bTshD+cezfBZI4Kufn8eSy36Ho11Vg2ZiknI/SeO46dsZIvgz20v
os/JvVyDxksVFxPIU6hEl0LSRE17nRUpjDQlywAnH0woEB+hukEF3zzkvkvc1nTzaEsmzSCfCYWs
h5vCCMpYdOw5OcspNKpOa2SVT+82YmlPFK9Y6GuXpnfNnRUFM+TgDVADpTLcdL4/oD28L3viWTar
stoeamuEmcTOblNUxUF46evvJSm39t00VFXdYwTEdk5ikgtFARIVbWO3AYTMOSKGzO4rIObNTaDv
ZHvpSYC/yu4ehffUIcSWnjkYKr/rtPnE5xC0gyRfHxiogF3ceXyco/AkL02oE7DcXCEW6H2SE2pi
9sSDma7J09PkcIcwGHoZVbEQq5GK9Tji28qmxlhmr8ean+8WYC8OZuobV1qMyKYh8mHnXUK2+yHx
Y14KYcMisSZ9H8ze/+88kwlrQvpMs3no4DBu/aMAL0SB5RWcNG/FlFCEbRyZigTk97+n7GFt2Qog
Uvg5v+znSvU280Ez3tGw+UlmJRJBEHd+hBlbqpSq32csOI/tLPFqXNS4uQNH4FchUwYbavYxIdNK
+UN0L5lKkkSaTxpCKmS0xtbi3bkZtEwpj7R0oAwWxMDrJPh4odcLOdfK7k7fFFW6wlNSW5gdhgvP
w6NAMZXLqKtYO6Bo7J82YkwZaRoDO5OeF7g79Krj3bHNjViClXQozZ6U/5QmXmS2aBzrk8w507j+
c6WEu7a9T53Ggd04iih8iugGUGI9oXeD0rhGamvnBHoTVbHflKFgm6cY54AyCdm3sA1wmXa4LeO9
Nk8Cymn0+saBIgDySGius1Sg9JQzXz84Ofvb7QC9NKpA4HI4wX0eyOIC2EQonnrQQt78YQNBlK4b
acOIWzl7tilZxiApFVQX+SRi/yoxUAYrEx9QLpT0M2MOsN9hRkMmnDAO/8G8vjXCpqLNwxpX/oc3
x+N+i0e/d5Qs2k1kBE1ygLMu8q9OzzMOuUN95x8X2ngV2gszkoebBZWTFj+oAbV/OAmz0BqRdrvi
6JIVwqkY5dnFdr5paehWieEsqFZQlMva80q8MEfljNCUs7+NsY1GGjzetPq1Z27Djql9fvx1bDKW
leE/VKWECjNUNGwYvEIQ5Ee6jF4xKs7mW52/c61b6mspBH/tZtmXMlWElaoRK9d10yaWXko8t4US
beiLwchhKLBurEbpldgAlfgk+LU2Umbrczfpz+Isdgkbs2USXX7f6w4UQzVMqmIAPteQId/x/C7F
QAYXRNCBeNn/toicrSDSKPfsYMHHxG4mpXQ+DXQFVmvwOLT+k+cAWTWOMNXNugIRrizPryeJUvNw
cwknKUskSwDKb6RRhJuq6MAXh0aAhVd7i15SRHAS4jJRggdGsHq2hCHVOL6Zd2MUkSfzr9JMmwQE
BP3OmVFku4eldVcxdCpacHLCE0P1SnKNer5HxF2H8qeaYycKaDcVtgaB4aZbDYw1QHPaLzYN+M2Y
hJMEQ6zcsaj2kMqjhuUAB2cHdFTAEZ1Z+TVoLi9FRvVLYGGsqxm8ZKtVOpJaW1d+gs7w26nRxUjl
9PtC0/e2qKj6KDPr9oMb3zQQqBTfhED83RhwiWK/5atxUs75I7xCWHwITHsPjGpqcytYuO7JFfOZ
M4SBHhRVqKc3FS9E5fFrazRVTdWA2x43LKcI1NS/VKbO5+V1IZdwxwjEe29aGCOaa/G7fTbP8zfc
g5O0YlD7DUBCAkka55t7qpm7X2pKIAQ6pkAK1DQK9m+OaEx8x+5dxmwp5MGWSIIkE8RXp5SFDVM+
tO231WaGkPmKjsLgQCLO8mJCqtXWrh/tL3UcKW87eI1GLQt3ArHOlGOzn5eKMRR6pyDVGakywORP
wn9KKqWlMRhmB6mB1SAz9wSkhDqjss8vxAU7c03Izl+dvfalN0eOJMRdj1l9iafosy8ahlEiUSgC
meRYAlunMZE/SBp47f7IZPu089+PBjyUjkEWElulx5JAkgGQyzNOhHy1v3H8aVAdEVgLVCDfOdEk
uSQJ9piaG+TDab2y2QLgC2HAePV28WvpoB25u8j5dCSnMlPETsL6xjzc8ljr9l4my2w7cz1Jdcxd
3HWT+W8m7V7aKPDS2olOc5h7WOC/gma/7jGcS4xRwQ1TfU7eIQUYsW1+pzet74u+0QrH2VBmpRVE
JQZ4kv3qG5r1ZnJGyGUmL5LnTU1I0RF5/pxNiPmbXdjpfefkMSBcLxmP3bJtI3bjCObmUrAk6PRo
hmGiaNOPsv+iOmpNW2LkBFprQ6tFsEKT/VZnB2ljtvOvnQzH0YcGlllDm2RVGQHjaekGG/4B6LTd
LTptDYE2HK9TguVc09oIWy+tU+ENloek6YeFtusD75TkU3td5uruwjaw+Ym0uRcB/a6464/jGE+p
qwfpXM0sZcyMOkMGNlwWYm9IZXWc9bUthvwPTT0ElkQ+25OpQWTbidCzBUS5vnrXrJ30c6YOc40A
I4PHJFvXGN3zkUJ/KZw0skHruN6091EgfplTBoedXhAcNrRZ2zxOboOoSUvzZ4Dz11JXJfMAamLA
bFOVDNeVpNtwZsxaj0Au8vd7ABfPp3dLwU09GbbfwaeldAd6VSYN30WNRA2gPdxAaaFMs0kMWvNU
y+6+r1ZlCSfM40bNAmJ+SyYqGJxjumXjPZycZgLEJkEWkIQOONh5LkIm5Oo9m5ODGtNGYr62sbNj
i/z0Or4BMUC6yg9d3rQFEr+4yqXeXcRH8TiO2o/zXT503lG76FwNmzmJY1BRqbXHjKgkUm8rgzl0
yH1gN04JEC0Cr34i3WQuCCDJwluAPRyCoKYsI2sKAXtpJ8knLiWhn5piV9USwTNwjzDB3q4hPxUC
hZxmmed7NNlon/FvwJg5spiGH/NovjCv5WeuTHtyRJ9Sa+VH7OIF/TvQocHkEzhPTgMfmpKu8I7N
x6h/wFPvzUvKBA4X15D0qHR2pTkRHI7kNm1aBOVz+/IAATYfMBSKXlaCx//fHaNfv4CBFlTm3Ve0
N6uUBb0aTH4kC+48cQOltVv67n6Mvz6xdHM/hjUW9gLgeVz3HsA/uYt1x3nSpFoxH+mkUt+14L8P
AkiyAIoFmtoOiYrgEkKg6SERN170h/F8UwXagZucPX3BB6O6JacE3p8VjrSeEYsuafPzftX42H4d
PtFCWuQI5b5HKmMbFOqLfhwYYbhv5v8U1h8KNKZdKgn21zJ3YGm70+C78nEJu9eaMs2QRSUukviT
HofrjqcsJHpIdWVhiZLD5UYSWKpSZM7E3rG6MBbIJf79dgrJoCcslKGDTWSXdfhegvySpYx0FGHO
oS7eI0QFMpPpDlCenIfg8Ta2lM7k8X0r+K5CObEoDnm5EdecHpjht13P5DfvkS7+dc1ghD6VuLUp
ocRnKnwe/cUJ6qoAwlCfH64iNd71g7y89TV+ZYMRSEwt1twbECKem+VoLhWmtv/E1q0IMuYFHOWJ
5jTGdtlzxZMlNAx1LEqGOQJOunk76UjyDMq2WDplsBrMFd4YLJ0m/ziJS4JpTafVUMj3JfXgoSee
EaT2j7NQwVlY/7nbbH6z3XHXwhbyzBxdhZ2BNOjn/JGMoCbm4qUMEoSoF+2X8MtbU7H8LSU1vWoW
+k1bqVK4FSnbBOjMaaa1nnMbZRlVkuMMyUFXSaubszHCjg55AaAWuXYW+rlgejTJ/5yxa/QTCUpP
mKO6BNlioVmTfSM1c39uc5iVHkVL55RmLPz0BN+lqNqHVtVucxB+E5mIQECnE/mCTh24Xzwg1r2R
h9J2J37F5zKbNpMyYkJHDDy3soSSi/PcL1gtvo2ZUJJSiUYxpV7qAMlh7I7dhltsqAo2LXqovaUU
tyiHNxnacKTmCDn7wdkrVHoTjja2U1KaITV38n77A9UJHTROpkuVSscLdsjAJ0D6zl+8NPnGWi0u
vJiwvJqxcy6eQEo/2N1HHpFBFyu3MsyMIn12SNG+ngMF/kAvqAi4La+KdmhoBnA8YpGt2pJ6yT38
q6lJOOKwKbaB5EH8YT6jzyI8a0Acb1p8zmU/aCV3WbzDsS981k1Kx0CrqF47Ki4QJifCtg/KMx+3
3fv8oMRgeKke4QSEZbKLdUmOUR3UJamG65oFUgoV3DRx+EBKNcWk9eUe1qDgPeF+cYWvECUTCAhr
Dj08Grms9yuV2w+ABbiMRqK9tcueSQhmPmn6FbOmBStlTXyEPw8zxkgEDwC/9nDf0dt07DTxcxu+
IPXiF2pq+u/LMZT06XtQq/n8CupZiO9hS+t7FH7qIr2AzhNY66XWtUTzKsR3uy9XUKO8yfXAsTPu
erT89QSSIfh+lsbM40JC1ic7R+xTGbACIJ2/Rq90Zw6184MPVUHhVz5oHIJm0IDscfP63Pt7lqz/
IoxvKSEo0J4PjfmVczujTL/26LpQffwgAHXduq4mqZozvCGGHa3Ib7z18F52iB8VAg1MBb7TTTDZ
DbNo7zoSQ3/Mx7m3dH8dsg5Yw/3gNqcW0WIcWeawmyXnRlBtBNYmKmpYFAE2CRGFLpXWqSr5clEN
m6pmcj1lgYUjl/maAc4m4hj5Fb7j5E2YX0iDFKc44eJi5tPBAKbvyCFaE2AjnvzM6jTS0+0ibekt
yKAdkwe6Xu5TZUSlkwwApauprfAUdCTwsNdM8obR90VDhqrU1ngtoGN9EGPbwZAPjivjXB3FOuPF
Ap+S1JXyQiPTxYl3NexpmEUDW1EmILhr+fqfV5o4k5xifZgB+oLG508IvnrsC6OWNgs8mVT4C5SF
1nelcjI2twr3gkWTKf3eypzItG5eb2bOpsLh6FD4CuC6koyznYO5h5vq6th6+e7cyodHujLbQYhq
N0nZjs2t5WIO8eEl1bRmzwI6VAl6tfhuNK0r7AMyt3daGxaBMV+cq8VY2td/spab9P4eIm/q6RLF
3QjOoDRNuY9XDkyP/SqJ6YCStwCd7s2zj5eKOCTLEq2ZxzamrDsA9ciAMtW6tRpzrngULKZcWk9S
2MOBmCbo/vzco23A7jD0+eWf8TtDn9PiVgjlu21FnTUbk1pmwQ7AsQ2wQzEKBD/4ljXXuFNDSHbr
BylcS2Q5gBpR0I2//ccMD12OX4CwuMXjZuS1H7YPLMJlFa7fc/T7yxts8XF89c1ZKoICLpZF++wE
/6/5RlWLKH0vgw7cD6emu+q5pCDr3VEYuTx2ZLRdI1r95RR7t3Z5TpUUU4C00taNs4wgq+3mnAd3
IXy/SCX5MKEWb77MtyfYurMK24sv18SCKYoAlw0DJhNH17BSGnYGY1sIJ0lEEWoWRivZ6qCbX4Ml
gfW/Q+dP9k2BVour3rbxSrp3PM0kEYs1mog9/GAnE6aEuikeVw1OApO/UAWoeD5qxYxmC7OIukRL
3E2fGY73UwPS2z7a0568flNFb2D8DO0cAqIvDfHCCulNSZxXHszu8IlRYH0mTGV5wO40wZyjDSKF
OZMyISvH1tXTHwNFbY2N8z5z5/vewWK27fFxgSodOaCW42XENO46CVfmiltZzx9sx0UNV5bEWh8q
wv7Jtut7hgsO7CuTwq5vvk1R9ZZuWm1qezu0M/smMEdU4XwWPEDsBV+oRgmYUlmfGXzbvKCLTYgQ
y87PRL+0FCJYc+MOqGN2U0bHnJOXElrvYUeaIWeZl+gCJfqkIAWbfwlBn0KYRal02aYTy6fMvRbd
q5rf1gwJBELXLE1e8SbbQVtajrJez2C7Poh2XC/1dTRMjXVPXKnvgWu99BWljJDh68zGi4Hvqy6Z
8ly3JI4BWhWKPsHHFhNHxWyPswqxHaTrRQO83EX51ZTT+TOQxU/owhrUNs2I7qDkVISpZ1QMP0st
nS/6UkSWQeyiQOuhh2lrBSVLjMbdNL/8Glx33RhNGDJdfeGalj08jDgmdXOyle19gvcScIRzkSwp
xsShMK8pw9wGYaOitzKRB+0Je309pFQqTh0PnSDoCtB2FHP7tRIzpoLVQos3ta1pTUwR6KJ8oRXp
3T0gW9oJdNkFdOc6sw9BFW8xsxamrrjHSZt9nPgu2+6MCRRMLrVAL2yCtYMF6lWiQmqDZx0fUtTL
T30F/RmKYqrdgxQ++n2Leg78giTdPlb5kBgBb8l89h/fcBauvgm03u3yDx4nHy8VUJG8iESITEsQ
iFkU1PdBr9XJCRmyTbbcxR6LNdcZwr4ILKQL/rBNzlVRC6eNzvSeSj1h+ACcrqstPpaxSj+gMxCt
iBG+hqHxbTuUGWobJC3a30szJTPDmviUj1dq2lFqYLaau7kZxGHD5er6wINpnxiUdB0SJZ7CjF0W
j5nAODyptTyi5FgAnxQnXDFp0ShqoOJluAyLe9GHPK/4rGa8X1iqIV55SGUHAd5xAxaD1oi4msy/
Z4eGsB/MpT1Y0j6UPWA8HTAsp2lbQrR+X/Ly6y27Y4IQobVpPB+vyOuqD4lkyP3MF+CN++SnN8pn
Kwq1IqZ4560ljF28EuUZ+vx/Ys/EA5+OwIlrvSc4fKamU65cf8r4kSvc1XYbH4JosEqfd8oaKl1W
DaZqHlvT0cgJ0q098woIZbKtdAsQxISYR6K8qXok2hYo/+TjQEYDGIRZOoZ677UGoE5F4Evpbk23
ttVS9zy2EmZTyjQ+xS8dUSqTlImYcLZjHZO1CPgql+7GDfpLhJO6ARMK7o8n8CAon78HxoHkLKkj
vF/cG4lqXrk/R7+psyFd3QASZ4fYacNkMVaoUQWmIHFYI1AS/v8UZnEvSVjqQLlyhEJPE4zOQU7z
Em/VbnrHvdTXOP0awlgQh5NyTHQsjKjNiwy5TPGAmkxI6lZJu54c9cbPFEX3k4YQfiFOazC3fQ+c
UohNBK91YcUqr17xD2izRX+aU8EfFQTGFgeI+WHChVrAiqLo7fx8djWyVF6cdq4q6pD5LcwAR3A+
6+Mcn3/+zVYCLmNVEcb58zdOXq7D8VbnAn0df2Aq+c5Olgg77jYyAsE2O6gbX2zX37YGPynyb8ri
/DIclsRxyH3NsMuSfz18zlmJHzunXv/9lleGPVgxvLjk8LU9LcdXF9pfkkznRR292+mVULNBXd7l
kDqdqi2sxskVzZ6rMDig/cYKBfs6gjp1qr/hvE3CrdNmPXpRm/l7mvLS04edVlboXr5adlMwSJAn
GsS9qKocYVGTtSgGl7AV/yJUEUW24P4oT6qfiWqjoxjN1tf8iZ8ePaOBmUNFbR6U82JUbtMhVDbH
16bx0rJxvGO6+tdGOhGO6tLaIFWZb+/pZf5cyyl8+UwdcSFZrEe7VODYZ7csquUDEVv5LmnFyLzL
TdvZCcwJqCJou+RVD4Se2BnyGK4+szhvXjOJVEJH6hGfn39Slgs0nkmiDMBL5jiQpQ7mfV060zLw
zqt5wOO6MweBe7GHmVc2b42JEy326bHVUJInMD498JP9eiG+Lz3rzSZoSr131BmpWTh0TcBw80VW
5JNagUfBju/xuv/44koqI+Bqa8dlLeNhWleeHdH5N51CfyeazLwM/PUTBevuTmEwbj0IdlJ6/IoR
XMG9BibHek0lC1BkW6/potT6GkXbWZBNVkWNzYQcM0o/ppNvNLiLDgVUxpbKlDG3lk0Swmdhm+sr
uMnJy5xuWXKisVTtSG0ieNgPlPy5MIBw/iXhfBeBp2mq4g9W5DV1k4+Zxa9zK+5QPJr6PhfIw5JV
EpaNElPoyL0vsApCaUzSWBfrFKjlizGMkuLOS3+BlhzfMmXO+Oh4a+lvEtlMZr4Y1eC5pITtmUnO
3F99ozHFS3s+NG4zkgIteamEj6FU4d7sgLObXXqjszKDz4kFEMuitBP1MAJSSQ2mBY6YA8/gUDC9
C0ZHsPHzMXsU4p0gPeda7Ipuv75UDLLh1Kt74ZRWyL8M28FCjCU5txy6WmlKwb+DJ+guxK1dmITQ
TpucqXVGH/e936oyGVQDDDcj6D9vJ6Io5CuwhD9v406/D/IDLOCw3++BEaV11fmVpPmruKrapydv
GMX353A2rSVTecz/aW5EWal7GSEf1sxevKv6HEYgCLiDT3FPhMevTLH/4yDHUzD/ICnsLlOvPEPa
DHOjclGuibV/jtm4dbBsSiC9M8WRDV1xCkbmWV5PnAMgGHBl71Nvbq0ySp98i8RTVrxes48Chaf3
j5ZuHscRsWL6ctIYruFdnPFsd9PmQ4ZG9XX2YIN3XTy3+k0C4pl/9oe+toYEAjxHT2ve9sLMyKt4
4KcMCkCn9sZS+mBMHLzUbnrmc2/Ah4EuUHKohRrJmXDxDluxf7z2/b7f/cAnGozTUqdNGY7b60W2
J8Z/ZgfES5VF47bEBA5xzKBqBz+opvDeV7jrCtq+lBJky+WCohtIspdXvtlbHYtKj0p/DcQ/cuqj
Exi9El5+Eb2bYT0rHtXBIQTTGrJTC48sIxBINgV+BU4SSl1hX/j1W7Y8DJl+yqGms5LObEWxOqUP
PAONvTJoM/y6sHgQiDZjDv5dAIgXHT9EAGqu06J59ywlduRX3co0B0joQao7rQiATSI89fzOM6oW
T0EcojIKyLfVRKfdqq0eNViQRCo6HdqdRLFmIzFzbqkyNPpxeZCSL+buMDboUO0oGJUhqNLyoNNs
SRG4wszLr4+WOWR2B2X23G6F5dB6zBZeOBZfXhiVJKui5o1xP2sTucbeXGqUCmaXWzYUWli0sPOk
Qy24el7T2fPsac67osbgffmrmummH+DOfBOzFZSiI0FwD60Z6Z7X/JJUBijtqs8kGO9eul9JscPI
6b9mdgcIhGyo9UEz7eAXt2Es/lUSIdSR6fG6bUCm1m+RWMoDE6/zDnK1naBuAosxmp4ERSlYGEL6
70qAEcwWMHl9QIwNdQitn8/dCFYJ8fxPqPPbUBOMCctbx6vut9vtaovFGYF5DkzjoJthqcMApplR
EPUk4rbwilD3GEKu/451sAPkbZcKvTlA6hGfXH447frJPCs7edJmAT/0AdiT7abTl5XGFKMQBdRH
o2KUHJ0gJRNAkQjVL3IsuKtU6yPUMVKGqf6hiEkC0QZ61oA1Da3WkkBjxOpequ9lzxa5ZRRDNOG3
vuFkFWq4RIcLoYq0vJrqebWaChq0b2/5cPf9KJfvUmyWVmNQCl194SoZyCgt/lr1vEPFszuhKP6n
/l1dvvWorKogpjNWw/NLzVGT79ZFBRdclY9InTDUezqt8iovz5UxqXgTKfvr+tSHNUgsmb1/e6Mf
GUGzjq7zLg0fTuhFjXCk1/Sizgx9Gz112xMCUGBJ2wfmpdC7khgrAQa4/gRSkUYyT2LBsU3oF48p
cYDNqqfQB3l7wz+TlSp9HzQPi7zwqF+lkX+C+uQ8evTJQq8K9Wgm9sSaa0rI+1utbWSv+mNETERZ
fnOVBbRVLxAHKKDmNuv8SEIvDCeQLTd89XaOuATn6Qw5y/JnMJeDkQanUpu2xTx6C2vFYlgQD4Cf
RTgdOjnVBQvtfhXa67czCuDxCqCS4rgT+OkK/0TKqDP5xovGvU1NqfJPGG9KopHE1UYCqZPNHu+1
IozGrSXFc0hxPEHRrCNf7OVTjGiQpfAJSvf8JQEhbQHefJRCOLGvVgRbVzj0R2UuB2suME9GMEr5
hw+bIvgC6RecjscFWRK3tHJka0X/7lE+4tHMCIIEfA7qnv4rSgfaxDGRlXEgEQCIjquqAIkrN8by
//lFynt1Br43T+yK4651ajL/D2MTLzbI7wjymtRqG2neEYk3GUsd1ef6+toUUNfGPtvmS+y+6Ifk
uEswixVKkdKposySDY3E+rkuFX2riXVHBrDRxaXyNbIjRUpT7+x9y5+aeS3vRLCCxRDUzzna/2DQ
SJzN5ESOfGUtT0IwwKZhCJCy5Xcx3nNr4N3Uq6jrSDP3FDDpnDWrpJXV/NgUl5StuxC4F1QLdfk7
AqpAUkxwK9NOM0ENtEBAUPviaECGOnpTfaNRffmU/UKCfV+1xPHNVk3T5HL0PwY+AzakdnUWAklH
HKWuqQjZL0yfNcEDXw2CZAb384IIAMmGIZqng4GGaqv8eiv9L6VcRY8TMwH8UK21xaDbcqtvkHZ1
yCdNFnan5zr+G5a3tiY4bNQczfoA8gsNn2IIftUwtlpNZ5N5hRebTY7Pz8qrnO8gBCAvT1ABF35l
mSA+UgGytXoTofveTD8lOn7JC78eZBfXxXto15glDV7wHwDxjT0INjqbVqPELdvFuQmS1ukScM9T
fDVoumIruyYyvHHcZOhKiNe5N2s64pbrta0E+/2MJFLsw1R76rFkov+f0QryVHuA8DOn+nhObRjt
jJmBQ5r/EWkkorW9LkbP3doMaox5DsbrSjLB4Aer2gn3tGsH7V0uF+WZSu58elvDygmBEsamedDm
qXcDZk3X6F2FKAOtF/bBXd0ea+6zFZe2t9RljSIYAaQk2Cbumz6zKKZure0DAnKkwFYKSbNMo00U
JaA6aQpBtI+vqfjsxAWWqXSMPqUVU9kj1r9WClhyv0LL3H6HSq33E4TlpltlMs2FsOQWdjaeN8Vv
dZ4mAYFIbLSPO6CS5zQ31tHfTMzC6NmFt3IiwX+Va5byiMWOGQBW2kMThGQcvNBXtJUMRRDlwgQY
PjRb81pQeep/0Jipq1wyfi7le5GMWCw8ZEr2h/I3iezB+TrtHya4JDPx1sKTNvrJxdV7brC1emb3
GwvTeFq/kAowkSrLNb31uzRmU0XZHejkgqhPodz1XeMI1hETcaXrqja7CJt8wFqeupGXvPACEZqy
dzgEmvtYnjo0K/+XzUqmdKa6fFNdwrgZi3isMkJFNr1NUylMmaCKtB4dltEk60kOjLLUHo7uAJJ9
uNhLjIRYQfhrJaVta+BpdXkU3Wm2FYkGAJNTr56GdPDYwfciHjsiqZZX+MduxXfaBnm1KaLJcByZ
IWopNwi/DdAdsI+pazovVo0V6ioDMy5B/NKRZMQQuINy6NW6BnZAcqARcBawqrts+MejAJNdxnyh
c8ukA2Eokoo6M8PYkW2IEmo9a+gtTXbbNC6wVt855NZqHGPI6sQRNGskDIPU0T84qtNiVt7m7t4G
bRkY+HhVm8sBfojiOzc1r3zQw1N9y6JB12RV4XHiWPlVW5ilMzdCB7/FjpUZXleTTiLOI2nPzGAh
+eEYiZGsTtPozR4rZ2xbriWDS2otxsd2FThgXGcK2XmyeWh10rxpHDKt/opg4mUyeDJmXpqXUBvR
trgfDhW7+r/i2Qqe69PZ6GCWLEKzgpCRL2tu/mfE0UkGApVvT2WhEyG4LZX+2OS4/A+L6Av5Xr7i
vCcpwidnpAk6ogNkXKjxeSHSVkPfMZ7M/oDygLeP2XDV27xQirGDRq4G+9CiQqfr/Jo4og7jsXTH
hdn9YREtVjyfdcDukKYSHp9LcrcWQC3eJ+4NM0KAz/QOVpu9vTWMISVlzDDVCrQz/S5uYMP3Q5pW
lWmDSO8NDYOfMjQSuL+fMyWSVEPZipJvAZ74htnZT2d9W+X4OiS0U3QL4K4nbN9SjpESRhWKQyH/
3CCkF/fAONFwh8ALZf1alEiiAq9jdOZA1J2pCaQoSAX08bKuldslyT6cXitXf2MzLyFacCw+IZsB
uCA12uImiOhanSd6xiHM2sSUMDP2DedLWK8ExDmF+gY87m5Vaxg03LqbqgIGShBHKPDxqOlTsKRj
86w+4m/uaCXZTOFI4piKMWQLUJOyxpFalbX2m+mmhXSmsnJPlOS2D4zX9xpIoSX0R4jWgA1PqI/9
pfN0ODoyrd00QudnkLjfjenVD/eOtzoIDZ21qPLagCYvhhgWb5aHsl7lt8jWv/RYNgHeJfwSZg8y
qtpmUnwTDn7kp7EeoYaluDrl7iq6OZLbstsNJ0yYZasDWo5TPZg5q+n9LFWFCn8CUnRKXkncctLA
VdukRU1D0IBJUYpdd/OIV+TUfdoPce4QV9GOsRM4OjY8PxYgsY9MspzlFsqDG6wJ82MXKnjvlCSm
PM8pERIF4WIy09PxHjoAo3EC5Lz2Dm+cu8DT1X3i4xwt3RAmddJZHkg67M3dDiDnEHQ3MSJ9Phst
zFGOMR8fQ2+RMrJrdgYlgXF7Sm7uT87WLPNMxaWJVvIAMf3qApSd2PBN9moXWrAeVNRHhidNPF/7
ck7p4SPGS/sY7rS7CXvYAju2Lc1bnqmu7maC79c/J3jEu/0741Q60mlNKeng2B/kTujW8gZc6yV4
GEztSlD5nz/nXHlJB4YPyYwc2LXdB/Z3CT3egR8WNB6VYhFcBhVP0bbZ4W470iwkgu7lLdaesQMU
4HSwwylq1imhrDf1c6lcoE7GdPXfP7mPMXJUM99uV/n6A2UajBP2lvVencgssjaHPnKlyPKbShn4
/3tTWLo+XVSwwXoGfiNGjLx+8HCPapMxPnWlDYvWY3Np36vADRqboBBXr62KWjLD+FtKwCNAuu7v
NtJj2mUYgiGU//FpPMYWQo7S2a4YLa/EmN53NN9eGF5pDthJ+zv8Qul65nr/39t7b7VLDr9oOvjc
AX6GGUVn8rUP4Rt07oro7tRG7e3M0ElK/TxHQBP3zLeNnZnWGK8P//WnEBJkf/GoVa4FHd+tzeeP
eTErJUt7mYlTFDPLk09UVQsfBHCkNigbWN+X0e8FP4q9Ns52QrzddkP1qt/7qOu/JZN4XH3GRT7a
tkWhY+2k8niKzn5FQF8bWSu/weTH+l8zq7RxW+/NnE6JChH4tRGo50ldfhT642Rer/ThUlJIBeTH
6UOI8gJ9CbvrLRPywdcop8PAfDqETNxGUe8EDxY7HTV+hFnLb4Vsn8/TMvRhfUb8LCZe61mp9FD5
zi1rHmJ+EO0YUbJ3mBOyWhH8VR/OIvTA1n5TvapVOFH1gs0t8gluxlKxIUdX6wHIm0MDiNVf0p4p
V04vP+IJTb0/BX6EFAAyjHVYYUork6OOHub3yiiZIhyEYR+DIG/gaaaBue7/tmrtbs2eWXEQxVWL
pcqqiNtJ5UFvGdndGc++NMlLTQkGmqCPS9Gu2wI+p4MfuriX83lNrAQYwk/TS75oCvBBNdh9aevy
HidFt0rWrm0/bia1DQ/KXEMMWlCUfHzJPCbZ4sP95PvtEfxyL4Gs5YkEcHb7ZS1TOBItPjxbFbex
S85emrnwV6KHw+tkNroohfvL0bY4O2u+/9gEOmEcDYNx+kdVBFC1Jv+cFCSolipiytcCkdZYnqQY
h39NA/2yVqwBZINRTLPsGJbIAyk4R1QDpPJR6phgB2gVje7+ix9XYYlEPOpE3qx3smdC41OwyfFu
o4JDuH6oOOs6/SrlKjXRBBhzawLPUZmE9+DpqO39n/hd5hKg6OqnoZHFZnb2JV6/Iqi66O7XKKda
zZ0wS29G+8Ktm9zsnfyrVXsaWZlpYDG1pKwOVZJx0MIOH/p+31Mkm/QJRu/is6zCT1us+R0z1eWK
PqO1RNojKjib6YRKyup20KdfmncQBqntaLhdYcj978Pm+k5xgjIpmAX089j7VKPQlcrlGtY58rJI
KJHwTESdXJZBWboFDrIpPlC8fLNtfINHmLzU3YVPKvsEy50ScBC/0KfLyFIv/03L71pZ3jhsEH7f
Egxf7SrXWM59j5XPjF8Mw6Lmp4vmuSpTwpy7J1pNK4SIpWz3GKWXgLim4+6qflZBh4RcGnM1wbek
JJomi5kHdUp4ULkGyWjv32imI1cd3+dgmVlvlrhpEarR0phj33RCW2O4hU86DEzLfofcQpKgcVuh
MhaUCh9SZQ5U8tpk2kOH7lPp4nj1ojRfYn4X3JOpNBA1p5y56ob97wvOBGkJPeHaIF6MF0JjNCl2
qdamHf8eOaI88DZH13rKYvfZ0wBCr6TW4bsLGOaR3pvWFctMA6PA8BmyHaSPtITy0vI7k0MX2rE6
nEYQ+lI62b4mmt7TYyzPL8RH5cYcnyZkEYdhYqP/rOgn7DrXV46MJqcO9SED2+BrDuIIHI6S1gCi
6tPGsPudm86UIsH0pv4D6FLTk/Dr/1fQ2Dv+2qrgDRDnYYmLk8yMUO3xKqaqW4b2tYV3ZDVLMlpE
DZMwH6NRNh+YcKgmIijCIfWJ9L23BsgsIoqdy/3tpDHbEKNg8P8+cLlfoOTD4PQigUNTN1dXiUQd
4SBhJgqGaFOC+bdQOpA+ogyk/ZfHIvUjBuvKDej8dJu6W1YCmKwYCOjjaKKA9Zl1idc9c5+7lBK2
DcjMt13LlCZiEjDZlNe6oycn0heM7ORwP4RfZ8GUbYanpJt5i4lAc8w6M627hVGBA1kxErai1KCu
RRvE7ihw7+4yAPI3+it3wZkbci22rvaG9eg1vPdBwKJCoToRrvsS0UI8BiOVa/bquoEn1OkVrRMm
BYe44yAvbQmJ665SCvxFxNdMiuCIO+AroL4SgKVw/alvsfnKYwAf4DW+lsFQ29eVazGO1Aa1K73c
mVDxEjtOeFSBPCNldEu2U9XrfXIb3FDJ4ysJQa9NVTnLadKYVbRkgQyjCoGg6jabdgOSRGn1Ulhq
7cn/1D/WdBRllV18foXdRCcV7sMT6TIKkg/VBmvarwfzZxeIly6l8PuG6lOEIExpxBleSxey0EPe
AupHaWGKUiRvPhEJeN/ZnK8+1ze1q8ggieTPAe83ZD+gy5Ksjf+zQQ4SWb7Y6Nv4h2B5vZlHuKi5
jwAW1SvlyQezAl5nBtFseGi9+u3R1K9oqL1pMRo8tm+5faM5LNXS8IJhKbFZa4f2riU9hcBsUWlT
4UdNjaDZOdyDD2ScQ7Rpm+BwOuk0UW0P0ItDrOju3zeqjm+TSG0RfQp4xJAq5fSMwy2YWGI6Nn1q
D1Hlq7aogjSRTX7WUBv/GACCEphNN9gc7L5J7u8FXvTabu/EjGA0ifA7gfJbepUiXudnS++wHkRL
fB9dFZDAPpW2Vu+YB7FJyeMym1+aXt285jgrHSUUQsCTR3WAl5BbrU6/txRfZo2x5Zop03daIASG
auErAu0QF+Swk8PHYMceE4M5Ahx57K6dRO/ifvGvaX5VU20gmDj5tVTotLHsxFyoJ/8HOwrxbrDs
/M/4VUBKnUUan8ZUat/p8RR6wb7oJr6Yu1T21nwJtRB873LRlBgsGjb3D8OZ4WN3zqNbveSd3N7q
1WVgbQUIYiU2ka4bpSZtaWcZavcqs6ClEiXuNWhVuc9D7KQlnJGRuAolgSPrFMDRc72oJFyZMveW
3AgN447t7EEDv380075kXcTYSTYiVMYsZtEMjPwY0xiNzLYCy7+spNPmi0GDdaltWK2v+jImLND1
+OOAopTdHCydSF7lkU+TJxvjr8zEJQM6BbSFC3kLM51ecKSRGSMbmEx9u5ESs2NTSLSgn9hxRlQS
elJabmTp0OwEQzlVhf9RQ0ZptGimsl3BIeknQHjWo+jVSIYeEIvDsiFj7ncKgdb0z6zzKeuBWmIk
0LB3wu5U4FgUxBt3PL3khOWvRT+eYHuaARSJaBaTFoCgD9t3llrD64QLOAx/MigGHSk7CLZorIEP
8BhVq8lXmHNBQB66YGxnuNpS7NdBYkaVoqY8okp7Nx4NYEiywxBsZ+iUuSQbhbhR73DVC3IBsyZW
4yp38oSfHUV9UiZQW0KQCLM8PebcjW34+5J8AwNKwTsbVyoTzuDjQS8xXYaqbZvLhjIHEn6rwKwV
1nDTZ/qgvI994waeYcTGKV0Jxe42f8K99vGuzaHcuwtUicxzlij/uwZfEzw1i7QeeRb+28tu65JH
thuiM3Y2SZ4JdCfwPY+ayDjjdAH4bXA/WWTieP2FHZPICh0S/F0ffWZioSwjSN3hrFs+hb6ZmZxt
5m3buzj0AG89df7M5ZyacZauiqg6vTcWo9Rl6Ko8ClbyS0KokxO/fGYAEonvqaqOUOXirM7D1ny9
Gn8IlGuir7arQlP8NLtvizR9xuPaqvzzlHiVczW6g8cmW9eEnColl64wv0U9SFC0ZE+gqquRpsck
4OUnRgWMP3FUMx7Fh/Nc/b/5qaFbrmKpn9hL5OuytjeQHBbVNfRkn2m9FxOpZMp1rK928kVS/NvE
h2m7NVPnomSJUOhDmdIg7TiybAJVn6LCgB5XbNGij01C1ci5Jcl75zSAlEMA8p5ctFZkZI41mT4K
ZlfDnqQieSJtqGQTLLqRhEFkqYElNv74hctR/fPfo/90jfxaq9oA1H5CPE2IBFnRds8IETRWnKO2
6/iojR5lKdL6XpX/yYUYN7IXUIyP80I69eqepcregnP6SPTrChS6lHpSixyYZ5mBjDGIAakNyYc2
V9Q1mZxpmHwr5hIKqL26fmeBGzuEgTEPZ8J2wT0ymAxLuJYRBnqPTDP12b28wGfmwrSh6Ozm5EoN
CoBIVNg0i92pAlhGAeobLFD/3pQlZipUglA7njildFJiNurGCrnkzRISoLQirZu/paQs7kYUZcjX
EV1FGn+FOe0/eCpMnH8zfBspEQzJ48ZLH7lsTBg14Hgu4IPvtell020fcMk5KWv0aD+GBEuwt+gv
AsDE0F7YRu9y9+qa6mq710LxHRCos4blGgH6qeEziM3z19xxe7wYwyB+tyl/DlOojHwsBBrIIEJ3
ZzBmok1bnqN7AFSC28SgtTc+rGmIX4IVabl2Zq0/Bou2gYOiTnRbgcuEjmrxgYdJWnPro6ewpFmm
WVwvFeDGC6AcJ9HWGID/wiWwqkdMbPB+L6eGqeu2fES7Z5biEVtWfF4yiY4OnP+TwKUbc4lZq12y
g0VcpaHG1ieKoDv2eiuTAQz81X7o3A5Avm1riI1WtXfNbLNmTEZhgS8JrjRsdNHE3aGbLuZjJNqj
lYTwraxl1sSXP+iStBWDHzbenxadeM3mIb6cel/86GUN3K5JBXH2DyfJwzJSe8n8IP71lI2oLZMw
U77yl8vYM1LQkEjbTMkgHZE9yqbhWs5PIYdkqccRTuseh8i33sIjyYu+/hYJIiLgzQtIU+HgdiuN
3x7yxwQ/CZzrVvg5XHct3+kx4Mcd3rXY86yPKUGqVEtZNT2ytg5j1+TMxFrR98m1afiEVcylplgn
XOBt5ICRqZbW1oVMOyH+DFKcpHcDOWqATXVs7QN1jZZ7sDFuCYqHt7+vf5hGWy1/S7Zylv8YY7wY
Yszc9k1EmGgLkd2AyNabDnOHjHAX90bNecBpr79OrxdYTv7CEKsfLdrBk9btEVegK/uY0ofAMbfM
YJxsAFH+KlQuhOJS4yvLTcMXN52I70pOuMzVFAdEVRCwk8YaxqpjBho7sQx5pFw44GdDNycd4uzV
7Km3eEVDpOxwzCUEJC/eXsTyelBE8N2E7L6wUxAFmEF9pQ3M2lt9EdWxhMu9t127rFm1ZFc74zoc
/KB/0Yqs5IiMZ450K/t4OHN9S1SJBAHFh0/le0xeaJO8iM/IZxu+nUUTJhEsj0eG/Bp9ffsB6QXx
QassVMnUKSQn3P/5CFFCa66caWhNuLrl1qKsbW/8rDtPztWS4/zm/T17qmKHFY0xi6ubyXSpo/6B
9bktNHSQamv1wn7zz7Qas/zU1Ms61JGSlhHZOb2r5LeqpEyeW18+p0Y0Nsf38Zr6/mXlYFe+DKYA
HUYxaR7CTpCa2ChclgjXcqLdHwKvjuX1AStBqVsOdt8PSLSO15cOIGpnMsr6SMLqbujq6kJLtEaU
K0mSfv8AV2ivI79flwX+WcJ0J465hR8rKjjF/4KPIftgMps+KWa/911WRoakgc+JIt/98vDEfR6+
LS5bp3hlQhuWQUeriYCemNQ2ViEEM0Bx2fOxIM/IH/zrMrcrHdVO0AQPIW3skzBrs+rlicIclpkt
948crOw9RInAtf4w7NsKNrPyIZhcck1ZyFVLpNDTsF+uaAOv3AFAbxXXbEi7S5Iu9cXOZgHTcFjf
wWoohcAEVNoWAh1l9wkLiPN1dGdvdJqmcdP/P+EU+LtQ2f+x2LmYcztN6LTUDLgILa5g88TmKctH
wSsf1ep0hqw1RKDzVBGBJZRCyJDPbc6G7Vz6XvhZ6c0dS/j2RF3VhMMRkyZX8c+4dMxRDaOMMLLJ
NQ67lXxiPqlBE0t+hQsGT/gKNLemq8tAP5Cbm1xZ0doEQORnRTvGWHnW7lYw02oJ50BspHyTtFY3
/ENnTubrr5mB157HOELiR6sBaKwzEcyMfk0hLjX5eEKEz043Sn1/1rRig/eaqqOyas7+jFRlU5pH
uSrB/Layt4ve3RT+x0ECQHJBQuLNnJuGIgeBKPIXnK6ngQ0ifSQiVr4sEcy2S8AJ3BWEHyH6IbwC
k98Tq+G4dW92Mu016O2Ll9JWdRtgA4jZIX87Y/TO9qh8++T5L6B17iKHpPvl0IqU//ROfKyJ5MWJ
4yoZXi6ZzEtag1xLbKdeENoqu+sMUdfqS+kHiivv3+btElpEilUHAlAytP7HnJzkiufqDkGYHpnX
TP0zJT1JxVVF7EDI89vzvJp88f3usv8RxlLp0c2jCviDIJjq7mMlPt/2BdBO8qynfglMupUH/phu
YiToC2+Yha0VNMklQbohGCZOP5pCseHRoO1mZzRcT1MW9fUzg0X+dRtc6aA3fcdykWxsdQZPXI22
UrJp7kkh+qPIia79JRIjrmQfA8w8P886yy6ve7qnqvZ44Luwwcigy8WL7yUT5zaeN/3jbwrdK8Ko
shpuIqKTiimWm/HS97YVXy35a8EMi8aZUmGnlsqYbYcgj2kpJf2Q1+dFgxX2KMOe/raOAsfD1NnD
nFl/IFV5RlotRYC3ftK7Vv90ZOaQyRD8soxZt2z6cPtjNSf7ZEfbDthk8f/4M1eh+oQ1F2hQ5diy
Sv/iFJg3jlNXVCMBJMI9xz7VU7aJXSdOs4FnoDeq7aC4HRd27Ag4xR8rWB5ALj+e+aggw9Crdmh6
vvHKx1SzgUQGhb15AQcd365DSxbgemg9z2ewO1Joeldi0LJ19dzDdpTx8ud3SeBndIarX0MbfCsM
7BC6xtCOyOJWenrcvO6AhZRI0j2sGnc2KiXrVAAImslMtZc+tz7JDsD2jlNQFRWFK+081f6+CXTX
4lP9K/g/yDbsnYtDfFwbowKzf5B5smq0dovPc/yykgzGK8377u1b47Xmvt+LhFOcy5EYggpv5VOL
RNDCl1b30kNtiWKruTiIQDGPB4IUso5hIE2M5OaYAlHL2kE4kN8tWM1r3TSfHCORYd4zKeJ1VmHj
Gno81XezzeMyUCZ8eM/gPCHdUadVXEWr+IajCMfthLqhfP70T5Oi72BddtMAkK8aZLDDPnjnpRHg
aO5Un/N0fZ/qMZDHXvawSKOGNQqjSUyoHmQlE9UGhhygKVTs7kl1Jg+Y6QhICTZY5BAs2y42xrHN
pl3VLVyuUstAxS6T3GbOsgt7tJSYQvCXGbMK/ryWDscj67nk6NkIEb+8Pp6Tj1GNzebAbsZUskKi
342mXsDHUF5LfmwcWG6xghPmg424pZjCG6JfpyGyE9azDsk4+lvZuIeq1mQJwbhNspdS5nxTzGBd
fgGXSBIrWOnC3FWV29g1iXzF5TlGX/ElDEUPOXR9yvtyx3wp3NWjtT+3fUFoM2YWByzuXL8bneRi
3L84ScSUf8YrXELUwlno5RDuFxqE6GJpNC5drP1L07vGVEXtMI2zfD/opc5o+q+zyO6xbGk5SBlg
QoXkcRugW/rBqc7QaNwrpsxa+6XGTMBzNn/7T8/rRzJlEBrGuunBnBgR2VHc0uojTsrMia4vlpkm
E8PzvGsKHa3txPuwHdXQgXeYmMpy0xvJVPfqf6GmG5SHHFoBTqm/0TZQ8uL+CdM5uxZfbkaDtuF5
OY1C7ZS+9af+pabUqomdeP0wzi48Sh0zO+x1S53jRzwn9OG9FiEPzC9Em9rax1h+7mbB9H1AnvJl
V3J48CApofB4TudLPbA/6LUzHs7VcKpgGupy9ZTPuOvp/W7ivt++R5uJvhcnnaGrtH55VjauHYqi
+e6zvLYFwcQvFJipqSc4CLQgyzzEVMr2euLRbVle3RfXYaJuOgHB4z18ZZzoTaT1A1xk6fgizFtd
EIbPgfzpMtHUbztPkXIXBKszUCAQQcZMxvoki+iXt9NRBDVABA0xsoX83FFsr+A43lrVr5HrnJ0A
kwd8d9tsr7YpOnYue7df4kfch802MbSwXNIFZ1/X04V/bSyKwPppCD4oUqgoA0cXHnCGgP8N5n8b
hfqmrT7GJZh0NoQb6JcXa9AE8wTj+y3fAxLd6fUQBADckY51gwfsQFTrMV9mSQZQWQxwMFz+B9e9
2u0Abg7Ge9vlRbqZPjX/YT/6GOrR3Z7g/Z1L4dJ2gMgUdLwzprgezPUZSGHP9ZGVg8m0gDaJUkoY
95Rg/ArBlM4aTmwXbKjR+ym3q2RXcUiIl2/3evpoZ+GWtcx3wh6EeVs+yfJgEYoBxvv1Mp2MCXs4
tzvUOqh3ABpNerHXuvIpKKMobz/360uZahWajEvQWBuozYDtwa9sglWuXLCXoVqc1AvhBoQtjSsv
U/o4GdnVo39AkQSAf0SM9BPk3PgLbsKcdEHDvEnii7dHXfXPsDVI6+Ok2tpeMYbxMBZ86wwcxRkt
YYXl935hZVVzyHH96K3cuGikDFRenrbGYNGkc2RLFCxnOFQu3wVT1Xhj+ieGr/gVFHz+mVcJW9L4
9NpKVX1zVe2ZuSRAfDwwYyQHceW6lIYqo1vGtdcjZmSkbHpJXaZ5Wc9n+Dwr5wRTfrv5wlJdNjya
3OrDBLlboP3eLzV/O+Z0bcIesB/9xbIGPSvZ7b2cfoGgulnI1wfbUakIAXo0S7Qlswnm776w2aGY
HPN6XzPLTkPEnmrzBnexL9tJJ6VrNUqiqxArHVPdTfjoEkz0Jw/MNykF3720vu64ten5SKzYkZpi
t8s2AkkNyLVA8ixVst5dqFTA3e2K3MzKd+Sk326TFd+Ax5axOx2CmZ4kUCIhU6J4YQL5EVs7MDZP
ZzHV+ybrCqwzjkZZP/A0oenparMkxd5Vgo7ilxa50M8+kcXuW2dv4BNQMYVbLrakWx87qx9fqVS6
2jRYhCvCCA8KmMQm/E93N3QkdNgUptA5pmtQBZY87n+FpdDJepuCoNaDuqfJ34ryARNOYiIhprNh
Hib9Q5eL8WlihOKnz2DTRDOrpb3jWa4PMcdbAhYDpKR1Tk1ss8Su+AJIRoher+SI+xTXKCUyQIwd
hXck3LgGcUdmrA3Es19HWCYJOuijxNfQRvkNI1HQv1xNXMc5Cmg0fZhxy1TVxhwZ/1aeXe/8NoJB
TfN51PxoeBT9In3Egx+UohWdQT9tN8RdEkSrF1cnPujLOlSmh0LWyLhadU8XYVJTNagcRHmSBv/Q
kYGlI1XUcoV38+8+r23GT93oQuv+JIOsfdyUitg5krYsT1KdVFsUa6ozCCFAHGgQqkvCAKuZySfJ
LFhTNdJMzMCn86IomMlMDcwkOLypMyvFeLeGY3SIEdEyqhQ8owAIxLSXXuljFXlXWqDuM7KQnc8H
8PM6Cem/wdSpGXseld8p0QUJak8Y0k1h3/EcKKhV2Q32wIM8MnNMv1P9krznQ5VWL7pzCCoW3hSV
Vkp/P2v3DK4B9+1b3Xe9CUmipFj6DuGctHKUc/QU2KRyrOvb2MmZPE58u6q9hVQ8BKZ37uDLnATT
4nIJ2QFOBKf1NadSyUg0UM68zfeIpE3hvDbsiNrYSt5RlPxwnlcrZUKUpUVwT4Ij2qBF9ng5gqE3
8lcF/yJtXdKhnOjFrXcmH0tcsKrQ/unRtf9HGcXsfumC2upNWdzUVztehbQDYf46L63CXdF0Y+rE
trH64CZlratJ7dzUUVmw0ugtungTXfJSI84q48pzi4iGZBeDVHo3D+Z/S9kB2MjiboMdGxZ2Kre6
uWmjgD4j3O2gxqmX6qrlIKx5hj4Bg+7RoKhAfpz4lASPJPwOxmkd/jYMyJWF4lhuUrsnQzOf0B+H
qaYSXjZRaLDkkrLnAONzuEGWjyXLPBQ76ZXOW0G9T9mXIFUil0Z8v+3rl7qIKOpERuzKprjhMvO1
2WhhqNxrh2FkvhaAvxkfsoEBKWyo7yncnuhC/xvYgTu4QHnpIZ1W1Rj6WkeywoQhGICg1/0CkOk6
H93JSmIpt0rxn/IyrZb9eHvzzOpkKa0FPNG5mRVCb0PTES4YrnZgD9rAc9SkRkTZi7e3Lg1DoYh/
GBYmjbGUv4zcTW3BsLoG1CnFvpaCLH7MtkbbVl8Po+O3q/rKNnF7qK3FGr/dkxFzST3ePhei0ecl
vy4Pdui1xsieYk2CLxSPkj6qqkt/Fc5jrHH/i7iZXAFCcoiufWZbmBPj+r7g/S+D4lli2BMTmJAg
fGlKb3ZZqeIRXWnfezP5+a2YUmHEZM9OsinZpqr2RXHJ/BWJ3w+A7QiFYoJ6WR2ojpSgB4RmcXmx
RrUfqNG68oS+1D7+/wWRcWSjEzAAdXFOB1HKS4GmrNQF5F/jHGAgjMjXdG5YXS+418RHho6qoPs1
PFXwIfu99cFINwFfO34YhagfwGhbdZ3kCorciertuWQDcgZor7Ba1OQ8ydrH5D/hR1Kwh6PsLcpF
iynCgm4KLnPmswVT1GSHng6IBnqZUDQoLHXeAX+c5PslccjgZLM+iXmgZkyrGKUdeu6MPMST3xaY
zC2YKseL9MUnlzAoNIPsy6ULBLzbp3jMXqxkEBMhuDrzvTFQosd5a/cQ+EbXuBqUj8OvXbx7Zk2b
yzPnGCczl7bKY2Vf4UbRMM7AJMrT/9c4MJOOM3iqxU0jnQZcOwb4OrP+LlNxnpueMMV2uH1qlNTO
EDOnY58YSZAGqAqV2aVK86XhMXLEc+OyyAwatWuGY5XDECiXsHpTJ12SKPO9VJUIa6z4iNBByq+d
eXKgPJ0qTuKlPS8R8rcE+6+1fP8H/w6K9m4zH4wSq1GxtPuniEbnXkAc+zg1MfZ0rE4yYAt1VbTw
QD0hHqJqIRSTddPA6ph2ilEMr5lHBzhrn1PnzXZIPC8quEB7e3lQmQzo6+Ifu+M7RRDP3aI/tFiG
/JEntXBZdhnkuyK3fXGSFGmjONKQKUcRQLHUJGjg/jR4Y7EamASB5+4EukPFsEgWOMY3k9IqxrlY
vvBvWe9NkIOGQtf/0hXwmKGrgKxIIWb8kqpUBba3hnUzrF4QlvYqGFfxnIw9KVXLB2Rm+7Euxo+b
FZQz+8yRLJy32oscTT0FmePbfGWnotjEJzucFjEn7kHDRVKmLV2Ns7LBYFfj8+ehkC4ZY7+SJJ23
sYBmnpzE5qBeiK50aQ6RFPiIjSg1JBHCk9RCmh1xuKkZeByi19YgtJQZ8HH8PO5Dr2soXkBqo8AF
4VzvWUQn3DpUksKHFyWvKGGCFaiW58QJcElZD3CvLgyOO4yyGARZ/sZzo/3CkQb3JKrpiYlyEF/g
ZqmFySZLOIKRumAPtdWp8YqSGI1f69k8AwGRpqHMsgEcrVThVNde8BpvAYwYDlvROPBnJ2DfDTbg
5JRD3V80E15/Ha4CJQOhCgG++zY6VcqMZKEwdVkQyFgVBk/0HB1j+FhKgM6jTUILB3+LkKRe4uFw
Fsc+zbQvb5OliSPhhpyae8vNG/Fh9kInulTXDW+dAJpLkoi7ECRnWgAfcUClRCymmJOBEV4EQ//z
EkD+lvOvlSCMr2QYhRCt/ywZZHY1HcYvBabPyXV7v9OrXrRtQZ+qhx2EHHTqaAH9A/UmMttDcLT0
RD3MTbgTrH4+Z37zbJG48bqL3CBMB85oag5hRyuGyvS2gwaC2awjU2b/Uq4i3cOWfU7Jp+T/tWoA
0vuNiFKQm8Eq14kbnkfDeVvMl3p4wlcmNOem8hYhBPT0CvVtEs0Y+hd4Hc2U1EB0DqK8Y7bZrK00
Vw4FVtvWyS26REI0BfSdMqg3onN++RX76cRJr49THJ+1SuOpVlLD5Ugj2VDYHSl2oPHhCkQb6kuE
Q4GsupoIi3lwqH/7BcBrQP0fXLAuuBCCawOe8H5IgBDkTqjbzyPBP1SZ1TbiKWrL8QW9jYHHTXvD
sWJ63yi6RGkpD6R+rVbotvBed2PCQs35FUPjSi063/PCe/cDuE0+td7Xk8lsqMPUsXMFyW+zSaeS
z1k15P3iksF+T39s3OtFWNzY1syLGQ1d/c54yEz0ClUJtbv4gEh4Ct8CF3RTc9hSl4f4jxPw4Y2T
O1064cx1Y3Q3j9ywmmtDzPa7SLHEMbyypJU2KWDaHLXuykth5NAsmu3PVohgEsnsrfQ88udH4P5q
wC6X9tJlREqEZEgrWzn8jfA7cpUBOKZo6sTlNB9ZpkVruGN57U+4Q2/B09DGWZWXpnWyHRPeTD5R
JuVE8EUwXAinhIfggg4B0IxoTa0GNxkXH1B5A14dSWan+qVPkHk0gRx+oegYFmjlD6roAoA91eRB
hXZyoW+4dxjJ0/aVFXZXT8aGnqHugNRCA1B0A46WZAjIVlaKhx5qAF3fh4UkeILthZBKgybsoO0k
BquyDyHJgbnsrFaOBmOUl/6zp4j1qPXoFcPtmv9ljBhW34eezQm4Nm8KXBrL4Zb4ZPgyREgFeO3p
fis7C8DAUzvSBBuJsat9/lxFH7mrJZPvXSHJJJV4dNF8j0LE7FclA/f+48lkXKRhuGPhS/D6SX7s
T/rsvZR6Gb7ZxXQ2pLWxili2P/jonZX5vPdTPWJI7T0xxL/s4qp7ZrrYBv//1obK5sOahZ8320PX
pVN9j6HcNQ52cg9PBHenhvKjB3IJPQx/wkvaGwn+8aDsufnvQoh8of0M6dMzTQChTgieaEU4aBVY
jOyc+iAtmZNirUQuc8qvjNbTI48Lr+/khSrxU4ywM0/WIR31fMj72+aQz66hC9gsW+NjaXbb9G2F
px5qp7oSBY82APi3PIcAGL7+8ywZf4ujy2s0HTbBNn6UvdRRhmePbBOg1SawJdCYhGCn/ZceSfnv
WYmQq1rELas1hSyW2vlAc5kLMs8cI8X3bgDIFJxjCxgEYwrdT38oSplOnuWP8QkWFHbFYRcsfb1E
+Cnz6nufb+ghtGh2CxKi+dSnucIKyUXk7/2H+k0DMuYzSUuscbOn3z1veI/Q6qKe6MmALSelLlRu
LE/oiqPaO+Yv7fGZtZda8WEdf8DaoxvHwmBqP7GxYI/xdpLH6ZxJX0TCnZTZATGWRTGNEc5qhjkT
tLN25dXLchZjszKQkDp+gX30gE6W+XHBvlDFcX+b2pxC3nx6x3Caf/+b1PVgzeBA1Trgq0KzTCvr
6kgwvaLYwtTsecnVr3NYwjlbpGmiM6VktkqWX0K76F33qOVwqZxWOW3fgchU4x5I71DMRjm31xuD
nXTsrjqHKtss63OeQaJ0+Egi7RT3Oe625rb2acS7+nEPqRb4KA170QNBqe0oFNcBtH777pduJdM3
Xn2sXFH4IjtdJxTzorQRIprh0bXv6I9f6iMfKfgZ+Vgc6w/vTYYDJj00W5wmCxztPDhJEPJe1s+5
xCWTajNb2mSClTFl9Sr+ao4uigALYHqFL4v4Bouqe/cogIX6k++TAYvTsd/8blk8Ha/PCggDzjE2
mKLw92Vg6XexeQn4waM4uPM4Wktqfo139r5VQnZMC4JJT0gF1w1BZefxWJeTLAc4O9D0cmWb0HxD
Ku0mznT4XxFCrwOGdOZREgqFg5rK/oWbVk47KqU+EOT2OK3J5M8FFplFA1b/MsGgwlDy2NFST/9Z
Lqv/mIFucaUT1erGjm26x1X6/nfjlLwK/0dEiIxl2UMdywqxNUblyDEcPMNXgVWq7/nxRuQBTISY
0bDor0wlzNA4OoPjNiNMtQCwOrwHM6hjmodv0DdMy69wJanv10VAlB+LIYmGS2PeUtQFP/jTFi8Y
jB2JLAIaVVTwMh1xUTV0UnE6RoIttVkHeaGr75wFaeMNJGgFBicX75G0I5TnHUUvRJvpmZHJPvsg
CX/7/2GnhxErmFjz+KuLiBQulV+JT+h+MB1HAf6dmHDr2vxRRrn8hIU0sRDBwkbqKflDj4FF8/uz
1SJY3yNxK8VzAcDyJS8HoWaA7pSAJPYZwV40WwypZENeSTWbJ7eThdXn8XtxWzs+Phkw9IMVl15p
wVPLwaKKbwdoTDpQdm1dMcQlC/n/Clys509LIirLvGsdBFmY2MRLCYKx/NIvDiMcTFcuZIDOm6JM
FEXU2jijV1qShozVjBSOkfNmRTQewck+DsslltNhLOyakS7JhD691WsOzelU0wjtQWgrHhPZoHcn
PRjFu7YlyCWklE4CcfVDV2W/t5ManAwRpQEIWO9I5loeT2sYdvTpxCqq49Uiy8hJ34/28AKBUTMk
YQM3KW7sN1s26VsQPNeLBOk95mNLSdP6ZRScaClEWF0vu9EvRqkZuvMqsksuDqi0PGxZh8Yp0RD4
8CjYuRDSFyrFnQR8YG/TtUZc0qZa4s1309PkrgWW+xqi+yhzN/TUI0D3k/HPMRSLK1PPAn3biNcf
cqPPclBDYjbpWq7q76cgCiq5pfFFnmDRB10BRr0xiGeUFoE2okL/wuPzO4f3HDxkVCf6pwYI6k3E
EXjCZGxwkTqZ2M7Qz0wu0NMmMvYAVNXNmgKN0JPyz8pTrvScmEJbCZmF2dQc8HsnZSgLHxYuJENT
W9V/uq5Rp/0KLBbjYk68jRqIkBexqsb32XBixm4g4JF3kK3b84QEIeqyqJFNmSlhvxVboNxHNBbT
1RsYScYeDfJBeWdy3Op9eUqkvbmulv6Ry+SbpdE2XRqsaROfOvJ3x3cOoXy5iW/oKiJdlJUDqcta
tMqWBuB8yAYvz6NoQoYO9+8+a3eKbMUbG1MP3F0GEuHxVDm42WSqVQaFVOHdYvqnNA+V/f+DL+kE
5l2j9+Lb8EeWjvrVVe3sE3pBik+/AikpwO+OJ8dsXqHrWcg9m6PBkGAktu0FtNoHi7m5CczY3VyJ
TlgWPDZDUfRD5tFysSNHdd5UNXevW0WyjjgdlgXIPCWRyZr2KyIEzNHqPnpr23QcdyjQiqS4UZph
JKmU1fzg33BLwvIUoy59QBHX7Ga67AH3LZrYv+8TAiCpOpR1NLTvndgt/2dp7j0pHkcoG5d7NqLh
imOfw3NQQGVZpvNPuCfx2K1WAgvUlz60i46T8z5KXp//E8/3AbMx2oCcGhlfRwI44wyJVYMwxtZ7
5X6Oj9ubJfIqO0bPBbmlP30WccajbvQQKnuyhp+X7FVi03uB4F8b8g8RVqa/0d1J9cDa8tHuelVE
KpG20G2ldBHEGgklyzlPQdnfSW5q6Wi/xXfiuFqocF7pWINttJB6BhF/xdaukhmxfZrARKKtPnwZ
ur4cPURNI18wlZBuHVSsjA7ntEucbLzDMOX1FGLp7RlZF8opxpXK2DeAPDqU+snixW1TLd/ufY+O
No6dBFhb9V3KV269+FF3j/DeYr0dfB9y7DoajCUEsAMgcDheqRk02q5a7OKofPl/9BrNWg8fAhJ2
Csa/DdJyksp7ZSrOft3zY3gLOoOP7BEcG8FgnU2/dZBB+5X2ecIlHDPz5KrCGT36O9J8lIJ9UFE5
2kQjjLLIPIjfxY0Okr/JUNtSsiVtzIMIzvcx2lSRi0CIVYz401NvmT6hEatBsO0ttOEaTRDCj10N
erO/8FDo7oxQJoWnCgTx83cZIDeo4R02NyLJCn6+olT9wAnJwrVjatLr+/3f6sSFtm4mH8yl4Uti
u7Pv/+bx35C3KaGmZH/EhegJoJ2E3HUp+3o/h92fxtXQjGUSKKI4kAcl3tjCH1XFgsf65ycB0gdV
1D26cdRwiOkfNQF4nHdxpC0fb90wRohJX2mBpuy0e3Vh8uRoLYZN9PfuErv+eJuB3REG55WefVH4
5EAxpr+F/UANamr1YaPlUnGKbXOgFhfDe5ApdYUYUE/FcMkLpcHmX83D2FjWP44d+lB0wM2JNpHa
Vr7lJCTnSdviEtpz8ZtZgwrCsjd7411qKgPwxbn0jW/0xbMMve8tZvgA4GrPmp6bqeGilos5SdpG
VzFRx4EyHozgGzzRv72zn7qht/bf3UO2uzu0NRxENRtaETlJ8pPMhkrEpkr9Tt0T541yHsXr+zbU
AiFvx7vP/aD0Y/wV+qc+/QzXoHJm4jR99ck1Y8E2SFDLKeNieH6dMRHNG+J1MFKqzd46T8RXe10e
+sXB1JGA+7brhBwmyrtPaSCriw+B0LfFuIlG1B048x8E3ISnYuvXIyQ2Qx7rH9uBGrAqeHosth8p
26ovRhItNbOH4hdgh72GGds7O/MiuX0yDEp+kpVVgvUisUAd+MghtZ7xqkqe2fcE+lbK/WhDuCDQ
TZHXsBNjHQqgMxOCwQZ/bh/lfwj8DhwbO3JiUPgmeOa+AgfmOEYN7qTs3iplrWYc5hvmvKLRFZYi
VkZW7rUkK5OZ/3cOaIuABsh7egD+37xMdjNkDh8wmBLWejL/23zVnqCKuYT6fL+OqDDh/hZHw3vF
7AY6QqktBtnnrFd8Y4DzRAqI1Lhj+UrYIm8R/FvGVgrqMEHTkO7EKXkZfkmesA13RXaxl2Uk/ZOc
5LFwywKlWCtAbcxJI8qP0AzdlUeI4RkPPQKNdknKplYp7FGmK/e8T+UxMkSR/ceaoTW60GYnaL+C
B+FY2efESr6M1OKtpvm+Vyq2aNDNna6g/w/dZl85fLvezH4cmIgxEzukz6AOq0ydLk5tDQGCCZ+J
YV7cA9Dcc7AuhKzy4JYo1mKsvp7eZeGvcgpSnLjwErMUf4i7pQuVBae0CFS5a+A8Gbe0/ir9Gvpp
/0/1jm2sRalqgxGo7kNM5dKOWM6T3IIcdMnc0f/q/g+5c4bCuSwgGTC0Owv8O+kpEwmWG/X2pVwW
NQhpWPL7AGZ8EwhLgznNneqwqPAuKnbYgIBELKMhyvVK02TK57LKOfS/K+KD6f5AIlf/LsGKJTp8
sYNIV74IN6VpA4LxLEKGUpnqwJF1pPkncLlXU7Xgt8f1FdFARNVzOao+5czwfmF81RD62m5Mequ0
UHT+RXUvydb20bAHhv/sjotpt6iB9SOTzpkK46UWUfzwk3DgHDM8FobYOE8nz1n1C7LpXGNap3nr
ZGhA9FafWB003EceAf/Do/gJtYQQ0emCealnwSwFIyzKCg5aSEyWoLI/c0Rk8B+VHQDppVtRp5wT
zVAokO+KU6XsdNdwCEGlL0Y+hrF/8Gv01zQm5cDfVXNduyIaDxmEYGRfPjY86g3tzOipvL7tME2Z
pzjWuWFrbJbl53DVnegtjt2pj4ZceAAJJdriCARmEdguLTbX8FyDWnnm/Z73aBiF85tbb1IzZf/g
Mlt0infJpmEC6wCPsIkZZJrGjY6pMkZRH5AUy8U36ySz9nW8vl06LKo+CG2VhWnJNIZaR6sn67JG
JvAueNTP4ZBWGIoT0nxSpYx62mRbt4Q1xpOd4P7JWkDPFsMkr0fQ/B6+wRTgf2+UcT0iHrdvq4aG
G6R3UaLcA3lfbX6TeVx/NgSs0rxxt4mcbifxSl/lOXRXjaxGw3PAmThjLoos1sd/ZoEJ7v2IGAKS
TN9b0nMx66cfA35vtLzMz4mLEMMfbkOVj977/fStHd3afFnsB+IFYzO08ke4gEJ1EcIc+rhRSFqy
8QA6BCc75oddHd/2yWpyWMUP+x7Xw3FMQyr6nxQ0Dp3rA4WkLOs4rKYPOQieLffhWtZC90dkB7i6
rBCyy2Qc223iE6ISYwtAmATtb3u2xTcrkpwcwnsD4SfKPI/JS4e6UCdGfr4d5jRLl+akQGLcbl7W
BdMXSyuC1J94ESBU0V2aVpu8KYMYGUDVobmxvE56UA1JlPgqCGCRU/TWoYFUa7Yv89+YjVgMPMYG
H8vjvHJdalekXXmq0XO0uhDlqZH+dMiwMHY9uhRurph8s8dmpQgFLTOOQBkKaFnw3JOHs9CzLAlC
J8FR+K2etnVAWl5I5Y36mLqHLHr0zKlR6zbiejI6gpYRXfSJQ+e8AvUGEn2Y/eg9DbpOFs/iabDa
f0G2HFppkBtRZMSpaFQAdA7La0YLXdai20YHp5h7vk8cYFBXauW5CxUJxMYuVXxxLT0QU5LXKBGd
gWbJoPVrGFH47gHClsLHx2F5EhUfEkSlNSmbFvu5p01AmftOG8jf5DqveTRNS0C+UIlCUob1BVEo
snm6CXyODth06iaPymUT1YUYAIx2C/k5/Ezcjp8Mzz8HYcuhdsd8zJSyAPi0lQkywQKgSUxO7UGk
gXRe7LNEHcJYkDKcMcY9kppIDczMHN1FGjXMLBK7xM/6vSu91sgsJd/mO+tM1igzyN/uo4drGuMA
lBO5mOobmuRp1UGt5lSRFF2QOtSIIJTS3l6pwC7c7EV2+csSEuQg9uAAy4Fhr9CcL1LLfXz/b8mK
Cy+za1E1vkNU8HVN/ixY4vCW7UJ4J0FDYBjuWLDmoN8ejp1z9f6zretuRFE9CaVFC+J1I/AE74nN
rGPuBiD8oxJEZAdgVqLmBumKytXHS+8jKj2D5PdggKG2YJSpoM0KiA9YLnULoMjkY/kxEwgwTeOT
eC0jiIT7EGl8CpAsbD5P2mws/kK+DeKZ73R7TxRX0uj68pvhI/1u7U/pzn9oQhGh0UGNtzO+ENeF
/xuzb54h4b8NCBwbB9zh4NQDe8/nl7wz0zxBOPOz0I8Q1ncLdJ9+KXb/m/ViX4At/enBkQPmHgXm
p4kIaFVq6+vEqjHz6QrYyv29WZVqEUVb0A3MPwBxORPOQUhF3cGEXdTnxjLGzoPPT2BAaDn8udtV
KeWBMVxbNcCndfRDu5d4G96WWdHC/FoXiIQBRDg3U3Bq+kI5ee5dv9eU+2W/BKo26Vzyzpsj1XHH
PD9W1RXMCoTIo8khqmEvbf/pSOiqeRudTBZUzszv+f3YaQx9r/GSQb6Vzrz8BACctmIqX5r0l120
dxhkGlKegWh9++4rylnGp1MgiiJdf5FQVL+caF4+hoOvdUANhuk7Kv9g0HQ6z5pkTc3fxfjF4yTQ
BcPqbTdEQIZehF6EphjAIaZ4rzfz5NtcUEF0FZHzAjJHXmGtBG1gurKNFehxMUGm/TArPThnO8YV
XAvUOschnmzSsTB5FILRhW6dwUvf7DaJzZwHhIqU34VJq938YE62DN4vD25F++PzdNbv2mr7RiTz
GUskn5rdgp/2tu9wbM6VKNKDeASqgtGwgxPFlQCZU/DWa1JFTfDPMqhPD9iT0vufW7/0dcf/FXY/
SxLrvb4wFhHnXwdMhFj9Wek+Fzi3yEqSa//o92qo4tHfFdr2M8Yd4XCHSeE21ZGcx3j6eD4azcCt
mwKnWMFzde2urKeoHAELkV0qcZ90Vg+5t2CfLgNR3Tae91d+3m9FMDOXLBZluRK2Q+Mlx4vugfvt
6/P7sk3/nErB04sa3sdhOzJOA3cvtOFSjntfgKH9XTci5ZMtG5L7Z7yNI2taK8gskXBmnaRb6Hvl
gQ4AKd2cKzFDk3+nlfgM6qEaNMYjB0ANCjgpDzSt9MGQHlHcI1UOgrUHrQt8UIYhAl+ePfdA0F/v
5qRDdOVYSt/VNSouQD3Bqo8scq53d07gxM7UeDDrrIBe+7MIHOEsTalkCyhLAotcIwkxb/i/G18d
OAEc0KBpjSeJ++dbp/T/w4s7xuDhe26iucfgcfCUUEwqhdkAish9AZbApR2SzlZW0/xZU9WWk2vD
Ad/Q56lhoAxh4KZTxS92t/i8ypNn1XgSoQM8wIesZZ6hODSHarfrE9yyAQ5Lcnqze61bJxoACK3P
M4iP0lkzv35cfx+56ti2t8ZIeiqa4v9FwPAQZRgFBAoYyZRfDRK8shAoNT793+XiWW7VCytyfwEg
JTEXYCfUgSkw0uz3/9MryZz4XYxACdSR7whyZWAa7P+sJawgFBQWoAr5RMo8IYpaYQU1ycmK0XPH
PETsIKNYCDNvhjDZxX+XG5loIg7SQD1n/65uPjKxr7iO/hILtlb/2WgMcyG8ejaNrtJWtBS8POc9
WQBdBSAaTPT350BsiW1LLwWoPx3n/yG7DWeimop4+KIPN6nUdaGiPbL5vn5pvuPEHOu8Ca1ysDr5
Dgd69rBcQ3UJRXTuVHmvQ176wFsPG6fgTD+Z87b+BSc3OpeaAZyaY/KhvlVFxOnWmowuV6SxbcdV
S7WPIp1pYaGuMHIwDyTbhkmMIm2hGCN3gDBNdUiuj4FMLNu2coLK3Ul5V6xzKYxDJ0i3u9mEkFNQ
GU2aim0moBGwhYgm/BFCUoLw8DAv3DuP/gg2DyaxDHfMPcvqIl4dblyjyRq5DzjTK5B3R8ISsbou
1oEvLLPA8IbYzldwY9yW1kUuPBr4YPg20YxG61U9aZIkiqPmMBTs/BQXXp8zbQ4AdZq8gcTg8QU0
dfwWsTrzJD/ceXE+z+MOKldfLevm5oRmtvV9T8xxwt1O/5ZM7bXUeseishy03FMvo/QLdxscEXqR
Kst5fBqtQV7BktampEtRZWcE/dK7FYG0U1FgaxfnLr1jAVDOgZuqrRdyHuNpbw2OYGKPoRLRSK+T
QZ1Thmb9WJbrgTf2vZ//oKpJZeX+wFBxEPdfClRKuXg/aE6Ks65Io2N3rjqrl7NOlnNr3fOdjKI6
M1m3/Agr9NdjmuLVP/LhfaJcCKK50nA2+wNbVbxQFsTV4VROra3WzzC30M3GKzePFPZpWrcG1AS+
+GrnR8v+heZGryk+EF2Ea6X+cMNVqlSzvGHpEcUykYDhBG52bUuEms65gEmr6UfWZG2Y0NCTCyEW
2mwsFgR72KDS7pTbEZc8lmW9cJ0xr5DnHZ6D6wn09t++vQCBg6P3And0vv/tCUBg/prDZ0Ou20FD
rokQkIQAxU6aP7AJZKEGcir8l+Y7naV9AV14Cc3xljyB3YjZMQnQ8Z7OpCT09W14A4woO871HYmX
P5B2E1wZUzWCEnyo5eTIkTMz9taYWyA7xTaSf4gHT+O954Gr8YtGpvz8BkSPzOtuMSx4YsIjyHPe
i+osD6oVzNYbrv9a7G2OGvgEhn1cn/NKRaWt9SutLPU9VM0MpYgX9JexEVIi5OVbRcFNpQKSdiok
XYUj+woA2Sv6dsd/B+guxtrimhzsteVV546X5jQUOlcxOxZpM3BLow0w+RFYl2Z/j6GGkPD3vmS+
8AyNGxueZRTDDhqngpZvkeoq1RrUimqtEUVtYkkw9ZwS5knciX0d6/M//LPQplvFKxTzXohy3ZT6
ecWOfHMHf9aaAkcba/KkL+EX+oAKdKbEjroLuozVH7toF9QrKpdM/Rc1qdMbsEMANRaF3lgkNrm0
LhKmYmTo5E7skNJJ/zRL6hdklAPu66WS621kTDe6k7U7VFzgvJgLhtfiJ1RLNiVM0LHOATjl+P+T
Sap/dke1P467nbiiY0Q3GJxm5Iwnr+5reQvv2It9mAMuOoWo72jEDdiJJs4ZHbbnT4YQukF8RnKK
sCiMMksgi54ZwkigKikc5ujl894Zxsf/qNpJryRekeKWorl0NHs8lWGUyFbpfu6TOBKiW/cSUhm3
j3tOLFZKTgCIO+NdjEnAJibt4LD6lhWbOG5W3gRWbkqB2HOv/zlnKrfEEo+kDPgYJPocvJ3QI4cm
YWjlwS02ExYGBLi49Sp9C1Dxgma8zBZrDmMTJLd9laPmZxa/xQNsulLKV37cPBGVGqJXoPWwUXKU
lbfhuiY88dxSD0x+u3zgPJ5+xXyALSxayIAtNjFCBh2n3qsg5hgINDHO/73qvy4cFrDNVKlfeTRA
61OUqoLLrwmW5ItI2VU8g1rwggxSGwMCoo+g8QTOFTVaBFPdLCjFb/1RF8QOHPBTAGFXEW9UAtuK
kLZdUPxy4OQnjkNYZY1efaU4q6E86hxYKywTh2t5a4O11Hcf1KF/I8gNxz5C0WKeqL8oOGn7qsp6
HHAuSH2jAlFzuz/hpNn47f3p3EnFRHnFiXPjHyoO8BkRu4zSrEjNRf9ofprugLD1aast0/yEZekr
5+M1Q4sWWHwcqKZNxCmhIETE+G0kcdvN9edOd16qdVISMzht6O1aHSGKeZnf5sbw7kPk/g4hnScc
+w/b6YgDncDoEgD0GYOc59KtmR5eHnNkn2XKtHKThuFoj5KZodzsKKu6YOppr5NGdK2VcfVLTuco
F12hoM9gsDH7IlrBpl5kRpVk3QLPteaeaENoMtf7u+eGKLeQhahHuG9nWJ4wp+PYEBxoPM53I8gN
PKKhM15rCZo6ZS75OUwICCAmkjNa2U/8ELEM+zGORG1L577G4wOABAWVFha78qHmoy2j0j03twoC
zMUy2L+bgSBmiEfpX1HLLQqt0TtgClPab+iJqLzuzQrYcBFnoxmYnoLmZ9brrfi0xn1WubXUtj17
yBVq2WnKG6AVfBmfxC6oFrqm6l3/DxaBju8gHqv4cj4R8USqVNOQaWlGOjF3i584YpvYZ//CGNtL
C9jvEzgL5J7Ef/75PDFoHRw/+zlPwRjJEUBa4ZFgpo1whn7UtforYAfJsYJbqraPr0brtwfSWjjU
ttXfo31VnyJCBwpaAX+nknBzqBgABFouHMZ4W8am2Tm+SUNdEkQ6Fa66FLUiAsK2sA27dhM04jsS
o8KUMqJri8wVNBoIaQtOxaKdSZsCisUJHTcUrZkPJysx8km3SQq/jvdEdfxw9Sr2Ijfubsaufgp7
ls/Bs4b6RQvPrDxePxNlsVklM490ijOUqs7XVya7oZb1hL2iBUNcgqDo64mqgJHY3FhhOZNKN2OL
DiYzyO0L+iaTdJSAK5pY3tJHEbihxH0OfJpElOtYc1dGvk0l83niTPgm8/DN0wGOD0lney6Q9SA6
lW10+r3tZeE3A8qmRtoMQmhqt4kBFKvWa/adFu01r4gP/f345k1q6TTIySXNCr/KkvaSUW1YIU1u
8dxmbGxJkubQsviSJQB3odSYyyxm1exLjpAnRukpdVr2kgqITz12HtUc8fvMk4DPvDb+VMVU2uQU
9Wx/r9dnz21TvdN7KImIeDbb7THozza+2ODWOvRNtR28ZoCP3R/+k6X3+ylHsorXhAKukuWnRrHG
FL6LFWFA7dnJ6/nPUl4v+BndoufRNsFUk0l6fr0oPCib5wGlnH/s1phQf+05fJspm1A67XLM4sW6
F2zawIXWSeAOy96EH3d4EX3OlFx5OXkXPgHmie8keCgTedqCKrwGmsmS6pX2op34tybbO/ryIbZY
6iXmlfEt3pbknvq11DMwF5khlDIzUg8ojwqxy3PvG0uJ8kC+PSvGJ4WA9o4qHgT3DLnm4O1qKX8i
KpgLdA9IQvvT8qeEDwvtvC9TaZ3MFC0aDKzRfpRHULyj81zoR/1+tT7In3F+lPKnTeu/tfKtni/a
QW7BSxUVa1VovNeArGttjB+wwrGDk5NOB/t7SBW4OkZaKCsT3yrae9ZVLX6QP3uN2qAZM7H1OCpi
Ieob6rnJ6FTx1X7t60rsOZtVYGZv1YWQlqQ9BZg8X2M1eNwaBh/v1XiqV4PcDDUqo6yGMVOdXMGi
7HZqli0TAK30e+w99Jbt8PE4V9lySCjMLXXrfixqKctBR95p5grYLDZhU1RrLYv7nnHhsktNcZGV
ybNrh7yuGbdTLtY9OzR6jMyfDLqMwdBBsmWMF/disFXfWK7s0RRIvTD3CB2Gh7rHZD8T96s1Ib1d
cF2Njd92ZhjyOSJKVll6uZP2l3Az4Ir9wSzrTbiCnUG/uZBQ1vYHJovaaeJom1QOWUMNG8QQLhqW
+UPpDgxtaYKAAWY3Gx169UDyUwiy5fxJqk6nL7FBKyPFwfGfd/h23cegeqtiDmknO7/IhoGXqCPM
a9vhkL2bMgDOgaPkWDrV4AlH+KNoE3JNM+nO2ArwEs8nUW3y1Ojc/mJilNCdoXIWefgru7cJ6qLy
0w9UP4e4tqKgfHDDlCD4MuvZvqnJrnJTJ2WysU6ll8hWBs4HoBTHpL8FISbsLjeQjHA8q/eXOreC
3Xj46hf365MNPWKi3tIBTflMOOZn/R2aiFghChxb4Zfum/whxkIm4nIbZXik4uVB+5ob57pviVQ2
MDcEu9F4aVcUpE2VdUVIHsgnKqwMocPmyYV86KT4290tEHVy9VDPVBLMjLVtvWjTu0z7VCJee5OQ
v23l7u4FO94X4YYcepUSEPfm5WM/31PyPYjzLltvgNBIvZ+YhLfIfqMnblAflQXBOOkdhhIrzok1
etG1TNvU8dURre9bWFIv92Iob1w5/RLvqwtJtvcpzazN0CF61JkX2YaXpefa+8mUUz4waYn4737s
G7A1skX6FAcmsl1bf/xDdvxIXCytq5gPHDpF1264Ouw0FyjQbEl0/Or9xfyAEfu1IrkkS2HVLwG7
mCye1EM/y7vQY0M3snFKPVV6mIWI6tXAt66ySxRLfAd/poAqSNC2vuTMkhI17xPr4BsXw6IlpRCB
owMeFfy8Dh/xr3TKra4QQk1WjXI8CVbWxzwKPopAo//i9Xtmkfc7rm+WoLXIh5VliseD6JyZkpI6
VwUrUcMmb+ln77hFeq/gflztxsoRE1C9bAt2DXUU4gRZlw66FmNTR/pmrALlTmbhikkzN6fl9YKS
SKy4MejOzRhVha9iRWBBEhptYDedVqrmNbCmAQyhXJWEqIrApZPCSQSdXaKf9d5kiwwJO0SHbngz
FXMf9qrM3PEnMRCq6bZYe7z0mXqcsKlGxG01V/K6Iawf5EG8BOY85OL9dn/gf163ZJgipLwq2hqN
QefEm+YgqpSmiOG6ZIE2PhBUKGh96EYzpqOX8pozdDI+oewCl5LUHmo+BzE+35DkDw8VQM4Pl/v8
7u36dRBlkgHrJ3sPFW4zU3CLfiFQF8OIu+hoFuAddbZSSJ75daipa7UCnezxzsxu6jLxXKooesca
lcF2nykkr0Uynh4FDZ+LnTEjIfSDwK/Nawupq/oms4kY4eAFQPmlFe+tSl0XgfNM4yvdoSGOSkNL
lcrFhBGTBy5ciralC488G2Pk3VI9pxVkSjME9eiYfXku4Ji+P3FpP7ngayx1OJCqBtT4twQnvVdR
dC9GDdCuw6CkDd7Nk0AT4RUkNVVrDRsPu5o6WDwBBIolioTX7nLO8V1bYSEDXqVHQnTj800zqRd6
YmGzWYIpefI2K0WkNSIahME5kLvYZcdXF6jvfII20R39EMuL5vTVWIzoMCrkrPY9QN1csZZHumNh
3Fnt4F0yD2Y5bRrHiK+8wwLepn/Y6Fpq6A1YFBB8SnR6LfoF8CRvGh1IuckX/eiyhWlASSWw14pJ
wSysLF5989M+zQmWQHpwKEplPk6jpdhQj9ARYfvh+Hap0exhwDcFhnbNA31p1ZDNpd4ZbwGI21KI
5BnkCIiJv8uwuJxpFL+tEWBYJe2s2INm+f2hoQwIwN0HRgf7ioD+G0o60wq3vCf4qE5g953wATZi
DVVS1KZG08NRdclOM4B5Mdjg4frfgJeJM7+2r+0OE1E1NS0vAqjdEavDB8Q5tjwY+PoIk5axBa82
E898rHVhCHXaqNQzFb2TjOXU48LPGe3BMGUysH5GNVG4xUxAZfzkAwnxYuPkTMnzpV0pTe7UuLqH
h3nunGns60yhyjlAQfbgeYQclAuOBont0ds7guf5HlSFtvXd2MvgOwD1vYOxvo+oWO/L1/nlN7G8
X0whCjvW8hmAM7+FIopnGtTuibfmn9IrhHFvaijy/1dXeyssxP/k0yOvOeqdPgkIpg0p6iA8g5Pg
rEa/gpb83bYq0Q6kFbqs3Z5Wbw94WJILavxTdhjdiWgmFZh3lMeuduRTxISjHr+hiQMedT6wIdys
5e1r3w8bV2jaewM610GlVV7k4827SiqXGr99fT6rBb2B5LswocpaCAOvK12yLXKjktk2NaHrymXC
BIl8Td4FExoUXc2h5gdVUFZwiR+Vkc0OKJdDmGRGOMGXjcMwXHGdIEwt2JzXfCGkyg4UnQLPcTo/
LicSLOgvDzpGHFAmN6XS/ghPsJqWvIZxSSkNbQPR+9pfARGso9AIFtqsEIhc7KbT3/fLIUMOECri
o3YpAnR2fJXtPoyFA9NirQ3jsLu/uMDChSJ/fu0kbYhFysjHoMGeaz5eFZsy27qr6C0UVmMbQhx5
VQg+udEPekJ6W+8aRWaiRf49ilakgEEThzXHGsbOFrvG3fE+S32hXcomKegwiOV58i5XXmius1Nz
PcRZn4LQ+x6rCZ8greTzekvrro3avPr4cOs6V+O8C9B0CJHVTRztJda9HzVPT6VHERKP2S86Wlrh
kNfNMA2BfVcyIC2i+bBNU1cQV+x6YfqPnCPvDlsfs+KCX6JGgDX/cljynX1RVn2ZAFO7wrwyVbuc
oLqtNkKcXQWJHECdNzX34KsmRXL2nkfUBJikJ1rs1VHQM/oPZLb31mw1AP1kwnW1MAO8rqOglMgM
N8IFY3nvtTiv3wkuhRzF12LRqwM5lEI73z2V8LzT7loPkVs/Ww5fJOrEj9e6ib68IRL4RymX0183
cD3p0EGLHpNXq39WU7TUpHcsGD07GMXwqMJZhGHtBDY8zYruJBJn0X8dtj8jav2OSzI8NbPZD+jt
FB14N6WCr3F9+FtzXuknyZ0SDUPHVsa2aU/r7qeG+Lzd4Nx+yuBwS4SFMF1Rxkn0PsCps4P37+ko
8TLQlTx7qvQ4Yj54iGnnfQADcLeWgT+ikrvTlmEeXSH2y72Yjof4CvDtKWDU8xGntThTsYVFaFyB
3VbwoKbO0JGBRt+Ff9SpX2cP1wLn4v11sxnPGIKGKySyUJPRZhDJExPfhRgjnVsonvUE0E0lIC9U
lqpMxs3eHXD5t328F/KFMKxVb4ync84VmO/1mCfxGNdvzuuAWb6kGaprPamEvFlDe6ckrWg8COVP
rbY/QzCiyHK5/rKAsdcEGveuBfu+6Q/F/1+91TvD3q/EPvr2yHNRCu7a7eSJlbABy3CBAcmTSmdW
vwV/44KgSxwZTRpKi0s9JiQ9IWqMAk4YYQ656bKNOjCu49D9BsgnUo67UXNv0ebCbVThwmcWhF6f
T5OKI9NdgCwu07mcOUr1ErZ9RTNLVI/cu1yvqCrga0madQq869j5rFBS8vDn8TN66HAAYnAZiwPU
UqQpG6+WbquKy9sH9dA+ZwwHoWxHgKsNo2xlnpzL0weCy/PXMJXqBjqGy450WFu6c3BYtyUJXXt1
cnL+RMuwabqsZAuHppcvAwhqqhqHFU/b4UwgmbEQb/7FZUuAoCluMGu0FHpdXJR/2oSoaZpwkkvq
aC5sjkcu8dYNXggr73/HyuTC2WLAHsuGiGpXNTJdOAYS5OdD9E1x/U9XUIIJmR4Y8PmhEDN/pjkt
glK1V3L52bGBCyfpQ7h8+xm0ts/7vOFSJdzsE0bcl3tJVXCupjpwnkPJuYiNrNvRs7z2/EH1FT2J
MhkNYILwrG5PY6p3dgjVSP8HHfIhVEXdMHqJi1tgb9MwEBSgVoZKoBzzNe49OTMBgwKKcXsNmMKM
tw2Izmfwu0AOS5X+Kn/pC5emrD1enQSMJo/Rzy6Zertkwq3P+cbvLzsc/pOJPU3w+aOWJnnC8ZN7
0LngGLqujqi+f2GCqUQUI4ZI441WuvEgJs/6FNxlLxraqw8g41F+kmZhezQNT5Jt7fdJfm1Sdoyi
r6lIA2erl7O7IpqSAo5cefGl+CKWpB5Mo9LtsrXvJ7+XQaibBaB8kX5Y9ttelepBnK2mB88Hgn1O
9sEDlUvquOMaDy6YK/dY1w59yGmPj+VDV0W5h6l0y3xsE28TNsKxVpERYGLloiDeIBujXg0YrZzC
IsemttfsXiwU3fb1CaZUTDfY4xKq/c6POLXlkMjfK5NfaL4A/NlQfhk3oib1D62T2mPi6RaijBUK
QeCNzU3NNB5zNUdzlgzkOTRnXr1imGRIR6g0LUvP3ibCHbUUZvNvzDnfDKsqCn+WILbYbmvJ1VT9
KCTJEzoNdxpjl5/PxsEIVHfnfWCiumjwCwJDJDtgDrpIjgin2mbBF2tO0zJHcUXisrpL76pNgV4j
EXvKHZaCu0T7UJW/cqhNaM2THPbNDs+EhNgLlu3YTcqIHEWYJs6V2eitl+23KqCEkCxjENCwNU9M
EOj1QiXJcJcIb6P7onAk0MWnK6TPQiHmHp60byMNGGcGijPZDn+GBnO5Yrd+53eAA0c+K13azJ6d
ZxFxOepM0T8wMdm/guqYeBKm4H58eucQhQI16Dhn3TU32K1kbR67PzUYdRf9CTkztxJRX0rl+tAt
c1OVOFKI+qmWm1ajlCg3ZXn4OPwXhmIApFa3ROlBTJqwasNxOsmRTlY0xeV4szz/jWqKNct6bJ8Z
wLhY9wpkvL+yjxbcHayBTAXzW47jqV2s+UNsispMTF/H3qISQyV8jx9iY2r2GXuHG7jHXObkf1ap
3TXStgNrgvHAFCIONLH6V/mJ6OGGCtqip4H5OlbJa/Tnrg41l1GzZN4/zX1H9WogDURARwkPoKEj
6oHBqP8ZTEbFe1ILZMHe2MUxubf+7yf9WEQzi3RkImLIGUC/TQ+fw6/c6QAOgJazcfd0x6CUIUko
fPu47xlbx0/lRDZjMlA5Z/S2lNgld3uU8Ji7KSa9ufC+53zxQ5yn0fTeeyN0UiIm0Qb5NmliXxPu
x6q0RnC6BES6m79DTdqVIXtxs/eRXfuRlxFo23HRcWScXyS81gWoYumxk6XgzDfy8DlpYwxfyEIo
XNC8fJ/gXFAdgBkQ3/3wEOCLSAFtKRpE3uv1LANb7hgtWL1xRFzr1FRL01PdeLDyLVoUyUNpKomw
O7aHglGYGkhLzqdEYAZ1TdJt9Mgy5v0MFan3cxSIhXs6THcjiMLAzVM3q3YsPh6iEX76xxzgz/o4
Q1x0mcXuzVsCMgCcI0RQE3z7YJrp+C3uWeFBqJ4QF0rwnrgS/3XAvFVxPk9S+SKTutz9m66Sy/1i
hKFLlVgAI+T9GDoV70sJ7loog/451zIp6KK/6rIWJ6wpuUHSgU/XexWa7sV+uIDbUt+rZKPCkXjg
hIE4aq5rdkjY0aqi6vDY06M/4sh6KaqAy/iCgwJOfZvO2mknvgOwyBzIgcv5FgcLycHkndAl+wwp
LGJvboi98HAdXHXUZVkeYHmfctnSa6I3Y27GQRj0gV7er5sFY1X69Z+QxibSKRRcoVDpus2jjd0r
e6oxEKQpIjCDhjiaWPJc4AAp8I3JOXbUUvUe4EYBrQP84fLuI8LuvAHoL67x/mpI8v7Q8dRFYtaV
4TC8iOEhVq22c+1vwrNKCcBDjSDPD862rJ6NGSFZJnakTPGVJEpRZbQZAxhum4jOJ6SBJ1Pp8xPS
mbAOeSCkhft10vUpGfQKmKtn6xp8Ugu2gsqHGyHenOBBV+s841XCunPMm17Qeotfk0SSvSZhFp8K
ps5XAZU6oSTcpOPMag+8hKUfzw30XyGXgniH1vMMyCyJv7wfOmRI87OKZt/3FYpJcfHm0u2a5xFd
vLQBDsi10OHgMshm8lKG71uMUDV+aopDQsMElqBFYR1LTj7FIOX526N7FUtXKoRZWdLbwDWRfwZR
S8QpMpE/aKkBfvDH8jUU9LSntDBfeuQRrmkw6pLxbSEFWNvlK96i7q5ob6uTzuf3vLgIyHmINBmJ
WDv8VqWYamzoIXqx0dJiG0NUl/tdpYnkgIT1G/p42AXEfxubuMutyRP24O78DEHpPCrDTEGLUNap
rqMv/A6c0yw8DMznRmjQukO3BS+lATt2MspkiKAuW2yXdCAfq4Sq0y23jxk/BkCGod88YMl4BJM/
zEHlrG+yqOpZeE5bbdHyAV+DFa1/SMcqNlhXuLODVma0kokB8jmJTBLFbMvXsi+dGRMZyYC9F6an
1aixQDoKOEMT37IZDrewdCOmULczXMfTgJyWLgPEO2GZ7pLfudaUedJ7cBb74IDeFRoaowqFLaze
8/Vlvb3accVSRQbsrfZJgz86gSP+TukuD1FDNGFbu7BhrMF8+Ih6rjdA32SN1jnhfWRc+RV5Tml3
UKn5yM1O+3fVj+j+MLmeXfWqvluuPHGDq7MdwQ6Rh0hbD5KhpZZstu6sXaOqr21MNEZBIcG8iFof
zpw3keAC47fFLz8YyhcExBYXhGsB3kT0JEDUEzHtJzQTg3TPVXKIynIzLBiCKminWrWOynP6tY7u
A4voi6I2oBmeZGAOg6LWZhB92c4sWyC+LnVMvcwceiRodNl6Xvv3fSMTUHRhZl/KLKtQcT2YAOVm
T4082o1fcBdfRaUbh8TUsmRHhnsn0LIGyR63kR6Uz/WkkxAz+K/Ofe+i8OIU8VJgRKrh5CKBFU9R
AXgN1XFBozaxubMIWbqfmvcuJC5Ft2xTa+g+VCK5yvdQw/kOJIrjHxilZi+02GnODfdvQdqLq9Va
u87JpSChiIzXzCm0mXkaHZr8WJVl7gfF7zDXg8k2URaQGfgeTNKDhtbquFjr2qRcyo80nOjBC4Og
b3UuamfzbnqECXDQ1Io1vYJkNFbkbsk6yKXNQbY66zFI+WIfdS0E2iPvvI1PgKT8HAVcmzyesqBX
chPQtSAf8UnS5lhgdrVToUn7dWAFDqtyhkzithY9/rOD4BDcxPaZTj1qA6tWq6JVvJjyOLrC5bpz
pd4IMSvc21L+PLL1UV7aYxtZ6oT4yfdOthuqnxJt798k3d795KGkHsVJ+Yx6B8jwkOiGriLT5Le6
AzH8fD4Gp5bOhKZIG4+A//FEPj2ZBW6iPiUmxJ8UHncrQRfp1a0ZaBLUXV/7U7QbkbsR1lufE47d
72hPOkuqf9E9vsoD0p0D26X/5I2qeCOk0Z/gvN6yRF83Ni4bnOZvwjeY727I5Mzis+x/CJQPBMJj
4v+AT6R/f/mDY8Dy10w9eN1XLeqxeuU2SOt3VgsswkLCQQzqF6anjlVDSG7A5lOy/etsi7P88His
0iG+12a0VyH6D9znsa6GFobwEpRtljdev7eyfvCJdkwKAqI6YAAlfd6cbgBbR1mvMnHKImRPToD7
7l+kZCwkU4Dy5MBtH0Zh6Jj9K/o6mv6Q0HR8dLz7nSqzz2aS7vnutEZFuKJukQh0xMb9/gjYeN8L
fSkoo+KVOF7iPWSgAJ0a4kBMAipfwMEJNBOnan/GHQadSnsYz9p95Oq9hwa9+DGC//H2iYS4FHQb
fNiGVSDKjU/C42p/e+De99IERvZiYkfbjNUNMbEH1huHUQ3FcEx13dH1Dov2K1Z4qXt5rj3wrNZw
TAY7/0ScQXaHhEN+/ZknV4osry+oUVbtFFGjg9+XAGolaV+NrkFjTE1wby9X3JJQpQq0B2iRSVJD
k8Aot4zDxGRWhwRGTsjLuumzCpPLpVWt1DV0jqRR3eq0ioek9yC23Hj7w8d7KsTQDyzZ3H6jA2s4
juiyhuVMvUE66WHKSNrMemJ2grXUt5PtEx1hZE3kRn9zVtmnz59KwkGcIqQ+xwD16vKoH1wWWZTI
3zSlrv7k92RjuB7irUow4OkeyyVoj5W1AuerW1uH4TfJ90k/8Gz10oSoeOvUPAszsesbepvuz4Q0
lN6/xTDHdU2WORHjyannxfKBThWOOXIKJpj+eoZwcBnzJahvy0oYHq275Ur06jo2Jsvx2ofevMqG
72vthb6irOzaiwpzDCZJ3eBAkMZN2RqbBgEYmHKRM7P8z5YIZKFMDC9isLpjjjMtkn/HCHH8V2oV
oXjT1ppr8O19p4c6D+5ic2QgEe9K5T2x20axDjlNJaQIPdKpk/G4BVPnqH0zX8RG4UkCAbyuaDGi
BYj9pfZQcvWgnC+wXG3T2BjZgpxl1f8qULlvbz+32O/TM1NGqlNQMJyWO9vffWHwEhZkuqWOGFhy
hjdjnKbHRIUAJ2KpQvUrKMXv6tISVPnMSMn1DCc3Vlau9gpIZJ4gsYHUzgKiNkbi2HC/jw5hXqnD
x3PeuDiw03eGr2zQCHpCnNIG1MsAhD9DEio8fQqZ0dP11V+OY3x73kPyiOeRfZ/RuzPEtzr/OydD
3vPVpUzrXj1dSvHbGHQcex4hhOISwV0o7LNqdlh16ZSmvyRIPrfeYD3bKann1rRCroAol9TZ721L
zwLn3gtpupOxuFLvOf+Ux4jsDglmKDfNMpNI/Ig89iG7obg4L7tbmPyUJGyUKpegdbvkM3Rvk+8p
GXQpjyZGWnVmUu6uECsMLZPHjU3IMHo7cXGrdGdqa1ThTFpG35cBIpQlHXSBkT+UHzWj+E/24oE/
oXsyHKlK9vl3vYp3PpT+FP2zPrqOCEIhJZV/gy6R3hEnUKLxIQK23OAjRWEwj7KjVyc/gxBtn4bc
It3hq+ygOPQeDVszCLUvbNI4J9++T/ePKpyut2lVNtKowJXQkrpWIMTZ+43nSt3aInf4EqlnIIRG
vg7Fo8W/3OqGzG28k2nPF4nwYEceEX2YISwRKFvn8rCgzbV1YiOUwh8Ak97XmJ8yunVfSBTR/Y6Z
BKkinZzNqGNxHrwWs+GRK6f5maxNBuvpIGZCnmJsLJ4g/0ND8/l2OAv/O+duHc2J3Qk+IGexUZ1g
dt41yV/8OrWXY2/vtx68Xk0X7TFkLYl6Tj2v/Z6yGExg2FvONsVBvXSEk4KEIb2daE7/iw0UqOWG
eB+z7mMhiaPoI/9i4EZBrnITCm2g02L8rppJ2O0lf9ZUs2nUxCZ2A+pnXecYFfubEHUO/D6601Z4
3lj2GfKvVn0CTY56xckjcI9duyRFU5Ox0a2edujri9eF3vtNGfALCcdnCgZ4raRy1n2LvXvBQjJy
CCiZwQ781FNOPchFpa3mNMnGU5Mj2bzXNwlMu0QlHsD0ghO6E9/S74OAJ/eMUC7vT8jscySLup9U
ayQ2mcahRvc8XF2GsHtEAUsokh1M0KAtCWm/7YjtMet9zB8RpLm2BQBidYCrJwisQkB6BLYP+gtK
ez3dZQQ6OhmyLkxE7/+xnULXbtUuSwVEeb5NWEUhXWFYo4hoZgcKXqZl1VAFooWStQ+3wtFIQ6UF
8eoE4MocAo7WTnyV3TSDuv6iczgMpY/z8UY/7m2+C5NcU2Ah9jrr/BTrXu72frKoMQcKrevNjDe3
Dih/oHE+5repqJnskjjc4keNFtuCze2Ig7x3RHtTethxr+5+1FRPJF0+avf/MynO+wc1lR3C0ZSX
VNTcQyg7gEacFlX/MJPVj1xCQCyyHtLJBGpKakkKaWBS6wc+VoA37sEgPcGcnwLtAGSq1NkvIYZN
HqFIjE3sLxmdJlrZWcNQnwO+O2k1YrkV9pjQAqYwa+rpSd98XRxBs0Dz3nW0g1/xFjh5hM9E5IdO
lAEll0sEEvGjBLozBkWwWqbEcARMpe+i/uNw4six8rU50s1gjdBksQHz00SBEuu0aF+WqsQYY0ZX
72rjawrK3uEFRnlMB7lX0V/J5PvAngMY13GZegEUCcCc/g49b7nqbQH8/a5SIua892rkHmW1Cxhi
CnWsf5dAuuJppMoLFxLDQmnD5OVqLomvsU0E68SFBaGclMFxgVLpWJC6up7tpEWvXOADmTeBtDDV
sQEW8xr/Yj7SyI22jBPx2rGV6yxHSfLi3pk1ImgURyG1zNfudfTglf+xfzUIR37d4+E2IYlxUzWt
Ceg6aStbr7myN7oSgbbn7To27gMVDdV1IYHX2vgM4rVYU9K9HQZ4XyPsoAUDk5yFwnjnKJfRJ6sj
RgIhTXSNjUzr78M+MDvVeRRyi64MlFGreiW62NEicwRxLnwj9enIjpH4T+WD+LgPzQzXMWN7gHUY
Ga1Cow13W8PZsYwty6cTJaKstMhya3u4rJIc3tAmydlF8TNEk383tmqkTp9A62Ehw7UNvdJiOxfL
1hw1GYzrSoBQ9OuSLy1jWr7cW2mLdw0v1WZ7IpIS4IV3OCuODNPKzb+d4xnp38y/7isTDS/Wg8/s
0r0+PDM9Wkzk9IJ+RcyGs9+E6uU9gT3zPxiYdgNv9tbcmIa+HQOnKe69GT1OSKqIa/K+ti0tYn9G
DUQRlFgt6Kg420Frc4iK1DTjHlrcn4CoGl7+wduLZNiY7pMIyybTlAiEovNSPEFYK2Peb8EZrM76
I21kxWSGsMl0uw+5k3fdWBUoMeh/cbpTWg32Yv4BTYZHICBiAXqpoVEcghO/G7qwgBhFJzSLDjeI
qjQ7SZC4e1AWjE8BPLJjuw94Dc6D0oGmfsYez4Zt3WWYhBy2iEG8boZjhhfKgS14/j4RImShjmMm
hC3YfAKIawRzmD2M26fsIKd75yMpD+HOLwoQf9MBUnf4fVazygSPy7QvNmPhbCueKCOMPYxO15Ba
OZo6u842gbzKHXMDi3DBSUmryw13tINpx6GvvPik1gczpEA1/H/2KZp7xmM5JpdKHAxQdWtka5B0
pjXYdp6g0CgtoD34S6veHGrLilHuCIE2wib+CN7qRPym54FcHv44vUDQAoW5hSfCyWdYzgXfNmwK
blPm4lv2AuL88yDbyRXJONPX0mimiMkRsTBMHuZRFDtq9rNjMSosBKYCB7ELI9gyTiPsEY5QoWnJ
ufDIgvwz88ahLFGiQruHMRq7D2ERL5cZTTYnNWL9TGJfBsKZfSrKDdN9/xUF9u/rdPKc2DNiT1TY
69qr6GFw53TK47zPNuCF0VvtgtPf1j1AoUGfPJZjGdoiX+DKC5gMhXXWokzcnzcdKdeuE5Ssm2X4
68uq2MdC41wHHLmL2/7fnCwmx1+zq06Ij/XUDoUWI8mH9yHIHdCEM5uMpGMCFaWpWEi1uataO4jK
YuE0MyQhoFiEjCiM7Wh/Cm76Ek6FvMm9cUkMVgMM1dnwIiu8MUk5n3OC2q+AGvN7pPObxHyiP/mX
SE+hfUyHid+/XxpKgk+u9DoRTFPJxIzP28VPMNtgNo86o1MLiOzndHdLYHLYQmxgElAOVGalyIJR
TtJ+btEbj0NNh9IBeiRThkOg9inhNr+7y3Wb4krTYiUPgIXkDLlilHd3yOQm1Ro5UC6jhdzzE6FB
FEmYgagNkSpqk9wvxbNM9l3YpztDEfxRLw7EbxAdUN6cC7sZpC004da/Yp8xrDtgjRtQXHW8TLa9
yPMsw7VaXYQpPFY1A5lvT1GKej0VuPojiqja8f3BiIygkyVoZe93/5ZHgR4FtjZt6aT8HIv7I2G4
vfZs25rRH4tO0iiBCMdI2MiQiBkwsohuKyA5Tbowd66F6V7ptt4b0Z6gIaWfdFeXAtizQ7L9rw9Y
gYssQJuRvrOwnKYINZEYFlpsrprb+izzJA7+NPAF62AKo881BaWJiERsj2m7hYq0wlawXdq9E91n
Q0e5uz1vBkteBKZ1SERvy549a31ehcXPCGbcGo90d3XYAEJbdJvUV6I/RFLrOmewI/bWIqWJezNz
C434aRPJgDC6wSQuDOSMXV1Ns7hefjnw+VcprhX35Zww1r9OmlXswE0FQlt9Xc5Lp5KDeKC8zbVc
1XZY0nqdMOeQOmAw2v1nKiDsBqYp7e2I3JH7KERd6za3dBcKdRk69pGb5cm5HjQK3E+48NBA0RTo
jPHNJtPxMd6jSt5aWpWcVkNCPRsF+jUb8kvlZsb+gs+m0zD2dr3zljj68VIKcU9gZeA/Id1nnIqB
ba+4N+KE+DkuAeKS7rCasGljy6MvOkAsxL3Kodxj3pGKR6X6rwy2acyBXEW9MdHZmLDIaqq+hg+H
lhug2hrVjX9LxU4F1OJR+3IbwRCfIWudu0+ghSkRs4dXOJXqutGEHkZ78Rc+xxGPS1rIw4tFFb0q
tpqFTM6gk56swRNfjwT6lTfXco2zBafv+DIFVTwkHWgD9/+nT/FGtwWrVw97beEE/6FAuvYzDvEl
7XsvjzVmvcZZcrEzfVv7U0vZEsa1OiD2YBudwwcRS96JOKx8n917su6vE4OBZS+XadWGGES475vw
W/m0iKEE+yVOinG4I9UCwkl+hWjAYYYfS8jQrD8Xla9CSiY3hM75d4rf+/7Xe9E06JuswyY6Jg2A
0QUUHo0E1a/pK/soYTEFCGcgYXRWZ8p5toM7o3rDlL6pgWxwxID52O4JaSEP4ZUY7d1B/yOy+pGH
V9VJ4S8UcoruwL+1ZwY5s13Qk7sbptdh4/twZpLCJ6IRBA2MBIDzJsmhSlz03Vr8IN8u12ZZSYOF
GRsvJaeCo1YFjcNDZt2pxKADxBMCLj59S3P+BreApgrxOltrQ8BFWoHuObYczj2KiIF4nGJlE7yE
Qp5579gztbBVSe9MmPi1d+D4R67mv2FtI/QIufNGm8WUJSIhiN683rPxhjcDbhr0l/3WSMDHON+W
BtjEMXwezELYZUMXGnYJpPSy+O8miI/lNckvK6dfBRMDS1gXivb3Z5bnm78Y6sIWqEhqcntRrjGI
c1nUYr8ajiLFxOfBxI8snMxL7/qON9udEaCPnhn1JjceT6uLl/hOhcqK4tIS+GbLvrUi8WQEN+/S
NHfuTiaJrbb3XzcdtTQCp/Af0JdHRF/Hgk3kPZPxX9X5/HIk3t7fNPJas6BXSWLol6GYVEzXZQvr
mstF7fP+H0wBQI3EpkSPLUQswGzaUddX6EnvqU3DoWxl2FxvE50H4/T2+KmZ7dsLc7hUq1+7w6ya
JR3SHWOBqh3oSAV2I7YITmC0B3eYKSVoJnxCFiAFkshmaXOIT/QpNkEH9esQ9tg8FXO91a9VOQLU
hROdA+SgC9bCzwPXPo5aBbHyPwMIYmpKKKmWy6qYCR2VC3DbEoBILuDVTa4A2qIn9A2MgtQAMeqE
hz/OR0moDEMGi9FmhCdLkblkwd+i2xQoijlgi/QrrKPLXXozpXyjE/77nkGq9M/pdTsWLkFyiHkr
OI1QShTSBH4bNt5if/d5DD33kTFSj3lm8EDCowTheBN8ZSlqTf8E9MYIWgw9gO1SPKho6Bog1Ku3
l1GHhh/ypn4BuYCujRdySNK4a0Dvf0aC83vd9Nospk5fGUj6lvL2l/OT8o6DXXm/xHwjeLL7cH+7
6NK7ajk1urh4XiVKNNf2rMDCTM9mu6gXwSdYVs+C/1ZrGHPFDBlUQdH4wfwp6CewImccuYOtALhZ
0y79kUBhq613qo9IA7+SZrmKUgvuUt1fOtOosrd+G6w9r00qlNdEiD3WlB+Wglaa382QX+gz8j+a
To6ogjdtOftqX0R4Yw4c2E7efM+L9RBjnOGtuI4tPUkw1rS++8u2DNXXX+wKmVqbNhrVb+vKD9iV
+AUrq00PgK/VhYmsfwmxL1JRXFgxiWVT1XTadudku6Gd4WWuQJSUi7q/VtdAvFx5O6/u8e8LB57n
Yqen0haxsICZIgF9TId0Y4Qosa//cggoJQUSYPkl1L5pr2uupqjnjbZJmz1Dcvt0yX+J2hr4nMBu
Wur0KNnG/HSpU6mSg/wB+pye+z2ph5Nqftl3XvjjK6Z1t69fDgMkhAlVo8EzL5eNP8HK4XCzvsKs
nQgHcioqLRRvRnbIEe7tMmB2I2C7dJZzrgk2oR8P5JHuCXtg+Spfq3d96cT0U/9CZR4Hl3KJGp2V
vMyeLqXFauOK7eUiddoZddekU1AEy/MTRsNs+2PzKLVjLBgoOnko6AOJw6BMridt6OsjYCzhWjPW
YleCe2AzHcX8LOz+mMXFnN6eqD+WOxws8PSvP9ekJZ0nYsV7sxwS9pXn/Ci8a89eWdBH4Qc53JRX
BivwaIrbWhE5HWqwzR480E1lilHfDddUEJEJLcfF6AfCEowqKp5q2m8OxZYLqc0tZRtHtAG0hEKZ
UURqLDvS17LQi2t7xtWuRE0oA0oIWYZtULs3t319SIGuH/0EdR/jOg6vV13wNbvQv4QD7EFatRGI
iL8c6aMPTCfNQyyML2oWqx8k785Ve2ZcFAeHyXK6StRbQsfAgWMZoq9vH8z6bdYuuIFGLKM3/tD+
SdvcogXz+G+V/YorqI752cQNIXWEB+sBq12GbNtG1Pk4J9uQqpUV0knfgAmnRwLm+1XLHUsq/e4J
oWPoJD3u30W6fQYiWXCbE+AkIOCtQR0mEjWhp1sNkSxuzlgVR6hei0cEP+h0f3OePJ5KyY/A5BLx
HpbVfTe9WTXj9bybKH/d+d19tHn9N72MRfW+D3V5p66XuVjvKQe1VTbJuu/9GHt4AtaOwEAxRysY
ZdYnpblbO3D7u/F+JQHlWNYaYJ8io760yLJWtN6q1OxxrN6bR0OwGcr1k8C91OBN5FuNjJqw8F5d
ETf9wxekSo98YZnVuZawwiekKXM0dY0TOaoE/e1mUO4ZyIAyTnblINaISc7p14Ah0KO5yP9C1Syg
h0NbK7C3WwEDW7154RcuikV5XEVpNuMVM+JWlnBnycJlRQMPfrFzHaotzP+q40bnrldOp72SF0wP
2hOo6ub6eAFq2/X6InEdNaNIpM5CfNDxwP4yJpzhjJ5U+Xkptc/rmP0Od620dXvFNr+t08Yht/RI
ooj4kpa20ZUXq3P/1RenFmJyiElZOHbfJ0uu981h/ccuO9OzPE2stDhSNI9qtR621NMYs88TVxm3
ue8YVy1PqQGZmJfZ2TL2jUvutND+/AXbbChDl4aNFrEF1lrXZ+gqDFsAjwJSWmlLqwjXkO1Beark
vMRmWOshwOrtELW99Sq3g/I/o2KiKl746tqB22S8z4sewXOEt3wHH2eVZi1bUski6hgoqfbfXZC9
p7OTPm59t2aL04UddBKkc0u3Rgja2eclcvKJMUIJ54ZEs1Bo3PLXGsT3ccZsmgAImH8G9u+9lmeW
gYBfSrflP/5NgdNAn0y8vxHRTjENV+ZEwxH7OE4cYhXSAyVIHhBnJMR3dQOSQFrbHOBy881a2u0G
MeodDJafEXYZqxnBY0iCYYzz07jCDwp3UEE2zmOtHFEowzzxaKNWM0qHBFuMm5WPuxqhNUb5fQHR
oNrIoEZLkWfj16iw3GrNvmwvVLsnOr9UtTu3AP6L91Mz/0saS3lIJCnMiNqWlXBJqslaOtxPOY0u
oOpSK6vOGTXnir5JMD5BThtJUVzT/yUlIuSCsbX/SxEVQqXUmifBPLOOw2dnRI8Ek2QFR/mwdJiu
CVaI66r8HuvSpQrbySCMtVHRPOx+gAy9l7ZL9JPShWFj2uyKLeOJzEcxZcHTuGxEYJxvQhvp4WeJ
E818O7A2xAzXiI2qTUVzXsPOSJ/JteYTj2DAWmE6XNtgen9U7rm2uCVr9J/oMu7jJ+hS9DfHmEra
j+VubgrUZy1zkoPwRX8MBdhBT4+KCW6aLhuzdS7CVII8jo3OMTdg0YHa+QAdIiyDvKoev+O+ekmp
U0RPDXugYzPOnhcbnJLI7kQ9wr4VpKHV9NL1bSrijtuPJeTvKex0umxdX1eQaCele2cJ70/QF73Y
xLDDjosAcn4kQIGzwrKhfD9Ec4RH6UpohTr1Dj9XtCcHK+hpn8QJeWKW48txflk6+FQOVrXSI4Du
+9UX5/zMKsPNhb9q4LygIIZnA/qvDgncZAitHoyPH8LS2oNBXBmJd1WLi1xp13C2X9lCEDqhJT3R
wwsMtD+B22LDmzTtne2d8e8SebDxryGRmAPNn8oMyuwwUU2Dq5cEpV2rF3VyvlcNMMpcXtT/qAA7
gtaM8kNTLKN6xPM7RyVJE5NqCecD6hvxU6ZKycpfTWXs94SxJmd/Md8dZpbqvxgwJF/GNivp2/lw
bJtoXdNEub54ikHh2BT3k/p7Ze0x/SMAsA9zEaCrbddnaM1T3oywFP/3BtnreRb8GF1s/qKPRj/A
GdAR5HSyttGAU3tBJ/44KLWWB8d9JCsZDGgQUeW1ZKBSWesPvgDrMkoGwuhFy00pwTGTcOGWgnw9
SMuz4G64FrSX6GqW1Y4el6RGbiFyRu7t+Gd5GWeaNNvByyHMGk9dfi7TXwZI6iNfZCjU0dA6RGC3
kk6Ie2j/VrBy8gRTWw0VPns1IyH/tH37+eTO10iP99c6okMzZon8pAwIdFRTwNz5XFJaunmogyJn
la6p8L31VvbsbQ1HSLORnzy9Ub+wNu4oS4moeY0ee+jjf28HPTiQ8hu/VBZUIVWZ8F/Cyt9M7MUs
yKtObTV8opWKq3P0CBu4iL7xyGppvEppbk/7OCFp6I3P67CnxseZxoN8vNVCYJWe9XMK+AA+Q6K8
ft0sX7xYl3uTqNg6NO2VEcpfBajqoiIFSxNWZSE2PKUxsv1ZUyyOIIG8OdXHSLpc0miD1K5HyLAn
rThTJGU5UqYiIQvxHJiOLuDox/f3KTe18/J1NDA1ccuHw945nl9VP9wg176JDgoV2vxwj1pJYkat
a7yCcG6xloVNsRBHP9bTUQHYMjWbOTJXK8zAkzIfK+8c9GQl42k9L46LrrEy+Yjswdowx/bnuDsi
iILlehwIj+duZ4j1F6BAmJ1npRpxakEgUNu6qZ9TOqh+OmJHh1bSTnfYBg5IMIVMmQ7uXCylvOhM
nt/elQQY93ecUlxvQY4XvuQCpqSRRRrv3tpXtlDYX0GscSTgjIXIlO7DDzpgIRxy9cXwh+PVxl7F
0hwtqsYgVo9Z9jcQOBwvfuhWzvPc4JU3gOFX8OdHJZqL7RXUDOm+rsBKyjGOh5pBgrxTPa270RLO
SqN8zROrFoiX7fIdXjv9WMVjVCyJN7pM7AUc+nu3ZTx+6K9D1a6su1z8EH2S+9gmFQS3E51uPigL
WVE0iTULD+S409w0VbVYhq9Gp/j3cCPBCat5xcNgdvUfoHDPsQHEsDJRqOVK0gaq7ksxdLzVRMrn
bGAUscmUQacJpADaIfVu3s8GrhF0dRwAmHgU0ehaioVrL7jhcG9da9ABt1nRZEP/Hp+QZ/1n3RQo
BrCNrKbozBcIPX9DV7sgPtuYDlgqHQoA7V+6cUFB3T8Iyg9Vaw8pnaq9c+FWnK8tdKtOZ4msd1ts
TPpcRWi85AZZRuOOUtGxUvGo7Lz2YPfX90w1Q4DijXNjhJnx5oC29cFmh9robvd2rmcO6LJ5dpRF
hObdz/2/LJnFr1OdfhfEEOQzOZ44U4lrkJjnUzw2uT2szaxcSRzAqeQMS4s0osF7yerMeXMJzP9q
y8472tUDiwhylurNnGmY45YyM1DXdq+oJxF08VOesg9TUDF4J3lNZ1GOdMNZtvF5tpIueWRL6Dgz
WSU3UKRhZYtTkFeLS6ZehUt24Q7aSn2GSj2HavZaXOE6r02sUJ7hLK66v9E+3mhaG6mmEt3ZA5uC
znCpQVpjgx4QiIxkG/ZN6xeIBBAwtwcsJmg3u9awBnLKoUkW1fPSzmv4LlcB2KXBfyOP0v13f+AJ
b6o6Lm7Gzc+r4UlyAckNxihROrv6RlZ14JWcfVhGJdFcmitR9+vwf9YRqylxKsjVNuHdR0WrGlJt
z+kiYbI/Qm0HTAw6AL3Neu6yEGcmsT3R58lmZGdaPw/H3lSu++CxEIvnJFoYnrgGkRLa3/iUfO+1
iq0phaoloIrK66DUTS/cq1Eb9BJ+SQyonXiafbtT/mACxKu3/etNn3cZ2AWp1s5XImaTDBHky9un
q0hya/ZTuuieq4HvuOE751ZOjhSZoY1CiaoZQmyREkdBsVno0qpaM/O8hwC+vfhDgwig85n385Ld
/28nAi59Pm758z3RRrDoU/RY5NsnznlrryTrfCjcsxHZOmrzrwgnnTyKCNEiLcVGWXdpDTV4dHhW
slzERMfSZw/fMudtrTeNlhVSTWLEJ9N9BCHc4erUgwIdnz1Il8TCrr4lqU4zCf8d7FBIV8GKFwOs
Br0VoVropMhBFzmvY7TN1YjO/6Wj0hpr5MKSAlTLoNtseIvmmW6t+Qlp+nIHY5KpoDyd5stuYlpo
lDAcjOFybVlP0tK1PFj0lGtwdSqAo+gP0ULtqD+Z89XqjFiCgUkJaxWsTY116OZkeCV3mZwMBKSx
N07yltLgruJJAHIn5rxwgW4vh9giMKw5KGF63Zj1dIcq3938Sjg7uwnDxBR84Hlm4VouE27TdAhO
WBPyu1cTr6Cybb+J9O27g3F9+zNyNJCsoShA1+gtamid0CnLQhxm22Vc1coMXCruWhZxVPYAS3V5
D0gyOghDp6HBcxv8dF7H/G8gUxXSkyjKJrIPxB1m9UEJyPYMa+z+8+7j0xPdSWHIumGDKU2eSQZj
HknJV4DLB31SAucdSHGKFbALzcP5MHR1AcNJ+igiucP5KpXA+0YNTqL25xmFTguINYUCPSBzZ8Ke
XEowNaIm1DJB/Su8gS3vbthXoyRI8K0I25fRlfSVysdwgvGVS4/BP6OoI3eN5+NkIeOJLTYL9byy
X8P2fjwPGmqTcwbyeBbWGgG8GpY5X6CsGlglSb9iosJPyNxBUPe79YRC6ricqZr++1KFXaRLwSt1
PoOzvh8NBIwtH9AzrJwzEUYh93t5dakXzNsPhVXze1yG9rB3+XeRT+ZOLKouvQxWjCYcD7F0dqc7
+DHpZAZKEdPFXM8uZOBD05Etb+hLHmWRB7f0R/ztJMtG3LaOcZjtt5RZTG5MNkl2XguOFX4iCvEZ
eoOrDBMAUfABOTX/LZkQd3ay2zNxkd2QHP07VesKBsJveBHfYNTcDpt/l6NkQNV+cECDF9mr0viI
C5uHE1l62sBq32RXZNwYFr5Fybk6hfl6PguFj67KYnd9Ab7cGH49oiP2Lo7QHPVQ61n/07EO4ipG
f9u467SxrzSN5X4vuv7pvTK2Wx68+GS4GHZBIicehyYeuMrXhjNDflklF3aDtEYOLCqPSCVWW1nj
LoI/HPjC7TrLgynDNjB3piuaTUC55pNkNRxePCxlaUC1Oz+7Pxw6eVW+H8uqQe/Wskg8YUuB+hPU
PI3ljfCtIC6tkb1tumMdNnHPKlUaXLwNXbTWmVwDheqh6y8UnNTEgWj1wE0qt5kR+D4Lw6y4nL7j
AeVxsqjOh3PJTX9VMw9uiUCBEQnI/v+2zXPOSJ/ECdp3e81JvzqG9T94r79Xh/Qqt0RMbf2W9NlR
h+xyJ9tsxKnsCcHVsj2Ww70dsd+D26Q2VNBCp0QFdnCOTe6bGpQ0QsQD6o1aL5Mg343NV1MUBGQD
xCZuTNrjVFa7OMM5CwCIDM7oLGGS40XZyxxTfdIi80oYawvspHtLW3HnqVAiFsC7nm72CCk6jUr9
wR8bgg1lsQZ4Wo/Ockz5FzePhcaBMmQL54PEQkrS0SoF6N2w3hymfJo+2KuSrRiaa9MJwVlB1xrr
5AYoSSsc7TIOBBkzXrEhbxPEiY0d+qggt4FeSPnuJvvA6cOAollRCY4GqlmMbvuJabrBevXIrLTc
qdp7oo24Txd3andEI5JlOCToiObVdNzOU7bCvKb2XHNG5tqSCVHh0/HTQt+A1PVHi/H1YOAbCQPP
7Pg9wKvcMZqEspKAOeS/xOHBbSz7AJiXgw3j6LkVgJFSgOzH0EBkFSoDPu2FAl3AGLbpgUeGCmWB
aHvWbF7GOqqZBLh342T9c5J8O5kYcylrezLTqViw//AEkpdqWJf1EQf2BXNSmBSvLB5vvI652xDt
q4vazd65kXwvQOUv9HaMYDcfvCapwtJVQt/Sbnaq76bgkQ2jXi0yPvKp5twA/qegUkW9MqE225zC
/ivvIEXrLF9woodYGc8hb814MZZ9rzo6CXFG/BXSnx9FgIkR1OFirlkq0ABWcR/CnTioScrAgHAh
90XfTYz0fBCXTG5gsX1r6Zwn00vl5XMGJcfP5ZAnTBpD0zR+UhhdVI85d+P9Eh2ufVadvDH//iK8
QrHba6zjbl99vdWpAKTr7vC+T9MtNZqFUHxK85MppTNZcZKBysrlmJwOLAuTNKwKlEpcaSfteRaM
gveaxps4HZdwDP31RZkFVy1CKVmTICftVgQFtMLske3ZyGmnZDznQNQc/Vf2KITU7Ot5+8rLtHBN
qEK+/4lHasQbFofcHLKykmZi8VMbL0FbMnZDGGJn/Pmk4KTQAzqCE+JgaajiDzN3FhmNvhB4wwzi
hrsXyv0nrgadQxV0g8U/SwZaOgSmomtDakf8ERY2KM+V62VsmZjvx8Z8x7SRsewjlPpe4LkWLjI4
0tIYh7vVusOW7y7F8DVtCmEX1UxvNxe40/LggQC2kkYUDa1GUEWkcuwvblo4kmIbNxTPzpIvtIbT
XKU9YR4lEB0g/5AmHqs7EThix3iLatMB6PDrTKHKmdy1uTvX1M0G+xbhjK4ROj8x4CgS315/quuM
gDVqlAsGwadqZWb/c4DKxPm+VQQXkUr7dbmquw9iFBg0LE1qLbKc4vMBCoYf75XpRsLAiof6ZvU6
gn+00+QEVApDPheDwGQ/o7MKSZd5dbRulLe0oH2IUuMEaQBzvUNE3a+/IK3mSPI2LSjYMWZAyy7J
rXBMdTI0YJkWZR/hkMDMmnDBNQarH9dmigxItNyb8kVfTJQzwnvH1JshCNScDYdzn18cHer7QKJ1
zbjfn3Hffy9boRfDaKOArqRD8g3tvrAVEDJBYqypZKLXEHNGXV70DsWd6pz+SpWbTC/DRHFQ3K/8
K1wIA2MJjjaoDaNvGfe2p27C638u82SoWHG0nYbi0391XTfVjAfARHjhlNlAwE5+lQmcH58XGq8/
LnglQnhNwC7pcfOkPn6mjWfoPZGKuCYGPjWYjZ4cDAfByIDnq2OR1YhQumPOYbSALHv8RojD7pEr
m7SLmDVFj2/+wndbbOnEiKUmCYCui5lJ6wVPoVtInG/JfetPGSAaS/XOgUevJ/NIGN58avlLJgOb
WTK7+hjedPEfHY/sT9uZmw+ovRaLJlKwKMVCPiTUpVMSu7aLOqkMsueaA32tvPalhxqcUUe5cKi9
v5MX8JY9Cc9Q3vQSfFjHVDK87coSUgAtIpcbDUPxKdID3kNFMYYwQX5bNP8IM5zqjlR+ZFe6hDaX
1Wpm1XX6PahHn8pL0uSMNDeFs/8t5TbXzFLgWv/tD0UB4lZgT4aypuyY3g8s6DkqIJix7CEvJyCG
s9SSN6tQjf2fKlfYf4k6mmjajalmjx2j3bkGnPgdFzw7rnWAvLC1p0MEi7juqOLhTKObGMhIqkSf
ojTxbNL8V6P9AW8PC4pKUCmKoHz8gr/TEpnTPkB//eTHWisO49JvzfL1rP8R4C5aV0eMx+SHELd+
K59droWUahylt/gIi2THgxF1X/dc0l+MIiRU9sotoCxumjLmHY2GPV+F4Covf1l88v26IdX0YFwB
MzL3fm/z0xyrdp5klpdsCa990F5ODdKGUF9qB4fo/Dn6CFiXjOlZI6wf/FdEUVDAVHL3lteKCeLM
aV0Vux7+ihwJfW5cOua4hNN2+V+v4tUBIdTCshj1yBMd6Q29C5rsaNVzkcRyCnJtPlCKOdzzKRfH
GPjIOZNWiex3+olruB6k4ufdXqaDwN0VM+y/DocgWYLwJp9BZXxfekA2chooeVPrVX0KHWa1uGcX
vz5Lk1Vnleu6yP4P76oSwxjdfLlc0cn72GJgPEPXjS2H8tPHNaobG5FFNv2nDIrPjDhCDxD6sZD3
KeOfsFWB9GX7hQ53X8g/EwzB4cLPCuktx1/BWT5Rg05dm/O48TSQOaSaUE+qF6nLQDdLUNWJ5Hih
nn9zAJc2JMzTvjN2lbL5AuZRr/w7jjmeSMT7N/Y6pC10b7KpIxdoPierf6T5nsdbzDwVECw4WuYd
7lfUSuqtKrkFk/OQu+S9Xp2G1BEa1KGwfDQtmfFVDUwzk+k5hFJqkSrNsDWezoEf/R3NoVp+VIf8
7RyZPEUNmXwS6qEoUvW5iu5QhMBn5vMC818lVv+tZuNLDXWcrAxon5xU+3IptA7AQ34DXwRPZCt9
4FIE7oMKRoWuLoxXPu90lXobQwiZFCvSgZP7JbvcR3HcalDc2ztHVKdQFhRseXMhrhaae26WNpf0
YZlIeaUlBGqOvO50eZYkVEkvgoSNdpQlNLCylT/q+iD/hUCVDQJb3HPA+i5nYY9iw0sFQqdHT94O
qG52YW9U4DwPCtXoKkbubue98/MEO92D76lZSSDVsVF1VUFLZ6KVblli0yqX4GKAf2mKVfk7nsAQ
Ki1HQMmOYDHt4nc0oVgXGTo10qJ+g+hNtTQeDq6DtUVSJThsXOhLLWKCXhMheF02ncBbT8rqhOxY
UxtfeDqGsCpLTPryH5WrWXeNOzb3E3jbq3FVsUsEyQZC/Ho37tQtUgk9BLESbpLuthT62yL0gJNH
eEMKTHXJTXawfekEBYpZf4UPnSPkRTu6nDQrlYUiBhX7GM/fqRh2YQz5f5f3OP1ZuNgQN86972RP
vv1q2Db+HtEh9IJ6LN7jbARTlpHz9iG5HfmZH8lV05Fswp4XXdsrMIeoVwox1D0um1Tg5wRdUTum
dSyT+03qBMCh5Spj0GnoEshPwB5u9U5iDkmPIvNSM1QnzvluW024JLrEJswGvaHpzmFGowFRK1o3
/Q5RQ5QLRw4Kd0O/RpLDnS0xZ4kzn7VObT86h1HAp8fBVCFuA1tNcQj5QE4FwRi0rs5SWZfs0zte
FZ1O3WJFDmf4zOV+6Wis4zcGr2SOeQZDl/OVWl1G5VE9DmCWsNSuY35DdX3LCHGlHHPIyw3oTBmH
ZR4O2LFfce/gKaQ8JCJzakBVaK/o0wmPW5tHTqrWPWv8VJWmBwsl7woJw7unlUel0Zd7K2Ocy3dL
Osh7zi93+olTKjvd97cSuQ7r5n14zhTZf0Tg56EqhoUHl55UUG5OUZMvfep4Yb2ev+K0ybd66Skc
9exIOpW4CFa8pVL7Neu3SkM0RpnqmUjsjoyCoAfCZjffI1r31Z5V6uMcHBcSBL9SQ4MpYMCfgOL3
tAMhKsNDludoSMJfTdaruOc8O282f/1bL9qivVOa9XRJYcO4Cum921WPzP4uoN6PsdcbxvjkYnjD
FCVS0JoDIwu9x1P69s5ttbW/sV7QtyhVHGm73TAHgQHkGwYZtEwJBd3EKuopBS6670nVV4YkVlu2
LpkGMa7aNo5hWEclB5702CS3rh17ddjn0Oob5FwCrErIgCzLgwF3IO5pYIfCApQNXsfNGvA5w1I0
kUV7aeM2aWtq8T04HmFu0AI+qmoflS2ACpoRI9ZtkAXJg+cb4KW5eo5EV3TDaDFX/LywwCafh48X
KuRo/c9M6q3UMGSkGWX/sB4QIUMColyGm6QIMmXLAi4JpNnH/6yoVojD1ZtaM3vWNMhM24PXNPNS
eTfvZmMHeX22je+lfvEE5BOGGDrKhwWlauXko7I20Vyh9+BakXJf/Te9M38VoeVexESXuObHw4qF
w5EE8dvUcnokqDD+tajDvOv4dOL/yb0E+ifqHPXf1hynCeV7asrX8Za8hOHbI4/e7YDZtJ8IQ65V
Czlt47IBoQhAPiQgQ7Ii2I3O3DO3/ESg16ovSPCr2G3ni5twvPTtRmrNE3wAy2Jne2k+eWOsG4S3
bWFjTAJrdOy/c7qtXX30ZMse5Wj84DY1Zl2O/AxmKKo5EDeOGsGquYuTjx1wUeY1DAVy+PXWMSZA
vp5nqPXdMhxlN8w68mHNifIxtMOTeSYrED5/E85uU2OhCGxaP8w1rDE1a4NzH0GHYgXSI0xEBphn
5o/YpUHE8il3OGk6UfMVfMKcqrVN5sCf6PDt26QbmqbYd/QVYfZfcTQEdJXOSiGQCg+kwZH0f2J4
XntRpKODza5BU5LCz3dWYFLbIA5pTbeUZGgD+gzZn1CZXL0AZW6bFCTZkK3r+bsWuYvOh6W1cDPE
E8NiM2tY71RSuHhsyMGKyoQeIwV9f24uR8AekrHCrgIsCMZVufCDpdeUrLuxWmaWUBMPbGBXFjvo
lGFlABTziv25C/O69kTTZI4vfM+5q1pJSR866hdhrAecKK87RKJAnDvNWds7xRXtXupp+pjgqmJu
pl6QTgWLxEgrKN0KBTItIxfu1HvH34QKVGVQCKN4a+uHvpx15/AQ2Bslouay6Z/Wnh/muwcSvorw
GwfVB3DqzovTLnfqZtFsMZYEKgr4qtElRXZIExpFFxcfXqvcMIDmuO3T/H4lkL3BEI9IHXMYnXbx
z0oPP765+6fNRaJtBxUY9Fpk6QjI+fcVkHH/mUAuYSnw+VK2VxW7wiQZqZpL1mvmA5Ap923leWwf
tDz4Dqn+yzE5b8DaGfcN9OdpaUKj9KIhAvniIr6cUHzM8ypEYmpMziGvD5XVhJGeFb0b8jF9GXcy
I0EYG+N5WIOX87sF9bn38glnSi4ifxw2+4h6krOvXLENa4IzdjE4L+KvkwqzGLw8eK2MApjsn1td
1T81HBGFvAIZM30pCsP2Kvxy0QfTKx6lJiY7ZFcYOPb2HbDJ6lMw+z27bZ1rO6/1CKiUo2ZpOobP
Rascr9yLotFnQRgHTfTtZREbq3yzXtope7Pn9nFm/u556MEgpcK9eXslyz7+sc83tO6tRpqIzLOo
6RB5N+khQylS2tovYNOnlc+Ig1jsXpXPIrD1HjluK1kcJhqwky4K5YBj+ZyNcYGJxPT5myLzVLYL
jEkHdMzJRxLuzAR0xwIkB2kYbL/m8lzUykkhE9i36UTKRJKXgSteUliUxquKvTP4Gb5DlQLgQuJV
J9goWOzeTZGrsLiRau0oSep5E0YPhUND+pf9sMKDvJOC39G6psTHf2gMZIe/92Y0xrY6QBM4B1EP
RV8r4S5gOMxg+r68wHjgzn4CKggurZR7Obnxvnf7MlSjSUj+A8ouLeWNgNbdn8asrCANt/mBwfwC
Qcm2wpsjE+gGS/+5bGhriYJ+97IGiblSDTvOd3IFJin8huAVCYs8fsq7nt0NqhgBtF+IY3iA2U5S
SCCW5FfHGwL4HbApm0LSU6feJnTUl3f63iO0BUTr5ywBdPEFnpDwMzBMT0kCyHMOn7eViqzJslYO
dBZ8b8YUO/65BnIrZyOQhAI2CB4FSYAyi45z1Zxv2rzxGIaJ+NiKkW/PdF1vQXYE8CAQTezpfJhO
3jClU4pz6PZNcG5JiEr7tTEriKhAw2KcL6RcTDRA8pyC6ZVTwfTFxqfgEIgF+gMrfhswoE3cuM/9
7o7PuMdSBw69bVU8nSC2fORhdrFuCKEHeOyJrM6XJTZafvYSn07UVfr26CI49UbcCkbw4vnheLnp
PIO4z8l+HNVzoH73vNBVwQa+Lv66QugTx+Em5LjyZQg2WynnrBpnHuKixJlKqIKhtmgHWKvlLKyF
fvTe22Bf72yvjC7egfsAX7T623DH42y4Rum1OFAEO7gg6OQVtzH96y6EUdEV4tlj1bVHDuD6/z/j
DT08JRntQPK5Q0rTuAifMAN/a8s/fIxrhvbvkJJRvdL1aDerwgIUSAdYQDQrl+1IDobR1f2E+9Kq
EJol3VbuT/7I8En8YSQ5aePqJ4FewC/ugF/43jTzuLY+fS0iQtmspxoqS1QaxqgP5S1np6UohiYj
lviD8wqfXWrdsQPS1738T8d2fsF5F31N8N9SzyINxTvfecCYa2BfUXY7+LMSzU0LkdT/pghOudT4
59OCj80nJXCJWO8nqSxQ48ctRV6UJk3f4r30ICP75PdogLvQYymJZ4HdT1tCihYJIQ3WTFJL89f6
omisyY35pdoaeLgYihzwZdsWufxoLHsBp/gL9uzPCb3F7W/UkLJ0fsSEJ0tKKqLbMn6+Zf/ZjVwT
eLqTJ8wCcez2QzXC37pm1TrnnqiROUZQfJsfCEeqQkgE7CKBa8VaAG9ttUjOt1RALYTyezuhuc1g
aJJR5oGEhuK54Q5UZxbg0ZrrlX1cKusy3csfpkOaEtzTC76m8WGZu07ILNQ4ePRShWTj+MI0G2lr
rRh3XTatpNnaRGGIpz21nIwD9k3Vjic2OVHl+0iUpLvzWIPh9DYQ9LuPMZHhhnHQXR75OCSccW3Z
osthe4sES2/s40vSfWn98aA78qVSEZPpsMNMmzJ4WqXH16OJqwEeY39OQ7EMkPNrO7CDRSnQscxL
OSUgrlx5sYuk2kLrOQn939yo0RYeM5NW2c/UOc98f63aCT7E36adppYvcwaIcBXDmNi63KLCHUgi
z82oJi/bBjKuEO3cczdu0Fg/3l6BAHIxOgeCyg4I6x0j/h419WOISS424fjgiLsfYwou6DhM98z/
0jijxl12LDvwZPcR3PGKFdYoTs6C1Dc81V1+Q2ZWor6YT6p35JNkPSBJu1VSIXqWJxvV9gErQOYX
pdIWv+2Nskqqah7JVLzxBkqkc0a8G2uNhuV5cftACGOok1psFkwDcQitp7hVx45bcimu9xhVhNAc
y51grUVwwscZ9kfFyb7t9clIj9ZBKhq5n/RcFb7XCVVYWG/nW6iqaF/xXlnvkiDOCLMco9hUiVzD
/NLefyOdmBzTEAa4/c9zMz5c/JeOJC9fN8T9j2xK50QUii8D0nwUO+XoercrgeHG5gi+dGcwERTy
e6iz1pytdrXeYfd8EoOcYdSUgOCg++uknozuUhLVbxaq6rjSz3PNv53+TfLvMCGySLkOy+3Z7Xso
yTma1X94IChjSXoV8dIrkt8WzrNCMXaSDw86Koc4EHhjm1RygscUpGNMCLGvlykdhrwT0VuVR8km
Q8E1GgI0ZIoCo0SztcZecD+mF9IEBPSAzeIPfGC+KvIGxm1U6ZYRyLKYTphIljbJLl6LywloSfgj
7ASkUeyXUzLflif9pqOItrNFLUz6FcDkkGnNH9aHH1pZaHwIXqcN/cCASmJ2ZeR2cJZLtt4W4xWY
mzs3aET7m3YrDajDFEif3+sU6IZ4SYQ5cNVkx3HRPsO5mydzgsXXjL0I04p/r4mIKB9sW/Lu96Ja
TKpAdCsmHfhnKc9rcp1/IAtiNMBs+MOKyDhEBJooWgGRQ87lWZwCkZrjnWmYUjZ2neuCaJYhabyl
R8UdB7/uPLORkannm9iy0XvhlHoX+NfAlXWEvjBIB+JBfuZeF5nTQTur/pURPso1POGFcrbUY/K4
b3H1wFFcimaae5VijVbS3WqBFM6ylWiU1/SOKkxWGhjMIQ0hEk4uJqSTrjPlQo/1ESVgxzvJRCbX
a1NG6psOWwwNaKu7m+92e5803MhL2w7ihQIOSYV1Sh7JavD6jt5a6JgwusAt65WHfXcYvsbDZZJZ
o7otWJ+f6xAk6gGrUiureQAWw/NSWZgovvyCT9kNlbssYpzNoQQIiueI27RVKeOrEWnpwaI9az1j
I0o4hhsYvzOquXSQ5KlHEz25VfV12528PhaJIN8wPvKh9b+vNs85DYp7hch4u2cJERgU66sel6UE
9pzKQ++3D9HI/wb12Udq5/K0DPy2RcxDZtM4B8eKRjb89x0F3fYgruK1SQAdJfddoIUqfHQkVp83
rrBNTnK54Rr4GpF2TOda9YbeKMonUidq6dfdVHG/uH3E9yZvLHCSvqPzzEWxYwchNMqrvocEIhuR
AyukG+XWleO+uBxYm3XajQ5mQSxdevNfUvvmmOA3/VPpJaVoOLuVniNZZTtyyIWZC689L+/bGIvh
fSxlKplmEvz8Z3cQS3cmJYx/R19MSuOFBFw+XunnbCHfntw2MoQRTX5GZkzy0AT0XlDOrljq75Jl
hXIEXZN2h20s0llMoeWMYycbeVeq+twTjosOU/dUnZULDQ/GZ7IFt/xUAnT94+UINVM2Xs/lzhda
77lyQPygwmSjkLoMfBQxmZdc/m94Tg8qn+QOYDfagEEsmVjyrOhH97885pRtXT521Oiwmyyi1cnv
vooe3hkCyv26ruGn0pfHn7cWWrOI/TQNO2nd6YyShi0OC6GTbjp1S2c26SQD33Kz/0x5Mu6zGoHJ
aRJSBn5HS6x/qsXpa8ITA1GCs49UsHdBe62JyvEEha61maWGS9KUPxv/7IV88QqQ+Xk1eblyN7d7
LpRyKZlYnw72Sh00VrMOAauDNEd4AHumEpq9QKatylbHpeeJvoQDzq/UJZ07B3vkqNPH+YGnz68c
tZB//1tj931OlbBBHkz1TS3/BxooP5+7H95f8lfU70JSBVwA+tZ8/Kr+bi+lhHkpAjL0ttyF5L1c
wqk9BwqxpVryCisAlFO0Zl3qTgByyYBVVU1/Pkm5XUpWwR8JrZH1Mus20oqdJfUV2q+r1/I8JRKJ
/k/SMNthaYHlOxckIiYaSjYUdqffJgDv4yQ+6GDTqP01E7gmGwDlBbBTuxn2k5JDc8kyP3Qh46Dv
8/jfATCC3ounILY3RIC6PANHCzKzTYOehGzGLgN16DtJvXYNyyyyuYFgnNKrWljkbOGN5IpceNcA
9FvfE/1BhFKUjWIRePPHfFU3o8gQCpCpuMOsn5rxW8oaAi17qxHOam5IWG4DutHX0zJP3euujW3g
sOjsBXkwtBuc2B9uA4IO/c0o51AFUeKgeAAUMwebIQY1tn2fVy+1iVGqg61MEUjZ9ZQ/h1bdfss4
1dJT51+JKsDCJLqOSGn8uHoJpRofzudzi7EqICjTrlQuhQDGERqTuTXZ0LnvJWvlHS2QYrXa7nnV
S+ERGnNmHgd3JqhC+Aaf+b5h/xwi6TTYl6qARCPo/KHZrLaBhy54QWgtv9LD57xwm7G/CTPQjlPU
5clhnKwRjEpQJMMeDhzGOdwfLv48J83S10LZr7OAbjlE7l3bEzwQMhI2jtWb98d2ZcPNcEClyZkZ
3imtbbAm0i2tWJN76Pe3LeV/1WrMV3dtLzIqMYbuS8acFWVJfwdluuyMAwJ1uxcuHuyw/LTCxAfY
mVtVv1EQCIT/SuDa9Yyfn3qtao36yczrAqur94qkdqD1fhntw6vPjtvoDS/Zk0tFoL3XAZAzGm9i
FhD2ZQjinuZFJo5ue+ef2KHaOCca4u7incfnnBCvhc25IxlxgHjaRWiVVpMCBto8p/AOo/BhJUWo
6Af/11eThESxpR8g5bjvC8Nju+kVIwxNtQzL3ZPEWEhod2tMLSGthcy4jpKGRu9+ztSwxMNhLVv8
O6QFBwTGjbepT4tG8qlD9HRnViIEz53V70l21BGZCHj4zslfDxoA2qL/EeAJF46tibAj4MM2y/Xs
X1XS93VoovxiS6sObjT8ENdcanUvQ5BCRojdLHfZZ+tV6Izl5Je0gpWvW39aA3CCqOzekZkWddS+
EYubYE86be4jM15ddd+o/c1cf48zfWsL+KK+1rVeELw4rMS7GAZk7tMrIs7RGEvvzQtdeuk5NzH6
r2Xb+rrmE6iAvHUOpDjiM53WTTmQynoWgIccbq69J3zoG2dp5pHdJiGxGcHE1DvH4EvWI2VquWZl
TXhx9BYNM9A5HVnLjpnWHhf+841SOqGIJZiXCB7oTG9Q0TnKZSO7zoX0Aqd3wSZJD+6Chj5BXUuK
/1EUuCiKx7EcuIveP+zWQrDgNi56ztH3Z6iSUKO5BOSF5kqDSpyUPiwr9JBDSL4KvV/Ui3bOmmuI
nXJk9IGg/CHrVwKMfM6IyLSnd636dHfe9X7nLzvWExIca5l03JjIolFN/OMKHWKxuyzMOjh4smHj
sT7Xx45FqFS/rsvtzNe1HUf2nfDuBTHUpcifXj4uXDnC9aQXpMjchd3Xkz6Zxt6UVLsudYpZvBKQ
rlD7Yo01BwOti6GZFDSTAjopNhlxZ1oHr97h5cTV2Vp5t/aR37rUKCTNl2rbYB+0NEX37GYiXoJQ
bq5Fs+7AmYvUiVTzdj/Y1AYL8keSjYYXJ+ihhehDm1diKfKddw/o3Evo8CI2+bkjVWijmCBG3dKR
C+m54st2/o2fDQIc7TYZ9GbHH/I1rN052dTtSLMlfiCC4jN75YtWO/J2J3siK8TC4Lx9GXa9Nxhd
x0L5ufa9/HNzJohSnaeaYjwAdw8SX0RL0HUnni6n//zDMjS8s9cV5fPy1koQjynyZodUm2ZQpH3i
MzG824GfrlVF8vhwUn8WK0I/HgkylvaB3a47tRAsj2W9y1/qs5mUO3OyntPtJEJLYhQhAEdhBTdn
/KoAvyW6waMhaiuVmR0Ochtqm1Yu9nvPwK6mLREZaK3PZ1DhwZjkrS4xGNNUnV1RXxO3FLbRSI6c
r/q2hA2ArKv9ZnCTxdf5nnou+UbUTCya9EqMQ/OyTD0rVfccbjNZgFmJWnFF/Quyu+88hQcyPRee
C5oj1N9QNgbG2N3S/qikfDz3wZUYrwfHejfj2w861phEMmPkFb8SNdWiSKt+XC5yjG6abl8FnFsu
2UdRnOWb7qw1PSVEq6Vp4GjfK2bnj1ZmE7F/2Qhxkv9y1ZymSuX1WUHhsqbRkRqfGhixJjf0h14u
DDowT/UZbsZuxPPuj6ZHYt2WohFfievMx3Qw+TI8winLqhEnbO1VlniLUU4PFbo5PLTEcYXVtCTd
70iH87EZainGU6ciqH8AlG4bRdl5NPkWJFyosQeks6RWboQPWUhYKqFKDLGDkN95pXxxKnZ+vlPD
4/0KoQFmwOJI4I0TNv/++NaXX/WKkRCe1b2ml9BDo2pqfDEmKTss96lLduvH35GehYId/PSevBNk
qAs6cXx53g+olqnAIr20H/QgqcWfJhJBnutogXnBKU7/q8R613T6WeUa7al/TpA2tW7AQvrsEO7X
DzWc431S+8hWDKKjXtBzwjbe+8tiFDrTCcbiUTkqXIs9Kqiw527HwNDS6Bwwh4jHle8yAyAU8Ec2
UunqZL+nk7Q5SrGufEVkvgUH2Nx4ED+6ikIDF3Nk1M6tpkafgNfCEi+cSLdcuIw3zbKOR2rWYylZ
hKlN2zocnJGviUCT4feAwiazVcCmh5icY3cvmJeQ8bNI8Gn+BFbZkydFRI4MJpSnkGBaGRNeDrym
EDynb+VXKHV464cS7kJ37NQeeBcWSUga73yZV86zR8gt8fETzxoyPperpBiYhq3k4s4budZjyAki
5ciACjxw067LCnTW1t+5w7598f+Psu37PXI/0JSQhX3k58NF/my5wBbsEw5nuGcy4xbMkxNZPnQ6
MN1FVbBK9Zeazz3DTiEULn1ofnPB0Vq/9h4FGzlTRep9+5p2Hz+sINOwmWFcg26bKDE7LVR4s24d
iHQTWrvMHLUB84L6x7ijaZtamb8tWGcmDzVbhFFkYG+DO6Xl31g/m28bThkiPXuFaQZ9nPuRTAmU
29gd7qqfILOMhasBkT1XYYIuv0/hrgpg0aCIXbCtWDNgJZ3ZRYNOY4T09JWtcr7ZsdAWUPmCVd3P
3ju9xBij4E1fi35Oacug8ZobIC+Opi38Axfxr5X/En5v1tBc/GpypgGR5AqdBclXWzj26eQnqAfK
rWCPjnzBo7znvHtwrQyFnQ3bt7JS30gFkg+NCEj067jQv8nPs75Ai05a1X19veUa8KLGYfkNZ6xE
sYqPo7kVP+K4JGpTiXDBk1SBdqVgVX0eCtxNKxKZ5ecgxpOc86ZdiaIWtKhYoP0N53Sk+WNcFKvf
mBHlLuFY420KDT93zqUbepZK6vmHGnIg0MLjT+NhI8PXX3Ay5e0otSIyqreOxfm+qHD0neCQXRwc
OAwwSe/LVWqgdJ6YAY0CbuCLW1H+IfHQ5H1PdvBZdCbBhxN/Z2RAMKL7D8Z8EhwGM3ADSIIrscQE
EUjRf6fxG4QDgADiYcs8+hpyQtjRhUf1Sy6yIvISZVcrIIZ4r1UyRnhZlnIo6AdC1iWX1EcYm9pm
yocR04kyUuJdXM1+34cVMhxKGmX3CUlJ7dftj08Gpu633o0hZMiVcgXafrxN/6QBLmZjUaSqh8VJ
VQoQsGTe0DBwT+F6iyzrxQdC2iZ+OQCgXS9NiG9VMsGy4qtLQ/KsZr4F279V3GFMpevvwhcGboVM
njOez7EyqUoRbIBwwynbZgaTYFaDmICF5DJjy7hKw6m/usCJ0L/w+e/O7aywZcDaPqZNOPlMdbI2
C3W0SMuTQ0EAlAZ3L4ut5QqI1fhlPJ98Ts+3J/hTIIVN3tSvNKzzeWomPtVnOWDDX19N29oZE27t
yfRzyqsn1kZgUgatxICdN/cc267s521jsK/HKqu/EQ25yBWyqGyTCX9H7ial+dkacQE5lYB4xAkT
NgB/EXdQxpgSMfLsOaaYFEsvmfTy3s2c72T+SlLXmCCJfm3+QAltd7X4l+eJHIEsnZ2Z5eRTUIlR
IKjnmhjBpIlGu0ZfVSIY5Mi8xAYzN3qhc73zfg/1KpIyyuPnShppMLEt0Lz8ukQn4xBTvG1z27xv
h7QoYgvuH2vMBfRQWXIUqq26mdRp0Hp2Kpj/1HNvCmoS4x2F1Po/vRIkSeQrUHsYXCMRPD93qTyS
h0Uj3hDATMovmwcJFtF22v1ULjN+5mJ0u7Cvdk1f8c+BmyEcLDmetNmgT2Uh9yswPvFwePB9pzHY
925aWjdlj35G99uSjDyHWyjuWTnrWzv7Dskkbw0YvUBtzB9uPU1Px0Zvj97PD4RgMr5SMCD7OI+2
mI+vSFaDDuhrDWY74MZwzPD90BOgkl9Q83zWHhWOrswoxSyR39Uumu0SBBvUvSFrsaqd909iyVam
bzoDLptTdv1hFAYnD8zdnjzQ3hW0hsxPYPXF/LWGMHySj9xL2PzOPWh1kHvRVU5EXTthZvqjXO/P
yfQ+qpIzcacPJUROq6mMNnkN7REyZKA40d6rFs3ppmubqlpS/S1FgPI1XmSqUeJMVREKCTnk81Hu
a8dtc+aL2NC5ivbTNpQgxR0H0pnwlzDA7V44/Q4LWe9bNayL76yH3Jd7lVMFG/9cJasQghI0OJn3
syO8cTmeEuDR/TLUfec6aNfab6dvcynLlj7znRdQ8FaYNNo5bbQ4Hd2eZ4gXhMNzrxJsZ+nBmKcx
PQYdZEW526ailqJS5tSpaNd9yYmJCnyAm0ywvbwp81G3Q0B9qIWPYr9qIcX7jhZ1Kw+HrDw9anV5
ojWTEITGypwfwlxHiedFi1UiWN2IEGmHqTTiecfC3hsZdFleICACXyAwv8iJbKZK8GOXxy/3f4B/
p08kbu/yG32Taw+WfhO6IVz14ogYtjCjIhF2UirYn5VW74OZ5m85cYB+2sF3rCg0fcC4MjINf7aM
kPZCteF1VleQIpfTQdTxOHW6MAFzYa+yWGk5GyIEqynM+QI+aBwz6KIIU7YQ3ikVnRYurdjAKtIs
RVdThqVzshZgxRuA6l3GgC1Qa6DYU8w6RrUuAka1Ukt4VN9/eMmAAuBMpQOKpJiYobt6dxkqqGAk
/TINQYJdAyAAl9O6bqCiZPN+4WKC1OsO+drHoWw0tj1vMwEbZepBbzkfv4OWEEby+yJfN/axOdBE
s161uoasGLGjNz6ToZHDhfaDkLTlFF3PCI5XND2BH+8i1Mus/Ed0gOUZzpJGqrnK1fSMEQYoExxS
oe9+4WIkXpVGZL+3UOe1Y78wXxWVyWRznQdUdlK9vBeRh7NlEnU34xWltMnWWg4wuMNetjVCZMSI
RKSiw4q/IePQ4FD3HbFJjkAIUTy7nGlaDhk1PJWTrNL17eausTzR8Qeqp3Z4QC80mPqlrMBPyv5h
Z11NVKA5EE7WgmGmWfF0WMQbuaKYjQ2eQvyaG1fvJUoDUASBx+TewxCnaeQUndoR0+O00FWQ7R/u
+gMGIzHMdfX8h4oS2xtr2iCr1ZoHL8shPuofPV607JBeYRXuUdpE1DCDMxfHIAu9Gow9SD1irHjj
xiZS144dbopr+zyo2DLyjtS+suOfe5JbtXJ1xgAckk66RAxO+fiYWfanPrCwWVJTXMrIHpmBacQg
R4ChyW4BG61nZZ+MIbQrKfeEDCj0ZCaX0lTWHKBC9Q2pkwY6hKK9uvVB+s0xFn0nPK3r8LECNdJp
jsEhcc/fWBPwYPlBC0uZt4LOZHS19M+uMJtii8ZIw6sE+ZSzDalRn9Ai9ZVxeq6C+L4dG5ysqP4k
Tve74HVvBWcSxPd7r8hd57DwVfekw1kuNNxNEr68m1HIDLZekiIlP7myMEkxbI9bqkQB6Lhr1eCU
OyhnooMJ5ITkEMwPupirXW827Y8mlS/NAbCRIROrsloeZzLYkOQFxLtRQvfMTliavv3pr1s980G5
ahijubnHsXiqgulpRD0zYOZSAooVr8mI+WbP9CfpG+2RIjt7e0rBnDInTOWxYtxsVZMqklzbjdO7
rWkgHNiZenOjebG2ESL3GNoqVtfhMgo7IZBMhaa+k05W6pXWrz0hjdD4UAYx/h6kvdeRIyTxBiJz
gt+nfYuAI18Xw6MEWzoGnk0lSjTlQ4zowySxqEVYLVX27lIbTdcDsv7Nzk2PI0bPUTW7KfdbZlDc
1LosACmaBd+AdaBORzRFIpMmUw5uUImoidSXQzZNPiR8CFr7SYJa821gt5UC6VW9Yn+Whwj4sYV/
/EGGHR94eAf01UE+3xPxcxdOfECKomvyHK5SOEINdLnbBtKrH4SMoZdS/W1me0M4anhNLo0s4HEA
PG16K5HK+Rd4qM1nXajckDJJFtP9j9px8tSgTMQsQxMq2NC11BMLJypUrOf01q6ON9mXYLAcb7w4
FQ1thhNbyF1Wz0HYeY1thh0Pyq8mwpcrBr7aHMPEmAnAW64rFqDuRqjagjQ8c1zZFoak4EYLwmN6
98oFGte6hE/x0zojrw4LoIniGDMjqXknf8I/BcALGmNPWpkEa8r510B+2AHgavLewAoXoha45H7H
ubnzq2H/4AJQKY2whuysJzl2dZ8dU/6HRHrXL2bvAyCID+W1F8DlzoojW/x74apF7LXcTVa4Mdfy
yQ2GJl5ss81bcf451U7V1HSVFbMRTif8mhK8uOGiSeU4B4n+JU+AzzG0qPUNsnE7XMlB9BfHwS83
QwicxpeeuqEBQtY0PMDpxqJzM5xDXnePhoWCWdMajNu7pNHhN15oNvgQT+XX/4H4/hmhGPvN/sfz
fd1PYA4oD2WcjwxnDb06vVo9L8+wagrY3c1EbnBGZ+kywrO1jGzFOLpQSMI4AS9QNgcI/pABrcey
8B62rLsXQ6/STYsUTKMdsqL5sMlYyCKlcMrttCpzFZWiWtqIhOMc2E4N3fIVyrc/iA3czpEzM/d6
bdZV8qm8EskfQ7NXRmAhCkjJsdMmpeT0sq1gkGsCmx57EembHuzabHSDZ24fRPLIKrf03aGEpYO4
yGsiFddAWY6EcqVpTep66Jykt0JF3a4hiji/zLuzgS9HZf179fmy3BybYymQTveMo3AoGioX68wv
2SlqV0Oo+Cu5tI8vIdomOACLVkjAu6BSozWPrzxMNeMpda99CThvFk7UHPKm/dLYtNZU4/ZB0cmv
6qDbHaKMO2cRgPAk62ujbx+3cjpPcYbW7WAQZC+3dM1/h/1/brMm2BGiv3NjIzdRbv3ISoiBDQUZ
JdalSKTEJAul2V9fEGe+mKbCYUA2Q3S2gZyXAXBsVkyBgNZ1rT3NGjy/f6JGT76Oa1S1A/Sd/MUn
KV25TclmD0roLeVqQ6hg/DE1PpY2eyjKFlzPEg4t7tvztl50Ixj7bwzKMYH/9mOEGsR/wc0Ng6jM
VAqYDdioWevwdc1sPhRkzIeR1iX8C6PDvyqIARnpV/MdCZ5lHr461S85oQBktqttnSWzMjZmYWlG
UOEw1o/hVlK5VN1XYeCFnm0T/zbzke2fpsH5JnrRcrfg3IqAsXG1S+PfMo5068UG0DSMtCrx1vm2
nHKfoOmwJehfaLxcFAA+DeoInfTW2fPV4N1K6Yk1KaL+bnBIYvvKQ0Xl4fQY4mAajF7IZNvq3gLl
Y+Hs2B5xUx1qarOX6uWPqH0+SwisILYmr/wHanqlfXh3j09wCWF0y3f0h6q5GBUI/nA6q9ypBzKv
AsBg2E2/ESZMrsiZJJya3Lf2ag55owSE4byhGDT2QOWtOoMKQ1a4N5SMqes/6R/3xlGihu2mYZR1
qR/EaUUo0VItKVL3mzMfDy0DICjvh03rBjHighALE4RXSUiRF7IqzijQH0RSP3V7o8NIii4riXwT
5HiuGKyadRKE92HqH1sozgUVNJR/APaH2kZ4tpEgcqIi6kntA33TJW8ylX6y1EP3NpKuBngGMMmz
A1qt7iIfj7tPOFTusKehze4Edka0wq62UizvZYEV9rjJQzfWmKMFIf9ecERjkZCkuB7RJcFkmAIi
K9DbXXQmfQVkhFM2b+q+zXUVxQFG5kgaUKbOOZLTdw5Ebb2A0lQ6rrz/W+EJU77q3yxAyKhe+1xo
x4c+pw5ArMP9vFmVTvuEaICZIFqjq4N1ZOfwvMSkBS2glAA9+2+NjtDNwhEMPo5SUlLd9aX/7pkN
Og5uAqcBR7OhSVsYcDHRRm1AXWP/43GiMzj+/XeKJypJofQuuwDAVAmqq0HAhq1jkjL8VQWLrTxz
M9+SexrnriNiRrkAz6G/UKwI/ikLUnqNM7lpScqwRgOmCXbtdROE6YXtW7jKTsGhH8Ilghuiu/Kc
qVIthdOQGty9zUeS6H85xoYOTskTCROsA6Zu/6SBspy8/OqNjTghL08vphUQLE1HxIMToShWUqp2
EoPfJs7Ml3/n1xLKxQR+MiS8SfAPThOAKQH4+D2tFXpwproSeAgbZ3AbcTazo9uqQi3AW4VuQFXt
s/gKZYaV/BvG67MRIsy1ML2svSjeaTP3V7NwFCKBWgCuCpo6OjU28Q/N0sEOpKuci/ehgQAMmEyO
nf63A7yiyQ7zfi3rdgOxjpNi1BGa07qT1EsTi5HIeHs07oAZUN43zzOrWqZLADifjCyv2jvxiSPS
3G3HVsWTDEGbyOPTO5z5dWbdoLDHmQFE3+Brf33MXeJ3cA6uIRsMtaV2/pVYmdRnZGejIFPlEKm/
tNysoqxgkojHQIYPfTv9dXWyLPKjFaDlGxECN3UWcA9cvCh0k7J79ABK+jd7bSWiy6VI7gLvrpgt
zHUr8AmWpNOGSu7HkJXrrYljtf4Meb2nPPwSuzVeyqupHhtTOW1H2SARRZ8Y3ZJHZu2Uptq854GJ
eJGIQ07kCSoovS7W0hi7iIWesmTkoVMcLTwcWiDEnRXo/D5D7K45XJMfSNYiXxApvHMaz5WIjxhK
Rv3ia82etrzAraKqlOHW9gRXq9+4eGBA/t9SSN7+2UE2yPH3hhqZFLgYTW0KkCliDpVPcyV8u2u3
jUTJkfWlv57nChfnXHxDUrEoj6ps4tNvcbDxHf/2E/sFz8H6O/hGPjg0CVMSk9ZaUcw1w25K6MDf
kf9B5hZsRiquQTnol3IZfli0ZprVKFKnffwBCG5/g5o3Dm6YchORtkOJCqyp3zzTYoV0OZriDCKP
8M0smDAbMgP+m0x0T1oh5Mz59AMdVdqtwmzednu9jpMcMFHdWLPmSCmkxNunbls3FbKWRwcoGwkq
dcrPx1zrxwtkQGzOA/1QD0RFXJ62Ra8U9xfUbi/TakkLosuy61b/8OUfgIcmgjcRuTntI0yqc96N
cLmfK8Kg7e9ktgDOX3yJ1wMTThFLOFObaiEWtPysZkMlkbvanLqYNym0fPd7D+0NGpIMaXAEZi5z
H0XjMhMmXOrCmQZ+ImX9Wimj3ctaF887RkC0cNYsGIyyzUqZC3fe2PvgvA9AOW+XhR5gKnFrL6Gj
t6K1bcBJzWNsJGtpZOS3sCuCUDbSBvSCRkKJAlmzt0vHxe4O05PgT6XYdoYqmoGPuJWWybYoPDdj
+6EDaRx9JrGdz6Wygb98YEGhgChcDtQkanumx2zbi5Lgdqoiw4h/KyYBB7z3K1hLUDmSWVgQwyHD
/gjSp7ebWN5W0lcIvdv8ToOl7Apx7M/jBbg00wjZpQpjMUdra1ygKVdX2Lvcjp8St54GVDqw4IUn
Qtag6NHvAiBhN1mGxva0ri+SlLG2aWkANd/FZ02oVHl3WG/NCMOcFX3qVW6Zo0+CdGSmQQ2rOu2v
YAPNEgCmggbjemal6VUj7Lp+vUDP45jBzM67DKmGm9I5Tq8YAF4TOwx+42ngqf0uFaNGpLpPKe2J
psprQodS1g8WCEGWh6ZjfNFeyUxVKcGCJfTV/OWjA4s/z0in7L0SdeJ2HHok2PoPLan6URRQ5FXH
gs3EKcfWMSYjkM/8XnBlLpL/Gtm/BCL2qteuJ9lyebciIfUi+HSfpD1h1vEBCKBWlOiw7Obr+CdS
HCTjjs0IoRsU4fqASTAFFBBfDyjYnvFZSuEXjj/oR6NjvUw5iZWmSeaMNRVAtnSKyVNDN6iFI0kZ
TvWXSWFA4cNr2Z3UtnkHOJDNlE01lzBg1PZR/FkViI2ylNyuCFCgwa84uISbzOibGFCNhrQ5vL2w
7XgALjVteM/yJt8ul0mYaT4mQaUOdjgKCMWfKLsCudsvMCHLdg/vIyvEI7KqW8hT6F+iPrOTVH7j
vEa64hr/yreDKDFaGF/O+gZtXYkFcOb3nh8JOBGnJ1ZJFsub1twhRHr3NxfI9TrdNaQn/netTBGt
B9V9RpYCErB1m3HOSUpOyvzV/Rsm3f7rykDFrR+umuZJA5WhltTjGMn4TJG+7e7szVrlqyhiyrh9
w3WXMre3w2cP2zLlA7KbT2R/oiVY84xh008zaWL0B2tZretvT+lnTYOio0GuIZPd69I0EvDexHic
hGxHuy3VfGARLC0w01aRUXKE2LiCxruPJoBPj2qD86WmSXL/qGM/B8qJlfu9AruDeqy3MIWUSQxH
Q10+SFhkk1vOaL4hvcpEPDd3dfcoZgFD78arDPR8FyrnXl1FxB/JfzvkTMxvxazeQvKos80WPWbO
vHA1CpW9A9ytrtr2v6p9qfEzQeaqWqzT6wBi6izMBhd0ua/t+YBCU4CFWEO3+Hx1MSxkdKlR1kLO
BCWkq8usyJ15R31Zyq0PPKwhbfZSZMN+M8ohx05tolX0R+6Q0mlwQ9Lj45np2BD7svq3hdbcCETb
QGR3qPB5hfZctq4Ez2usbtTSe2D0+mtD11Daeyc8cI0ZJVsW1o4qRitCVCeBVRKU9aDFWU9fy77f
QHdoIaWENg+fbciz0yS1XYoYxac4pBzrfQDOjyoz7MD65fFjdcSYfwhysFa8q8bxwkXN1S8XJYJt
HmgozNsXtZ/VH6UBG0qKTdsfZPAQVGHvAB8dv2KkrfwaJv5GGjZruXuizGXTDNMuOpM492HhSXGY
llyX/62eNWNnnM0Cxy3beFRK8o86D6ZrT+j/WEpN6RtNnVt703cQVvMu4wjerI5tTxNad2f7ai2y
FPYcv05ogm9TuVqPAp7ty9kDeHtQisa/u7uF8+WqMNaUU/72jSXAGaYxaSr2m7rL3VU1nNWN5bUO
aM/rOYvVtRKL7Q9VS2ekb81eYLunvi00fKmi5qwWU8GcV8aWud5JhWo9bo2e9GhB9AphT5dV/abi
t5eiKtfWW86kkEnvZdLi4am2P5xu3qNDvPguCtziF+U2MEJutOGFOpoFkFIoUTONojM6JzlEVnGH
EUbemBtpwHlX6M3nUWDP0FZUD7D2KCOtp/OpFG2fqFFlErmf2CNiKgDa5MKittbsrYNez8n9kw4I
7FJTuh4+0WPbK22pMpqlHxzAz0gT6PDymiNMp+7KPD4eFNq5g0ZG7kalqrEWCQG1XS/owiKzxlfN
t7WIykYbx9Phlfmm9skQSAkQdbZlwIe+V+c7apiNkhOdhMtYTyEnYgHL16C/5FMnHAYniBu3d9zo
DpTR76kIJ+N2+pzA9555GqbD/wR1yfn3LavCIQjzg8bjlSR9EvwLuW0O9WPe16kGauvJFUMNIHfv
kl5v78ck/LQxNzdi4Oz3sTrR0nTNaKzGFvxs0s5T3jhnvqz9o2KusDMNDbHnkpSNDBJ7hzkF39YI
H9mr28Bp1J/JTKP5zICLlhlwPRjyv8V8ieBqn43klTlRAPtU8QRx8pty4MLL9NNze92adeXTEWzZ
63k8gUv0S90aviiKzEGtaVbs9tXfbcaTXEDgUlDiRqq/pW78YI50bURIE1T0vyleR5PoylVrk4Mh
/v9fd7/p/93Sc1gC7G8wMYwbdmSnEoXt7fRXCqr1uygkgcPlPo8A6k+hDwN3tIDhz8kKewDqqNFR
aNYAtNVTy404eL9JCHruEFRa/3B0iF29Lal29QgiT/d8C9DzIZuEfWrtUFzoEsom8Q7QXskxiAD2
Y0p2h52bKQ/BWeIgkoQMeJh/Dx+DhzslA0FeG7VZ1abisRNyXBEPTZKc6VUkiZozAb7x7oj9y2jd
cSp/zTlJCh8+GD6phHJYduXw+DYUJyEBvzjG+jqIILsDz1gWSvXkb+lsPcojBrjklWooSe8zUKiT
EsiYyRJlF4K0pC9DTMD+fCxg96rjC6uG4rqBiCfmUOUBxe37mNqzVIUzxR7Zvw4AG9EBc/mJtoxc
g5d2PHHBfMv7xOhwbIrE6bsDr3cTZpepNOjoV3vcN4uOzaDzi/FVOt/YyksbcQfIL7KavxO5XCqb
i+/oI31/E4U+2YpnSX42T1Xfos8ifh1+FJ0XbKAJz/Lo5UMuiD5oKUIaMqI1TLKv9Gk+CUU3YqmI
1Ya16/tTLcoZdCsy53ZKCGNLHyad0X7l25XGzcRXJWT1SaPbWF4t2DQBbg1cCBayJz5ZkccRIs+7
5KvsTHlv38Bb0z0MKQXTLYNc7mD6dMCLUoedWHk1B2Oqllin2j/ga5jtWq0r/sXRIutqgh+L/lAE
xVMko5Wb789VQpcNhYmb/nV8l/37U/Bd2qPowaqxOCzB4h1tB56yPDtQ/1CCJdYjRxcblCkpMjGj
aWvzg25B2wTKgGLDgFYmzJKpXNyvdy0nvD5hWWPNogGqt+KUZmQ/o0mb8xiLYa7pPOb0PyZQSLv2
WiKQFWn09yBNfTfpbaaAFshF/abf44bVn/UdxanKFcsZTpboI54UWbNLIJfnCk4dMcsMIiU1mjfj
KRNvbVLzpyviufmoHzU4egw9PdEWla0kFVvwYfTTd4u4cJfd/qi3Hm7tQgsWPceco+SfuWPoTILZ
UNvsXHH3bqrjpnDeaOD3F46FPhQrvB4H/jUYasx7R3+r8K+ervn7mT74nAb3S3uPMdtk2SaJtiLG
OAgkQScPbGKQCwPH9HYF/AL5L6M+aOzUOcSgAuAPEMWPwLrcFUCjO4tJcOgUJu3j7w9uRJT/wrGo
ABVdGdCeu06S3PTJJL5+5qPiwudXKXrFACq6FX/9TZ2nyemj1JfjvV2zJVErv7WbOChHtIuIWH4+
pvLp/2u66FXjfbOHhVc9Y+JnawKjWse8rf1fTIr3VKWwyqhP3wA8OjWDRS2kq4cbfgjARG+o24Po
quDGKr1fXorUf+DMzL29zAzusGq8EcjiY893UwZVvEoYex5f3RNJ09pytU+R/spgPieEaMDZmtnw
IIRuBCUCe4R8IncTNpih+sTXvGYb8rW09HFsTSe9HQkOh1wzQMUggZDpuB5KfInW7GpxUSmgJ3gp
4ix+gNvXTTZZXCSGbkOKWAquUjOQKqM/9e8Qy1WHlFH+paJtfXpBeTF4RjN19RiTflBm97arfMxR
LH55U9XSjGQOpWsgaW2hjix+TwJU8v1Iako6aRkVQquT98CjTVE7GIOA3A4vNcJF+OnTxlHe4Nqh
8dzlFH/JlLiY6gh4oNka3tz/gwIpnP3hAZ8FweRRr7qiYP6jUM2tkatxn3vqF+Xq0G7BbUZJJMKX
tW05afeTNCG/61liKFRxDBRNamA3l135gxPe3K2PknjuBDCXlTct9pDjuASfmDd9u997/+KokugJ
gIwWHWXxCIzXVApRrqHnAf0Rqknh6GXgtMao46uAlASOU6ODOVrKEFSf5eKogioxSqBbVglCam+u
xdLmsaDp8SmeVgkcNxM0HWM9BanLzS1o21VtLl7chPNw6Zj7fVK0OtKFDQnVCeVmYC/ZxDvHaKe3
RGhZLNXYf8eM43RZbpFivSBcu/JGd6ger47HHLpWvOujQrglvaowAjUynuOgy8r+B+yhwZ12YUgV
XR0eUVUPgsTuTv6HWha2R7zbcfyMkdXD6OquW92Z9AisduMaDujDvUUQfcMUtmVWXwklyAKISEgQ
QWsfNvelOHtWzLAnkNZe2fLDCiHscR8l19AcPidYYmUj47YPLuZwTxvHxYcIaMr3WiNVrh/IOK8N
cri8P2GVRBO+oX+Tm5stBqMtM74QdLa++0n+UksBB6bo9fhrXYiuI960EZlEaRtU1HH/SC7qsfNt
jV7voaMTN8DIn4DBysks8/bw1HnmD4AB9DkANQf8P/ERVdgI0O2SiVBIDx9m8Gz+3xij12CHhyPP
5IqU6zRXIs6pwqMiwmgtwbDSrZZIpuPL7AQLaRkdqUzBUt9TJA2uyMllk/Ai0zunnlLaE2knLo9G
KWhomL49giuwVG1tl5VASC5OyZoXdMDyaGDTvQxCrmQEtjYxFRxmf43RoFVtbQq3gqnx13r+I5yg
MiCXIOSyOk4yi8C/7aWWRfA5/vsvPqZPLXK5Xz+5aikjxKJx7l2YlQArUMXrmNXHMasGL9mjfZ0/
MYBNn/hwZUl8T1Dc1X9kKzSwiwd4wylYnAKkJiCWZBICn3fWMO6uOUEsR8PuYv6D9pMqOMyMHXpr
AntnfzPpjbcopjemUan0ve/hk97+2h+3AK+rkUdvAEaJxHxPegvZZYrNbCzDkrB/hpU1W1WfPli7
ddJiTp90soA/xLE4ZrLgVz3QUIslfDYTISZScyovCjWeWz5ER3yrulCMoK5bp6thJJZjpaYEVxku
uT5mX+fMr50RdkGVJScyhm3/ldIFow6M1vgaPGzfpup/dkBZpR6f1428/UGMisZ6m5OCKPo0z/FK
hzTN6BzbLuy2dHKs+EzFaUAFHFV41g2nzcrAOVZDY0XrxOisuBuF3dHN4MctQ1bA2YLvL/ehMlhU
TzpR9Glu8KhEi+mCj7Oltfdv5aSh6KhhXicKALHUsNlTsGB8VTVpICf9GK08B9WdouNXZ2qTppjX
wYKvlEWr6kY/yiT62yrARM6cu+IeuHOazGw401QlRAKuGkZK7HDVSebJMIpI6fmHQpjKWrEA18cL
ga7cUyrUXCnLd55Zlf8WDI5Efc06FOgpbV4iZ/FR2R6+pHq4nhzf4WpxmCl+YwM0/MG1kwsE4O5D
RQ1rswv/MRz/FhB2YG/NWMN+oxfWhRpe1BT28tshBDfx4c3Vg3PSbDYWfUWHaW6CLAzOVcFgxa4J
MG0pYvEHs9ClRZlzWiryaXqRZI1tTuHhn/W/L9z+V/qyDbxfqytFK6/YND8EUN7GdfSsVPldZkhA
6AMGTQlGch/0+ecst6eG/uUZRR6B6b9RYf+QmJ3eZuWNQecN8LxMl9klm5Jol7EJRNiHbBo5pHhx
gGhpdMilaVoVnu2pRXebAz1QSWIUyP+nqdTQcGYQlQJ8lQwErhjZGKnfduxeFesGU9eJh60KHOzM
9oqJqI6l9aGt+8n8Dk5gcc14MpgKNCHq/0QZ4+HBWZ1xtPyQ0IFSo1k3Elgz9E6csVSOgYFR2Qoy
Mv4Ot6ZV/pcUYsJ87c991RpzpbWgG/0Nyfj+wfQmAgEN3eCWxrp8Dq5OYBTlvRwNrxaDD8fTqN9v
IPyt7FHtuqS8IJG3Qib9KW3HwyKaqwkP0Jbz1/cwE+oa2UHiT7H/+fbh6Q+D4VidEdXse2inJYDL
LE1b3IFEUVqAmjBnPOUDp4s8PBnzgs202vzuWX2zfdV+DVhSm/zwkh7wNghH3JM1zBAhyg8okQvE
EztVEAmfzMjOf/npnXy9FqBI1Uqz52mwaANUGGgU3Xyw+3wpmAbLuMnkKlNJ1fgMMixqHzKUyRMi
nPyOAzLIxicIh/grdEIMN5e9e4/5tVvs4bOWrnYbv2tffuHgFDwKA0CQjpeeIpZ15V1SpC2W8mF9
QDBZ8g1t3sieynoEuBRtIn88wna7hKzuIs4g2UlegRhzBUCzPG1mEaPXiBQWT2XwuqbGyaSoCoce
JyE3s6qOT2e0aRdSklc1id/ggXvWEsuT9xFr74DKeO+dlK3rpgtEug/St7LkdNEZ66ba68erB+lL
qej5AIbHdEN7EN6sWFQFlTzW+qYHlNaVC5asS2NnnsuUxSLjPSvox8Vn1lWuVTWlV3cwcqMnmdkB
2JbHmr/Eg77K3a31jj6lzwIYOSoGUb76Cngm03v/zno03TeQE0ecT0OOK3/HZFxlIEmps4OtjiSr
8YQG96RfZcDKZtveteGdGW1jnvf+JWCtIX6FCFs7jPuCMkn/msV6aPeZ5QcwryvKu3pIAFuwHD/o
JY73YkBX6L2jWaxhhpCK7X3tNjB0PMthoZ1QWA1kQ4Mme0VtSep3HYJkC0EKQB1Zrzino8oe+FgS
Cc3PWBY2dneFK1luNWoqW/W1U2ny02z70N9kLkg/pyzgQM3wNUOrnLaHfTpyPKDVXjoBZwFe1R2t
NbqZQsec6k++szPPlMI9MkbVyykOE3BDpxzpz6GZ430788+NweHoWnNWkAOP16joDXPOXUYb+SDQ
iPGjbHEd22Y0vNTl1hrifqNHQU8FQrLyTXF15sHLNUOZM5n991L6tlHDBTnB6kHpw4z4542CvS1k
gZ/3EsD8FBGeGbidCPbljOgPy+H6q8PepHXxdstMKf1zgR46oAsUXVdcCJwCaE5WLFhPOXy/gcGv
tTQ+0A8Q9kCe5fESNbqZcbfYqsUq1oFrUgeAQoHg4JcwLHeQHJ6jzP4ueBMfCWFVq00Vm9ZQuGj1
AYLJ5zkHhXBZRV9jKKOCBV7GQzKu0aXnN8kae4OMJeiZsvTI/+ro8/okRHYi0FeBmyQAWA0VFI32
YAT8B8et6KZUH1VcCui8iFzcpUt2JgSrsHegiLpy2JmnJiPgpO4FODGxeFUxlherpWblnCjfurJ+
CwiNEE7/2jCukcZQnP0fSYFo/GtDLOpZ5czQmdU7dehKiDPOlT8BB4PnhHJtc8D1ZA7S51EBIEr3
6CXbZTaCPaCfNxWquEYuf+91V9oZVSaQLV43nx6378pTONUgPvmVcYAz72ecDUw5VOppLBgRZzKj
WNrY1GpaiTDYD44Ovr7/650Ias71zh7tiaJ7IjHJJP4yfb0bC6oiQN8e/FzecXfpmM/GOrFwC3/e
e8tkWqhkdwy6a/z8VGKLu9+3Cn2WnqMB0MP2lC2FeMM+rTTUd4H+9mU3KhxqHidviSEM0uxYyjXw
iRUsNsgFqZYPuOd19UnOzg2V6H0kHxjs5mJUU0APa0yMkQEKIQ1qUdCqK9Z9RC0m8b45KiQhrIwg
8+Xpb5JHarX3RKps1cE/ER9VefWyD2qQokczDXTAfp30AMgQBNCtvgbfrDWJg5IJ5aPad22gRoGP
lokKQCn2BfPfA/vU6SoMJQyavlQ8d3+xGSGovvlBsfIRhGYfw2HYtTqKLlQAW9gEK7+K3zFXp3nM
p303TdS72tpR/v5e73nW/xTCBnm1XygeR2YWxnx9dqR7NFJjJ+mu6honEd1GhQbyGvCgrXGXqomd
S6RSxjhD7bx9LzTW+CtkxTS702uodcjiZuVsq618TEaU3+d+RMhYtmMCwv32y8RqGnFfwTUFjGzK
VZXqJ4/r+Bebwsy8LeWB2f9YIH5f+IHlfzbZlXUjPlZtNzQJnwd2kvvLOADAK41xhfFnbnSstkvD
bn7r5fzRn9tyOPXG5rQRXIhnCLyE46qWjTG7PS8y0qBQJMjPzLrH+Tu4bkxKV/6G6dHSbsvDL46C
E9haTcY8UPUZ0hdwcLt1Q0HVpRw+OPzvZQztQXQLGiia53XMpMlt1/XWUc35jq6IfTP9nyQsWJYj
kTw5Uu5nVqqOTcBdeFcjs13lAzyPr+YjCBwsXjp/E7WZR8P20OgXSWPOF2uNf42q/a5vKsEEGT9Q
Jz/LcTDxrTlcqhcfMFF2mcZjLw0I96b67eBH59Ywbxkol1+zLdtW/ob1XTnKHThdLkfynxldVmVv
ovgGq4O32UpFNGGe2juRRNMkc9vkubWO6+QUUKkXMRV14edGP1b4tllN5w07gxcbmeZ8Sv+yh9kG
aK/EQKYs9PYvUUajc78Oohz3T7WWCEkZnWdyYU9tCrQqNkHKnRIlS49dFTOzWNXTL/+nF595oXQc
KI3Tc8+o8xn0SrLWwzl/62rWRspGoQfP6LlbFmOTma6mPvr/f0+B33W6T4CJVacM0oAbeEPZaQpV
TPdIm74fOeOlqkzb8sSxNxSHOIAYTHdXK4mz2zykkViWenQ5D4xe+I8bsh0oGK7EQmmy94UwvsI9
8bfwdmvtFPBH3f3bK/wn9sbOMaptG8ede63oaizkhSUf4bKOQzWRmIeWM5gVTerkfdTq+x5Xix2F
Kfx1FGtCO6lc+gyYpOKR/7JgC5Hms7ZzjaAReLngFFZFuxMNV3ME1nKM59ByUPeDEChgl5G2bkVy
zJwgOkBAQEniuZ6G/YM9yKpW9D7GfP9b5zHvrYgTzwqt1KUvosiE5+xQG0XoFGJ7UUAwqnynlCgw
bn3HlbOkJEIUyWTkgPYThLmG58aphHxoYRg/U9hbsAmXRHD/GoJnezjpjopCxXYhD90aMWAnXcv2
rqubvLtvU6gTeQLEq66HAh9V49EZi/dpmcbdRhz52FQepHekmvTdhbUYWIsLuhow6T8cVkMUn0hm
rYMwu8hna3EQyiKYC8kX6KKh2H5GnJdw9zxgu0wMx+gbYJ2yq6mPULl9AYl80hch99b7n8yUW7Hf
f0Vetpla1TZpyvzsLFlRx8wCe16OZaKWT5bLU55HIn46RWcIMw6xHDy4IIj9D5YLxYATGU7sltNj
YuFOwDceazpcW2HxgJPYh/SokU4fS78TGQCvDbLzFEk7RUxK38C/TJ2NsZ392Yk5Qk7C3766SDvs
xwVM1CEHVV7LcwEd0mdMnbjqqZPbRQNt3V/3DDz8znmBoQ+HTzcGCDSKoSAo436H7oI7ouhOO5pd
N2Qv5+pvvZGZdfRn3J9laa5suJradpluvonQUC2W7Bt+3JXIZZvdYGM14oK+eAALB7vvJoPqJ3un
eSN6ggYL8O9bYJy9TjQ9TloWSvMNclyQPER1qWcIeXVO8i0/XE5BVeRzuuQUKw0y863mRa0KFnuD
EFJXXcapRMbV2PkcjpcM5v8xRetXVELh5uxdR0FS+vfW4lnoRMNZfdTVdi/LsqquwCz5UZqKZwTI
nSnnI/FEbEE5I3nRLeCsNytuWoCfWJPoEAiG4Yz1Ga7DzIZLOmCRuAYr3Wyt/PQQa7/8+enzg7Xs
IS8QoNCOL07dTwkkdzW/DIDqnXoaO4XYv60gvMJqpnES4OMMsSeuc4BgcQYyes73zwUtyrEsZdEh
E/vFhyniml5loxUMPkMF3gH7YRkq4zul07AZkw5mTVzwxvxx3RWftCaozJ4QrNSHEMZWGOCgkDET
No8WFokbK+F9+cLAF697r7GkjO46jW3d23OrekN10ZCgZExS73ShKzg8yeT+CA39he7J33TC7F0F
vQJTIOakFGZDAO4O8UeIWtGX2xFTdjzhLNnXesr66Zha1clp6Wi46A3LLO8/IZ1QMMnK1cIxw7ss
MQnwUXoeVkb+hJ3/MF9kWbgAMFFYrrYaV0FrKQxAEw1VSjKYteZv7exsNI36ycbONmFSTJIkvCPt
6WqHNN0zRKg+4wbS/kqylFwq88B27873yRFDccG3PARyQekxJgxzUEP8ivdCNVt0l+wCqwtGTRQG
ucgrZ7llScZoCJMfRx5HBUFfrDE7Lr6CXsvhyS5A4LglH90P1WmD6XrZD4u8O4Lbh8R0pYMoxS+I
n+FPUW2dCjH6VWo8IyNFXKVPkisRHQkNzBDzv9kzlWwkDPPXtQvz/T+3Z6hbptxtjcd3ghxgNmqG
dfR1ZMjD/3QFy9AQC/OvmY16LliNJwexgvhoZNwENp7suLDEYr1y5ddiTuEasPdxxZ0SXkBWLtKy
SH5BsMIqeYzsn5PCkjPlDnCtGxg2u0ac/Y38QmApgATpU9UVqyHUledLP1cu8/N4JBQZQZJHa8ny
dQwpXaviyrnzas7hruMhW1WwXe92uCrM6GXepAWbAzRLQ2QKHPmcfEY5OegrT/EA1qFpWz7O/gn/
tE6gWAI54Ba+YVTUUnwyfppRh2mhIJVftzAFnlfBcbP4jDKB1ih10R2rPDhXbFlws5cEEng7TuJH
a0pcmMzbOFNJEw2iSv5w1BPosXsMfwYD0xhJgG9z8ZiUufWUXLdSWqsKzrrmGMNgVosa+ujFV6Uw
/O2U2GSQLaaWKl57cgiEld2WXPus3cwFhgJsFNksH2xaWYdY51IBkaDrXG+Enu4HumDBPS8NMmx9
0NHODERXFAL176Yvdk7cuDU7ieheQJDifmc4wTz629zj5t33PgHYPdtzurroXEpYtMAfCRpeiSci
/gHf3jXLoYSVlv9D98ao50ExTqDmPyMG9yOsyOUcN4S/VZh+9zzMWaphOH8wJU/0lWY2893geW1b
7orXR5nxRR/9yybIcBADb8lo0gLJFZickjg8rVChHhIdMmruvBxieh9yOKEiyXPVhZf+ARjkdKx7
zPmkjglqvo68oZ9dUEOzVT+SB/wDAyF67H/9y9DQkf3Bit9At1tHIbVlPZ3bGtEtmZw/55I/xO7T
2uhq7MBRttuhNmUQ+Fmuf5yNGdqKTg5wd9LjEktkA4YrVomOaTps8mTSN+pYB1j9YTBxe8OImtxj
SMVD94TWaIELfS/1D4JAymCC8gzMxIuiaxIZ6juhmC2yXJW241MqvRS37Fnd9ZCwnWIdCVKn7MPI
skbuZ/kGDKqDwAFEGgl7fVbw4PO8LrgBMLOt+VL6xruiArxkj8R8sClEVrGjcYirRG9KySQyg7fG
1cMU0TRFES4hi/OFmjCUZe9838CY0aPkXMSgnPAWVLPxBQ4Yc9WQMXB+RZ8YfU97LU0MXE9fyJ+n
b6dA8TATU07nCi0qCIIZUDxHLrhiGf7JSjYP+WgpUbdj5KpYoClKRspbFA9CIKWYLwN9hYawaqwf
Jh61GdaCNLGBfw6vJoXe5XQihMIVreGS79NlBJPt75DNzcVRytPPJ5X87Jvw/tWRFd5ljA6iqxmv
ztJcKj/1UthpZ7zjbih16OeXdLhCefh8ZGD7Dw8Dh9sXSGkb31abqGSTqZLghvyS7EFjhMr9LlkH
/rvlXrAbmw3b+ihKq1EN9JmnUcrJqcrj9mAAW7RusxXd855Ca/lhqLBd3EvDwXW0M33xb2CF4FSi
M1tZeBdmXqkGgGyGPD/uRpQOXN1LxVsNCgQtv8zF+6rA5hSvjaJjAgwH7CkWCbz2EtpixiuXj8fw
AvNwk3R0Ic9z+rLloEgGNn6drfQ5eLkaEtKaEX47x+LXOE34hm2ReFsb4Mil+9wkfjLJ8u930zNY
G3u2zntYz3ruhYRkamtV8HUQ67S+S1kf/OT+SCW92/rG72Av7QImlDuyBJpBbSEL9KSrpjtd9aB6
E6YyXbE7zLFp4TQSfKb8H0FL0MEMZrg48Yb4DJD8X/9m+90IDViK9m3uT2Joi7iLoZphYok8v+wA
wbunQRHsLAb0yH2o4iTJg1z/t5R/IIHyPx6hWQbFrX1mpYuDzegHssTUYGhyCVbCfn+4b5V3Y8xS
Cb2Ada+4QCx/l4Rdn/dX7SBETC+9Ytm5G06mAKEQEcVjxmhSpsnHpENj5bjv9xz8Id5YxXex9C+9
F/x56r74VhVKpaV4xWY0fgJUKLod9wgJ7w7dXd5hLC3xEozpqlU5XHcItfeKMXyUpHKCHDOy23v/
4/IXeCikovyFGS+NueKeiTm8M5kad3YFTJ44KEfh+E7Ip/b1dvTSfQy61WSRIdcEThPHuEK2UV2n
CpW1W08PftLM5PVCL73itf2M7oTireaVlO/eveS5ik8GLzpVcaN1nT689xBle1Mt6UfYsoznsdrP
Qd9taP88kM8St+wSOXaqZFxpQlrFk/0j/0BHskBCacDyF6NIsXPGw/uci0C1v2TKa2dLrwS+ZICW
N65a3ybVbqWY+h84RK3IbgE45DmssuQJZRmGtYH8mgD24K693XUKQ4SLxKNBkMV6xky8MuIgzn8r
P+CGdKbnWTtlHOb/DusYfURA6WsydgQATRhjAgPOErvjffUs9ci8gPPMbVLiDImWlVin3twm/oZV
6UHrMAdqWr9YmB2eo74ZEWYfWt9yxxVUQPjHp4CVGZP5/8JM6BNBeOB/Psy/DjHobw5IdLEZeMr0
Avwx/1SvGPYXYy+Ge9CaiOwVYKcflYbrHjI9qbegddwKw9IAHfod0C/ZY2GcymiCfHTYKcX05CVO
y9Kl56KJMotDxme8h513wnZzZ/ToR2FCyjZak8PiDZc2oMs70MlGD5O7VTLktD04+5Ouso7yogFd
ka1kVylzi09yVjTsmnKU2dor9CJq3/M16txCtzy4sUP2WD6Awxa1uX+hIjFiyV/zJkzuUMNHgmvq
T2mc+X+gTmH7oLhWflq99E+dSLfrkVCr/5ly70NcLOPy98kfnSdF30u/aObMRODw7vhBk2DXNt0x
C3ZpAwzt9JEKfvzQItyhBls0eVKMQLV510LnHr/vhVeOjaTTnr8DY8FS66beh+77T/g+iUTCG6fT
S4ZpGGvVJ1stbhhWr6VWKyeal+Mo7s+q9zBDEiM7QAFC1XTzQ5ik5k8jPwX6nyih8pHvrD7nNVgA
HpAAt1NIdq3tBw3FTVm4yAFOVKt7l4jvq11rnzKajtOJOhSgGYwLfiQYw2933TlA1n3aJm/eh5Ne
xMPtC3KXunnW+eLjqleJR66mA3j89j+KXRtDUR+OW6WxnZptvJeWmRF3dtfoxzaXgldyDGu4WTCN
4IKTxRx5tyq7QYRFCvhGFMpe3waDwmomQ2O9mKXahC4k7w7i8DNYgZM+YCbpVmoONdSFkK8iXelv
k76XIkqGRntDbvUukP6Dchnf4y4AWCD0fX80ZcdJPJWKVnJlyJepx+69orPD3WJs7D67wDAStnjQ
uqa/GtEIkEl11zlyFXu9+Pb0ChEfaTbmiDw02XgoMiuclwB43iuAUbS9tpkW8aNGh+uLmFUuOub/
cqB+putbTUDEC/x1PmeJpDvXSLwsQsI4/32VA2rkJsY17F3XW/HLM/JgaOOGcYfQ931k4qOu4Iyc
TLFp5KugsJ1TXbsNiKqJt+5cAaq38TbUrDf1VmO7X/SKfZknbPYthYLcsz4gs9ALgInEJHDc0H+y
Ut8T+Tj/BgXksc+yVWJEbAFCeK7mfznJ7Efxb4hr6HA+LD2NqHcecWza0zIeO4wNvPrDghBIvO7Z
lFx1ViL8nW1+R7TOxc/B3bdcC/PfcC/+mNsyHAQt9TGFUVH8QSgksGecAHJJSyXepK2k0beDJlks
LiNxTUbMBEvRgv0SedYvn4ewFl1uc1sabzl2pnTlN8JhEn+5TRAAPqZeiP/rlpgxGNmC9nbV3wBw
dMvqyFVOd/q8VtaC65xv5zz3DX97Xsg3HYPVwSX7IPww2nUw3fK4kfl/qtkQ39jBGc9oR2IMVJZK
ldImuT1jSQGR2k/u2R+mCuZ9U2runFjklDdWKot64VeOlcYj104PZ0OqxWVC5HWs8OH91kCzlR69
HfOH81+aaMQTmurVzX6GgKCZZaFm30J6TA7GZ0xLQL9uoT40TJyQayx0PCLvUCkw8IPSQOv08jS0
adc1PUhxjhAifkw8J5I0X0zRUyz5nOQR1jPJF2JlLTLn12f5kzsA9/vj0CL5POGQ4ib4Nd76dpQ0
vY4OGbLi4KTIbXG76dJRCidR5loZI6+WwF6M6WfWVK4No3Fx3WZ3qeSo0ka5hla5pk6Xy/BUlF+z
/wXCOACnsydRdJY1QXip+1XwrheVz+zKrXPkKnA5d55Z5ZP2bfrBPaEHI5IYnctPwCHsHcRGF/6f
NDnaMsiV2DeczpRGyHv9XraDtKWbBh/flEjA97suWM/LrZOuXdZaxlQaE5ItatlWFvF3ZvRJnKqR
vA1aKPIFoWJnKeMtuO0VTNdD1FV6JgPQxRih8c7GgRcqnCYCmhoWKADC8cRwACLyM7/v09gmdS4W
hAdsHPsOIWp5sZJZlh5if3sgQkh/4V1pSf54pAVRKBwGbcS3i/++roH1vZvTAewdIW0pu51U4lVt
no1XNU+EkOWrL67cUKMI2C4vKRINmsmmXMJDNVKiOnJpwEcJcXy3J+Zla3Gs69irfZ6cBsUNErrC
Yhg6IqiafDJHaawvOzKi8MaUqkYmd10SrQ2uh0NlZnbuVWyZwBnj5sUCtNa/nFDRtBcq8P1VGYJ5
xK4LsXi08+G8wBwVeTgPc9Omp0qCBxpeyPYBAt8I5UeEzVY32BFaeAIXCuMI9V6qB84R2Uk6Ckjb
dcCeaLQSpGfJ/JqTf50AsK+HKS9/0WwGZ9+9zUaz5tZ9qbVKdnvKJRn7lXweDj7tq+2oL2RHpHrH
GhizYWl0nBSKBB7X1a8sjGfB0kP/7AOcLj/1FwYdwAbKFrRQqgUubF9dcCAceXzjrHxnAXhXIRj+
py+dKAkYnGkzgIlD1/smgctfI7uGOGP+6WosyeCC9FVNnx7IIGYq3MEBLY/sFaur6z3Rff6kU3t4
33uYxLfovp65Fe+8wJQR7c6KSSmDpBvRM0e+EZODVvsx/cZUdu6jAWPdgoJY0Nq57Uo4Sgbrx1tD
ktvFksHkIJ+Tln+6p/UENQ17Y2dsAWTOYAaIC6WF5vwq0ELfm6fLaBOWt9pFscRz6O59X4OpxXVD
mpJRum1l8ug1mMRloBem7SxJD26Dl55RkakavXCcoEUaFVN43I+VUpUEZXglzeUImknCS0z7CO0m
KA5PaBGhIGbmwThob/hCj9ZVfbMZ7oaUFFMHLEppPVb51h+DSMVeIToI+K3fPi6Ii9wyO7TE/S7G
btdEUpkBX5e/5Djnt+rXv37rtOiUUKrjS42zAudpreY6PVi3+sBd9f6sYBWiSBqIlJDoPYMPh8LY
J2WuuZMTJXyHKJmv3MijTCj1rIvzEeFzRbFmXD5IJfJFQXBHdxoxD/gTfuCoBQOLJeYxdyYGnBM9
EZLw6M5u0z+EDuXP32aGgI05lZqpOK8+K98almiV1ODbzksvCZBlg9noJK+f9WzKc+430sX6LZ2U
zw25kZMXDTM4Yh3rcywxpG1c6NFSu2o2hX6/TtqbxPRC9gh2yh009fPbIFZbtpb3qVRXtg8ojx3c
rUisy8CFcYtf0nXMP7YtEmwFSv44KWEEQdjku7MHP4w1QofpvlsTFI4okNJBu1oqNZd1x4h+vjDz
t31fm0tpIFVhbfjHnw4/jQdnR8xPvHzSHpRjdFFzVcrHKlFmk2Hjg/npukHUk4/vCIvOyhxgo5Jn
WmohOi4rYCBiUWoKCRnIwhD+g1xpVslY9EuP8TpGxJScsXUdRTTkxnHtJZULpMCIDv6NOi9xvhUk
vAg160bqMjTWFBCLMOJ/usbv4l9DKy4JBnBY5lQV7+hEwec54PLroFp5HSZkC4MjFRmm98Jxn2Sf
N3J1rkan6CUMGpBBfMZQZOwmPpwW/aIoU+rKGO6a64QsMUzO5GFSmAcdzbc21M9Y2P3hhFzmRQIZ
C00NQEc0pCHhLO09qdNl56ndyFfENhFSBoFQLlb6vSx1HkHNPqHNlcQ/s1RznxUHmiNfYa2QbM1N
/mnPfyHn7lK9O1dAwUGmfRpkVwEilgINh1dqP1IBYojfm8JURDD76oHyWmVWMlsjzBdqAYzRKHhg
zsFT9pDDrHSS1nChN2TNwcA8XNIG2hjNBQlTaBchmP18AOnEGBx2kC8vk8WHHwZrc3j5jA6VHYml
mTQGwHC7y21wlWWAdugIpVx6Ou0rUBWoeQHNpJZ0cgT6Gt9V3yD4QfCfZ8NmMF/84HIqwB17bz3R
sRDMZo9RoKj2XNdZRRQ6Z0XLLMqRpdovVfSZJdRfvDFu36oQ2UDQpgd7r0DmIg0Nj+njdiZj1NSv
boysUZJHrLJ2EjhwYmGS4VlJrUg03ZmiyvmdD/aCLcJvvxt7zZVL9cdGFirT53kIrxp+kjdtKrBv
DUrz5RUzQgwnCknzBNTe1qTYdVWNzME5kRFLQDFrMAfMwvudeUzSRyr3ltv2oJyP9mkdrRWoLuyR
UqXelUrcw6ZS0QAs4Bba+9ocPkykO+qASLVr4Hd2hn0vCoAqPUO0zHyOewvU3rKI5Kxn+yHIZd4t
xc4MfTgsgiGcW9AzzDjnPEDX6+4vFQJrMI4Q/AFYYgxNSF4nRemKkMgumPd18CrG1j3awzZGEhiG
KiVglpgog27IHlRYGTrq/ZMTLj3hKc51KkD41uRg9Jur9cxtopd7VmLhhwsr2EHy3lGGUCzbKut7
7EzVmwlyiwI/obL3CBfk7GUr/nuq4049Ts0DtosWVhG917WVldoFCJHOGYWWkLuUur0vO3xn6C6K
0SHwMc1nLQJAt+cK6rZLhZNd6dWT0g/0xv0K2TVAppMKQuyGqQc6B8EEuXVcVOtFQsrNbeZJotdL
FjGdIhIx8p7VbkpXnEzYYFN12E0kRfOgMgyBdCRCS1XL0avqbADfyHeVaLGypPjYthDOdtU9/K1S
tg9CixFp5pZFgBIdq4btDtQNfQqadovfR0aZrEWOShfrHO95NVWlwH4XI1ueB5u/CTyYbwWo6RQF
Q4vXBL/Vryq7e4+5idj0mPKyL4p6azpr1aD2fWbzjl4pwTefoutj2NYNFRFssRXsuqCkb5qlwCy/
dHnnUlK4QXOOY4wUw4ILUXpxo2jInZFkoK30/2wSnJkcjf4dIBYPKSV6mg/qJ7/5bhp+3+HOoUbj
8oBQT38ot3ZyYC5TxD0/IyEu112JyUGZoClh5XrIDxjGVKG9ZCzi5mVdcCXS+rcmmCAsTKH6kuFJ
GTEaj78ndvQ5AQElw+XxZK3Ibd2Wki8Oyypot5+pybwQIp6ZD8WG+LC6tFgf2P0gSm393nOoXotB
fiVEe3g6O/ri7ChHt03X4xs/NzxXFGXGpA5+slLytYY3EkYYOgl9WDkpfj2FJB6Dj4s0Mq+DvfRV
VpyFgshqy53O5XGquNxFbfitRNPxa9HJtXoNOR2s3m2kAj0cVsknKAH+EtEnDtXbNheX6R3WGDJ6
Tjk877mz/zVVHnSMrVkbGw9Hn08fqJC0jB/HZEcguMpcIIQVJ2cAGCw1/5qEIEsuXKldGB6Ss8zV
Cv1d1Z1ccQRAr+aLjWKdn+peUGLmWrOWTCAKzhQ1J+iotj8u94mxIFJHr3HUw+ls0h2QE8jVAP5O
Tj9HG98lSzkEiJaP8dFqex8dKY0SOop4iUZK+i/7ZcNdgpkfdcyGYhaJWj9mCiwjAW7Hd2NfJ6O8
KI22RCEEoawmF23A3dpp1Nmh8MmML+3X2OXxuL65PEqUfbXHO02PmTzDQ+rGZJ0Y9MK+PnKARM7A
SX2z7IA0R1U2CPNKHtqCDssvZgYf8dh+iW0SOENkfm0lZEUDFFcdNdUg2B/b0l8WZZso7xxCZwjN
poz9LsyVYYlntW6hdk2bF6V3S8Rqj6q+XvWOCka0RuhRpXrcNIFve4vB0Um8M8+anu064VQSU6yZ
jTosIrj5ZnXbGOmUQcE5bptCvYoeVLpJ/8pcmzn4czybuivWQ1lzfg7h4Dp4XH/tHVmHTPVgdWv+
M53AlGmaEZ2TJDOzuN+S9vNVQfv53hoSe0UhuA3lXkK8EW3jT/jaDR/bZeTeWAld5tIeqfJr2eI9
ME6CEjpmfWIDSg9zZrVpPeQrhW+Hhi81Yx2U2ph/ue3Apn0jbuyxQL6uFAmMDPZFtvWP2YWl2uMc
kWxkFjsCnyHTiD9Qt19PSK3iR8bzdlW8p3A1FieEzsJwsrARoYWowog2lZ9UjOLziDEjWxuI56Md
DoTTzBEtBG0kk1GX/xUZieMznun6/KaiDB1dkQHEDW27dWbr6q5sbed3jxhLiGqKiHZgZC4F2DDy
T35+pI6hDjgExA44oHzSJBnK1EkwVH/86nKX8Vx00PTA8sspwRns4ryOnK0tS+9U0bxLkOh1XfxM
3R87fajcDeLiguJoNbh/QOrAeBLxcdVJV5XjTcaLjxMlzyu8kuSHIO+EIRSoPECDxVSTCTgu2zkO
cw8sSXg9HDl1WV94uO7h6MLJsI6nFG1DCfJnKIt0QiBxKwTRGuK2XJGYjlE43jgZEElu1iKfQUdg
XgExo4bZ6eto6t25Mk2XbQYnZQoxrfA880Ay8wl/ulsj1IuhxITl/2WFd25SPjnNHiMk5uCBnIEp
YOMcmyNaoPl64ZqkZ4uwWqxMPxg1eYxQECOysGPkL9ACVzw7I56xJvS6UfhaG1eRFll5gdTaP9XR
Ca629lSDKp4ioCaOaB0X8ch+GtCMYtnw8lkflCywXQ8K0+rk0Gn6ksg2HjL2vhgj1ulu4u4OJtq+
aFFno0gVIeavy8awwcfk/uEqzlJffWbrFVgBQIIW/fdtf4CUTWPnjpkD1TguhQ5avUSvNfGFVw4s
rynsHI7Nh5CiAlxlCt3rIBFFlIycHIjugiLl/n1mXzTNGi/Y0y4Am+35yfaff+ZotipDK7P8D51T
WMdO/vc1gTor6Qct8QZLi38rCfhUn8K8f49kJlCHhjrhxzJwC98Blvi8vfR0QtpOlUWrTlncCKgg
1mTIVuKZ+oa8U8V8HUILMNdMuo+uwVsxRB+yjwbO9otUdmeseSZs/EAPobN1L2woXw/xA3k5vk5s
3RPJLbKhhcO0i0hs5hvQ5TNLeXoD1pqgMn7qfDwzyxH3Lz6t8ThMVfuTPgOKMyYDvMN/k52Fudln
15R+NFTP8Q2Dqb+hTwmUgewPRCPGui0VlNs9QGKM2x2214/g+aH/8L0VV9VTMioaISUdatYPpcAT
NMGi20nB52LmzcsT94SPiAk2DtSF2QIpVVFjgMs/XrS5MQYZF98D20KWBBZTppFWJAUz5ShK+m+G
FLHTC0n88ieyYsulHzOWt3FBoWORqIiEdJ8yWuK0dX8nKpJ+XOwzHQVAx8mzc5tblx0pxVsSK5jC
hTfb0IKWdcUgvEiPo1OZqMTOrZdk28FQl9omYbErlDPGJwopsEp1ssV97+AtaCgXd1EOmjBqKVec
/xyk90nNhX5vSYzSVja8Is0TX4DKF/plajfeoG7NW/OAzCfzf6BvmnmGVXcPRpSyFAbUgN6zkuxr
E9LJZRDLI9d+eLAgI79yRJmCvbtZCbhBrAOqTsmhItfw/NPKff2szBYYNqM+KBPRsX/nPra9JQm7
UGnyGK2WgpEstzNU17MpW9qvVx/sLAJfjVsLALF2VfUsZSIo/KTIt8GHTIEuITa0hd52OcMj2X+R
3zOi6R7W05mGj7fq3dabCyxaFdBthlJGuNT9o13lV82WxcIHSJuh20FaRRg6fVgw8pMiRbYDerwd
dZ3mD8DLil4eZeOL01rXGAwkoVVhqbxAd6RAa7398uVjt2msC+Eu8Sq5tatFDoyPxzfc/dDp0fFM
R67PYgg+pxS8FRrVGhKNiw/ap3LEaambUv6SpNfu6HFsVqsHTFb3vRLqYJXYLKx/t5qwyDhGnDM9
/sJOnXk4H/ExSLX5t2Lh/k677tuqhEcOL0uJyAFUQrVqI7Kl3CFLvf3K7UAZgh8/D40euocKcuVd
Qe+ChXNpZpDxlsMy4hd0hWsMPPDpm/cImi3Ckwgj06al2kUfOsMHYzXbiCsMp4aNP1xGBPFn4C/l
qSCOPRhQSQFXBk+pU22kV2wVmCe9S/NQELIrjcsnepjB1rdjKWCU4DUzJp4mcaC+97wMud26zu9J
C1bulYppiO+KX30oh6h9qryi4zUwaPwj0YzFBAJ3vYCvuBwVcDPKUyEKS2xkZS5uR0VulWtJIoox
otNrFaGI2MVYcHxRb5TqJZjXlHKaT0cXJS/784f+uygRWJYpQD7jSDCpOvGE/bEiZwqtlpkvAlYJ
08ggG8vcoS2IpY8jgVJpZX/Atx8i3OmsPXF6SdqlfxyoJhFYzddBkaXrF5GfW3woeA79qrrDl1vm
c7gvl/9SYsYeureHeVzJIoNTIQHhMRzgiWKc1l0ALM5PVz+5Pg4g9y9Rt4gBnO0I4pUINvHtO8X2
2XaSa55Wl1YB+bCNs+tAT6IL54IWnFeOzuKyuVcoa7WM9CLbqVHpuIsKODuif+GmoZH2h7PiToDC
CsGDlWUY3qlBrrxqRFaKVgJBTTJspJn0rhp3MeIrEh6ZKbIXGlqX7WoSkFCDlOYSHVg4XYq98W2D
RA7jTjacv5o5PCzG4aUmBJURo3+t4Pexsh571jUdLDIKXtLOhRL4EmmHcThCnPi/QnfVD5rWVnoJ
cNiLlmlOBRAEW33zOTpyVmX5ouhSamwGLM2w5PsMvPiGw1AT5xkh3jiqCv8KiA7om9qNWMpFyuLT
2betOKROeio7VtQ/JHs/b2s6V5xFPrlv7u6IANCgZfMWQbjGCoouobdPL6TSetYIB+ftqV3xvfIx
VeNhOEzgqKkOyzKFiFoPy2gDdYk81sqnvCb1OboWamhYjuoZfiEk2fHuiXoOHUn/3n8+JWpd2RS2
C1cjQCNtHKSJ4QnF+fZdpV56UBu7ptZsPDAxL/ITmKHJV+DQfRLVEvJy3gdyUoxMnkt++mtdIqRO
WaQ6KtIVN0E9kTU/IIxhvU7LpivXjnknclCRdbIP3zXEdT2S0og/Pj7oX0JQHTFyRkywJr0iDDKp
+Wzb7wChxoRpR+DlVYJJSKQsnrQCLSVq/8nUS3wtrQHtzuYGlHY9jtiapXKnwGeLe3hxplgJbT3T
096nKWDlSwBHGoQQoOvZxsxQbtcf0hKIb/MKbX1mv//6+IhqCRQM4EOXNJrvb5mh0leHUUffjjV/
6/GVRL7yPYbstFy+8jPwOZoRYdD5KKWyNDoUe8XtXadFggh0xyedMR2VEIU93ZpH/L4n7sDkQaAb
Tq1x7/lVaP2uRJHZUTWctEP2Qd1rJlPVw4JRoqoYYx9b20Nih3WbcFozAuI3u7SkceR26dWupUy1
VqXUoNJ4njnOyn/dttHYqg1PMiaSKDEJh78ezPY7bj4ltpKp1+RpGlY9NBBPmvaZwHAg3CE+1t8j
+fvhISjhb4IAtaogO2p/Y5Py14ubOpqq9wCZVFFuhPikHwRRm8qjMoSdPsW3G53boFezmaparvPW
8oKs/o4/iYsKJY5Jb5Uhq40ZOx11OBvTbd4Ku0N8TVq8cUGuZwOTYCM5ls17NQwqQLR9eOVcnz1P
KCfF7sYk/gq2sM1TJGe30vF5gPDvDdY8CU1krERsW36atSMvDHeDiszwqVD7A5WUFoT3lfStgwo6
UlM1zRmC4T68GIxW7LhDXJfR4BOGamEcV50gn2OEtliLfftJ2su2OBOxz6ZcwcJCkccm2hPWExMI
aq62fqYq0C+6EnIuKPSo2mne+eMEhlpE1Z2lALj01MG5Qukr6tUhYpXhDcM3gT7qMOzSWS6TcW9J
V7Jaxf4SI6ZB9C1v+YCfyfyaiVI4hccKD+KVUhNsXLPwuefZvSu6/F3jGD0XmsLsAtSz5crmp5Zy
vPSoW3eiarMrbcY01Su9Qt9ljsFAo7DpsYcTde6K6L9lYWFuxPCaNAKWb3koHza4U8z4HbJNRvnw
M4P4fb+SqgLnvPd/wR0+eWfp0mgR0cZCxoUWdTMVxk+ax3z3QelnZG5okYdp4yPBCWlABtSus6xl
g5BVi/UJeRw6yU7ZQz9LH+isTm0Xj02mY18iRy3vQqQrjnhqegayYT4Nxk4ZVsPNQeKsHY3e1SIm
xGoGpeUHlGY3MBCqrf+u8KCpW9b/xxyTGSmJNtRsh9OpmiZzQ0cA9vqZA6MAB4nVSSS1jFNYld4C
MXmkinx/4SmlvG7W10XfKmMI9wc0ZS5qAu7ST0pHIFrx9xiHD6uGZ56DDfedVPVjHHnl77mKWZb8
amwqjTwgvTv7TMnqtuUqFqazS37CnmGdFjOFRB7nYGqBEX3+yhACJbgcdjhMYrUxULdx+K/izR9K
kBl6H4aOaqedyyV16SWInz1v61z9KmvfPS8EKrVvRDa6vQLJQyWmrk8y50kfBvOIYxvbQ8Bgk96s
RktdvzQqGIkZCj1NkOt8pxkBopxg2qiPn3DFiVppFqXfQ4pJ2HQlL2s2EPyWJJHXB+hV85yUZ5kz
is5pRZl4783/Do6sDT02BT2t28HG71TC/fJjwyRi1F+pQz9CiJZScO/LqX56Y/jqVWIs4p1t7yxR
KeQ17W9CVF7qCGQpr6q8TGXrigNruGWyc0qdaECk+IggViwUCwsqOqgKNR+YFDI5tDArlOWjsCAu
uFSIa2CadvgWzMiIrV28BiS3Xu9dOZsUEvrkhRs62BsmF+4gCWn9NxIHtIkI6T5FA5KKPoGeocg0
EZST6IPqdVtKRek8kxRaHr+KwxTOenWhGbVI3eVaQmiFVFc1R9QwXzLLf4YepRH1cu8hskFif2po
A8iuHCcK5kilo5Q5vF2R0Ho/IavgukuDTJQ8OS0Mrei0CxNtemwGpVGNT4ZPkxkOJSpENN6OPwPj
xH7KH1Gf1CWUKtcX8H5siEisaVTChDO/nA1sxEYg+6l4rkEyGHo7c3NLD5aqWscw9BKUdD2g7XMB
fbgPEirzbxvP4V/16QQTGisxkB+bmonV586hK4vzXZI5LrkVoUa3yczciG7uORtsZVvRRwLvD+hK
S/guxCftfkbDMM7C9kqLhXUxJ9wA/qEyuJ50ua93u+TjRUP7J2RxIZeYcGLf1C6XiMxXkinRQNny
Bnxb2cho+OmeXxZIWNmg/NwSr6r2QbY3UzS1KCrxY2Ox/I49aCmVPzaTGBpNHNQhvpiinKQ/QzFI
k6SluhsDxgarwayJ/LYhne3tyAPpu4058FBTo7CoyfZXBXC9ZeZnGq2cnO8+l79LmRNyH+jODIl5
FS8AinvlsSJGQ0DkfLyulZ2B/261deoxyC/e6y76B4DjsQXYwNaNfdsj88348smHD2YJL/N76psl
88ZgZ48jB/ZVBQTw47K72ulcYPyzvya5cm3XNXXggXYxtSlv30OaUlYdUeVL/Mr1tsOXg/gaLBMD
Ee0pJ82gxu1EbWyUpi+U0Xs8X+vO1iU2YxOjYXiz8kIgiIFBly94OWFgvGMHhdeEK3xs6PlASl3W
AtEDiS6INY6i1O/vo4MQBHFM/FDDKL1yaMPbhdAlvNbCEZj98FcT2qTj4sJDO3aj1k0eNxfJ9R+x
rJgKV2CyfKC5FOf4YdZe5kyYEW3UpLbuqR5rM8Uk8R0KkdR0I8o6QxFHA7WcRNwsPo7OiclJ/BLM
bSLIlsmZ+30nqlg6JVYbX0vnw1xUTNDtcp8xPlDJqbb8SBWPiVNGGBWzNehmTKC+laoJ++7trK8h
yNvKlwOhJEsi8Zdlchhc0q607xBg8JDDzNzH8cBm9Ru8nvoP+X8jkn3xND0hakn1OPxhNh7efJ+9
T06e4In9ZMaMkbq0fAwwVfw6s5bp4se4bm1LwLjEec/fFFsWm8Y7YmNMvNRhIG1JNu7yN25L+CLY
l6P6bRXjhxxpb9DMZ9k7V5WTJ3WzNP6OonHIAMjYrspJiTsEUtvYjllr3KYm9U/3XQQqcre27+C/
MBBze5CoaiDyO1qOuOUkJZT3HDQTa33m32A2TQNpWPvpCl3hCcVYm7mR1EkITbcVQO9SOlA4xCr3
LkZFkWoMDAXiE6wOqOxMQ2+5jWTsCqw6a+o+1bhOaMTFgaHQ+cltFpqBaQb5X7rvYEg68dPTScKS
HCPHgkQdwjPTSqTHOanWPCklpO4zKvM4401kRNwVqfuoP/ewz6RrD+bLrXxiec5W/fDEDPGD3dtV
ScgQlFAsWgwaPMZbORUO5mec3KvozsQsg4GK8/tJUlrfOs+P7dVRTQF7CaYK00AzgTAcobAnKp4/
P2N5B/HOoaCptx3HTuTq3ep5cSq4H3OVwglYSlqjGdNeOLlY/kkuMEH6agbl3/zL+7CfeloLLMcN
z66SqMsBY58rP2nW7afNedH2Ne4MGLC6E2Ph04AmdnpJEsvHGc+l2DehFD2zIL2wWSx0uHdTq6nO
qz/0MedD6BgakJb0KMl+3ddVkhW9r4/BFsADHWTAFQ7g4ncyTCLFpmdKQLCshozOCbAMRyknRtxb
bSdJyrlkeSMZiAgHVVJDrcz64aebJwYPNJ7shWPevKWIva1HAYBTexR7B10cYq7EwVZ7jFGcE+3U
PYuQnGyzNVblnOwuMMZTGTEqXQFkSr540wM5KOhymAzbG3hmE9KWLvHmVB6ULMDRlxbdmWLMj8l1
OZ9RLJ7bfKVpQNsknmEQQfqTeAwRLIhZ4Ik+fqL2hEh1wvmo85ycAPxoNT+vuAmVmA3yPrZwf/kW
nesVE3LUNHMwDa+r4DQ0Whb3DptFSSdz3jQsqKfLuCVNnIgjlikXPY4BvMXqc4OKfjHXsb6Sn0Zk
RCJSJ2R+OA2FBPiYQya7dgz8iTErT7GyTul+rx0dP3fjG9W0ukKQ0wXXoeB0Rj+Gv7rFwH5Cbinh
i9kN32lQNC2pOhJd9A+DcdCJBs6nYABG38ASfTemprZBuQVbyXq1Pxf31djr9BHi60CKPK5Fj6qp
xEnyrSFQ05/Wq/K04oDFyWwggI6+grvPVPXKe71I3UHoXrm67Ou+pFIOoxFinyavY2pUzUWHweNe
QsBc6hA8rocKWDoXIBpKuO4WGLNxQhgzaC3GF94upwlgaYEUOqBq44OJ5fsIZ54W8Zn9bINuZ5Dj
OO4uEiOdvA8SVFTyNV+LgKwfyg+sZsaaSWAabTDzFtrh9thFri80tY7f358bVD9+1ieY/On8gWxq
R/lGU0gVrWtJWCU/hcm+mc6/u5mFspAP5JBxjm1Fa9Ru8qx9l+JboQFnxNSbgit3jKwnIXbo8c8P
3fNKPrOglhroXg15VpSWgEoAnmGr79jEPXU6zyYlbRnLGokqN8jHGQs8yQyUEqE4gEUU+1SQ8zrv
FCHKUHhxAkJATlj6OQcgSQpMqCmsQYXxg73xohVKi6yuWyN2txSFcF+dFYhyWuCfoOmse8ji1tPO
A0fGZ/7GgdgVCoNQhr9jUSN52N5Xoj/i4/FJ8uJ9CdpvpnsWrhQO2paSGQzoF+xaAU31EdbhBoK6
xp6vsYfw/dPJidW93g5QpEnfhEAxrhEmYvIF26mB8M1LfqVg0MYywUqhHEzDK4/T/hVi85/0/k/+
o61evSHAyN4A9JZSEzTRds5sFubI7c1yg91WPQspkSJI0H9wuLmgS0V6mWOsT52kzQvbjPu+XvD6
AczBoy74PZY6ziacEQAAcDvxitZDh7fzHMQGObjy0mlxdx0ow+prpmR8lVBIXVpKkVA0mq8HGNfv
mvvq/RRwRVqorcpDqo6V4Y4fi2R/wlD+/VlnwgdKDH59055HppaFDCmwNrMdh8o8XzTnAeMpK/4z
NYQwW17Oyvdl5mg4QbzKtkq1lmshuDZRhvAsGkmGKFoGpbn9rLuAL3rGjle0kh0dZfLlRluX/Jew
LVex1h8uFz43MWn7xNlHpP6Pmc4h75ue+WFdzPuVDyURVZwxcaOp38uaCYGWOJnFPuWGRfO3dIQE
0cdfuXIFKYRmVItFtSD2XQ+n6AX9umMyVbVeJduVyFzGfgYdkw9ubsZJqvuW3sdrCA4OQO5Mw4LK
jD54GLgP7N+ME7g2pC2R5d7WbLkVjMEaOEr/5kQoN/I/EW4MserGQIMp4dqmYO/mricTQJE49Rg4
H/w9GEAIvDY+IFajqaA2SuOSlPuzJFR8Wlqfa3TUok9y7VaLYJtxuYkAscVNqg36wtRffHmmyV8l
xro5xvR0zW4vsTgoqyphAreGStQLMyIJkLvvELsWJy/TAuTPLoRBL35WECP/BIaxipDhhGqpKecX
upaVAc13Zh6aDu5EfUiebgX/VnWFzIDrEPB7CTrHEb71GytMHqOZch1eAho1BD2czvJ8Jn1YGtyt
Qj/hhZ3RPyqbvjs8TkcRAmmSwZcC4qo/eNur55YWnTI1cVQFKOB5LWXwpN2uGB80JyEMtZ0sQaei
5ihZRN4DTvbKRlpi7UhHV/I/Z1IXcosvfoLfKZE9EdVSJ/l3v6pkHx6rtPB4LDHwDTP3nZTPzaf8
hITicM48RuhHc16eICl+FQXSg5A3lLcmaky1sj/KRPmD7hD0Qx7zfwnIMXZ0lmG5XOrwm2HbOFix
mMkCHVQ/CC8DG7I0GKNew8pKGgriN90XEEnGWz+udyIVAiwiXbSa7M3BKfMoDl8tU/64wCVknyVC
s/+84AxAgm4MLrba5A1++mtnLdjkYF4SGt/VTgYowchbS850sChYMt/+xnvstirEcxoODau6oMud
EpAlHKZNGFm3bcEpBSDzDCuHqMI6TuuumvQSfYhQXOl+dQ4efYpIz+W4G0miJ2ugMXehYKwLrIS3
pzlx4IsCehcnhvabrwLsFmC/k6bTD3reVpABNyXUNgWwqPomuqpYLuMOFU+47Gfx6vT37fhHSbyC
b+mHE8ViOFoj8hFO/qcpcDiXIB6rcqoGAHRs4/+1DwdvE+ouis+s2Ceay4U122ATep+kwV9lpsAv
xYKYg65nMPdT+su9oXvEg2CgW5ldCakw0osw1PWHXRy63Tq4JoVCBXC9HlRV0NWO19cvLG9b3Mlz
N90KBD5KqvVq36sC4YvzTcwwKbCdP/klci56O18CQPT/QUELrwNNIcM36Pcn1uwq6ONRBi4QW+cS
xGmNkQMZ9sNHcocyRqOyukQc91dhNL+fGDoH50RpGGYeWJKk1fNJ9v8b1agbI/3iZuStY+34ScBM
GcO1ZDH7NOPjg8b/p+EwDdRIvAvD27OU1N6lSvRMbauHzqIjqEWYQe/m+1LSmVjYWq6sy2X1IS4/
gIHmrKsCwt4bq3gvP8qP6BrSWDVv1ci6F2V0uvq0Re94amXTTiOQI7L5bq0zXATpM4YEA+9qtLH4
7xwsnBccv61MkKkgCvdWECIT3RGnEkAGX/8JTecKfXNe7jZEa0XrxlJt5CJi5yk9dj/RFJjk0n8r
ov6/IIUn29dF20Js++pi+wbyNOVMB94VY2CCdwtK7Jbi9Z9SAKDmDco6smVnjGtdNNUFuahXXw+j
GGh4wF0hNOuRPBF3jYfyD1Ylsw/45clKpO6RGQFdEkGuASS9A2lsWU3zxuuXoMPY1nGz90UDmKn/
zfsCMlhoyaxXPJ5+ulbB281jyTBSNrtfn6eTrz9WtWUQ3UTryxwHTCzePcwBwshvEhmnCeqNUN6B
blqNMrjdl1FxOW4m+v9KzLMBnmZ8TokDZPj7gdae1GMhBMxbQHc2N/Zej+r+b1AM+gUm3FNB7YNJ
oqobYPbH3nRPVx0Js2X+yXzIZKW4BCg0wjsMrREmA2FvJqyKDr+3TZtr9vszdsBqY7xMcXvUUgUF
v0oYzGDfRi1GoZex4dZwLYO3n86w/RgdReiQndPKNI/Y/+zOs4uQ36+8V4N6q703KfBwZoAhMppL
Stg1bMHmrY2pFYEa1yUT8+kwjtLFlCe7u/e2yHlE4iHalTmfEbdQPFnvB4MSp6FQNxxPwv69F2FZ
7z7CEcQEeJ3LUlmr342yUwX46gYZ4bL6S501CnoRXkAhYUQxIotlwyM4bsJ9MeMbbdufRHENXuct
0Iv4il5b6vDmm1nlRrF/9D1nSAh0E8B9o1I+SG/IeX142Q81wfrPlEVQqDs/eDN1bePVkSgiYaXZ
wXv9p85BIpkm/m4SA1X9Z58Jrt84T5wUlYL6mLwqx/FTSsJpGkYdGNZnOiZDuILkKyz1FzQbov8t
Bz5bO3jMuzWMWSJRL+29tEq8v36BNuMCVJbWNqNYEi8T1w4xCb+YVAKfh2O/FEEqXwGBJ8Db3bSu
Qb/cWCZ4oHywVjZqA8dh77aCi70Q0omyUkyqMWnON/Lw47jpY0K9xf8ZqV+YHaak6lghUyq+JeT7
X3Fn87lNHe49UFigqj7DyqGAmaRyu0E0SaqT6uSwW6jAXo2RakQT7LzHUYauEnlKL/eBmNy+G1ql
JaLeCjQ5vfsDRhzazfax328LGBZ1UonfkeaFIU36R1jztFwACb4o79xVjuslgGEL5AjMjPHoN59I
OULPVdx7oWXfxEUBkFvkBKYO450HFzcHiZIHelM5KtboDmMIJETm3bXBEVDdSQTEBRaGPFXgImyt
5V4kMbLKs0GkBaZkh1PDCOcv4Y8zRB2P8bitTq1Owpvww29HtbEy/8udaypKUhD5Y8nfIEEEjQDw
4O9TapnwL7qG3KS5JnGts9VaiLypMJY1l6dVtWGigdLmgU2zcLk0wk72A+FAeon3+/u54kdtt11U
/r/KZJukPIw38UTuRHbPiim2xQN8lTDt64KonQ8qnHD9ty8ZK/LXEng814amQLFN11MgLwYV2L+f
yd1DC4WiqbnNUiTS69nFcxHKM2tyTZVdcuF1UMJQvcVA83nxHq3kuKu1r6vAEecQAJMcv/ubEloq
sUvYQuzwotdNEwsQJWWHPfy4Nj+0iFqkvvvPh0g6ceKaz6zDErcP2VlDM6SyTwfkly+1eRpgxbzu
R6a3qk9T3/IJIEpFo4Hq2pdV9iHKyso/xRQM6EAZLUswuPjRYLk80P/iMMKZ/FpQOgTQqGcueLSL
KvGXx6rVVaQXgIQMNxyuQ7N2ssGNreFL0JYQcnskHt4lgpf33S71Gs51plkAA84E6XYoDAZgLcPQ
4uDXS3fDM43S0TSJTS9jSTPpHM1GIzkLbwSi7pQX71pjDmWFFwG6jb1BhGZB+mYfe10+AYVX2qjB
L36jOakz4BOL3rq+wL4xtofRMOC+/q3nsrkT9gIEm+yGGuc1ieEx1vQhHV6UQqbfb4Pt15XRA7wP
kJZy0hHe7DBIT0UNd/ao5Dzg34VlseR3bL/rYhKd+O31UBA/4bYTJgAWhBnmZwBFw0kk8H7ZHkvc
CDGeKY1XIHtkTQqe1WJlG115Jr3bGPDMv2lhnFkoY0JT+Z+kjuDUCbDp8CWDum+KwiEogMzBrO09
CdOtcWSpGQ1BmIZo/6KZQotRnygaD6G+hJX07u624HkDLwCwMqqlbmmaOxpQgdbTYLHpcVTXrp4Z
hQDusExRiZ5C4QAzIMf4lyk3gVMN55j4kQGyWJBFylf7M/vI/kz/Vnwc9eETik8ZxM56cfUXWxEm
BXKZssJ4h82t2XPehpFNUVA8A4U/whQwzO4XzYV/c9cCWz8+zaV9xL2ekqW6gAnXWFmPLhSpS7lk
hOnMKs2It4Md4cLeST6mGOTw1EbVlGAmrSvctcR2zFuwAWdkLRw0DAMNX0JFAIbr3ziq82PlogCL
iVaEiakOculVChIam1v8Wj9d2+Cu66U4JZIthWaYDz0qTYJ7HYoHxt/NMRcC9rcyHFuvYPbxNNRa
XPxKTCwyb9nyPf4XIrdtVqXzaXiEYhFCqrDQKs3Makt0o/lVJykYG95o9FFqz0AQu8ilPwOlQXDR
AUhFQDxbaCIEGfIT7ICby8vYfLEp+8bFJawEwx5j91LXNLC/bFOY7Pm8+IsJhm6gkQ9BDOacV7mq
EkAJYsAMAzJfhHkTifMjkvKaHb0FqPFKDr+rROyargJsPcluREKKmcWpVAWS93XvEre4NLp68mC6
NJ30tsWvab7yd4pr2mVhmSSsUomj7UXOGxQrKKHBa1GjI4R08Ws58ojRfHNUvUrzoLn/EL4Zl9ma
8/UTz97jTPJv4R21XKp3U/b5zCbQvfQX92Cgu3tqzHZvWphAmGIMzW06Ed/E8ZnLaSy8J5vAbAjr
BW/VzsWdit4whsmcTO15NRBwqvgxzjH8pnhBSoOi1yYk8UOa1PJM4VdRlKXKoKlTjAqCUZkVkHdH
U/N8tth6wJOBmU7gIsZKNkqnC1l25g8qhYDYiVp63LsUSOvTv9j7B9qTM8CD0hCSopHU6MwL5IWm
n6w+A8qhT1dSg9M8rHdY2XTvpDJTRg0eI7uMdxSO0fgcOzX/zXfOPRBVxw2T6BnS3V2JcD1aSsqu
WWiFl4VbQnYHI7VrnnAbOJd4jC58HWuo/Xre4QKcPJ6DCMMd1/NJVJuYai2iree9uutQB0k+j5TZ
e72tb7DDuT6ggnY29FkBBBdBm3UPy5UQrhWZkVt5ed4dW5k+Baf0RkRzp7YRyTqWuKIYR9dCKzTr
xaq+aY27X/q6pdN7Kj3qTe1ZD1jRd4TaUzixRTyCw3rhugxrC3MiLNpD4GM7eafhQ4yL3MZuwfeL
7QEcajyhD6HT/cn0PEL+k7ZIr/y5dVu7iJHmTkMyKgpCfL93qWzkYGeOEJrYcol0yQLLXzOY4tUJ
Ijpba8a6UeKSfOyhq7sA8rTgJZLbGiQGwLsBkD+e/iXifLpnBCUOM0tpTikZZ7f62qZeds18YtsN
5GIZ890/tF7GD+ANKpPqZ2wqvKz/At/yOJfrLcdPUlwjs6agCtqCC/CsnV9UJc2ZIReBGsCUO7uo
GckeXls2IZZOx5vn2miQxMvD22wiNLfrFki4EChM4db/Zv+6Xj+ynpeJKK0KhnBFNYcOByez908I
2gpiTuHDU4h/aKQba+SJUAiP6hQonIjfaWU4e8SIHjkFCZvjjtKgu80jzA9oeFgS8k7GaETjnRzp
GbHQiUK9yNSVboSvi+T2ErL1dFyU/W6mj32AVSSCaKZhR1AfUKgDYsV1K7hFoAEV0MBJRKysvnXN
yqiPCp22HaoAlp03zJonP/SpZ06Zki7DpkELxKb/Fg6mKTRueUUoMpwnRe0SIARP6Af/xHbCfcXg
3/fyMgCX0pYhrFb4rbK2lWRR67RzU3r444TStkFB6kAax9VNyrRiSXT2DAKpAyxT90YYyoEubbjj
MK9W4mBDlOpQ6GBh2p0VVs4LLEPih1OcsNtmLeQgeAKddwf3Aaswx9ykdIXPacRpESlrZKOqEI6k
b16mFFLJ/O1mWbXWrSr/hQGULZzUP7Ys4HX7pqES4rloo2euHkL7ynycBhAR1I7K7Xgzz61cFdjY
3lQFpA3nfbV/pHisBi/pAU0XKiYFq3dVAXwihH62oSy4Jhb/cr/OsKmGIECSvKFLgc4AcKnMbLoW
nx5eheOu8fxG90KYELLi76ID3l8P16+QUeNK55QT7HzttS7wtDDOPElhFtJ2VRzHJ6sTurZLersL
GSyJjnvgMmGxaf+3jmxbB6eK9fe6bZNxjgY4lMZeuLV1fWaaW2fa0cYqQjGAde5EztEl8rfprMp8
2Cgnpmua0+AyTm+GpZy1I6GleWO1VdH+/4RrN+QUmfkR5WRafj9pk9GM8qO5B7i4GClEgYodFk3T
u8jy4cy3U08WpgPKcHsszXza7/HMhWFvWWnWHoRckZ5djsEsmOycotIEMd/D/b0w3vMOLbdwT5wC
O9Nwgc7S9jRLbD/YnceWTaWOKDtNjn1+JqESHS+Z0c+eo4CtcF2eLYN5MRHn8Hpwk2BUkiDJNP3F
YOetFaHWXBtBz5uYQjPPUS2Dvnx/0H+QMOgGS2JWZjmst2Z4Na1ZZhR37MjJws2cjWeyMxfNlZNP
CcG1LvH4iQfC3eSp2Z6WD8SpRkqDahMAlMSmhv6O3jhucAP/YeY+m9WE45pEf1qtIb1fiCcm0+Kr
zOGbH/VkRHVNznWeRuwNw/PoTV38QNRcOhJ3QrH82uQHeoR7zl1bHN3ad99Kf8X+/DRJ2wvNbABQ
+VW1eYZzQ4cMN+FyKhF/XjhtpUprghTzcuTHlcxsrZsHKBMz2bdJ0hIisuGAWFojFd6eydRo3b8q
a2E1mZiCCNW+AgE1LfXv0R1GGorPZbSmBSYPKyPu1TdRNgyfRof1EcEiIQTmiWFWa1TBMtS6TXoO
JBJMYRplbkZ8h6D4857ixBh08YckqqgrW9ZP4FGIq90W1Tes+BvTGGzUPnVArNSYq3CDKgLEBmAe
9JhxoywGqk//KDw5MYrbTSQwjYFi597iB4RNZyTRC0J1HDdFp7yS8ShEUeNDv4Fhl5eDHdUsRNjz
FCTMsxhLVI7YjHV83TesqOU67Niwo1zVV/tq+86ex3Dlx3WolNn+AD0kDMT3LC4/yOe25oE6Y71E
onpU2zgtfmNgdD1cW9D2Nfienl3R74nQTmFSE14r5JU1jv6laI6iQ0ooQV1q54IhBSVnH+bTSi98
O0ZROdjMVBgXFmaZpe9141pcFLiV3GPNhzq+I/uQHDTr4LUSHXxlYCswLWBOLsedUuiCWLaCZfpE
NmxsrZtVtIs8fbUrPCFaKa9ah7WmM2LyO5NtMb5IH8khPfl2gkmsJ6F5pYzsG94bxCSAv4n1w1aj
FyYfR8Rg8rbH2X6qcZTSQzpAB5JheYxDEcwEE/HFguPwWMs7gyvJf+bATJ2ondHx/xnn+Ajtc2XN
YIMHA5BXQhhtRm8pW0FPsP/pu8cv6MTZXEqSf3KET7115wamQDYq2LMR7c1SvpEnU7S027lbJjV5
YX9QgZ+/ECOopMvt7FP/7VcGH4YUn0YXbIptTq1+ERS26ooNxp6PFBNtHF1gOXazeUcod/DsXipr
/3OBSYoEfOifNBiXwcQvQMVtP59Fd/3Y/NGqHctnndKFQRxQLSjzojs69zljRhcG6ygirTiKUDqb
BQSF+s3AE1VfTWqZtnOAc2ckQDOJYcaxHSkzwwBShCYl7qXt/xUtbfuB3xeT+gK1xBQV6LBsIWd+
4Yq3civ7El/uPyA7A2hvNYzIQL7uqGDnDqzXFKdfWecRsLtU4YsjJNh2hEUKGWJj2hOVzfuF5ci5
HU3ZhY1XVEyjhWPtAe5kYL0hggfibcEVIdTu8+4kkPb2bLcqTug3e93Hz+Y/yP6P4SWL+gi0X31D
EHwyN/BdIJPtlM2yFFP0cVV7DC6/PogRM1YKLUDkKIHu6LLKyeY6h+zMvvSpya38S+AmxS7ftXUv
HX+8AsyiDfV7psoTPRc4tIC7LdEdpjl11wB2fRi1J6FPdayejRamPRRqjK1i3xKJyibimEEFCOTR
EbNBrt7SwgME51IvDejU6Okby6QqG1BLoCXiyObDf8dyYNMk7i80d7VGKOroORMQxwnUwQwf7a7H
td+27RM4lC+E//Cz+ENOAo03QzCO2W0/q6izBLUdCNkuigmZ3y42dllXTWPTAXf2n07Bq8xe+HXG
cpUtJss2ONGPHh2pmPTMoruW+6909uE1eJjFCdC9h7t1q4K/KwXQnutShWeY0LTk1GmFYNjjWdzo
trUd5JWWdgWUT2MXw98cpwhJAZ3PJfgBdVBYvUvYh/wDV+A83E9ynHU2yv7RLtvT4sZ4RUFgjxHz
oMplllVqSehu5VTTDebexNiweWXUuB1IVUaCT0x1r7OSVbgHrH3LI/TUBq35SodQ7FwGsF5lojCJ
UHvQO5V9h6UjpSp32R38QtwrrD4T+wYFXG2AsrK1v4kxtdZ6pk3Fx4QtqO8WmLA84r7yLrCfrhp9
b228NefgjJ54q7nKQkB/4iJfaPvMVAS/cn4TZKsHWTBX33EeauE59n79ZFyVkUKQS+bMuqejn8Tt
nC6ybcXcHVAe8kmKfVvX/RlEI6BPQVSvl9o8OUuuR/Fn1cnvUjBeGqXyLs14BLl1G7Qu58uX3Y9y
evv0VDnhlBIw2wphYstX0K7CcgoBc2fUTfO/y0Mw62mHWkoQToxgBosqDY9nU2+Vp/1vAirqsmPj
9qOpuX8ibUVxiODaiEwuywrCHJUNoDlSYKu67c4t1dbIELydxzdtWe35EdvU/Yi9CJyATn4+u6Lr
4FbUBLaQRll2dV4ZPqVvR0bcSX9DyecFp7+tbEMh7U2HcYejK+6I4wyGl9tLVgklEh2thNfZMhEX
lm1UyNa6Xp8TYNiJRt9OoT2RDkPtk5c794zidl/PBcseTLz4LWJzkS8gLUU35JqNWkU+Zxoxe/1l
O7FnKgoS5xLJ/bF6xpcFHBjxnBbM4Wz3moNsUnNyup8+/V7dKARGCKImt5oRLAhH0C/qheIZe30S
LezbWAM2NPWjW2G7xKpg1X+1zNtnuTTeMOR4P5YrmpRBBRvaGVClnm6Pn1iNL/pur25nYK/8AAKQ
Jcg+ARDVmDOI+YzSkXM6bMPKYKLK3wudLS0K7yhlxz/V3XxCRGn3ZLHVgd2QQJWMSCswFoX3f7Fk
A8sU4/smJlp5+KSj+0StYP4S+LPYC6QEIm2Dtt5YOjW/D7RJN4d7i3Cf6kGQq0YDUNXuCGW7NEiE
oEVMj023lYllDLBUMVW5Cp5OVUu7QazPP6hMdaPotKNk0X5GLrBNfeiFFSvMtAj2rSPWrapVTzsv
r8OqqtqLya6kry8fQVvqSGCR+l/V7w4UC8LlwazVxCfr/Ev5I2ty1vv1ZzJMUKvZWtAR22kxTrEt
Wru2QsaI22nBhzkzmeKY6WldlmOCBOiAJHbDbyA0uMvePwT+/nQm774MKIADVX6fFW49NxPCekS+
hrXVuEM9qygToZuMeDVcnBHlZGecmLUT41YGQLf/8gY+HBZVHEzYHFStHUWVa6oTeB6KtUXo16xd
KqKVw538eh2ZFR/eYJuaEvgZ/XHBWwlMKeZyAcvh5XjVibVgOiCEpDK6LHd2ra8YpMX8T3Rx0CLP
YGhf2Rw1IB8EdEmfQwhDCU/oaH1Vz6sEDLxHmHc/qb/tvSiIvcwucqWzAIciaytTZiwH3CsDbcvs
moXzNYe05ijc0jdoJx+mQyHuJI2xjnz7qi+Mkw/H4t9at18iZYSvy3h1JyQBhJhhbaZ+AdHvHwJ0
RppeXhQwwCQDS1Ue6Yol/PZTaPauptNgiHvddcwpwh7bADpoNNeP4JFc4wTE+qE8ltobeRYclL7e
d8rY7DXggYhDQfpoyEL9odtqC3YtMnuXqbN3EAiDgOWogiQi+PVBG9ZpYTu8GxNH5b9N0whTQWtO
X8swNmLLroETkitlMOnceFFz9udMRmYtwpti8vNsYJK3zn8rtwgt2w87MSG3ewvCC35voYUj9Mh5
nd8OUnRreEei76TgghhRqYlQoWjFlrr1hKB8amDsMh5AT0xprIRGOighXLDCKcnZuMfS/nwbAKhj
idCRWFJKopJ65QWK9sjWbS5qUhTQf8ZHAleiNZpCe/ORBZiIb07wl0XqkQ5G3tg41XgvEtUKN6dx
mjYezcPzzBMlJ8gFZm6NI85Nwt5c73ZN8mjm3B5ie7TiLOuASV6BFjf+ZOJ0BJ00+9cyltqsmErQ
FGXNNHESMElQY/+I1oIIkbmBxQKLMGLbdCZ6GTaetXONhed33ShJqdu4rGdTOJyZ+WbJYnPsB4g7
S/9qdSR8KWrFrA8fcYUxqsQhflBI87aC2WjWJcYGClpzS4X1KVZEzIaQcy793NEU359+lNGmtg55
4wG+LQq0kY6soYqvgU1LdGKryc2cpJ2pcHLaiYpzF9evlgDCXkHQujkT/m2i6c9w7+qhLqsOOSDH
aNQxOMEC6DlJyila2OOv29IiB1yUDW+8euMNY2NbNM7xC0ewLnQgT8JmU/LA/0kGYCw8lNsFjZnB
tMkngeqBFJKOL2oIYvXFPQDGIwjyq9gmOeNPwVV726xusoeNkG4LKizs01htinAnrRgwTqu1gu+V
nTMarM4koK79bJAHfRnqcZO+1ttPnohYWKW7S6z1zjQ9R9hcQ28kn/Dk5DDKZHB+0NzYhCzslunD
OgImg14pxukkgMYRvKqnbSWwRBKaJZbEMlRQL6Lf7wbyrfHfUPvipQuQMgl8YqB2V+gqklCcID4P
h2djFqE5oQs/qnm0WqEd7IdtR+gyUSxU345H6A2shs5yjbgq7kFaxsUs/Vs5KERRsPOJzZhQSrm7
cBbRnWu/pSm+7FFaq3/822/4RhnOzgQFNpQgbNzdUIrOs5qFYIORhIehkwpU7dS2W32SsBUcQciu
QHFvnpeyd7riYyYrkKYJ3AjoItht9qvtrV4egoohVH10rm7JQM/dlF1IelX/alCWtIATVnB8lB0p
6tuUjcbS1DF4XWwaH+Q4rXcH0FY9iU2Vvz9BaqzLETCxr2g5dYJ51gKkQRsPzCAwawoeTiePiXvV
gPAuBVgv4HrfEjDqBkXBmeeyDA1S2m1CeLrbAiabI/av5qwsb1b/VFZU6Co8oWWzZtRXMj01QUqV
t+Wv9KkVF2xS9lPEiFLTmPIldOLIGXaKejHeMqA3F3SG6ipefc4dzdeMudEwktgxqRfxsgCrAqTq
Mol/37RHsDOvMMv+VYV4fJAJCRsNeyHYsVIfm+QFPsS9/kC3ujsaDIc3UBc5JJba9WUnWW4sBtBw
RZf53/UyiiCeJ4JcoDKJGue38IqyOF9o+bwPFaLHLCxbakYdqxMCjBLoigu09DNXb+Ws4dIA+05Y
byoTRspLmzpFtZBDEvIR9LqkEoyxs4BE6vsjnb71EHsoVxwhvEY6wIWLaSUqvzI+xE04TuujsNW8
v3E2BZV79Beh63JbARZghbbqd2hYbUverkM73zeoZEtPrh8/iOkUtgF41MeUkbhxu7Dur0dT8Tb3
AFaeoELcx6eD9F7q5xEU1+fxNWiJ2qljp2F+p8CJ1KcTJ2a58xlagYmKcraDRvlM7q28GfzlY3+J
oo2rwaZ5YYd0JcDuNjK/2rh4BeAL7agS5NzqKFn8IWg5y8JUmTmmWMqoyE9Ozw9lJwDg4Q0wVnwd
4IlFEngX4DsAlXeQNi4D9ehzMxi0Sx5hOdqw4+VoQMg8jxUF6prGkbiqQJT2CUsyA8OjFxHhchZO
d4CmsNFP0l0IfroGerQBwwsEHh8r6R5Ie//7e/+x+gSUhroVvJc4fe2AjouO6Mh9YUJrI5krU91b
YNzS/SK43Q5Rp4cC9us5YEL4NVm37oOxcVwUZWyQyFM0X+YZbiuDYveP05TR36Hy+bS3NlEv65z7
GEzVkP8IeJnnrcoBXWouVpcnecHtXNNP0PC1PjeXXWY98UGUu99bz15LNioxc2FyE3NdJhayiI30
mib7rApXz+mMpCCw568UfY0KZYWA5e+6ZH+OTxv+IDw4XGhmk4TArbMKBg4vwrmcps0WsHSazG7/
t8C9i4vMlbAEqEGhLBNrtr7uu5mZzx90IBuP9/HeM16pBaSObzphJ3c3ppp0qUg7C/eJ2vzL6VVs
nhcoYFI3IvnjO1QiqVV5fN7/AKeLmxx8ap+s58gZYCPbZeRuo1mxNo30QCq6c82IC/BfYTmabHc1
hNBuVqd9NXGUljOcgPoDTOVWRi7fGpQLvNO2sN3qeQa2w9TW/MZw1lUgpWTw2EbgJdmQe4LJPmdM
CvgTvCm8jZiL+ucsAPvbB2+BMXA778Wa9SAAI34Fv+AqYG2UHqpCoCjeQ1axHnPjItB1FFYbIwVo
rrSLnRiRgXmNv/5DQzC10zR0ciYDZmzY5v//BJ3JG/lAfu0WrCeX+5Ka+zxC+E1A2szEwslKZwaT
u/9mbeyKGXRopk34mLpSdJBuXBnfwU+Q5yW5ysLr+URNFIcdBesDkXHhBnCROGZA8uyCUC8vEVn9
E4SgMx60X9CbguWiQ5/E0hLkjZS/Adc4/wsi9Dryafs5pFTgiwzD0x++y8E/LOYqJ3dQFsHPNtn0
7eU/fM0ARkQ+K/mIDKY1nGrj1Vct0EvecMKgXgXo6NMDmsuTKOLoL3mVmpqHJHc4OYOct4ioqgW/
U3zpk3zVooqj9tDdTNhWp32n0KjeSj2xQE3p8t/5alqiDsB8j99XM6V8tZKnq7jsrvlWgYH8RMs3
9lQvEvhVbr8yUTNaxW4fcN/fU5JjA17+f3/NL+090OImn+7D74t9pdh+xQFEw4UX3Obi8GosLFeu
j6ui6yB0GolOQSd5PgQ7cJjZotIo1D7V0lZS40ckH2C1W3/QBrGZgD3AIwzU1KIwd4hZhNlhigKc
i1qeduV0sqRfplo1p4kPE0iv8QAwNdPnGovvOJZNnzcHbIie0TydKOFztU61Kx9DNkVegy/sckq2
5PQmda/0Qr2/U2fhl+04mdYLOmz8dYXnAUjWF2nrlTD2U1a4IDraArimknmvjuV269GpsLrKUTKI
zLNU/tUhiwBPdK0eMCK5JHBriQbzegbRcs+akBFSlJ92hByJ9soxCnVik7IqHoAW72xA8fQSbgMg
bPtlCK5VzPlXi9O2upXaV6D5dXdgIp1OSKV46y/w8kqQL0Dpvh6bMHjDGHuKTgNDcPmp71l2LAJk
ChM1dGNnaQKXRyzMIri1tlhP+hs+70WhiJBkk6vMb4m5K7djaKF4sgoq/wNFMG52OVblPm1vt+os
mhtuwbvJ5MZPoJmnc+ztfss2CkEkVYrHFhWiJEtOQh8GhwtleE5I6NO4ubbCrrteENukm9FbedRS
HzG5klSDA8H0kMZVxXsLfEEtWY+QGVh5kgZ9olWTyDfZUCdodPY1WlaaWvR6U60qNPATYYGkwGct
NqLVMqRcyBJxqsFr/rkDXmV8Byl60fcAi+cZwIRdGsSXpgX0R9pwbM0aB1Vmds4rgSm70wxDzslN
W6fgL/tkVfx5hriOkuR/bkptMq1K5DC+bWeZx8GjP9ZT8gWWCcq67G0ik3KsQSjKQPQWoEJh1r/g
zkYFDBqN5tN7IIDLxJppeW7tCwrNWNyvlqCiHpML/er1tzLe3dFRbnZAWP7yZTQ+s7WXmbnBYdl8
tYSXd3N951ugt5yxBLGpHm+O5nbYakeVulZZpy2cmt98ILFhAyAv9hl2yNfgxWfezqU+VUYjoAyW
sVcD0YS7Nz2UKCCZ0OBDYaj5qMk/vEoGiPC2jb2tbGyW8oFJyW/rM96xW4QZ4PV/P3DZswMH9ALg
0rOsDSh4T+4pERG1+8X3O6hQoejvoWEuumEx7hzLiWFjacxnWApNFX+MhpwnfqjWB4+Ftj1JjTaa
lQNROy5Cs5qnuakkcMRyzkomxjTaBP1prfEeMQDZtN5pCUirYW8TIPrV7srTPvXWj3SJvzgCwuYt
RqXSRJo7NGt5Id/toGB8ysUIwQJ27eV5mi1e0YWNg3D0zrBL2krKlMAhtaccPQ0rqCwJZIGTg+/u
yYA5I1pLYZqB+l9QWAV1CRLwVObzarUBzPFwtPofM5LhcLm+S44s28I5O2pN7Np74c1KmRrRwg2H
dQnFUOgaIIxxnSUENOtaicD8Y4FQhq1J0OXoKJv1/JpOIGeK58pqWXAWhRmqgpW78O8kf6l//4ze
XGYf7OZzI0AJoW3sQZA/fjies9hFaeU6IoS1invrwUken8G05+toiZwWZC/9y3SWMHMQQfE8e5Wv
ggrAAIeTKqugqs4SyqeHKhT6L6C+yBtkIFVLLDHGbzSfzEt0SV29/zHmkG7SiKxabt4rpJuw0dEX
sUCVC44uA/rk5coh52JuOxr2k/OOyaGrju9MnYfA4p5PiofgKZ1rLyvUcJJ6ioKXqI/KQRFKh+Xk
LeT9M3nko+X4BMjseUl+MjbRifAyUxG9glfaY3B8C+Ka3oYPzONms72+xEAbdlXUlikyABHdbGQd
eeKJArOppJrl3zcqX/VaEyHGYrD3mmZRoFGR59hNuKOqbHD2XjpUBZZjJ7yV4o2mKSzykN+S8VVq
CIjzSghj4pWwTHhrVyrKfJFvZJTIxBL6KrNBsMvlrMVdAftg+nH1rjxzt4OrLgP/83i8wEJdLuAU
ykPu6L6kaW0cOoFYc4hvt/OwFVIKLj+9fcBGxYyTMFPC3JMK+SfRV+tI1kctanpURILSxHraPVmg
lg4Kr9ctGXu/5+/CRP9n7KWMo2VIVbQp/LvXOGGtLyzwYtFN09IoJ2cQqJoXiCuPz7rKd6un49H6
8RL6CxcVkbIFK49tJirVirImzqnRqb/i4hw5ywJtpYruYwqX0afq17lqsqAGSXXWT+NfTXCQ58Gy
PKgjbrvmndWmm6f9Eg1cTOtzNl7csrTePIdskVpqziqCwUv1vM9k68XXBkoL6w9QZZiCFcQzVg8+
enUHYppSlFEso9LPS+hkozGoTlHtJFJkQlfEYo9ijJ3paqJYMND02PSMA3Wln4T0zJh1hzuNVtiD
I2HAcWkRZMUr3OzPHLqC5D18Jgzby02M7yZC4rvQEbzOVXhcc3l5b4sLBaOG1Ulz7hphtDaE6yh7
ewx/aeexhxS/Nkm/eW/zOeokKbXrJfLgccu3HW64hsaPcgA7mlK/rFJFVJXI1CAQXq3lcQaJhnnd
HbZtLRb4SSBlH9Zfc5vpZP8oW4SrzNeBscOBRNJmk2pnuUbh6xhQa89KhHaCsw8mPa4iEDa7/RwO
BTCcld30IQXLiOUC0O+UJAPDArrX4NJ5+TMqPtS8x/oaie3eZcb6ckPWbIgeKfHAr4IjQ6mPLJXS
bnpr15TUH00YVtM2JGNzhSiOi4KIRWQs/P0NWbCFV7imDjbj95KWYkp8BBuVolOEiADQYYbisfQh
9UyOWCAAGa7xv2lzCTpzFlgQ7zbnd9rQs3TBcGCX+AbE5fldDN8pOUub26ECzJaorv5UG4fl6RZj
Mqz1YnrjR74hibG00pp+o6v/oebMUPK/oB5zNyhze9uzdMx60mbbCUMiM2sHJsGD8Ho8qpXolW61
MyplvCEfWhKN1HHvniPMLYGrzpS8Ir+Fcc7j3YgWXb1g/THLtmZMHmCFylgvzTCgNdA57XCL/YVO
QCS9+itkUhtcjgMVNObP1KI7gKj8jZ/Jx1gC4tPFjs3Jx3N30MrP4aBG7MUhXYbLa0HCsV+zGw3l
p9ICR0u0VKG1I3pqJMr8Lb59O4CgyIFrwM8413nqzV5I4SYhvomlNolrkiguCHwzW2RQlIXyxCjy
YKjMcEDGzRV2gs+Dq9OAHz22Sf5VIePTo/bw+AgAh+niyI/e3pBFuGoq8qQc2TaKidZpxh/KR0w2
jLvOO/Ll2LpIqia2gVFlO9IzQj9gshXl5WZyw6aIj0jy7dJHqOZBxhnHmqbXtO+R8ExHpmaOIuj3
FX7QT6IILgzEerbszXsmVb4BS0YbS3Hpw/ICoE5/ayrIrKrH4Ccbh95TgR3V6UROR2HJ6iEBODvG
iS7PMHGRBMmws5FM/mpErQ6djYYJVnEpuzskEG+ZJ5aMQn40vdffzb4b9IeIiGiuOQjIFyp3g45G
kYLc/O4H+taWZeSp71OELlWoAgy6xpJf3dEQIqVZluZ6b3GwJ0wNKQ+ROApSizi1urfIykjk5SfA
zh7wUCqQe9GTIfbLyxAFw4BIYT2FtM94gWLC7LgQMpi900vgkzcECgIvLgk2pzV138NVtG6nNc82
Ra4O7/3Kx8eMh8xzCzgiKLja0QD5SZj2v+IMaX7xR0E5kI9pU6TXnTwXnA5WgtdP+cGvW89TA5Ln
xA3PG33bFgZ1XcQTLwlmLyYbNfhxIwc9zLfR/RA1Uoj4pAWy/xIYg42XZQ5HbeNUuLHKDcxzRmab
zZPRc875rbUWAE1aPfvRhOPtFQ6iTRXrGoKBbV5NuShWdriTtwU3mk9H/uhsGLjUwoQMcve2Olw8
h6V9mSSQfBa9CddBGfaSQz7tAuAT1p6yb0l3xyY5zcvEB9Se/k/T0mU8iBVwIDLp5jtCnEIlYACd
085nYMjFUGWI/7uUbNQYmCRREW/q6YDPwsuc/4FvGdORNA3FEHRkIIh6da46bWMeux9W6kVLM5pF
M7ZPX2dy8Kj01YvBy3z8JzTjWOOeIcqWGUjglqArU+rhdsL7nX42cAzpimJed0YHE78YyY2LtkBP
5vgFIvQBpguCgCVsMp3vcBQLBqDzRqHc9fK6bRmKC6PC+Mw0Ul8dA7knuQqO90VdDFKH1mVsqQRq
0BFVfoaTWr74XygupiP3+75Iyy9xlnCEhb7867wdfD0wtAojMgMDtJz99zu1/QneT059VebYcQbU
3aPxJO/P+2lxi/ExK5DMc8bLhBxR/JY3gKrwFAAYQntH2vf/80f81dS4gzIM589WW2xAd7L3RSpX
g3Fw1tl+ms4ruOvBhB+cXKvJnhPqO3QHgZIjnT54nYhBdIjQqMGOP4AyAi8AXXnUchmX48IqUN4E
WSmoP98lUQ2Lt+TGnA9JXbrM9vuT1KfL4Ng7HrtG7EX4HKsRXKQE0lZTJU/x29hxXudndoK5gj7T
7Ey8w/gR74WPWGVvNZK4QzmrJATX2Ef/8L2/pXgA7pHsTFyzLe6O0YekfpMFmPtONLciwZuvPs+h
omN9CXzCFYNKBV7nWulMukjtD6NTamoeKS1/8TvJSBIrkW74o7iIkYyfDwfB/JAo0I4M3s5x4QL1
diXofSsPfsbsdx9IkYH+NAqLyL1EfH0JNnP51Ld2EgjVdgaqK4KV65vofGxFqtNqJodRKmKQtPwR
Q6MPl1fGnTzE7gvwEPzk5OuqnE2Woh04GC9lwpPacNPNo+36Cs8yxRRu15Bp4y2QxYO14Nz3Nfcw
PKzTd1sz0PBDGlp22dtb5zSxOsVr90OWAsPORWjCcCKgaMazb92QjiVSrGWsayZqaJdA1PCZWQZ5
woujT00QRVgfsvYHOiBdAeTyUe825bQh2iizkKjI+UF/g4LwWI5qfWSq671zobs8bbsDlHVMGm4P
MOEFJmtoiN7FjLf4izQZzcv9A1FCupL0OQl0DHZWBRASXth9WSMmMT1PNgHIvMaaItsqa3v/3n1m
WWHjt0HNyuPm+1WbV3Uuif73PVAZubNrfaa4D/Vwfz94KGoTTN+f2NRmOPw9koP5Px4N1B8vhm6h
lR7TiAYmBemhbaTmOeIL00tJnS8FN1/xwanpfhe3Q9qsM6FXd6NgjWspmd5xsjtzhsSWG0agOpxE
kUJsxveKXtXSZNO6H+/tQO32FJLObu0ZJIwfVubippie4Vx4msAcaLknzyLdaDgpg5rPYRSAUhMh
Dwl8KAyY+rKCzo0P1ZpbwpHDpvo9PUnJwGNokH+Ces865CX7krEhJ7L3XCFQQuwID0SkaALibpAi
wYB7IDlPYkq49luMYz589cQgOj4lKnOO+kjSy4Qj1CJXohwqGmYi3EBZ/DUvsF+JzJc+HiqX51YR
T/WU3W8seWBCrA2cVSf/mGvPAEToIB5BbhVSRIGZolGcY89A8KcdDF+c294BQJNXf/RmjtlP8MoP
/VIm08ISStR4iygqudcQPFOvleYlzlMSSm+2xUdYEnpMq4Ges1OKRZbWiKa3S8aifszE3a5VuDKe
ocg79eOhYsJSrX9KblAQjj2FuNwpg9Dpf1+bnib/QwQ9xrGEWCZhBJtrTjMMJy5F8mV3KaSl02lT
L/hp4Sg/Np8ZWixPo4ce2y0TQxUUst82jaUxuIksOj0XZQQYLkadYRZXWBmDhOaaUWzVy7HDQvIh
UBSSzNSAnbp5tkLY1gM6PRzxjK5fAYNjoE+2z/409y0P3aOFwxkhe042Z/q1fg4VMpKT8qjziDDF
hEq05HrUIV4iNk2uAftglTRmTDu8sJOuP9oHm3sQSJYU9Wl7uSCZkQxwG/tQNaFCwRAuhLRs1bVU
WxoilaaMYFV1vi6cXO+miOUpNFT62gmUnQ+1voxj+WCCk/aReXrl5wQjit2iAp1babUXD70dv6pN
fTKd0+mH4ZpngQ+kYOw7RYI+FJmMHjQ6zisuJdzifWl7VxL3EdiAdBGECQ7N4k6QT/OK7YcUCYMN
QuF2iuWJAOXQ0GsYFfQ2lBenoXFiRB8Mz/y8Sa9e/Gd393UPkcvZG2zCHVWwqq3r7rAsWdhyIeLF
MCI/HFpo6BkXM5TMtz0D/rpTNZCZoWBa5t0DZ43f0lSZzeROrsdLW0ZzT8hy66tuehc3bVfihNu+
itwLprzopdKh/4arAFd9oB/b/ulsjMI18jW3KLOZ6o3Ye2OB948XhfhvEs8yRYQNQr5b1povM2vr
dm7L4Qe8wzw8e8aZfh+9SSrx9RG3DlQoDcdSmySwCTs+UpnGvbuu47HJ6Q8kJ/3O++w8OmrY8wFj
9Vv/vmmw6tOHme44dRFf2CpuOS91XLozA11CcCvNEPOBb9Ep1l6iAxAiI4t/lWIcAKDnbY2XEgpQ
J29IdMARv+q5tzx/UltYeMYryGNf1CHsFVJN8dLWErNV16Gx6GrOfX8861XL4j0NpsKcMh/nZC+O
dZ9EiQ2dfItC9GQ2ghBlbxTdm33Plb2AA8qM+SnrHc4WeAXAQ8K6AgQg3H++HnIcnti75c7V2TwM
xq1RggdLBazcBKKyJD1/SPdx5KW6lB/iZwNdMN9aC0aaAV821t4VnL6pdP6TbYUm2i+GTjkniFcH
u3Fran0dDwp/1adRDI5VdXcJ6xpVOPsJAzL+oUG/CmXU2BO2L8DihLT1aiqGoWX44SgXBBaeWEca
hAsA6S2qcFksTF2OFL+6oIxLmFC9H2WfWni0N0ow2wX+72ru3gxV88Li4QOFmLjSxtnj5xr9+ZWB
wzAhJcRZbFUd/7TEBNmRg+bxD+sYJfKy7KKbW4dWvATEqJ4fPM3e1E+ujv1T05IQPkaQ5zJItKZa
KGnvWM7epP9nhhiqpJ3TI0ZpGN6tAUfzTSZnAW6vDGDb23mJZz48OMCsfseOmqsDNzOAA825NBTx
MQtfYSvtjstXB4cePHR5F1zbLLJTjPpa+8edsv6WPLO59EtD9qwEbTDbTd2W0d0zHG4wDLqyIfTR
6Z8AZqwFrtuxdUe1PmkqRgQ/S5rFSXHXeeKKCN6EeYve3CxLjFuqtc5Vf0Efnax8pOoqAwrZpsFT
qxCieGBujZBkowKObExU1+v/jrzN1lRnq2P/Fx+5pY9au8zdBO8duEH+mdzunspAWXXzBUiXLCcC
4Q0oo8jA7MCsuNGC+KH/TlnBBB1TSasSA+7VWq+x6Z9YMPvAOoeyEbqkxMFCfQi2oNG18lxfTcaA
OetRic/xbLKscMgj+pleiNvuh2IaQ8c60tzq7vFLQSRYLjC+adaTb4OJ3Kctj/TEnV0kLAETlZe+
fV0DVT+/A+rADMQGzyQ5DTQSPCZzoGLOW4wDOhOdfI+hIPB/bULRnUbFN1Jx0qvxINGuWVIo0+ff
C0+FTvaJucurhbXAiy1GSQ1xwePu86lVgPXULVvQLveEOFC4XQWoYQvmKOZPEB52TLSKVdRZ2VA2
BSd/c4wtTrpz5VxV8ApX9TYeUuvuZXliLBoW352EFxRq8pOAK0PBhqRrvrMouVppWDvWrxcC1l0e
onUBu44m5ioRCyIW4hGK6/ykEIa4Z2wRSr2Jf7KKOXkgmMpCsrDtsJBIZBYtNb7AWRYVjWIhv0zl
DX13F0y9Sj/OqZPemjQZzPmTT5hUuMD0wH8KViXnJE2ObPJEkobVYOYPhdckt14MtAIxC6Ed8NQQ
1oPB6L+KQ/GzDBjAGWXnhbY6wz17Rr5gDmyHyjoCg4uXhFIwoFa/wUOOMPFAjEnxLc1pFF0CTAOP
ijPkA8Iek7hoASWyM9Ay71aM4dZjsX4FN4cPS4DLTaYH5GH76plsK2IfyMtAX5F3byXO9QNTDXyK
OMVOyLNtnMWneqoXYQX2QqpJdd3dAqPKVBD7+P1DNsnHySNEryO8GA3G/5GA7c39rJx8TpZiaW0T
l4OOuJh0fQ6ysEJqKd9oitOwfjcbzui9b39TO2rflLpGqLJ8ve/o967LuE71ccuIP6EhzvGvevIP
jLjZNTpDxOXNh02+vPhBvB2OmUts+KJnTqWelpT9+qf6oy26DGiq9UtE2Chylogiu1SYxISFMJWK
tQOy/ju7/6OHjim7lM5FPZBeMLi8V23DRZvWesp/6DIq3TxLErSSpdB3GqFVDlhe6dia/+IohizW
Q9pRNqoxHs7pRTZONBdmtjnlk0B/oBsw6i/s9iiLZiI9zvm2IW3hKHkg73xfCrP0nEpFMdrhg/vc
q2ENSztZAAPC0rU9b680YdHhTUuxW8GsUexjLxiGfz0MdmPhBdb4ru0wrif4ATuagpxv6kxXhd7m
X/KqRN8Ax0MxgcuUBUStHee07n/rFr1UFplwTNxDndPhzY9P/cqY+moJHRSkkvjo5jKF1VJvZuVy
0FQsFtPekgzwqoM3s5R9ZeHbK2qJjOWPh02Snhj0I62iUXhmGZWlozofyhsxkTPzT8czG4RU8DDl
NKfB4tRbY7tXzfgzZldThUfL+WvNMz0hlAD1kPQYiwvS3+zdWVJVDAqjDCGXtbryRo9Eu7yHbL68
GUoHe1njxd+ogzN1GoDFwK9oAiGHVGXP8fTpPDd9Uzww++5rib69EqKGws+DegMQbMYgRpubWWhf
6soCRG/EtDliVcYExbJ+GT6SKSBMVaS1VDTVKljq9Gs5wgrUS9IuIhi/Ijrw2cvTTJp8Qof4GL68
07DDgtdA5nz1wGQSoASF3kkkV/pl04nUsROmrzeXagF/ZVSeSFeoQ4yZEPgyx8OXFo6oYp9ohguJ
2j2GHHXMDJOQ7NbLZJmdd5G+hdcuQaBR3BohkYDkbmzzY8Edel6s8t5CGzMGMnXBEE3qTdCaiBiB
MqEo/iNWoMkzE5biPjV/ShGJEI+SZ6BiF9TCpnvQHaYkmdGGUFjMo/pIFaQYwN+mUMOg2BJgfXuN
Klh1/d817v28mZHzPrw3EyAaSoJTyWB8G5mXA2vtuwbZty4PZSjRMuNQxit9o85SNcrSicR/YcTH
C3sa9ziZJeMPFHpmEenqjJZGvSK6ETtl5zEZFSjrxx6BdVbB/E7kYLqbyOFcIcrPhsqyOh5A4M3P
Nt4P6CrZ5dGuE6hZed3IWQASxqrnhRoQl2Cv2AG0jdxl+ory56GXLHna5pviyxL5rAezf7GTuYad
zKh8ojdRrDXYcTy0JVMAUvGXUn0dWnyDiALHivWjux/kKLr3AovzwG+h+pKpWubn1h+TytuhtNAm
bhX0Ti5OghCzSI0vIM8ZNY9GE19tcdu9nvyFdN4Jm6+K0Aa0MCOC/jTdoBo7D3UL7SobralG7LCW
4IAyewirgasMRh3CcwgrGeFBdiHSV3MjG4MdT+4e8zLJ65F3GeguGY2FppugXjhlh6vjLwwzNtrQ
FEAXGuHAzBX6tyZS6QzJeQFVYZELDq29zrMn5gmvpf/Jypg+hXQeJAR1nY8NMXnOcfwGZPz7XfM3
jfWeixGLXPNjmY/a3P/P00lL+mlWoS03ANQaAcA+ksN1dhQfEr35Gg6txxBGB13Cpn42rIFia0BF
0CqeaI2hP61mWw4KI5Q6g+ydd4Cg8/l58N5NbokpbuB6X+y6ma1+2QGYglBYN2zz521H/YaCvMoT
IsurYTa6M5mMXAarzwtSxud3vCEeCUosIPYfvMnHCke9aWZiKUI3WizDmWD7biiwdiAR1N9RJFRQ
+3HU1nPt+KvrKTGi8EPrpLr96UkCAjsoiJTi15gI5TUyFUnT8alU82UECqw+PpbHSNhcEsTiXJlR
bUrqqN0SQ52ScKP8hK6ljAFaiS58pkjKG099JgYhfPrv61GkYv9lrOKRAcyJkeQCW40csWWN97Tf
oyqU4fgUjQTbgBZ7d9opV2u7jxb3f29xr9suQkEHtMZUNCHMxLbhsqZX7Rp/EECG7HamXHqledzT
O4Ehq/FgrH+uQFdeCEu6N1aoyai/S6UrAltPkXEcX3gk+FilUSbcY4IJrhzFokPmDJU6HMAYu1J6
mmnWLMj3Eq0gs4w1Xd5vVNUD3Qkg1hQkS0HjmZ3RWg4Xu7JUcvbZ9O/lj5HMWAoKaBtp5sSSbiHT
dMlKUUPvzFJJ/EEIEQVbjlG3BIG6Ld+BhzqZiLQuC0XxPzPNTWbJ57K4hjjrESN+L80seURXk2Ix
6IcjZTKehc8htoEq8hwcooVadRdHCOauhGT5Gxz/hSucfgoYVoD5ftlichXQHAJv8PPd8evD+Ks7
ilXbRHe6/POTkk2XjzKrHJjJ8zk4lPFYOm5x4byZpCidTTHGDNQhRYYaaKE5et9lOkgykERpYUmS
wQt5UfMualAzXYMNA7+1swZ8vQSVq1ObHpCC2BDMZjU5/yyYB2wsYcsPl8Hop1llV/i6l7DbAagJ
MDZoBr5iEtZsFilm/rOMGbpQ5GgbJG6kMyw2fSoWx0DFQVW+FPWMTDpm0wbAOObz/+V0vSa6hLXc
8mCSYxUBZs7TNwrKyC8FSOzhA09+FSiQ1g8hK3JKpIfnPM9RG1ypb2qeU9n8wcUaCKoPfvm8PJzk
bm7E4V0wpvK4qzomGgrPL0NY7qIsHx99/tQjc8ewHOAtzG1XQvKB0A+7pZUh9C0AgaNVTYCQJajF
3bpUmO68z+ndfSyvrF78IyO66Drr1hhCUfP2aaTGL1AwwWWivrs/pA5aGt23Mn4KPyqVOgSZib+F
wtASXr6j9qZu1APi4lDm9ujFvM1SHqdX8LjnVkGFVCVwRYOTFHV3kuGa4U5zeuks50KqLbd/CTN/
6kYhaL0V4JsidgnbXfXkwD/KnpagjpM3MjSzP5eNbg8xaC1Y76FaycwG0IWsRtxNivSkYDstBBE/
xxE8tEIwwL7XQ/KqmF5DID5HaF5/5qaXN9+aGZKWs6YN6gcr4P/p2+fJxxatqQMqn1+ZyzGAGdYt
Aukj6xBe21wx9X6ARTBQTI/ymHgT2Xfqv5LmzDITQYcWPPxSLRt5UkEot0lH4ago7QpdVJXLYm2f
OW8JxFWxGk07oQe7RzkL9oX8tRGIMA324GVd+irbRgtJnbyYEDT1H3uXEyby1/OL25mqtWHmPQ+5
VLcmXoNclhpu33ZNYKsqPGVev2m0GclJWzkG5eD8nB6qb9JLAECUUXuUjaVYiEXjDeIgDsx9kZHr
DP3EeE0FQJuJbExNSm0b9fUlnMs/sDiI+VAt1E0vuvxvAwCwyuoIOCkykrLYuqzIo6BdtlWMsp11
WTdip1SJjdsIIUxHQ7/gpfw93/w5PcllTX9UG9f1g7lPkXYwB4+KViq09rsRucIAP4dnLmb0LPeE
k2KuaCCNOghN9VDNUeFwJngTdn1eWEUIsxkmurZFGLT5L09O+qJOB0uZ+t0kxYD/xvqk2C3/4Wlq
s98z6FCFHrBNiokfD5OiHerlzC5jUi6Fj0Y1mto2i51QAs2ttU2lZUExWOZdpXgu5s2IHtb0oO8c
xYHiWTdVaPJtd8zhWLMWn+k9ExtPfAm6VV6C+9o+AQuRUaxgfENSj1o1qFJNv7v1qZN8NoO4FO6G
e3CxoQZzVF8ForwjrEmnn7dZc70YQDjYKySrR1c48H0Jfc3O7BrKIo/2bKh1nb4orRqSw4ErR9ka
elYrmvLrmQM6xajy1W7q//JJatuJiJLcQvD2zn3HsAaxQ6TrK5lZbfRul/BsbEX1fn4fxrtg98Tf
bVps/H73mnQKtKhU85SppvVNyI6PVou0NGOUZQCxON2N3SUZLv1d2owKScDfpNCSn84WZ1GbQUzA
vNXd04+jn6126riZjKM7juuASsxtWhDpW5r/hzSa3TYrCnmzncTUVtDIkdrEjAHSPTrUWOCd8YJd
j25Un4upGxsDaf2uKE3SEaPAybRvGMnDAz//qT9rybGziFyDWQgEuVF6tp0oS81cWxd36OmwsKAc
A8tbRARalSWJJ+y1OUowrn5r5CgzMp69Y1Hjp7BqoQynNyImrapG+Y8IMJP0K6tSemLaEQ6MlZkK
loKWBA32gmS9VasP72eTZCxOuCkdp6N/ArxfauErAo2Fs68rY25XDg6ALMOAbEaYLium6XvIaUyy
aFVXvPK//96QV3SoO7Jh/HyyFZuB6TV2FPbeJDhmPxN33hBMFufjeUw1WyEwpBY84aTQIaM+vZwl
jLQNnqUhz/Zrn9a5ubMnpHBOH9NXV85L7lCCWegewJx4kwmNn9+Qt2WeI3K/RDP/IRT2fDtSFSsp
c04zPUblkVYEtZhSVqgpfNNw12XAskhuU/9Z8Db8cqEkuug+etx8vlEkR9xcJTGA/s6TF7zZyxs4
Clahf0lHfzorN9KcoEkmILKYBWF/KAVFMuXQ22RN3t2Rl10DdiYZZZwIfEfCd6GmnzgZTWLapFVY
StQTwwgMLsicYlDtDtSfXAztflNObFuUANQRYFggdhPdHDs+n+PMhqW7GNjZqBnRbZynq8uFXBNw
G9qU5dmQrto1n8UEUyZxxQBnvH6eWcxtz/kO+rGTwJzBpEKtUqjurOqYzq30azMPpy4fZheFckBY
mtTzB3xx2PcCP0CRNVH+jnHiTQb/wjhrLCiypqmxC7BUmByTJ5jYPJK5ez8Gz6Lrwd9Uvm0sc+k4
oaVs08ob86j6mQ0tXvIwDOGOMMaveJstXOuozIYpuKUgnpvXJ39Xf7NFSGTII5duFO6wzB/ryTtH
aIuJsoi1HSwaOC16DAAUIrIXmrWN7kvMpfkzkT5/Xp6UcEIMrJqk08Mp6x84lVsHOyR1UGm/YqqA
sCJfDY47b1xYp6VZ3PwXRYAEI9y8TftaKdHxrC9Kg8lVNCYZsUQWDzzPVP8NNhf8/suW6Qc/mWNB
BokK/qj4FWFn1ggf6eirO18Y9yvayP/+588lqSqqFGi70zXZ0jvynvf0qBTpJftNfAgZvQFAtJmP
9KzozGo0tWPTbhjkEIVLCt3Apyhc1kMN5mhDqeHtHfMvC0EzdOk6nhrp3PESG79H1y6lC52plN23
PZrhCKS5gdOGzExhzYbsEWHGsLnx4VBGLEFqmTXx0dK/yiKtTxJ2Voa9bayEb9/mhH3ICq33lg+/
LNVSheOp/wEQ0UddHxoXyXgL7izQRKrzDnCDmy2f8emi5Efqn/CJ+z//kB/8/yV12Fy5jB9c8pWs
nh+F+haBjrlH9hxklmrD2q8ZGujRiCg6c0dJ+wCX40MGl5nSu6R+xi8QW6K+P5Rs3uG7nNkfHaFd
BLh9lSNjnZeaEk/6YkvOvvIKIdHSfarve9qtxtDRiqZnVgcBrIVMmNdKxngU1kvsTOYcwj3u4yeX
xbJ7ovYkheEiyE2x7ogZvAkp9WcdVEUH5fnit8KJZkFgx00JOQYAhuWd87dQEKwpEEU4fKkdTxIx
adxDmhP2z9BdsX617oBXqNjHlFazxuxTBNDCHFYWPsjSeSUwubvZ4go7FXxUrpDGBd5V2FRyRsAr
e8eZHCtieL4a3bBib0e7CV/dp8UBM0lRUwZggtMlziYS8MRDcN3xEaZ/j7Vdyk76Kuc4osbdS6kr
9XD8ym8VZ0ommo7/+usKr9FTeMQt4V5p640cQF28n+toZ/ONqhF6uDMotgJJM76YjAAaGcRHjAAS
V09ET7pFBLlRZNWtTETUWnp96Nsg3VRG2LoJArZ1WWvnKZ7Yj+GHbpkCdNzw2fun/gCEJZ7vwuEw
Pk2IIw9G1yccu7UFVad6WaFPwZP9vPt777eXpQwvFz+sQsgQdFjzeFffXDcFbjV1XAmUSxeMumQf
waurQSim/HvCEovoJYud3lzJLamle43FNFu10bGroKONA1FPp5h0iIi2zGV5qR9d4VYQa/2mrNq3
JxxyU3nG/LFOF/SLL0CzJxb4SeOR0M1MXN25cG7qHQ6ACn5SYw485AIgimZRy/hc6putS147kOWB
rgNwgWXmaXcROCAY4LGamAowgxpDvU8euiNI4MMtoHldwuPn1g2wJRfoWJs+AgkOf+8kYJitwgsc
s22MWuhgRYAlnp8GYQrsjittBtwhdzJXw+a2BS9xJkNpjBSLDQyGGTdT9ylSQ32dyoBlGnbMhM8m
2ye/a05EDT2FSANlfZdmhKWC2KGcGqgpziFHwL40zPhorkdYF4Bhbhvhu2ALtMR8DHJ3AdCC2aUx
v9Fi+/DyQHssoxhsB2pqfcXoifm9fl9CIbdM1Kny72dAgMvUlcdFDM6JirASWRWFEajqyh3uzrXR
Bc0OGFgR3RTR3w1rC/HLA/7JMema51P6x9BJTeZ+30vvrceiYS6VThYaGcOhglFwh2R5f0Zor1o/
iNXuGKF5Js9f9o1FaHu5799IR43ZvHtiTNXig77qnwtUPNUfP2EZFrhwqK84Skbl36ZR5sanEFJT
dBeb04jV1ULzGbCDoRUCgC4nBdnS5Hl685bX/SnKohVtmPz944n2Y2ydCIv2a7RekHNIEz/VVeMD
IE9aqQwQWAhUng7hWiu7r1QS5/7f1umvGi5Nx5gtNORNTYA0al/GZwlagTpD8Zb1KtjuHOQRoI7m
6+58blgf7H/ybqCJnHl/vV7qeJEDGZuDYzvP4rKYg2W8pn+lNUwZsnYEyO8lilSXB8FaT4ManVUg
QqofGBeilus/3MG27K5iyWDs2RR8nsgh/gOiBh0P4Q8BpmEdEW8kRMFStSdqeKUkKbWxddjmIBLB
CAoYQm0YkqVkNRLlCN3kkX785NS1V5H/QKLpT67/zcEnFr3XFpMND0482LtWOC4nCMLz2HXiyLnp
VAI8oKgSE8bJfDBjmdcBzsa12PxfMk1Km+NqrKiPRp0laRrBbblOvplR1ZZzAClz5fUIPS6OZAU6
WNuQmxqI2iKMTmDxik/9qeg4SH3nvMDl+Uf9hBSSQmnQGTJ9FYq4kx9WnUxuTq+8DljL+uLpLvG1
GN+Bu5s2nSadSwxRVF0uczlLWGUjVkpTeNGX7y0Kl4kMeqfWkSXmBcxlOVhzMkmXx6MuqAMgTIdv
lh+i+R26GC7TzJXxV5zGvIhy7qCILcU7f+VodWCRiQBwd/XKMVP8W2bxaybLlzBVctbikPIazVX8
fAzp0nXRTgt3S5uodurvIxEjgPz1tqaLO4BKav3a74X/BMn63btW4XuTIc0p/6QVAer83/v0YIor
dm0QkwzfF0hZdYEthXJ/uwk2fZ++4bIHF5S4ZR5YFaoqhu/L2pilI8jxg5lzi4FhqCK3CGCEKwgD
vNGYyIkrzzkRPA/LmEjaGA0XiPOLLIyktqM/XtcLEEbgL4hPv7H7bINQggMokAU/Pcy57wlUhS6B
NAVgPikymtl7tikE6SxdFFNxE/TYpyut7/Y9cHlsjXH/TA6x6ikiq+m+tvcusPfRtl/4nYk7E/LG
Jyt7AIoT4daJINk/dFTV/cPYQgcOsSf+aoRsrXQGGW+eff4NX6dyQDj9GfFNC7+SRxhybcMMWtbN
43/B/VUgDf3mAO/JpRpsMzPFb5fpEHrT3J62BvEMATPRteuZM0ZZ9g63QAqm7/mSKZkX5YCq2FZ6
9jmq5G0FQtGhqc6mSKVCKNBsFglR6FoS9oSjq+o227qdIhOoogv5mgyEGi5MB6G/eL69ZE0SfLzh
LQY8A+NlH45vOQWGUfsRihaIFepq0Pl0aRbxPMAX4YOdm8LkBN/4qx00KztNg4Sg7yoyhpRaL7W5
BwHaOCK78YDzsHaYaxVB69MV2QbjVWoZcFJcv6p+/QpEgaiNUdPuvpI490ElUbGuzrrurLlhUXxm
slgRm3dr+fCm+U4EDSQSyYcZZQn62Vdq7bsku/ddnlcWASnwbCoFcxNifutCGn1gYFXF0fM0zK7K
aAoQt2ojb4IjWg8rW0XytYMlT4hF0Vd4db4VatMOWykjLcbkOeEnvwJPCXgPhx7J5cd9hEL4oNDZ
iidX8EAqPp/rZ0jQ0tkCNYcK9tkF09YdQPbeXlHrvMAa/hDoI/GKbO6kjjT+7Iuhd/XuQTU6KXK8
bkc11ZC9rqYBSyP5/9D1uuiyafSGRU82O4NH9SubfKkyWaIPDUIrOYDTbcQioGgEIi3GgMXsKyD+
oXhlhwiU1Y11C6x80WGBCwr3aBcYe7rTMqsMz4SabnF6Ywb6P53rc2Miax5lyg+Dhxzt3NXi90BK
HppjyM+gqmvQHI9otmnTUw2/6qTUTOlkHClcQ/geoPRKq3UZvTRqpxQpux3GBcYPdV5ZgYCZ4qp9
tcK9j/thdpyrIwkUHlk8pkTJf6dXdKto/jnl8FLbN9QSSoVJ6X1984j/oxLz1KFUx19LtzQLTD5F
ve5QKrE3I4bJrf3O3vV+uOFwA6LYk1yiRdfiP3EeE06sQiLnLAxGufTNrmKWpX6IFYIj/nvOB2e+
upYlrx+CHGvMYy7JwQIJpEAhYMlaLlt3W7CjhXN6t+XKTTkE2OZJmgaHrBt7Ww0h2KOmmlUFQSB6
JJxO7nQ9I2ADFVwEclkS+oelDWuWjwquWSsHTpkX7ep7bvOL0L1fRpW1FiY/yomQK8Vvp8Qjvk1w
x9sviCvyeJtTBTiJHyKxqIQ4nLgtqH7SOBe8oRKfXHPIM4uBoKgdpb1O3CUxHyQ1S2xSwfeWNIyL
xehXtD1NvMnc4mskl/FcCwhYnU6HbZRbMph6BRE4m2oAvNVOMuiQ2jBhlw3gzNDOiLqk1fIfeyBa
LTaTG3FpfGmzGaUS+i4omt5KCEj94xVgJAmVnJW1Pz/9xJZXf7pD9unLO3TseOhN3lZbB5KURG+q
dEWO4+kJEuNnbMHYDRDLr4+iaYxKx5S/98dL8G9tmrlxdwNACzGtdpcO0/StycaZoAHoQ9jhxi7o
JL80DRPDewgS6oAy0emokB8VFt5NAHp0j9Qf3ihnuoHePQn/pOjpnOTHFrOpHnefylLK26cuNPcm
xFtUNN/tg7oILW2EJ4WQaApySKPaQEPclc/QOAYh6ZZ0kKnTrQDWjY+9wgX/2ZTMLU01TFB5rAxn
+PbGCb31WoMRNpIAuDtQkSdaydKGTERtDqDnZk7Ucigoq9WCoavKBPXGx8O37E4bnjsp8OoiWC41
7PkO9fQ9MbiGOlyyjEjH3f58IGKu0IMTijoR7SgIBY+k0cI+oJoc4etjFEWQdfTu3WXeE/PdNhXj
G/9PyFFsRPX/tQoSfrNHmVwOcqXgrOQIUFaY/qEILPP/uZVwZy6fGdNjp7WcEwIbC1BgfEdmOXZl
XldZupCONeqjfidIZLfx7AJpe5HEYQ+c4QEIZtUgACv15Ukqijphk2nXIKwcxO9Z2QfahzcN9Fsv
G4Xjx1LJ+iIY47X3zmaWMa8L2O6c56If0W7UnSheBJAGzvyu323sHrV92GYD5yO8ul8360CtxWVe
5/RxZ909qLt/ZfB0X9OwuTnFxIaiQQAUiXA01ZD72KzM8V3/xplIloFFlRuTPZFhyZHUrVnO3oFg
LtHfg/FFLXpF1pCiZfhgWcCpmpd/aRsgjhewARiQo+VANim4aZRdcmycCGL9qqgtn0BArbKZupOA
7OHAUU5InS+iVtgVF72isBA9jbX+YWmdV7g2D0IZrNgUXAxE1+L4IQnRrHKPY3ePUpQlr5wbVS+i
kWBKQD3bwLSYRk/+G808z1iEMAoBWTbp28vUSf7JRCA9Qa9b3Au8RYQAwl9z/MKtAp636cV3z83/
Pha1tuJsJ5Us1a9BeNNYr3f/I8AlVLr9RMGThhcdC3yZ9Pw94nkOBanxUgfK3UQprDd6bLugr6+G
ClIdZQYjHz36clyplmjUZj6NDLD1CaAZEVupiQK0ZC4P5YXT980pRym0/nJRhKQzlvUDvsZyh6z8
asccmA+cZB4OpKeVGpKAXJjBCuM4naLdWzFQ2K901QSje5N3dqclYg4rnY8j2M1iHeFBj02HPcvI
2jW/sj2DjNxUQzH154I1OG3KvSd0pMiyWtdy9aYP9KqScrbhFzgdu5nC6Z4KtdQkmzeKt2V2laXq
4TzgJhQtp8pohlhLLmdRt2yHzFQeaCWnTCCrkaHLf/KAryZCvbfZ4UPXHPj92ABIkcSofdf5evgM
920QwDXa3zVNuF6wEiksJd9D3f8xJlb5ZNaK2gMR+7BgwfOn3dzqy7gz9uW2hlVWovVtANDZxqrz
YjoryW/+nZUSSZg34pgBAiSmfiw00DRIIoOM4BBb7KPVqwFtd3nBXe6F+TBU08y5WDtWGi9gjbZl
YQBTu2mH0DDETcms7hnbReMJ6gt3WI5eKZCT6i0k6q1n1eBc5jHGyadIcykiRJDsjeE/00FExKDn
+UDd8NghS2k1ChOrGhVHfcNlj54O/oRIMYR3f/UrWXgtN2LdigSxLq9SHvQkT+/7IMmbAFIBmI55
N+CcyPz2BTgADSTFD5fVKR2kztoeGJ9quntNH1sboVQ6k65cTvGGHzJLPjwDYpoJCVsOSGUGVrDg
7w9NSGB4JPryIKFhKLjTGtCI6sebgOHQ5rVH08pBmFQQeaU3ORLAbmM+AiaslLRXIOamZwovA418
WvCeZdLDk6mIRiWh/SBbT7AGFWvqF7ovlDSm/r/WOpNTA3CG/VrSeFMyknguKvEJBE/bHYO3QOLP
BM31ry993XhQJzjY7tbmhcUfvlTNRxF8NbMnIIp8FGaUX8pYFtCkXIA8WOPtb6bqndy/S1Fse7Dt
ioVzo9sWPstR/BRC5HnAAqRKcKLUw9fi504RP7KsIUxI/V5wXtKi9ZZOxoF7JfvGb6ih7ffQXLlI
SrcrKz1DZJ/rSR0torsYz7G4hxryaCwo7OICfnib365IXfTSNW4N41mAJxWbzanHTBCINN5DKm8l
NAYx275P1L2OdKAtSqJl/cdje3HcbaHFOKkT+hkD3iW7L66cE7dRwahPtK6Wa9TH2ACdW9SN54uO
oaeHPheuAceBatvA1EDLKvjWLXpUt4CQUviQzv3GSvBrfIJa+vIQc0rSq8F2U08mVBPVs7uCKhQm
Ge0kEwbapzDD5PbYgIKhJiQTT8u4Wls1/xWNKx1OyaKnQP+QA4j+6R9MFF+KzCsYCl2ZDqh5/4Gt
mpRgeHha53cRweOrMPUaUKDFJSoTZ+6lJSHzOXsJxr6IfTxmQ+POIAxLfyLuI+YBRqvnMMKFQ0ZF
cWT0ed6E9IkFxx9Ifv1cXAt9lTu6o8GegP13A1aLBYQRnwDX6Cj4IwA56xDQYIMszTMNap/iaV/5
n6PTtsfmlZm13DtcwWBnRZWYnZ8WGEtNPFtU2FuUCvM8+l3QQEx/W6bBAEWckuH23qPk1biUQvzU
8a4UgYMGXTNefxiyEJeUlP//FYr+nwHkHSZiWaeGh7Gukx6Eg/fwQH8I0zx1bxHgxbF1fxVgPUtA
4WcW9czbZgle/6+uWN7QPQ0dlvlX6QPiYo6WrmUW6JfEMGJ8qMn1npDtJSCydemmLT5GasqR/AZ0
0P6YtMlQnL05EnRWPzkKIItRjjYp7NBTsa2nTGgPVDLy9PxkBjMH8dxRrdjDDq8ZVqBCPyvt9pxi
5/PW05XdM3lyg2mkLULg5138ALR1dj/HU1RS4h7chYFTroHrguQRYypHzm/+JB/GBRcqBBD2IMNu
25P3x0JOW4ujv1g6IqyhDuMcesHn5Bfp0UgYAdrvJljhbO6bQ/6srb4J3HYgIdbJx7ZKumbWAyMx
1bCN8j7qDo5CYauTxQEhPr3U32It3MMzYjiFurLsvB7xpRgia80caOtOtxj6iKF4wETfNjzDg1Ux
dPxn98RhmNQJzjsapyCa+35Ghsoyv8WC182+j/x1l/M9j+WCooHXBmDLX0iJy42PwmMtC2n8Saan
7eqgWlOe9f3OKmojGjGEqSBpTqZ7RcJPPkcOB8INImISfl/DRzeA2Y+G4/dt3OjEguy19dVrkPbd
OoLZvcyEMOsyC2OWotOqxhXwrKCuW5MTvA0f5eAJFShkFWiMpALGjFBTUSvXu/cL+IsfDINqfxIb
GR4MAWavYCAK+oSOpKyNCSMrSnOMGTUbK9OYaxXgoTICMob01102I8GSfFj0PpSRNQG93ECG6Kew
gd/8CBCGagtCkZqiUGsFyca+g+VJKFzaetWdWOy1Eh8JmXxzseQ+EWcdrV0lKrSx0MepCB55ygFY
oCfKLT1Cm4ITe7q7iZ1RYUsZV6ogGgsIaPX6KnMnHSu2oYT5W0fXnHGtPOhlOqXagZY8Y8WIPuXU
kfuSOoEwSL3ZMNuDsYPlf7O8iEp0VNj3hZ9goig/VhHrSYlS28qAP9AWjIb/o88V+HOLIWDEZ5Fi
rrNoJtfn/vWFrTXB2ohJFwcpBX1OUij+PILE01id3aHMuDqpydRiwn5zSVA9DK+5gYt3JVw7cMLy
a+7ppFaNfFWgUX+IhOol8CQ9lUgkxhCCC/Iwm4vwuXucFQybK48AybpmQQkIYi5iia3WI9IQ9K+v
GPezyXe9wyRSoFdVPZpdqAPJvn4vf/EU20guLnvjWLO9yA77U/AYJiiZKGM1TQyVGm1yePJTl41f
mrNJpLscLObR8TH6eLbK/lvkKf9LZ6+Uph7AH7d9c3KlYgtD2DPmiMznnueKyVRaUdFmaUpuavAN
upqTZn1sALqj8sw/AHS4PFpViHPpWWvtsW3UZGhGaf7ihJL/2sk6lDp2meGtwN8L8rxPim+2Tfw3
L15QM9AYrfvHJuAgfpf7Oj/GXDntP6GfhPRsY+UdlJcg5edZP5iuS8Qu6xkQP0cRoRk+WDQtcwf7
a8/vJlFfCf8f1i9yG0T2RJxUYT58/V9/triY/HophpYNDdFvHHBPzuiTFNjBgyMIA7iNt3f8Zahq
zSVfNiwZPZwknOIosnFRs2OGjNlnP8Jd1DLd2bxNplcbMwnGvbiFfRSl3RfRcaP0dddH/2sG1QVi
wwyG7ZOvRJRTlcuh+JrToz/pwSYY9q/L6UBlXudJzXqvIMAwPZ0TF5z/CfwJxoG2sCybNRPBA93W
0B1E9aP5Z3GYuLW5MSxkuhFb9RuWVtPvyr8QQKbhH5sTjCoDOnJT4rC9dXW1gY1n+6PHPdXxKeDe
z5UN1qLFWBnNKm3UDuGO0ripk3723xg++D/kD2L8p4jt9yCm8e7kR6j2PxagtM5olZQRCfJR6ky5
sahCqwzf6Ho2hGcUn9z15P2u8eZjTj/ENuy9wS1lVkBmuVcuWg3gAUbE9A4q0GLKZ+nbmcK5kXvz
LHFCUSrvWnlviGLOWe76vQXVlORWlrUZV49Wggae1soHLFW869OaQy78AWefbTaWuQV4yAiZXsVj
yvF1oThBKAWGKqiA6H4az4UxgSakA/GuHHnMiT5Ld4FYozijUs45N/O+rAxblm0DxuPDoe4nCdqS
N2cA1Nsj5qJYM2ybtiNoRvIkJSD8gxeeykqciGPyFa81HvM46BNdYuvK7TPfKao89rlJ3/+ww4wk
NV6cugPBE132ily1kDQjTQMMaTBR3h28A62Hg0KPfRwK9FoOCgaX8sE3UirxeEdUS3NiE4zIijF7
XeExGp66ByUpzxwBlxs75b1osSdOaHJE6CqZxlzuZUJOsnJ5Z/2p2ZEuk8FhI7CWBipL7eblAB8b
8eeCLVFS2yZ7t4XMrQ13aPfCz9wXUTKFeUBfs92FlN3IY92NzImiCYGTzfvAj6Iwgui5KoGgiWfx
aAdeDh3bH2QM0fQWr8UDlGwRX5h70Uu1V92YOmG/btgrp878D/lywYmieHEtB6vuSU6JwNMUdbcd
DctZQSBH3+d65WKvd1mPQxYX0bIUee9O4bY4J4aUTVG2gO1QTXb7aXyesblMGDSrSyNIKem1qEFH
OjNnF1lPluRtqqUJMYcup58w16tnEEzO7AwKoDDysyKeWfOJjuEr3Pon3zwHQeicDNCQrBS4EGxb
NwxmoBevRYqZDIp3GY5nzUxZX4qd66Hvs+yFf1H5Og2dK7RhKKG0mrI6o8uFhUa2HA9Kpqah74SZ
axec1rsWdoXYCE4TNrpeX7xGR9fUHFrj87/PXdCeVqFHvN75h+G3dHCfRr9W+YlG4pzvimatv6oT
qW0ZoTh0ZelrQdoLQ6xxsVO1fvWlyPCL/ucY3WPWeUSJG2fdLV09iFLvyOeDwUmGxLlkpZOrCxnZ
UzATqBa/Jra1gqeOqGdL+CqMXZnc1l4h3jndBwacFZr/9F6t9/lBB2UumVCAtWUoGcPP9vOPxuxR
ggthOdXR47jwGa/y3zfWcBbYt87Tqcug+OjNqK2bCBX+ov/Q9TDumk2zpD+Lq34qK4TBxbYXz5sG
nb8qKbjRLrKF5roPxtT+qlNswqO+iGUj9FzVkxwfJgxXuHVlmgwxwqraRkh+lEmkXGFgc9jtbjxZ
HnSztAsKD6uxzHj0+Ew6NI0UftWXXjYQR0zXgXeAfg3k62KdH8+3LrDlPxf7vlZJoZYA3dUQUNt8
1xBsSVPDcihC0+urWMTCVd6Rn+moG0lSS3zO/XopCfXuADKIDGJBUuKYerYE+gkEOvTa5VKOHVqf
tXujwVRN4Zpm5m74NHd1rg71mGdHHmqNguDk9Mtt/imf8dhsaDV9CitQ9A/zEGzBeaWwx5O7AoOd
3++f6r96kk32L4nPBLRBPATpNT+S3z3s5FgLn1LmU2m3Jjwrm2IGrMdonZceucT85AMunl/VoEDf
zK7L/z30hnVdjFkj90d0HPTmPYs8pOEaB51jKoflcttQy4KgspYiItRdb63nEaMr0RnaBTjh305d
ueMIQkoOIHueHyDsNfN0o+WeahceWbisuv8LO7P8A6CR+dhs90b/eIPpHing58hk6nixuqOOuIpg
m+BgQp8PdNnkuTugnIXfRC6EfIj8cIUofaLkuVEhbNaDZEfA6SGjLMNKAS9LMuQC7iD+QtzIgN6Q
gFUg4HIBAFkBfYuHIgWyVOOrIXKyAmur7S/YjUoU9fSfqjLN9kcO6WCTzC0vfWyIMuVweBeremIp
sCYgB3oV7Ozv/n/SXXBBOkQDQ3BEUhYxYzS7TW+a2CqqdZlRtrOtz00A3vW7qT6ib/y/GLxWsI/P
aoGj5K/fjNlyCQjnqFzKaQR38ZrlHQjG/wHfPr+6iX7e3f9QAREm7frxV1Te/f/Ub8wFc/tjhl3I
PeVJUI5WHitrjk4b73OyutOPtAyoNTmSt61OY1Mhj6FI4ZqV6Wig+459VcZ8q78fsyKzpnMBLrPO
+cUeoMQRz/dgCgaMCZaOw6oGRCEF6s3nG3tXHNJKecvPz4kGP/UMROQevSnDiltV0gE41bo4TkeC
4c2XUEGiRi3fu/gxyZcq9kUzmp5HThizIbbMoHu7ErQ6tQ3nXFrgM2295PAWLeZEaqWh/2WKyURl
oQ3NB5vL1gmNxkxC5tP3pFlOwGAepXcc1FKtAno8kWOQfoXa53Vzlzi6pSfLHnXQ0pDvhKhRhzQw
a84TNErGXFoiR1mxLxuD0qOt1CV5wpt7cwX8jQTzVm5onorwPE36RNBcb9rYdLNirykkpOuEYWkm
9D864zVzWQiQfdGPYRcydEYSfZTBNeomhZSbycJNlylx9fQ+5F5uOZ8KksvLs8hzPQA50A9ASo0B
uvJdjWx1syYTow6q1JGTb7/XAHZiOvvumhF84fvdkDW9UzbfkQvsTEagVmRPjJ+6fbWrCZFirD5f
RfEV/SaVgn8lZDFzGYBUALcl19QtLGjS+iLx2JuybHyQ6kzU2XULy70yh7bt5Ybtj52SgCBG/crU
Ca2kksvtwhUPpPoqrbTfCuYAXaA2NQYWC44CjlD5DaPmE9L24uTdhMavUSXr+V/XP28kUaMNX/dA
P5DNBs3geZQ/GarPirshnicuTd70URdkrOLeWjt77ADVvoQ0Kynxo9uGsZQMD24eDhEJuYlMyUuw
jA6S/ySka8hjoCrUEU3fXPUOIDK9dzr7hn7lVs0vXZsHzN81cZogv3RSt7w7PSnQVYu0+1FriPQU
b3/Sz4nOE+agqSAZMiXvFh0IVtNFHUmA1e2ZmPb5B6dueyopSiXN82BOFF98NuuXHk7fC7ocM6bh
uILBHKPzpowveWQNUXkV17dQovNZo5PeaRWCAJ5Spt96rQknw9Vfpq0st5wYCbRLJobGR+aZlKGp
UccRilbrRanGio6DlmqXXZoClSFLviIVxsEpJZKOHhSq45Gyn+uMPWveqxiG+1FGUEs0xI3693a4
0bA9eNLjFom5ZmPHepiFhwQsTHk25KL6qm2v354g6065JobE65tIoFVWo9RRWYwXwCpslsY8HJ9+
lERYGwxS1uuwbTrTGMz/5ztFxVHaZAGKhWKRDQARC+hKQhoXfFxOPseEFBxAMuS4FdR0zD7AOXXB
1YNc3t7pezt4sh1zt7NjO08uOQ9OqrOSVJDwRSLqNH6TRL+9SCABUq0cLOKbYYaCAnWlc2Bd7Ma/
TEPENWAKJtY2/fC1rh3meJT5vWN2gpfYSUHvTWkyfTfczNzaQOFe/mYVKBEx8dNTduBCyN4jitJF
r/B2H433Yi8BCR6qWKaZ2WvnWVs0R1ya1d2mZk++JKsRLOuIAG5rEKA0/HV3saqT19ODJ+CHPEOV
l+iKwWgJzn6uGXUg7DoMGdQIsoxeQ7TBOcVh1Sa/Q547PxEBtjj+CAG5RYsYOOeYMdL4bdFpFCny
c8l7vIPhzYq7M837LkqoXuDAPeF/a/AVtXTUzFkZfFdKOewIMyCbPEK4l1YfXPLLHn9UcLj9G0/j
QIOJtfTkZYRZTaZoDUp0cCZfbSMK0zo2qUGk+GiPy+94iN9pZFKWws+0ZGUY1Lz7VkZPLczLnzNr
xSAGWLP+MJUzf7mLzelzcM2ASI4ujdu9OIsLLR3JDLhHBN1/RsFwec1YEpvh4Eq8XAcg8PaEvh/m
1qip57/K91p3fwbHikEE9rVJgRelAZR6jQ+xdi2Zn5Sy9XV13TvJ/7PV+/irywwjR5JxvlIfiRqL
bJGfRabHR658KzEmnBwKonI+RPbF1B2iET4iA/5thTPkIl01ctHV1p1pJuwfme8K9Xn7daVodJwJ
PIUKmivxkmkZRXa3frnpUO470PPAt+IwX0MxZ7xSRLJKWEKJLxjAalLYLy5dh88JpeomRPm1uwsC
qZNWdwOS42PAgAk/vq9UtFHg+3wi2cKWiM+cY9wOkLAN4KMqNVV6G3EE1Vc76wf2nij8eJSQNqVz
69lx+TzJwjwNAF77Me0uONz+d94/zEzTKS397vN/PArkCy7V4xoKnbRKvrS8BYc1TBLueKFfbKNr
Bf285PScsVLe1lvUBdRJWQBRtwZr7LMSvE37FxtAdlyqsoB0mg7slGz4aKEyrZzi/dU1oskLHLRI
8VqOuA6Fctaa5BYjgksW1qzNTBNoIZwGsBwazi0z3krsTPtNWB48bknIL+ZrIyWPfmKWCrXhIRQB
ALZ97TOFAKNogt7C4rFRDcHwRa47e0tPESfzjJq5LY+KKb55/BK1GJVUILSGb3ganjelsvH+uftq
cyD36qOwa7nAdH1Z99KwWk70yXadvKKAbVM81iYCj86oFuMjl+UPjiekMNi1W4MsMQWEEzCudXZB
prONx53h8W58CT4OwSkSUxq6Nr77eJdk+UjCE7FHVyhkG86bF90gSryLWPpAGVZoSfXMUhF7Bo7h
P3yVsaX72mDzczIAh9+WS5fgBRviR6nggtPDSy4O/CwPZyeKA53AbPRUhiloOHoVqRZO8oHGKPAu
yFZhBc+TUd8LSNWeQSxO75rwQYpn1aWkwPHac3bwIa6drz/eeR6+Pil6nxM/MKgLETTvGn/pbqtQ
653JNjnJUeWVIc6m4dPHbKio53e3MdJPOJ2R4kWTqxGlgDvA90LZFu4kzs0sZtvaRPNJ2FcyzaDq
OnZgrTpf0HcdF0o9fQc6TRu+aoI1S+cUaU9Myo2QPxRUV8sA1osYvGH7vBVWByeA10/dLK53Ggws
TtUnUA4zhAvX4MTh2ivOVbzkrZ3OY3vb0Ggcivtwjlw0GdRXdFf+ucAYVQ8xw2sarng7roOoOPqY
jumZlId3sFSQBuQnM3RmqrmNdIWuhekIiC8NzhL9qw2Mego2lJOnGkVBqsG6R5gsQqnAjr99h2Hy
/oezIZjwKImUilj+wnclp1DxIPIMzNsbRyXRm5Xap/293FGOVuR1Q2Uk2xWByGnNBwylNy0GYoXW
cA6m+ilMc9LeZazvcE2j6sc0Izef0GjEOhXxDRLwIsT+aNZmIG5tJuqfUAk7MV1fiNm/CdJTvqOq
UQsAWlqQUPwXtQOCYuTYepdjz1xK0XekEwaurTdt9sICN7/Giq1bbk39dK/5hUCz3/9lfYEhHuqJ
ldktDr4ZEWpNn5WRwFA1uw0mWogtDOexjE4FGAFIFnrtOWyActlWsZPM76Fl8/8FJu9xON3h2Xue
HbtZVShnXX25RZyE9Owkk6htguBAS56tGDsMA6axgrSkeuYkBoYXkrDafGRmGDx7AYSGIXe31UwR
3o43cPsrNST3ITiJHb0AC4qj8FslJqstZkbdhfGYCYJ5Ccy5viX4HOg555ojidv2JvIbksbJ6Nhs
CmdlszK0jbep7LBfq4jdYqKySMXSjcNsedOWHePurFxGiiYr1lVB0IySTpv4NsVpvfk28Zpu3F7H
/zcQhYYSAQQMeCDKLhlPAmxwF1rvsprI+C3YMfL0trpOn/82wpKW+pZ6ysM3prznJzhAqgyFYmwO
Vgn/kXATVdGf8+xjyXeue3TJBtX6LNFlyd2UGkmwbVGg2aCUJpGP0gPRW6WMvFaBxAbhvLUtoRdt
lrAzWkcD7aFD/eU94CrhF/ZUxmAO+ijtE2cY481s2nXxvVtBjDnzP+6YUxy4XOKfB9fVPQ5qOf/T
Ny2wks8ajfjJHR9h+RxGPOMd0Hf0VMafXvPALNimHPKwCaVkUgX3r0t00bBOcAOeDRA3qHiGxYnd
UW0rGadcfiwv/2eyLoh/F6Qqh/6g+TWEXur63QErkEDl8Vn9I+AtEVcVZVDAFx4nU73cRHP+jyO2
okgatY53HyyEFXb0PLOT8WQt7e/AQZrsSySBkRf39cdPDZSyQ7rX8jZ/rMSBGm2H8+K7i/qjFMyu
R+jX8sRdCbl0NV/rW4x0B2R+NLISAO/GPOUlTdZBQVs3GWFYLJHkamhjwZ6/96yEULh4Oz2PKjkE
vFNC/8hKD5bNZ5Cb4R6vsx2Lnv0OT69vOdX0XLzYDi7bIY0AJejDG11NCExSV3Ukc7QSvSNOtTc5
HBgW7COs8Ss5kCgAtsvHO4E2SxD8pZvKQHHTZ242VUesIh0CGGVAGGQiXLtPWhyV7OIiL525IPrX
BB0u/JtiFeUAp/5ib87Yo4f7Yh2rX81jSDeHkyeSpTm9aU+wmpepK0nS+IS0fhlxdoGtNhOzm7Dk
cQb5xMm9uF1GHnhalFCg8Lp+HldOi0Sg5RrsMJaabp6eYOLXTjdyRT4uTXEU3PbFYzUk4iSHJpTc
D61GeqbPz0IgbknI8RDJNKPRlQE8IVhVZoULiJu02MY4YBE5CNqrxtUYgp1cLz7iEZnf4NwXVp40
Va5yO0WIZ1i/yNC9je0eNHpe8xP80HU6G8n2fSih5sH16NcoPbIWjLizCniC5mWDevW88rABF1p0
G9+/X2IJD1J1uMKgfHLZ4epIA6M7bFKBoqV/7HMl0qaBkrMPb+jS0O58jbi/twQ5u6VQpnplGmwD
vtYHKeOXbBXLUCvjTl66oTehF2pDjiD9FzGsyJqCJDrmrNblyF/KaoYniIzcnK1XKhtlS4NgLz8n
hupiDV+ov59B27dgG8N+BBZEGxYdyXCRV1NTAIlBrh3tVqYHsJtMhUvE7SPBhxYU8N7n9l6Fh+tj
EUITWp6Hl/T9C4a1M/7J0nJ9rKBKFkI0L5ABDgrmb5IfVUmxrK9uyfOC5vE5oQ2UPvlP8kd8bTOO
IUNeYWsajDzbsFzYFAK9DRM2tg1jh+jmcO5XDjoPWqJ8X1ZKrcnsqeuC7ytFoSuMiyIC/hAZkS8U
4WgJulPVHk8eGtVmJAFp58sf6ztM5iWjuADfi94dxxp66opnl0yw9nCTj9Fya0ZuFZd0U/FpjOWD
Okrb737kmlq7wfoS1XcbDxhSw+ieSYvMuT18WWCUI+Fwfk+SeJyMusRotTsNSgK96jyPFgAZMWhT
f1viRFS1NfDXlCoDO3W8HB7XMry7CS8MoLH8wTei4G8Bo5SyMes15g+KgGqIQLrrB+KW5PLlS6Vj
glT4Em1xqPado6n3aKxuCSCnnse1nAUqM9szGv745bqnQcW8oshALULWfZc+nOh5xFGUzLFoS6W2
lHau8myouieNSl11K9TQncdGABMMU5EOfZQYlyIR2kBRjTSxXJ6/BBBk/nmuW0xbxqBEKlu1Z7pn
q/fWrFhQ6DqGv8mif/w3+YzWgdp/a3ZD/cx91oeAURAmsY8TCKth4BqJE+nbTSNHlo1C6eWtOWpl
Xu1rR1GoKhFZKE1JwhZtkAfuDMy+C9V0+v4ppvlN+iPHX3uepJo/fJUoNYwsGWhLXaK7606cqL6e
IM5cDHLX4kMyauSpTlDYlGjkmF21LxV8Q0fJU1k5dN9OW7lWYlVsxoPhEBoNLnqPp+vpY8kLnDIC
brMprmqylWNV2nH3wAue9a7xPvr0zimYOgxwwTkY1CkQ24lfdKwFQsTrF3wi6mzffV7jDaS9PtMj
dXWq57n6fxI9Gdm/3ZwSGKFPS4j/V2oA9GTUvSgPUy7iQWku9rdlWXlr0roV9NYrCedClnog5nWX
U5e6aVyEPfiLSluF/pyg4wRIDjp4x+xfdT614DUPoPNE8D1juaV04z7FywWZMxyLhSe1Rl/Sxvlu
U5O+USgmRUvudqGiNJ5JIHx0Se6DOVsd89MCtfyN2btGqAqY5ZghMPqt8U0QJZ/PDPucOC3VounR
1tEyyOCMpP9ys9IVBQdP2NdDyEj+Sz2i4Rny3s9do7jDmwsmfK6UsmqAmhznxCayP3vVgxRaljAj
TpSjPFQM8Ix4qWXHJ6pYX9kcWY0+PyU8a5a5wRU/KTvOCXsBDQl/bvN836pEOwnzM8F6Sztg82Xm
wjX19F+zSJPP0eQyORn2pelx8YTu32xm9yuiNCSv+3B9UCbobzqAiuNiHtqz8P8F2INo5QcIMreZ
tTYy1X+BUhtej5VpGrAqi8r0qebVxFzIm7f8EjAi3CbnFYLcmajUYExPXkW/Z3M2Wj6mQx9R3WDt
QSE/cEBz9izJ0hkOlLsSMLZfCzMHiZndiXe5o0xKTvD6pqxgKc8AevCSLreaJ50gP514p7i1R+x6
PkSdLrdc3IXw1cH2ZiIJmnmjYbypIrkA+FwPTH4BpAKp0HlTgNEFTQFfkdrhtnYfdxlMlNhPfPzf
Oin3wxM/HqzM+6/37FAQbdcVDET+c4KbVamrSIulOcOTg9plD9gG9aLuzFBQqxwCPNO0UkDagIpc
bKEV958K13b1ZHmKaHkFa1JxXa+B5+5LHTGwC/seTDX+2MyK173wNrzNXhbxfmYPT/EwjYFDPkOc
7k9mGZdT5s+7e7/lBRFXvO4yX8Sx4V/wbOm71R1DirioFsfSEQj+jyB8j/MbHqiWk0SS+tPdWWQj
Z5b+5gH2TAQO2veAvrn31nHr/vj2fu6k7xPRw102LfUfBoQFIX3KJLf5QSpw/J8ygZtpSScqITJ9
fDKd+LcVEOUvZWBDSSAQ/Uulrvyn3Yy8qrqjwryZb1NE7N/YF2HPSysf5V2/rUEEZTBly8X/tkDG
5VTYTgG95k0Gzz8CovZhtwoqBFhCecFO9Gi8CZX2YPVIZQrbWapUsTChsy00aIjzIceRw3/UmDBE
WUgAlJaltrzODB9P6bvJXXOELPBeJSXv32aL6XpuiEpICoSrCq2P+u5tk6wlZre+Nng0JzFBmSDU
Y0MZsonxfIk3QRPPlQe77n+0NzSY1BQsYDZl8QUMc5/cbr0HR3UgLMtkLMd0bgsqVBsGu5JtTNQ7
Nx+rX7sHKX4k+sczijhPbXeWCCu0U4LUlK/0BWc0pjV1c1Su5AibpJSoyQwt/o3aVZKWW5gcj0ST
K9XUCL0vC4Swn9N6AX/RahP7CqnU8v+Bw3AWqxw7lsu/I0mkOzKq2KRQA7qmEmlrEuYnCHdWBJPu
vx162Xng7fK+xZzNxzO1NLx9hAUOAs1hitrcTO/EDzAJEEe0S/GXO4NAEOIYKG6VMGuTPkT2PlS4
qaUrG3akf8rAtsBbP9ltnOqdqmM9FCHqse8A6orx5X8Sbt/qTiwuehXEikeOxGuOPCHouCHtPGAs
Jz0r50hfOP5iJ2G2m9o93ZjJ9PNDVEBhSES/n+A39Kku3bqIsWiXhoK8ayRKRLTcgbwo09rRMkhu
WoweH7oAeQ6RY1EDs6KFnDzXfUc60Wm9YuQKx/QCMVq+xH2eeaIDDwrLAcJ1j5iVFakYZyle85o1
2Y+t3y9tSeWDkA5VoGhrhGxpRgMV5AR9akHa8UQK5JKhhr1LFY8Koj+vOAkPonPScIMSLiahIlJx
/534ucGEAEOoajIKHz1JFcAn4Ew17MsRN+OicaNaIg773jCOfDe6zOcP+4yra5hfZ8I9KXFqZjUm
wjNOw7U6Ki80HmEpl7ErebAJWnBWmBr7ySOjTY67CQthx46R7miVMyyXPFXUqYbKGqUoskrcDS30
82WZmOpQrWd1lSEdemv04kIPEdiJS7D58m7npF3/X0AA4HquIul49gukr4ThpxjO7QRdNwr9/2er
R21MhTaCL9+kv+ZjISwTObHlDLUAk0P4TvJ/gadIypWm5EY+K7D51xHldmyvyw+eIPps3CPe4s6w
xRM+1Pa9KtNtb9PEBDvkDuRqtudpSasaYdcbRZVU1MnvBcm1GXwuq4uq7gh9nEcszSzSqIyrk+8I
9LY6GVwleM86lTbW/nkEhz6NlMUs7sYEFzJyNXZ3rDpB+BFCUaXFyyUmwiORxNx+lfuWpNboxajN
CF4vkKmhbmeEanz6aTZnVBSMpQrl9b1fMoJ3Xxt2bcMoaiRZ+l7i0NeVB79UkpKTbwaiKfTlITej
IACI440cOiAi8WLUMpj3/ReT+RojwHTEHBjVFrT892zD4rw0zswZS8MWABjmzas471DJgoKxHd5g
l8VkLCcdD6xC/gP/cfjDZnMvsZUlluaEIoqnBiYhYEpwgpL1wn+ar5xr/gj868T3bF+w5Q3ViBhL
xjpMfxItslZnKFDZe5UAAeEfE+dC8wBdQuqvXp5L+1/dhUJTA6p3CcAyCgie1CcrLnlDYYCGZpVI
+WmHQ+Nvq0kTSku8cGQMOn1WVeK1Z/AzRWZlVpyKe9yTgKHZk8erfwlpiFZvaqbp+ak7v5kabmBJ
IQUVpxb6i4TPN5tG2rLbh1Ljiua/f8NTCz7oRaUIArsRedbt0O5ASCOOf4e7+S5jKDDCVpTEZuYN
+hWq3S3LyaLvAycBN6TKqBDr34vk7rJ+WW3PqRz5sMHGiLXxTEagY7QfQL8XWEnAAmksq1FW9/5o
+/FWlFyAvw8VzxzHeg0VwUhjjpZfrnlCfbN04q7VpSLjz/LGoj+iRDfAlFQuKM8sFszZCsLwCZs7
FDls4Yyd2VccpoU9Q6qQMVyt57Hr7OnkmCHMwTA/+l0dOXudQT+Wd0VIimipEgufgVAalp4ZL7kj
CRGXR352eX/DIHyB6SMCxHxWPwUf0VRla3YuNxXBiG/slevrsgWO9ryGuxlvsxmwDzCSYY6P7T0H
kh9jyUVdmdhmhi1cdgIOxSx+jx3dcith9qPxamQunmUd5wuxaFtTBZQWDfR8MYc+Vn+VrEZOcld4
jHfsSuI1R76cSj8w6grswC/KpMilpRsluJ+uiJFJwxUmSoub1P14aAkkViqPbKb4HwsGJtoBSDq1
BK2vvoOCQvcRuKOig69htzEvVytSh5M2/2gt3zc/mFOB1xzSWsa8jCbGdwZJFXMBChtl615psLDJ
r/g39nf4pJ3GWjdmVR8LBX8gN1uUMcTV2c4PjwXGabFOpWUEUAsocx/uhaPp5H089EE0KjWI7upZ
2Vs8hELUAT7fM3ky9z8EHLSJg8U/cS32JKaCNE2uoRWKNQ//Dg4daILVD+Amw6Pakb+hgIyB4AKS
j+8M5B6sbZD02TddFeLunwKY6uIKYzTva5fv8cNhyanUZU8az8Ms9PXIX2q3xonatdgoKzuQQc2E
XJmMnoBJtosOFl6OpbAzZqcrQQkpwQaWoPeDpxtnp6aVKTVumSxuBicWc9IIdTJ9S/Ezf/l+l451
8n8dHRKQs/Mu38EwCtKDqBhYnycUr1SRAHwSouzG5b2LTD5Z4VemWkuLdiZFfuBEa3YWN2YhE/9t
20H0YLWtb7L6F+SY/fOjebXSwBdqB5w17yDZsAwdi7kL5oLsFtiGeg9kahLVFRsNF/UJUHR4uvvf
VewohfthvNNpO/LFhqoRJ1sMj2TmPVIkVK4e24GtxGB7e3leFfO4YptRVavyVpB6QLr+0MQB2Qm2
v57Dz14e0fZwsSfacNq0dlQqaHaMS/cYaFybKPBTSilfr20wyfyPyntwYUz2DrLfl5zn16LYjVff
tVT8WmGeGqQtl7Vrq/ob2Ik6dKEjFBMoYmdREbIQ+IkjFjMQvFj7Ws2Giy+NtID/aExrtZO5ImrM
YKK0YsSEz6YLPHl3sBupNr2K8pr5iMKetvxlivycXKLNciT6ByOAi1z1LgW4WNNV35l1RyJaIHFU
pFMmEgmWWWmoKr8ZX1DJ0lRcxjTwUNHIukZnwaMqwOVz/bxyfKbUVfevYZ/SG7smgRZqTLyLVnjL
aG36HPcdRjolp8MQ2166xug9xnKjFuYIrqS27tFwUV9ADkOoCYx4t7S/xOeBrf3y0VsWYVNg722I
BuewC+jHwISRZgyP2qpfN95cwoUyfACZNxBwWjZEGF7YZF6sPYB4dwYDf/ses5xBJadAcwReok8v
u0qk6W6AmAPAPwCVUoNXfyi4asV3NrLOTKLvlRLzEJRLQ1OWfS98CuNZNhEqyum0cYFIOU1TIEDf
EKjnCzN1CRK7pVzf0tvXx6PGtmvVyZY3W1EIb79tqk+GDSbev+VY7U/H99+XfC6+KbghWlxSCyiH
ISW2ZgqIbW9LMGeN5MCDQkeTa/yiQhBq1Ddyiu4H8SOUI7D3O4CJaZoLYkTMvYsb91+Po8cSM3kP
qmJUZ0e1JvavF/17v5W2oLp4zrQBV/kKOmoRSfd3SmhQr3je5T5WShil4MmCkRL2WAn+2L7vSeQR
ODiSND4gwKGZc6iiZpz1EDsWpfoEcVlXiEY1ZThiSKxiGfC1LZknHbgotkqPy80+PIv84lraaOky
TOrJfVpcjkYsz+lhAnDTarN0C7cPLjrfowwg9yX7ng+JQtFgLl/hdBexN5rTsqBB9PAWMrP2fD//
xYLUqYD18hkwOxXqyqnTW0/aEZn3dgAOd6W8uZCOgOM3mwrv15vYV9WBKdbyP/lVFmA7P9D1NdOP
5/bZHHagNOf3NnLGqU9lM8QQT7FI7lTMaPb37lkBZJd2rB5Bt/mIztto5uuIzMb8J7aJaohr0EhN
tJyLKuv+6Lv9bP0zorDwtemm/2piLpI63nhCz5hsciWw5vNdSdt3BEtoTTI2xmCj3VfJFpuCnsbb
mE2/Q3TmBtsMMEcaxDrZc2BHc51EcWc5s2KqA2mC3ZIILhV2rOilYSSXNrmurS8yR/MchA7Oi/bm
0wK+vDcqyRaKghgOoE7YNfGQNnu625pokb/F+gBMLotcAktyenWV4MIdyo9CbfjopDdDCaV6YUAd
+1VA9KGjeWpwTY5eQW75eaSvz9pOpPem4MxD/ObLZ1x5WHmlNx961f1ayEsQp/fq8Bfr9FyB6KxY
4LaJs+Kkz+Qqj/iANqkqdtN25umQstUHwu5J3lHuBMo58KsKIcps4EYAhbrszw79TvfPYso8nQQs
iK/DzrhoyBP1SJzs5wamK0R0+Q2BVP04/qlF6LiGEmHJmGONogrK6BNriMfbON1Ss71p6KPyegBc
BsXZ6eHTZf+/fYxE80LpjJV0jXXSprrRH3L5SdPWGc1m9wfp93dEP20fxrAdqwLTe6L4/xt7NJrI
7YHSAHCRtde4rSUJXSDm0gtd91v4+1PsIqJe1CBlWy91kWaNLIvjZUUkCMu7ASZO0D+p7sYJBc2C
0MUswYxE9HteI8hZ9B4XSUUUGurQLmqiNmki02IZJe3K1XwDzPcIhk30KCUkaOOcrMzNDstl0iEu
b2dqCVCamyL96I3FdREPMn55WnUaRSIOnHhWjKLQkQdgVnLPG3Bn/WvfNr0uo0d0qSlIgzbRoHVQ
qb0bm+HxDAvahW0J2IRySFhLAErpsKDjL/ZFlSDAk7EQPl40pS8R2+G9qmT+JmYSEPXquX9+5Oxa
kv1fD8V7HbaMuy6TXyOUwAdtOMIGZ3/LCmWALceMPRxGUqJg/Vuc3D8Ev4BhU4tLc9zHUvMSQefe
knfzcbCDEiW6/sOIYCsy+avsAUDd8an7Ws5DN2f5tlKJ0OLlv+3/p/GiSHbEf85d9IMZmWWcbe8q
w/DFF1r/yPWPnKnr3IJeVSswq/imWqhRJfWtjTBA6VSSiGKfMJUXQWU6OOtdRWxaLe2TtJmQdO3z
Co1gnKb/d6ZY4oQN2JWXeADWimvlKiwRM1rEZE4MlnMCFPQpRr40MGsCvi+WQElYB47CFYcOxWxC
QKGRkz5oeSh5ULEAO9gMYcXFmLDk1wlX5hX1rW6pooGVf4SeCMnE6N7DyqL+c9PsEFH+nX02Vm0F
WynYliOAgxRHkQxz4DDfNhm8/8vZAP/uSjsykTv7mMgBU1kb52NdKbKYJ3tnVu6MR3Puxtei35ye
Jh+qLMpqHYIu6qg9af+7yXdPwg0eL/tRBOGRaCPkEIEZ00jKdDHjT8WbksVYOVCmtaaOIknu7t4y
VZb/XxlLwzK5k3IwkF0e3YTDgQ+x1skvj7Aspd5e0J/lxicho14MTB6VGt3V213O20sIkAqebFi3
uMvlRDvtjt5OPUtrLV0zaPX85dCIKaxOVwDtq6PaSCj+E3Z4IiFm2O9yUEor4BPMahTlcYFziqkC
YJKc/PkbS58wh6WhoOB+Sv8QkGEDAncEPAn4LFmN5OitNh1VYtZF1J9h5qpmqboT+kcUblg8uVC7
84RUHF3DbEtho+HeJ336oIL0nhggJdFGgqNwp6Q6UxCuMNhCCIPoMm2sl5cNsY3HDMdUzcgP0Bw7
76cu7tf9+0bltMqGGoktgIM6WSpH2sNgSHlzxPkaxZfselkMA5F15iAMR4Z91Q/FRC9slCkqllWp
K1P1Zha/LeyQRGFloM0LWbTutgJZUSQJIXViUtS1nc503O3FH0Hhu8CaL5Ea1Ww6oKKcR/ZgCyFw
M+nGUqhxKVFdXHkxAxa0wIMsrtrvFTmAOjgrPh8Ya4SkfnKoRTVBPyHqH+IzbT4qEkrNqE0VGhVT
XtyFD4nHoJqoQk68Fd+nYmXri3sDWTh5Ncbq5zUrxamDElrk1KnLpaM+ti46te8YD+2Lr4DGTXdN
AWFieop1Zxga2UbnpnLk/KdC2bfCbjlBVq2ZWfnfNKYvBm0aQrhNrRpEMTPpbJsEJMDNlwoUXFJw
d9/mWrOo68WlaLAr4fvarg3FCNIrN6RlxlvJvBHVfit8rktUjLBWiUhokFK13Oyc3GHqpz4QPOJx
EAnFGyH+zSWya2FciWC5JceDavrKJLBh0fXbnz6wkTZSIdduPwZ0xTYeg0MkJi2XPyae6e60JCP9
LcVaIJTxYWGKHumfzBavUb60FhEO9/rbKJF1/SEuyCaRPgWLLjlDm6DEpPZ6GDuPfZwbloe5w6Kf
XDW3SEOGeQnMewPKuJHaXjrY8Ba5cisFnbiYNythW2w9oSuzL2AuRhAJ+GtVf/P5JfTBxiesExJq
gSP5HIpnSy1hB9vlB93Vj5z3drjq4AXCtvrLue7BK4spcAcmu19ZkFnRUt11HNkPLbnF0p933eHe
teIkikf6kugo7yD0t9WToZAwi3Huuu3oWccdd8NtqApkP1XkSkjL841+GnkqtNv9/Nma8A2Nlciy
4bwv9vi0nWXt3nlv6MTK3+aIJQ7CZVQB92fUl3pGngWd1a4aG4j97J1PkXeEFJTXS3COfez3T/89
lirg+J2s7b479a/Uv8XXLv/X34IfDSzRD7b0Gb+FvcQlohGZCwUfXDurD1UT2yOdmBT55uHka9k3
0+v9GtroqvAFO8ZxMsSMt2dME4GnKV6zuKotprxalYz6vW3R128xGEvgRx4f0tboOkFDIu0DpEd6
TS705r3WCOYkNskxraWRfnJg61kI5380eLFqJjfmZii8AcR8vxXWddLJVxYiF6SYqA8bTsa0navG
XPY0JeO2Mn+1KYLPMn5tYo0pKmt8cM1Mjp0EgjG5Gy7NdlznhemWTvVOgWxxUkWGSAyZHchsvTDd
RTZGD1N8ovBJy9AICHzvpp/Dp1atXRHyZONjR0ShVpci8z6vmOokV+DiF2fXagGBiMNWtBpeP2hK
JYxqwPombt54kW4S60TxSsHJonnrF8D95x708ljgNZBu0JX1/Pe129B8N9YCr2OtJfaOK5/NrAyv
DzwB0XEOeQRmPpDPnogOakg7mtFvPbAf2TLZbYfDJXGh69Ewpk6tybVFjceSjau/GcAof5w2qW5r
tx5pLSgUA3NZVN9QuHLiCx7S+5C5KaeE2UpsReBocQ36OAM8wmy9ueIAeb1s8a3D5hHP911a6ZFg
5vum5zafDRVCNzarFapxpc17FNTorMCL/4TBdxdK42sivK2z89SdnNKxtWaq4fo102FYO4QoK1wU
XCpv4yx/bYHBlVauIY2j7DPfQefoE5Z1q+N4eGRnuXqBvBTp9ENz7ZgDGMzHcde1UnsM23XPMPdU
+0N3jN9KZoOVs9Taea05mSGoP9FcntUGiKNqPpZ1z+ThsB4jwdK3woKDfsgmEIM2ycKbBgIysRuM
BFow5Q3/XXhM9S9PiU1ZCcODpItShwnWKbiyKkv0SVhe6V0Z0ir5CDw+XCQkmCaC9m1hfqVrGNcZ
eiNMJX/gnis8zkHd1xvGZZm0WI63tcH4grqB1c+FtZyMATQMI9F22htniACze3JxHh6D12MjVnys
cNYA+IKflmEO4y+AJXbE8JvYQIDXtQ/AXQQudjhMuvUwQ8cMKRvlhUNa0rn3vxmaCLuHam1ZQ8FZ
GD3BPQEB8oce7s3k2610ISQGeesgSyHHgG1+GRxfYMr42I99AJOUrIeV+0d+7QaHxzyMugJQsnJl
y4GQUFGet0sxPiOIS3//COZHyJdRMUMVoTo0aiu+ucGaO3zkf/9W8u9UvmIB71JKNiVRwj3F6Z8S
YaG1QS0B2hlfg24w/n61TKkELOU267MerR8p0pdi/NXMGcBEq9i6NJbAqtKGowCcTel/1bOdyr8j
e80r8dtPGIS31RoF0Ld6y18ccXmi+HTfVU2A2y6o6k5o7jehdxR9nfbl6hUBWwe5vX7gwJXla6Xg
XD30go9dgInHQyUe7S2jKq3EnDweQGuni9gwwJrtQ57LMuXIuXDjywgtcBeuGCWtAug9pdcQ5CSH
1EEAw0xrWd7e0I9+RG+hxFfzupX56zcxeDAc6TdBcLs2qKyH6vaXg7Aj+ekmDWW2GL4nhnEqbhy+
ShuQnW+Bbq/18NwFbYCfbC6ZllhHwVkVM9gzCn+HamTSO4cv9KYUHQ6DpijlFlEhXZEcXLBMCQvt
GRpO/3i+Awpxwd6u7I9AxVr707R7U3HdjXlIdCyx2DG/dx0w8pkjIkRTmHOa2vwbaksOqXrvzhMH
XXLDD9viR3SkqqgJQKW5qO8C/3oahQyQOjc7HcPOEJo4pJ8aJp2PprHXQEqs8Te1x3RkmoFIPkZC
GcgS+wFmRB1DLDUdEF6TZnZ10ii6For9XTMS58mOARVpt7J5/Qv6MfJBy9fyeQXvn5lrGJhqWH8t
25Z5cqk0VzolvpMpmO3AbzfcLOT3LpxOQaAheWCMF+J8HENkI7V16IeWb1WwzGKoLMgav2BO+5Pt
oLO+tNwlkrM3IT+OMZnkV/IRgwplG+LOGNnnP/0cWTTVa8pWiZgYF8OImXuhWT6IvUAXHuY6Hf20
7dpst3NjSRqh7f5dFmnpOgEI9FAsnW1+Gk8iABHRqRipcnvLes0qc1VuvMrYOFJXBZAI4fKORunv
XDZnAaC+Q24KZhYS7LConzj+RK8BREAiBuA5080QTLaGDqy2mfx+qpy/A+/OmtIzYXJPoztKMZh5
cJACQPd/X0vGTvvShVHha9LkSKjkgMX3GEv3a80f4FlNgvlb6gsohqZTjmnE6HJjWAiu/BCyKF3h
NmFQ21ROwKX/yO0P0Y7tHOGVxgSxvVIB7rvafUjah40YABTOUZU/SDX+qpxHFivKLCnxk4bZRb/3
s/PYk9pBzgHHOoiGoI2MQwqamAGSIaMotMePy/5QYA84eUfEkXCwUTXiJQ9MiZEMMWf1NObxBTW5
GD/4N7i/cFwJPCS6hiBUo2mmp2UQ9VDqs2+RLNFGux0nwYZ/tef6IGZl4On/hp2VjCAkmYcno6wq
8E4/Buchi13HVNGHhJ0O5s8dHpH6TX7mZtgPjiiuUKMYXOFludjiRU97q+8dAOdyrtH9yVA/s4y8
Y23TotEOPDZ5VHdC1P6WnCNZoyo2FNdyF6AzVyZlYHyuQIu8s5kX/2BIPSlRtLTW+c5Ij3jHQgie
6XZMdY/turlNeIAJTjs13zZt88GFF8WXDxg3rV55UvvpYf79Nu8P3y+DpIwG4BamI48Xc/4senmV
A9EOO9qJjoh2cZ5iKYrH+vqoNP5O3EiOrd5uYz6YvaGNiFmfHsJx7/0hStBnU5lScYghyD7p2tML
t+cbXmfBw0jSZUfcbxfwmTJ8PYUIbU/XCIAGkqxQd+1GExVYoNAYVo1qgELN+4dVsy22O/ggwL9G
Hl1YrmS/PpzEYZMmjww53BQqhhVw6QEvnFM4Uq8LTsoXQpd9kRT+4gotmDNy1gnJtyoUOixBuy5U
oMBiqCRWtARQRiIrbf8Grf2jzCOVT8FwGtfNQStYeKjNY4QD8IZcoAp8wqAeUA5lbveWGRaen7Jd
FoQFrVEpWw/xKBbKd5hL896ozp3HNiQ6cGjj43QmdPB0eaQh17VQt1B+jSGNDS/PmtY/4F5TEjHi
zSWpPxKzQ6fMi5wnnMpeEXxCreEkeyWanU6tzs9HYk/jqAfOFWxrDlUge4Z97XqMjBfyAeYjsyZN
vGqwyQTCtikGm00hE4eNWW9XqTgO1J7OwGvt9uwDc+QtkhCKOevSGJS5dsxEhkG/MbwQX6nL9uu7
3jyDYsXbfxk/dNUKA4yjSqnuk3efDdpF5vVSo7+oi7nRCiXW1g2oc4YlfUozR8U6uPLdfaq6kSAg
YObiJfAX/U0bxz4OYXTzTbyfeESvvsbK+wDjfHMRQq2lwd4mq5d/Fx9x6Afv2QuczfCanQzM6uD3
2ybmR/ZUIwI1CdWz/GAyox8cr3vT7KX9yJXDBxJ+fvKNJGsLTZmq7my531yglfB0clQF04wFZqUa
q8D5z9LNcryqEH/HtbyPrjVR43uOwca3+XzSurEm8rPQUYSxzextQvj8Sfm3rh+PzUPr8l3b1QSh
uLqxYU0l5qLKoVQ6TceGQtAj3VJ8yCIiSk+if82QDAZJXMFTSoSjaIuf0dN+VeZfvh/QQKJm6jHA
H4sTTrF/dr5+TxFeCdHZAaVwFHH127vsAzHjZoidbjAxMOWugNZHqMo6hR92HivyGaL1cQkm6jga
T2LOKZVAwmWX9/3UdG97Upvwh/+ccTZiWGz+crTGOlEV1TgshNhV12o3amJq9a6sjB6SI7D7Rr2y
lTcVr5jWzcLUPI26vVLgA/oeE9ZEuJ2GCQLwwjonGhbrYTgRaNPfBWHvDfY1knWT8JPP39FAbPl9
f7Wa8Xizxd5bw/TIm2ZB7draLlPntDqUOUZaGxBXdq7G7uY9mRB2PcTA/hVc+wyUssmHK6vbQr4w
GE17EnmEhBoPyxmWkiKlDMTi2mceSitYbOlgkt9YaJRco1SMT78/6JDFfnPRCqnLiCuuSQ2RvzVQ
fBjMERwhtAcf+1pqg9sc/8sfFga/EYGlaqWnBaMMm1G+maEC5GtQMIUuiCrNiO2VEc2t13TSFSb6
z3gw5IlUpvq+PcV+uHv2UUAxuVg5TzQg0iUZaR/uU2oWQgwR4zegUIUIDSKJOjVbM1MB4zSrOWzE
tt6W1WVYOnwkSrQw5wYVXza8zxSjJZ2Qo/Yp/SgqZ+UQUhONVexlmOzRlDvE25hevul+eeeUq942
+TSD7kznST5L1tqGwaYVM8H4i/spL3pDHjw7hE10qZBw9zZ1qIfJEQyNJJH1MNW3XRXv8Pi0gece
io3DWMHeD88lgP1rcXc6K6sohfkVro+hSNVYXx07bh9wNjoV/9bpRd4gIYKGkmKYCys2ttv1Ihbr
5GSWFLmmD6DZs5/21m+gsPiCrv3uJXijuAbK40wyPyuOwm/hA43PE0Mos721vWBKFDmYwcvUDLZq
IHfs//2eZ2rBKIIe3qqAIetUYeM0na/HEAsG7/xTIfdnHGQ0c/0hDjjzreCQMLAIcdZZhl98ZMn9
xxkD5QVvvKj0wN9S/29sdFfJXcDB/l07Vnl5+AuE7eFX7fDJ1uUX2ZN8KsDMKDuGHIxTNaoDKRt+
NZKoQXge9zcYpFvJt+3XnN7gQ2KLHbycVIgET8SmNsCcJ6wsKL/cFrb3YIsrld90yu7+IlWeKNEv
qSA+chA87ZPvBdPtnXzNg2KhS4AZovZ7whXU+FGhVFYcz6xIlkXRqRinrDaneaOmS0OglEwXpu8A
fQQF3ZkJKvxMJ16LYPP2E7monVm3tD0iLzvc5abnzrVFlsjV2O/BAGvywJY0Gx9JG9cWLI1ziDfH
bVhnGjIc4K24h70J2hD+jBRm05KdmnBzPukWvR/zterPf0tysA5DLS20NIueXEH8XEStLhE1pNCp
YvTTVh6G4/tyfnf1Xq3F1kQubeBjuovsaERoHdoVrBGLqoTjPJSadK46amvs+S7Rc4jkb5iIZYRe
oKnFmpgGTYPvCSWO2jB4RgBe6ukLmZmX+Bzj7YUAV+yuuyg2Q1FXJMr5FuCzuWKzSI8Z28wsN3Id
XVf38ztrb1nb4okQJiYqGcFi5+RMKi1qUOdDJJ1VQQFXZoFvFgAaXXbQA762Ngaca6PGUQ05he8r
i7GNvMvC8FUTvK25gLKOUggyaSHbq0ZPUm816vvrnzvwJz+D3qBG+KPdSwrIUUadvYDoD5xbrpuc
jbpuMkjoflTCAqejN26O/1GY6FHDb5egwLeUREhDEcGF4uInrFKyJGpAVcT0fGRIEIjEsNMxi8H7
/n7kZGcfrFP8NKhljY9U6TXhEXACFrq4CxhCh+6VyJGrTpieOjJMacKFv07QCkJ2ql9XFs03cuLz
5DOhDCIIHQpm5mvsHGXcJ4WtAbK8qaHk8tvA0KVtJWTuZPAvIqDWOnbZwYWEf4Eu2hcwVq/q5pHJ
5HGkXsS91sb52aKTgHNDPjc0OEacooQANqbhTKJUKcokUembkZaqcrdQTg1pjn5J4I4bOoaFDrA9
N6Bu5hN5FwCJQ8Y8UV6y4JIN6vgG/w/H+5WypC+hgbxDsEDAlC6TWougwVGoPLBAuH08QH4LPRrb
+oD4VKLSJneaMeXZqqJNHjoVQvjg+hlPK9sLSyBBp8OhNEVl6t1mfdVoatAXYV3GakeA68K2L2yL
S28Lms7fHW0Qz5/NI+07H0CV8/ShogmETXZwwibnvXVHeC7rkEkuXpUYUTw08lEu8Uc7vx1pAvU1
ENoFQufQd+/pHPJSApI4VmE+60RU9SpecG7Dq/Xn1PcyyrB1JPhRqefqvE7QgtgBCWu70yB9FeRc
PJKcqiVmMazJKJqwfnSB4Jej6BgxTKQBEvo5b8ilaLXjBTPaJLlW2LagNW+Vlhb3ZwdapNVGvpu8
UXb50CkiYRb0lGyswbWrWc4WCZ+2MajSNKQxlh4xOVEy89FP9RlhCf5EexGdYIugbjAekwqBmKlG
NCVmx7jS9icQtuOMH5IIat+lMD9zk8vpgiS6lu7DwXl9YHlKCHDSPNxCwdEeFzsn5URJaf8cAEZu
GzyDzlxyxS4YaZilWlSccfg8smdsjOGSWHKPhhMuzCc1mbHIxj8IE/nOxazK4AIn1pC4QIL0pc6j
/Ska4S1GJ6G4iKu/8RYSOTsBhMPjwuGD98n4kp95sCCk48aClxnR95+X+3xPCQO5VQKj3ny+R9jA
aOJA2j0sjnQQb5e5wbBv5f6i7ACG9dUMj6YryFtaNSWZyd0pyesZITnjqwxQqA7FMBesc4Vp3Gz8
XvdUwT4AauUTh7Pec/8cmux9nVkLMNwMizxswiRYRV8eN1hZLvzYm+TBq7pJkU/kv0SvLgqGL4ih
GmfOlgxP75u3EC0gvMLVMb/T5HPUSeUspLMp7ypnArm8YdEN+nV4u/7k8vywEoTuioyCbjXUJ9Ec
vjwQ5yUfhPY8VMZoKDoKGe+wBwV1Upi2jPclVa36Rm+dwDnpZ8XYxMcdJp/DZfhnZkBVmw4q1GoF
xfpQKNb9tHALBPdER9OCFuyKs81/rhv266DcEAJ9Dicew/52wDCf0LVFNTKpJccnbMd2P5ApShGw
qqmZ5pc7LlsdRhwWG2RSA2Ca7vMlHILaeRPp3Kr1sou6pPggUc/GV/05kihnxcskWLdoBBJHIepN
z/5CLQtxs3/fQR/8HmyuMh94TjHv+Mz5T6o04gJt/a9fy7eg4VlJ5qYCTQLoMBpSePXHCgrxs8ms
FPpQxb6gp78+gNZjw0HNuYE9O6Yvm1IA/S9ndKQ4SEcdHJMsBFFzxztcx6BfIVqT5249dtugcQ1u
C+F9JfN3KH2Sdv5Wo/6vui/ZJQBt2SGPm5GhA2sUkjTwYMKOwMDTgq2RuhyegdA7PkO/nLNAlbzk
789odnNm88QJnJ7vX6sN+JZXEFxPx7AsLtHeDACFtlbqBYjmEfKORm6khViIR2g4Q0CfmV68+WBD
XaLGTz7q4MJ+Qs8Kqjv2QI9vxAWK314QyThcXJkQDae8DeutWVm+yjkZRiCZhkMrDH8VS/C18rtm
slt/YW9RPvy3E9UiPc1LolFE857Yyi5dCzPlRh8hzGJzv+rfSeZP/K3PNZ/Uy24GtEtFV+X0wDT/
sZRwO7Un4g7fxrf3uQFZCfM/5YVtHI3i3OskAJuOKV1pg6pc8Qv7JH0XbleNY/OWBqAu07XX/kl2
cvqkfiK0XhFlpPZLwabwC7k3sk9qPqPUyDCtuwuxDPY4x8WxTRgoCJtAkJILy87xoGY46HBPzsQL
Tq7+07SpL76JzSRsV34/Lm2P/0kBDOfOpx9v3pIY5qgQTZm9qdSVSuumOof2j7hQs0Bcdk6FLpKy
+jRARkeSYgLgG8ueb4+NVHn+eozWIUDbDKhmZDjxbVAbbwpkADHa4/4p3zCETGpkvhkoEHWk/sMT
E8etttQfyGRsH19JVAPzFfG1BCmec4axZtZsFOekvFfQVbdibKLAVuGpz13F923U01NTEVVoIp9r
FsBBnKQdqcPWZBaTMhgKWWEyONOQxuA6bNyAvDf45ALtU+LsMFlZ442QUQzH/jUjITJ8iCWIG8xy
XQWmBydKc0SMz0PSJE9rpocHyqYvmaze01Af5NMCf3jXVdFVMYKELF1xBaW8TfrY+mFbT2Issqts
w154VvtRe0eVzqvPlA7foTzgfvu/ytDhckj+XTtudJaqvdGu5sDENOY4cvVXUdogo99nNCfymKui
HnzdKbP3KMjjwFpuwU6m1MgEgh1Gw09s1zTtlJjiE5GtSsbv7l1expsMUr+aUDPiJ7N0eEzzpQG0
N8ZUu28c28Ou24+LagT60mtHrV9jex3FaFl+qLDQLQ+59o2JTBN0XeQ/LQJ8aYC6mBNbrACc/Mpi
0a1TZGWiXTG2KbB52DGp9H6VvBWiMfC/4GSr5i6o3qSC7MxrwLj/XIjROgOKiYzjTHB9W0+VzAK+
1V266UQRDK0V2p1ZQIjjp/AYOFypv/dOt50lhKIfOVElUIm2pIMG3ThGng4ztFS2VBAsLsdImXVm
jG3X7VJkx51T2JUNPi8QamDgv38lkqOZ7Ih1PoIIuF0ruOgyeIxL7BDAsHQHWM7nE3U8kfKDNp/Q
9y5DlHuMpd+wo5Hz+6fH64IbYK6yhYL6k/niFjRoMhS3xXNxex6v4Iev5/3JRnF9GeSPyUxtKE71
IXluuwSAEYGKuRXWid3JzS8F01WsC0d6+aSkP+THGTeOR1vcnIdBnnaEAa+66jizMx+RF8JA5bAd
EkgqlujUyXc4KjB7OezdsXFxgJdglZ+YJ8gDzsCpi5L8+Mz93V+kMU8LthaYwxrXEq3AlVR/L4A6
CkgsT7f5kmmtjHMjpuUyaHeUbXfY8c2Whp6TgeoePOSD+N2pOCZg8p5isgREyLVb0E/GoQSEf/+3
fd4UwP+zWVEa/fG6JnqK72t9UOfSLxuEnbcgYhWNFvQQPhmuT6a83yhX7Ec1FUgFnDfinxmCBM/p
GL7xgJnredb0urDwBYtq/S7bTY0kGsRrByvV4WrbvrGH/9jqU+RmewuYmnPKpV4rFjfXbd2iy2Q8
HUiw4P3tbZJ5Qeq25CLr3CS0EOCRCXvjX7RVJZJoC47IAZJg0nXwNHKCX0BFExcEckJ3Ms/OU8CB
27/Mg3UjUpq3verpNK39IBBkBnDVM0Ym4uKfYDfu6F6bikJU2CznNWYjqoA9LQBoIck+jv3XENU7
EmSW2yC/gLnB8S4JDhiPAO46faRPgUR9oA9c8cQ2nbdDIuxmsimtgHfnenJK8dXvASvIiIltdHRI
2BgVCA8fopb3C/pFrKapTDjLZuo+JTk/N7d55cMm6ySIvQs6Kh1qMaUcpKNbYWCvxQ7TYVUX0MD4
Az/89nFn+jsTEwgU57nBGGlhWYBV+j+sPSwT6jPIgC2Si+El3IxfDBb955MvVevlyb2To0hYU3yK
Z3NDv2F8pN0B/VTXtahndmIIdZDyVM8rMvj+d/mTSUru8saGwwOwG4vhnAmZXW5mIgDrhGxHDIEY
rlLBoSaEbCVZ6S14Q1KzmEX9cJzSXLDcQ4BS1OHn1N17JjvA2fvRB+uSq+z2MU9kj7IfB8cqAGLu
S5xjjlb+jtjU7NFJDrJnPGKPuIQ9um0jnTRY8AC7+FN8jm6pFOvr3jkIv78CmlSCw21KVzjREhi8
NPxWLKCfMuhAXnd5eN1EMkkat682fVRZndSwB00ALYqTOXWUdhg6BPkAd+f70nPvPsev2Ogu6gcY
dHH2LRuaVN2KVkHI8q7VLsHXe4BfrZLJLqbZxr52I01SYn/tPNjuRLeFSiiABt0mgpzEOpzFJwUy
+zAbET2j8agr+F2qeznnrJz+I6wSvv/KxyNR9v/NZYgy7Nl9k7M/Lu+RiRx40WlqmqCIbmQQ0ZLE
WkH29LpITXaGmdKne5mdjcpVbL8egQomAKOFpiyKgq17lxVrFFRwubPH4MlDjCp01GlGwiDXJGWL
a7RpDYYoaHjICnb9yBtzMLbUDMT0e93FvnFoXRyYZA2ayM5qQWuJzzpWQ3oWqOZT36Saxm6VYYod
Ra2iq1DmY02cnMORTXSIbA5dOEnNM4g/HOtA8xqpVtid6lnl7pNCkYJQjz9snY9lB1DsrfySKw70
vNj6P0G79SCPObD7jaAs3cyzoZy+4gsDScdhvqePsISaS0SaU75WnLsbaEZPgJt2wQRk4osrkLxp
OeQ8RYLGMeJfK6PSRQlMCenAAOapmeSihmGQ7vXvq8gGtDHEq8uDgmF+LZCm4fhdE9Hq9/rrKTPF
trqLpf/QDCEVY0PnPjWYnfF+nx2wq6Q84Dm8ZAFxjPlRX67uf/77UID9MgwEhpIFvWY7oqaFd+Cr
1VFHDSKhsJR+u2OLL+9JdZcThus6JnQwwHlilwPtM8LCj2ZZrNZfaPZ/gWaamXaKkZkszOv7H/w+
bnpd/e6f8jViAcP3AI0Tho6D2X3upohaVqIYPVS/b4mRNfnsWMLMRso8wF+ODAB8ZlVkhWAJ3bF3
+c1roIIbpYuMs1CaGGbXiykCSEV0ic4NB9GOaNsu1OBdY+sbzPVRjlRm3U4HbXEBsIXohoDi2m5+
5Dy+fbpkqYMfEydTS9VVhOOO0Vsae41xYS5GqnMPcLpQbFYtBU1JmHn2fADC0qyL/pJNzXniqTX3
e1yT6qODziLhICEGqvP4WBIszIykGw1FBtba8PhSCFellgNuNZi7Q0YAk056QGZcvkOKEMhHhQOY
C6itEkfTgx/4k1V+VBvPTfm+uE/5MMWGM6CBeiML7LemDTr04KIR/F1wQKu6ue6LORj1quhNid26
/NuTJ5No4QxPYReOPtodYfPzf3MvprM6uLo5qkHVxUSafJmlAbO2RBEIZIvywhhvb/AqvbjrgbsD
SXaGLCRv65GnEVy+vqWblJhKEblrqiHFifqKGFT1C6dsPuZyjSHCAqNt9tDrd0lEIsZrNDuzy65q
aMRAD2sMmFDbNmm6CgJBlflaHaSUK2PLuz7lQQey3ZJWBVmJ8386Wpx6YBVx3Jz2FJ38LnRryn+m
02rf6T11MMiRlCsUEYk8C3Lgl/xk/UiUkElL5I/NPCSeYcabDQPtPR6//ZcyUWxcJqGhxExglAq2
EIH59V9m5+1l3kD4PUIaoHGMzO022xTVt5Zb511yyi1JGfSJPMcAuiXlOR3vIbi31HyQF/w3y2o6
U90kqVA5E/sRgO9Efvkb+yBuWoozWm5IJ78vYoubRYtM0ekRf6tIjAK5KrpAL2cwpZvdwWYyn72w
uniJIv+Hnl8ARz+ZY5QGpg3SwKBDdruNEsIslU8LSbfnZyyB3aA1AcmO39AVeAQRn6TNudFRxhzq
9gUb5IFQ/k7/iiyaJ296ezLtKdzvod9ZaN7XSrJ7RgpNtgcc1eXEyPP2V5YUahhcbVNlN2r72HF8
uQOkNt1sxCpRdbySE2OhB1PrAFqs66xhie0slolhYBRhMKfMBHM/15hVItFawKnAyOQ3VQ8Y/4rJ
eaHFhoI9DiU8/hUh4r6BOUWBytzyGSRUWPz3MSYRVM8LgEIY5oHDmUGlrYzqxS6BeyFsf8jF+kPF
dJM3uRcw0j8/HOgJ48g+2y6zdhfQLFW9tpgcuAF5bNS+uDotRhpk8856Aa0OEZdCuRGlxaC9Dc89
NzGnrQAz/XnUu8dWSriwzdEQkyxcap7QCYGaGzoIMsY55Y46QTl0E4qrUc73iaeRtDEc8sSRrg9u
XiNitHLm5LL3/AgCk7MflwntS+Me+xfhNGF5pMvG4S1cyQOpr5Dmm+F60E1AVjH5s0bvo5lFszh8
4C44wxP6C+NvMqGjYUnxhWNNG6W39aiiMOtUMYVLsTDYCkpaPOMJgVw20hcRpuZh+U7Tu1HTWsl0
T4xZq4X5SZujAU9mrXc+Un5TkX6hJBGZ9+3Xxi7N39NoUxJhOdSA8+44Uw1j5mvlzOMzMOs3m4RS
3F8v30bzCHolxTHa1pRVMX7vkD9/dbu/sTDxQgKnFDqBaWyqaYpZAAjwnvuNBsGQyX+YuLUm0RoB
/kn20MT4EdeFsJD5xnvX/6rAjLD5zowmIs8WDnKga76pUkObiBUTqY7j9kL/SABBDobjjDxHiMc6
Lj5tSe4ygV5di68xioQlMwYXN86BSH3T5MhwVf41UfB/NLEbZutKG5tqthUhaHWUt35CSnS9OPIW
J2KR0dMQzRh219L9Y47vLgQjwZf3OpgxJmzbym+MkglbDHskTUCY6tG+Mc4ZTdRuMIohzuGajpXR
UdaD5QBJpR/C/XTbxU8NCVLONyD/Blcl/enfvyIXpwNN8YWY8c6L6qlr5uEj8oWW7k8lqW/PB4+I
IuOXW+FAqjeGi6zykko/L2cJizil3AU0OwTM07KqVFyEtcazvzmvM9tbyOYzumg1x01D/9Fnq1lm
ExSlSSsLOQqUWIZ1LUUBB/3ZxroIz5TNgn+wkByowzRHWTCjbcXHoQACSg/o5focaNm9Jwy2i5pz
TKG+mm00zXRWDFooj9nMnIdtpRMy/2ZRUzkrhDOidihqvMqY9s/OgWmsLgbD6CEr+w6dD13kmq38
xzZamo4OWOhi5sJ/rPoBFTy7U1vrwRt0/Kznq1BjTu+TTPH7deQ75XDAWGsds6tc+WsspKN5NRnS
2nN6wGHkKNTWqNuEK8rgFYqz6f0PJqF3Cxoy4aZJv3vjmlqGdNAgsq0QL50W5mmxGeSYoO7kIgbo
60RYDD5y0Qpugaaux4bsrtsu6lNZK4rx7JpyqiPovBz547UZ5GIcY2F08uEwA7QiasK8MxdKTtxW
VA8EPGmqe3xggrzRx0tz39NxtBlFADGhryZ2STkvEnFXEcAqv8PadgM6vX5AcbTNB9Iq7zhBBVVh
iC1lyaSFubnIDdrufxWUHpKqUZ0GUBNieHSmjE1gwlo8z1o7oPasKMZU2ofMVqhfI9jVWqgRlbxM
icgdV6KnJ9EthedpS/k0dWurcRN8dVCH8iAZ8fLZsoxmCgO4HuP6JzmcCvgKQWDSXix5RUTODyWY
c+dfAb2A5FgqOAaa55N6gHfFACrLBhNRnt9bqEOCaz6ydXustIRHPeX4MzZwEYy+M3niZF9fezma
9mjCRuNtSR/gN/iFQWvOlXD/YcQTy3x43uX4W21keTU1dI9zx9QI8ALyXCDT+L1P78EspCa2xPLU
q9ntnBT7qHkT1BS+8YQprGIri8vzIyHlLsCUKwGQ/3lyyhB0XPyUp3SOJbqFjzL8IqO7EveSzYPK
9bdPQ5bnAKrF4sV2XKq7Y9uoVzVkQ4+snVYlopXtddRqKakV9NKtriO/swrMA2kT5jAvT+BZQOaY
THaA0raN/eBisgmiQHBs8kmh/Cnw5C2llf9KSwhhbkINBKPJuPOVp9FHAUPSglO81RMlKiw2BF6o
R5cokttK7X2KXbzgQKlK/nR94LkOGZV0EUPmFSa8fcCu6AxZ+YnTVjlhUL73a7y1KcNAMvY9oGY1
2kKsMe0vpnP/uIGbbVbhzPgylqZRTDJ0PITDDXR1W+tDWVy1opkEsLY8DjIHH1sW6lEXISjprgMR
rkCYm6DPE+QFM8uMFr1oBhiddZO40yzqwdrMpfFcn4cJGNv8+d4nxqW8srdyLfUrO/vyRys7mmFs
IsQ4kplQkVusy2Oa8y6rdhP2g6+m8HPGTCb/f4sGkbhGGdEVa+flgKkjPnl95hmqi/WUPLAnikyE
H/JJ1Ten9PjYehgJ7GTuzcxuCwHc5zMdflWnLmwi6IMVvaYweyetPxN994F3iyLb0jo2AweagHVQ
tkNC0K62NofuX/HvWk6rRs5L2VD6WAMVjbVudXkEg/sQbUBFRo+UFNped75q6yZ9Cu6TqnUW4Pzk
Ity6Bo1XOxOsavWmnGT7aTP8244aYV39erTW7L4HVSwNgqCHio3g1oEZag3KrEBzhj5kyV3tCcOP
8UlCJkp9GPUzMuuGzSZt332NoO4KD3ZVaxIjLNsG+M3VK2rpit7GoF7LFNxLjSXfAfB+szy3cYCX
/1gX5rUcahWjlxUjNwIOrF4DdIxxCa3oiHa9YMmxfCM8ILXZaulDNf6mQGEDv/wRDtJuFvv3rH8c
nA67xZwrKNAfC43BOHw1CRMCTjLFAbPUJRus2GseQs9/PkHR+TdmBLUwNfdbRGTM1U0yZO6lrrFU
22TexEd1HLJQn+FOUy85arZDoaeN3s+WjBM5miXhFlPdQ2NfFLB2sQoGzGo4Xm/5lHSwrb7Qz1PJ
+U6x/f2Tn+8KXE1gByTqdlWZYlawxUL3gkFYsXjaywC7vGt8xoJ65heIE4nQATlgbWgpHJS58h63
xA/f9dBG4UDHAN3t2Zpn7tz3wtR+GQucNrYJVHWTMdflirEyFTfsDWrFjzAQHi/FZkge3vyfj8C0
1UesgBSgwUgYSAnMZK8hUA1ooXf5mdNkTv6hVGO7hXfdeL1JmAajdHj0ESuvXVdX5plnNTJLgO4f
BPMMnH7MIkApIrYQqBP6Lwown/jbRxMoTNULvZpqGkPUrH0HnGbwn2cNuPwdCoNkp2G5epM5q5Gj
GIlZSjU0SAI/KpUsbnTvndjQiISKA/Vx+PO1i6vhR/CM2SJpCCslyjw/doccEQ7kR+A3o3ObD3/G
dzUYZbith4WlQ1aUnvmYkLAq2UzLYYc9P3MoA7KjHnNOiE4hqVqsgMLAG0Y7oCMYYJDuegj2/X32
ZiWkyWAoM5/oc5uJ8seEPdUIFYeURFskmotTdC865Xj8Jaxd55XuHw2Zv2xUd8cfwjS7gLg2KjeH
uf3ddA+hymsPuuuMuneRzRIAhTH1sEfxWamu1EoCKk0GSa2fWPO9PnPujavkiITYlpjXe5roE05o
xRiqS6koBWgKe0mDjA0waNsiMj70YZ5zdhDignxyAbhrWgxpHO3ljLK0iWiJ8Vb6pC7Kq8mEn25X
uIaYBH1r7mMIsk8rrBvlMEc1M17s/41iWUlwcp5ey8yaI4nNQJIYMyzXmCHwI0Bxzkv29/JeO/Ic
lHKD30/tzUtzJRu+uV/MSiVJqCWt9GjpBkQ2/uU043d/l0u7T5LqQb6l7WpAO9PCcsf2/RW3q645
pJprZqXDXT/ewnXRqUWELnVuKeN4j0frPYPjk4vYE6klhp4kcXXewCbyrsP8XeeclHzxkVuuPrWf
3ZDm1g598kxH75GDO10SOWDhtJC69EFx028s68xklI2HwQoswY+k0iTc6WN85WR1P0AaVSUxgHCi
4/zQe5lSxAtKu6MNjwFyLWU0h+er2DGv599yyN3FyqzkiZh3LYltEo6kTlpufpfQvqxgIuzqYNkt
UIRkMv52lkuv0q5qlpPuvGau6uGdmSb0P1LYi8MwkkCeURD9gd1QQ+n3BdGgv/hKvwYvDXiXVEzo
09StYAvIGHzLytbUvlX1g/j9rJ1JBI8+6bXVUC2+LV8UTPr63LrZgK67dTJnD2lCbsi8BFrZyMLF
nLFbXb3zUBKi30r+SQsb9SlA7F93NrSoSORL1MuDyqrVpx2K/ZWH9977hUYJAysh7G/3RicBisj7
t8x7kLzMCEOoyXf7MX6+SUaIJYiFkuzsCI1QABt4RAYjAz84BZv0AjelQixeEe0FRgwYITMYMLOt
7/jjxQXYEiB49Pfu6TNpdku9BJza9Jgpzo4IbsjyHEaR5ULnGKTyOKc958BWsfa0grEFmw024q7m
zFK9cJykJP2dLf/Cl9Gh1VIrIG98Mqljvj8T6asYdlFZRY27pXs6ZS3s1nf8XohhBCgSeEDzJo70
/iRmS3qHSOYn0TU+W4I47jCRGDSogrY/395LaBGrTR1KeTQsMMCRmkAbpdEf7wgPNVUhGMcfKOzG
tsKnJGWcldlgrSwL+SK63L7PRZLV8jBkl+TuH00MenZSXdj9sk6zioHcdbdibHPGOCg4Ne1lrDSx
XAyhNIWyxYl7hsTBL2qrOr3/xTpLxqcjvrpPcELaUPcZHfdw/lm/JyLawF505WFJbTGTuCGCnhoV
Jjt0AlJiuQH//96Oyll7XFXWMhqXjNLq+rph2KSAkV25eV05OKyNv0bY2AbGlJe76LLW3+Rk8nAA
iTxegHEL5pGFB8NAobf+VvlAUXUglTZiNk2XNRhFKxZ/CrZiibOIkjamV7eXSSxo+zukhWoMmFGi
z1y3R7CcA9V0Pb4VuQS6NOSLmOs4V6+rOsOXsreIrDk6K3fLtll/LK9qJgbuVS7ulRgJYm5aXst4
+zXEOl9fcUjbIY8egAGvDALhQ/0NuoJ+Czcg0MIOu+WN1S9//glDAnXx8KgOKQdFmulE+RGNGn8l
jjJ6yNCSV8PfyBINyqsVYQ49KpN3q0mqDHCWiKltlxElrg5DJSw2l3aOPDlZO/+punfPuEWxi0qa
7GVjhdTnu2aUdYfVY+3fy8qvyyRfS9ovpK3oRJiHyJ5zRW1R/g42LuQXZcuqzt2s75slNlPPR9cz
RgY42+idY5ZheNTqZVuB5ACAWO0su8xn5mIbou6XwLldSFAt/RO2VK/k42lvCklo+cNIfQodqWzc
/otg41GU+HChIqEAt04n2ui8h45BXVhcjz4l6loA2ln0SCn3Y7Jz4md2xLG3hvgTp10g+5Vr8xuo
44vHbm+IneFnPnNYRQMeOCymUf/70Go0Y4W/9SyD5XAy0F+2/CNOujOR49FJJ/WZXW5gcw3Z9xlX
XVO54rIh/NKX2JfDlBaiOIUvxObWprJtfXQwSpROk5aUYDufzXj51u04keP3PRuVHT7rt3gxV8RA
qRLXeT87FvisZJJM2iiRQn1fRMwn5ekcDGuY3kUUY5QXScoxtAQUFYmqssiqWJWYSbSGH1qvYVCf
qy6AXaVcTlURZPlYB8pfgwmD3Sr7Qtp0fH/BzV6/yr7+oQBmCLVXYiQ25N1tVfKFju0MTYxIkK0s
kQQywpP4VbIHdhn/Q5zz8Vx94jpDQ+VHawX9Xu2OUDVIa4AhvPN5AIiWz5jDAM+IUL3T8BvvLlCY
vddCgYv9qHNUw2yYSgQxg9CSA6TOdBlyfrkofB2K3Y8a5U5EwOHsoFIsvHdcW+dTv4wPZHvXrNk3
KJhlB0xYJktvDL2IAadZaLVSduupmOijfHoXoVtdWWZDI0VPkCQcg8QbNUS+ijcTVY9ZJNGgPwYM
7FOtx6JRg8OpKpKI06Dv1mmzkWSiIBxgGXeA/5HNy36uqE5sA6CswxAyJv/5EEHwuraPH4hjYo86
Xo2YXiBwhBUl4TepNaBWRL0QFJPxlPRApg5RJsVSNKccA6s/WXFweiRHy/0WHg4oAAbQl9Ghd7pz
/d34ImIdJ7V+yixH3WF8CJHT4BfeF21GH31eqrmgZzq/3TYuzfuR9+Y01cb4dpHQXigqMpNcEOZZ
wjulLMadipNHu+Llc3nVzTs4wAs8eabpCoD1/2mqcGQqA8NFWCEV7xKIqq/c93jlBF1qDSPNiZ4w
KfhIF3K0HDhjw6/A0DYlHTkOUwxZ25djtu5cEvfy6RsZYnhpTIsgoK8fBE2Muv+x47Ao6NVh5e4w
qnX5Y6WS8t8yO7Ac/B/3uSg5D9pdfE9iNMfC/qlT0sHbTxFXyuTQBmzaBG1gE7EVv8jH7eaVaqIy
TSXcZLDeGHfFmGJ0EmVx9YQcfqP1WsySs6Wb8cLdev5CNXCRPfqU3AYFig2k/FUiwFOTQ7KvpArq
/Zfnx5H0yySYxVPwdrNQD90Q3DAiocsJ3kMvQLOx52AfrLJRDSEehS9PzzKg7mchhRF30mHHW/Ru
g65zC0UpptFfi7BHHs+edy/77GJJA/k1G+b9xY3toleXoyD0pCLyPEhQeuCnkRNF5iAJIkQcnYvl
d7netL3mXThPMem2TY7jwyhRgrNfrcO4u89o8HwbuxN4yxUMpneNw1gFKEUPAvViCW4wkN/xUXpG
fWiz3WrLJNKTE8+Oz1tVVZTBKTKVwxhwvSzzb7tX5J8Q43vvnmN4ee+2ChBv0xrkKZ2jWUa911Xz
vLQpLo8uplmTElBhM4yoMCTAWTGGMfQ07pBDTs/OeeJBVDI42mbIf7PbQKq8tfhYrQSVGZoYjZrH
gNlHXC/EsT+whm61Gpw9+UyhhODugP1X0FwTIeqIqVAxLCYzQcEEFpM+6Y+Tkkr9/I9M/cpiIkDX
1E4BPxIyGAGJgY+QxdIBi9LRnlE9uvF061ra6Sq7FQKuIsX800LC59pDhWi4A/Zq/Q/BYpLrWARt
zuLKiHuPofdjuv+kVy+rhUMfrb/9kYs5Z3UyRH/mBNJXEy000CP/IK4Ey2oLJzwAApztEgoqQ9PU
I5zystYqmAHJIEquidyvS+MMHUfJLGyWIabs3TGQ4/qjlg2EXXkNOFsWv5rpQs0Bu3ayvbQLTQr/
qcyNhXf9MlhC+xnBRfHEAuNRDB72gBb5jn6ui9vAbvmjP11SRNUTcmWHJ1Mg2LKCXp3/WVuXGOCQ
NQLiALpOO5mbqn+SGeiUr19ksGHSX8hYafgUxVDIdx8pK6Spnjt1A2tNKbMqR6YyQyc610Ru17vt
M4vek4PyivfPNcciMRY2N4fsOXv/rh/Jy0CCeIdpK7g8YtLFnX2A4STbi2Ti+EMX5VPjJHXPnBUc
qMn/JKYV+iHbs2FR5vIBr+Xuj/O6Z/pineG6ZJbbyXjwdL5483y6TLXe1YyLOBg9idLbX7/AFc4A
vOa8Mf0/7dhI3OL0hBcX7LLKK27LnK0qY9UdVyXxAFaLaq007fq2gOE9ErwCBZK2kU1IijHrmNX8
HqV/1yUtn7h1OOEGFD+X70ZlvYtH/jL7G5DE3vPViJ9XwmfOgVk5f+9Zz3N/QYBFfIh2EpgaU7sf
tzE4GAbkpbkWTNe9mSrXkNxZE2Z8FBjqSYVIDdtLb6oSqyK+PpkmRI8pzgT0KSc2iO1DQKQKOvng
fHTiy0Oy3e+rAlHABT9ZwI+ox4XHnWmgV55KLOeCcYD1rc5pK88bkV8ZoAo539LwcUgrxjYEwTTC
eW2GbIu+3K866UMh6tyFRs3JrcDm4bDUqN8PSa/uoq/gXqOgkehrOSm8QHzqN6wabtjMI8Bsd2K+
WsKOzthsfM/BvN5pkoy0Q9rOzVgKkhAXsEDuQMksEhxSGi5NepqjzaBycCfxSdHEgfZHLAa0kn1F
d7tnGVynQZ9npuBkJJi79afgEM4x4ufzSYPfaqCjz/ZbPl11q8xX/+dPkosmRCj2kBwC1bcyV51Q
zZbhN9bNKPhgeiArp4wiJwMLtfCUN3aSnggaVJQk+ev/fpjRGibuxybeYX7Nnmw1SmndyIfw5vER
JbBlYsdFZKxwatu/caqiGz2LRFG+kZVtepBnyHu8zDCzx/28U76X/jxaL6VO3WjP1hye3VLcCN+U
+dyZ8Esnu6KK64hiJFViS9mhMMbAJmtUR2/tm8FeL60k32tfWYXWiQ74S9i383810z+sDnXAohyV
ILYq79kZdvp59Lr297xvehfN0RigktoR7rQ2wwlzNUjD3GEHYOl3bVGEXwvRvIqeBYjnnzez3goA
eXpxK1pcO+NeIGdbsRyBz6No73ArsNcKwC/JQ6NyutSHIeOZ2eIm+OssZs7pDvrvz3qz4Lt3FHfm
IdU1rzp0QyxdqSGJMsArCPX1a7Om0drp88/yffBv8NMpL/XfRozHAJo9F6IgM3cadEHCNuhm7ain
K6V/me2nmthV323RcL7kJWdUxPrVSn/+kEEDYedv+iPZWqWSlnRHcQYS1fmWqujkruSbu0iz5xxC
1w9kijY58wpawpFPlSwL8vbNsIaudx7rnG9NHemm0sstg74t1S5fV58usM2AiEztAARqDS/MtpCQ
EIVHCrYCURIJXZl/8MPZVfRnIIIIGlIobiuR5RsnEWXOuih1okBouxEz2ErnlvemE49d1aCqQWoo
UcFhTlXqwFQXjpaS5Pc8RBsuSMf0qnTsBXiXFq+CWrzvDHVlCGwVCMaV5rrqLdVEwI72Q49djHEk
kP15+YpBn59XxGzEtHQFupR2dF5ElIIO2QRXdeRh0VG/ZlRt5SDSPyDMqXJgOJrcY8WkLrpZmPKv
PmrF2zbcZoClmhJX6ycFMAtGD+1o3EVbGhklBfwjQuEp88/DhykCf0EwvBKcumFZHLTZZ0pYeAnb
yenPvsE+fTEn3a+gdvdJ4cnKkr8CmcOMvExQPnvGwoVxhSTC2M4FH+a8lqFd6669qRgvOTM29Xw9
Mxz4Xti5bNxI6xlCa/9OiOOog9CNtX96wRIfp022mYNBoixIe79C18bR/Bm2iYfGKlwpkGAmVQ4l
ZIvx2h9bPgssPbE62xfZJndIvsiUP6/K4mlcB3LMrcV3XUF7UaVWkueOgJaxKSUrAseCqmX8MDO7
eWbRi7uRHKmQM/zaq2whEY8qagcs4SXeHBcq0TN8iYuNIgPF8KVX8QQhoiycbFHT01HdvG6a8C4/
+7KFE+f7pHIZFILQFpW6UxobjSrM1pimwTFx9L5fFAfLAQ7Ih8yAq7UeYw1ZaKe60FPMXyft6yu3
Yo1JKh1P4q7solSL4eoUs7f5xypCKT4AGZBW7hCNvDlg85NyJYUNL/cJ+ujdWcgaE7uZBoR/hHWK
pg/tyrSRvif5GHRQ8KrW9iL8PUtfJZ/kU54Nl/KG81zUNrRqXutXWfXjoK47fyL1ID5emBD2Pt53
LEjzu2eN3djWAs3cdQf40MMpT9+XfVLb6ZMMteMltzdy8Fg1/RBmIykqFDRB+LEBNnd9rzsDQ+cb
bvAv9LDb1Y6x/35Lr/OeKfny/CNfnssplPSLfVUCdbHR7qDATsZUK6haIxqky0E10T/aFuOW5b/T
H0OV4oTk6XLjB4kao268CVSoRQwEuSFxkeOtSEqjV/MaavxY9R4X4Ys2f7klC7PCQHHy8LUVRBm8
m+8naUNqXRjHJmgGvQNCRiIoEo3eW9hN1tqjHBf/b4vdIjQsuna3ZP0x2WP6/IxMVldJ8C43E/Ds
jGwxYeYiJ63G8m+k/QfCoTAQFnwyc4tL2Eg6wL0lK/Mbu5bobjKQLYoHDXUjylxnvIS2nuBf+1aC
T2oTT+78l6OT1P+eQ+otlR3Je1dEsVy1eNDH2vUzBkfBUBekLIxudUXsowuDU1DANhiuthjI2ikS
KFsAsjXuz83pL3ofZv2FN9+Nt2k5pi5KQXUfgwEDVvW5V74LBQhUjXytwYgl6omvFoT+y7vU968A
D9scoGAdRG5G63TzeK1zwnAcNsV4L5R/P8kRDro4uOxWDNs2h8qqtEQjUFiT8rbHs1mq0ul6bqM+
uYLMd4OtT2CREHCT+23OfJvF7M4mCjzYdJBP6YBPVQkHOqERpbiTT7xfPxkTB0Cdj8LesOTAtyDO
+fJW7AoTutm3zqsSugsLEXHCg9dZcVdib6tkCh7aXgLuWRFI/fzkaIHt055+RuLtxCl0k/bkG3xh
JvyPRC6EsD+cfrlAatJz/6bTQ6Yh00zujNBt27WLA1xHRB/h1ICwgeaZYVxFAAWk1vV6U52V98K1
93b/7R+x/Jb6gftyaHoMxwDwO5nbbeCtNU0k07yv/TcxsiPTo8wz8aXWFnJ4B+9GehLxn3rkhO1h
DeebAjRKcexgCJXnyK8+D3pbXSUiZPmlIbZJdCfLQZwOifRECoGWoI/CVdTWDmnt/MTHU/nC4P6S
2E1/uXo3PRsnXOCkMNsibF91zt9BN5rQCnlQALYRtSkeQGT5NeKgnI0OojuYx31YDaiq7iYyhl0j
SmNeY4uPy5wA+uhH8jNSUhrKh6mvjgLGIEU/1aJivYBvvSUbCzDFz/PsI1X3srPB0LHrWUxRbg7j
Lvj63KlsZHmG5Pr9qHwyM82/vBWfJXQDFsSS4Qp/iXWwSze+d5x8qREwt6hOB3IFOqibhmdXvACw
FMsgeLJTZiQZvMSPPd94AU+RZqpcod9b3+eah4m+Uo+RSixY0a5zjp1+DmeZ+LChbCurtO8r31Zf
IkjW0Ock4XbZHRxEhj8n+V1QmhNAlc7BKV01Xklf7YHXucVI+Uuh5AjBIm8pIxog91WFKVpA4sHL
ONxHEYuEzwJBfYKiTyIESssDLXaohmDaHYNdVnOapPuLxmfsuqbB/EceOpQwbHVOTcyf16Qaj5/O
Sfbg3/Yyxv65TUYEwIRCYUyoP/h0ndhMRbUV59/p/30E0iBW77NN/h+uk4x7zQYLQCDHKInUeEwQ
KOeSGTuul4rNr9JqXFXytdHr2Ta1ptr1UJrYWToXZvTYEn2IXvkA/SGPp6vDjjXb4mP0xsJSXORi
kBkUlZL20NG9x6PRGQS7dlsZZjQKdY+9ETIkLf1BqxjpW0lIxS6VGJgEFFDjvD7o8Hj0A6kPeyAX
UnTFcHaJF0AJZXadULSdfb5V4FKrzAQ/npy8Cy3XNIAtdJvdmNmdP1b5xf7M3bqT5WkVgbUQZv8t
nv0O2OaFPhpGZLJoveNsViGlNVl7w+0N6hJDRANELRo6K5EHVEcyq6NsHInoHwGZ7cp9YubATF69
m7+lTjLz7GM+O4ZUTLpEfcbybGqYScdpEI0D+9Kf0XSt/DGMfjLC1M8Vh1kK7Bu7jy2bsVBzbW+R
YkQRDnvqRfiYVabWhM3Xc9NtBnFcn16ZUTPejD7i6Ro38pxIH1f0ChpZq9ixDhyWi3WsGa1JshcB
ab93TCNo/Fo95nTCIQleoDuva5WfyIFOzcek1rZdJXaL6bemzxN/5FOGz0V/bVF49WeDAdR8Kr0p
BByvGKOegdlpAsvuzjxD7JFLAI/m+vMDOAh3V/+J5ouIZZS4+TuMbLVwG2mUps1+S1YXfc18kmOl
ygWrnXkK/pyc2xXCs0ELvBZyPStP2vcc832/w4FmM2RSGWvxhcC7C117dsM1zqZ8VGoOskKQcs2w
cN2Bkl2nTjY6el4bMGTls9oCJDGp8+2DpJDvj/OwMloxNESKgLeZvO2lix2/i20DEVIxjKW2lAIW
n4Dz8gWCsmnlEbCJshjIwGIWk27EqzfBtcPmJGYNdDCuvRItuJB4RKNwknjWLwoBTR8KvhuZPipm
iVpY5gWt2h0N841/U61NTbhP/L+rZREuhzhzHMD89m4GbS4AVmnkIyCWoz5YAO+IGwd9wX4suWzv
6hvhrbB9eyDi3EXxQDFoIVQYJ+fnPCXKQDpbGYjs+buem1mxuIhyjuJtIvAQONJMNPlbEhZbX5+L
FBDxFO/TDqtzZFlzFXHuBV+jL62Oi52QWUpSZU8Ni2hbF96eI8X8l/tyLRdS4rOgZzKDpGU1hkgt
x5kBK7XULhVKlG50KWwNbjBEPe02fv6SBvjQwyitukBFD6YA71OskqoGyLcmXjmEW5z2Ld2AAfwQ
Oo6iP3Xchj6vcx9VFbxBbA5jL74+oOF5LLBeD/3YbNpaFann0G8ka9yqPLG5ItZ0Gnmu4ffjYpPN
Juyn7vi5E70PMZ8SStKVrlZjd5hdt+D0REkJ+fZYYIEaDGSEqNEk5X6TJTzyuKbIgZwebcDyB3pf
S2Zo7o6bfzOrkEmOAHAY1c0eazFh45aSEo1FXAgnaRhUeOOliVwNvFWbIpWZMmYf/gQUnOvpMmW8
NU3ZJN6tZ2puU20XQ9/gpKEwLPYvIJ03QxJ79/ah3WbwvjFZg9QyyHBMYtT0F1ITSEMB3U0DW3pg
Lc1PVBYBDYg7C5HKrSchF9tdwqHoj5dh3471WTIsas72Dp2ESOtM2M2+wVdYXfLiHAchb5+pjA8t
dzUVeiLtfeh2rJRqCygIlyo3XlirRwucL+vNTXOnJ2iAgVU1GFclSQ3bXJZV6jpzycyBtfOq760V
7dZeA8FTcyZr9RmZFaJRsYYLz64EuZj/c9HEulw4wVIvXWjEycrIVYkwMK2mDqR+VqG58/wYqVHX
h+FDtZRia7jmXEnRVSDtQrLGlAQvqowtCqy7s/FY4ktSpjgP61qYzRE2bPkboVvsCVeAXYHThYfG
wGpJX97RCblsqQMvwuexHjfDIVerSYo/dXJuOFW9PJgQgYrbQvyHN1eBhCyl2x7//mkBWJM4fgcC
DIHOK21/No7wmkrj7tp62XYPkWYkMcxPsDNQUw4tBCeOLO8f6FKND9m/jNY7/pjC/Jo8rADRn4YC
ifBmtbcrMKerX5FtBs1oDTz9FNJhqnNXPjWk08WR5ZmZ+zvLknSfaPOsC/5Bw5voY56OPESVCVNy
ItU1GDF1sDoovf9oxE/6pOFNyu8erhQd5QnHPp0uF5SsEcfj2X8dvMJiwGjxfTiEwfoTyjVCfwYb
oe6IU30Ue2DIMhLJ5A4ScAUQZVPTl8O1EpjmHLV19lLZB8SzW53Om6ERUjwHol24YhN8AkoJit74
8itidA+vj/68JSk9pv0jan8ItGTzYqlp+wTLkTBgumgjEN5tOevRX2GT8hH7t0pLt4+Dlv2rp8qS
PSS3uLukDSrTvbNVOIera3rYKTO+KHPw2pEVklcs3+vZ47M5kA0GFe5UZnQFPw4IJ81mFDwwrIZy
8AZaiUd2/tDvC6ry3wTyRhXSd2eLR51pdGUYZue2VJdq51et9HiqbIcsaWJcaWHcESyUSOKXb6jd
rRz8zx4NyUIA++z9b1ox98jrmK13H2+PaItplkW58HFDWr98Q3a8fow0hvmZ6rn8uB2KVBJ9vDoR
CPgIkV2c2c93/R2irTtzmGdxLjffc9iBVYzAyvH8jjuB4/RK4D3+7wwSPQ/I17mQgNRBWtFda97B
ZWN+HWUik9lHzUtuRBcNi+6CMZnszOxvuNjMd3+uzfqocvK5NQh2T8IdlhJDIEILqQIbQwXrNe9N
FIs0qrJQaqXGlqxAJ+ePtThvbO4gEknYsnVoLggNubKsfFjSk7jKLeQnZor/E1fbz6E4iwkGIbe/
r3aOAF7vcNBKTJl94Re10mfJMPk12zb7tZcQVXcdSpCDz5TwPgIt5T/NtgKSipRCtg4wHekkwF5x
P0sQYGNb1mw+86KNqoP9tC3gh6GuPg/pePJ9ox9EYm275lGOZbk8GXi3GQB1HpREqr8pSM1q3OtD
pHFq5cDLxgb3U5OcEAHqT4ULq4oDyRFa6w6OnHA4igowrhh2LARx0ndhmHTEEC4mTn8sGoON1ims
tenwwlB2+kb0vkBF/GeoYoRay9pG3rpkOEQaUq0iY4Vs4y38YW+GgdI2P2ilaaeZmaloI1pgkUeq
tOpWNXukmGbE28q441aqnbIyHRkWCU6T2cRwpQOoW1dvAx6TTAktr8xpl5SV84lKk0SoA8LLna1b
/pGLJHZVdLo8TsMqxYsw2ingHFSWRxnmtnpIfD7LVCaB21m1H2ebCQVqZgB7i1vro4B/WUwbmAwj
3gBC8ho1PrTlb7ac6eCk0W4oZoxmjz1XvSB0Tb1iGZcliHWfx/jLYUyiACWgWVg7LPe6FGnf1lFx
pNZrO9n7s3VdU3/H/+ZMjwtxF8cwzsNhvb23pID6Npccm+Aub+8OHzKljIha0On4nrI4hGkH0P7m
Cr5vphOYr5olg/BrvP71NtFXlGl/aT4EDGPSq+rhQXHH09CkA34f43gh3cj2xMKTbf6xzqlxRk3Y
efHJo2zKcFLaZZ3arIC+bIwdaVdb1Z++rP/9UBxOzDJIkIgVkC97rFC9+hEwlNRMzaxs70LYgIv3
bTuBMB+1dmYStHj1RCvQ8VOq6acUvfTOxoKESn3QGcOcDf1l3mS+9XMPKqHe9HR9hPBSswyBIhjh
6BeyBKs4TEyA5IqVenFeZqkE5KoLVigQDkSF++bxHJw67+OiBNGPk2MXzG1RIYLYbfDvbWP50DvT
H/JKKOZOBF5KKIaVu3RQeFgI/Jh/VIrBwUrsQEKBuaXbLhL1zCxH/ES6aVCbM9vGCiGV/3F+RclK
nfznRbdq/samXkWhv944xh2YhSRNBcXC2OaZLC3x+W/TQBmcF9dh3Yg9z4kaNw0XkSUJ3pTr8+bb
NBK3LYX7Q29G7ddExA/YmKSXKODimZ8nu+gcQ8U7GP5kP0LtyiqHshKFeBKlKH/86s4/JosKcgOn
CQsy5Hh0B1BoCnlqWi6gE+nvZlGBmNQ5K7YQ2jOv0NPVV6Ip54VQySzhB5YJnRkS2LwW+3JVROhh
hJe/EAlWEeBOUxu6pffY0tGt+Ny88u9UYPSnbiuFwZB9JMbIudye8xso3nKr9Vu02NSEEnRr1qNr
tFlG+AxQkrS+1MYE0D13vrPL1qVEnCzlXaFUxCNNqQh1T7NcVOgddI1UDH7DlbbYBEo4xMxYBAnL
565MfysnAwmXDASzqaSPiegvpNHC+c2vqvV3PkmVukBdf0OP/mESZ3HeFRo5IpmzAkqeEIg3BvYd
KZKtAEeFC+8EAjknS14oj0af5GisucjBAJXk3mow50oL91iBgtrTmXoLGz8TvVEjLwqwpYJEigYq
BpF4KYlG8NYjF340hS6OOXlLc85PWnhr2H7vfY5lMAA8tmhXyH+us9kP7XT3Dt49ZvQ294TYXMj2
YROPdam0J+b0H6kd8XmtKDkbijRHUB6u0JoCqi5Rf5Ui6f8Ok26DCye/S8HSMrn/Znd+w/YCw78S
tpbJ2SwEv1Kk33egn/cjH3uHk4iQIgOPjwwpLfZucM2nSbIGh0Wx6sLbXd2AH1R6FxV33I3GbGyC
xFk8Q1m6kniEjwnBoMZZY61E/B24e/407YYk3W9Wz+Bui20yuOf1bFK1TuVobOFqFY7TswD42YZI
posjb9AkFz9kohx3KDTgjKC7FTuRG+xDXsLRY9+ZQ54+U+xVzvpwka0p2RVXP/U/9u2s0NtVVV1j
nwk5M9fQJwotRVxn0OcC/AoVAaSkoIB93OtLYhi0JWcyDdLlbwLMsbyG4HSW1x+uU0cNMifkaHXJ
rRa8dY8mlG7k4mOcmI7RMtlax8n/dyA/rY2PozJZYUDQFTgGsSd2orr6cynYv6COcRZiffN0D6y2
hnqjxp3Z+x4zdqZMdsQZRBblDhpAOTJK3H/xZRMHk9heMYPirT+ZeTP6Bbcd9rf3F8hqINb0qajx
oweQDGiH24wpcUrCrADAGtqZbZmvxenulY5ZIneUuh6sqQUd+dhU99j4GSnmaafI+Qr+wKcExdvX
hone+S1M7M8Gz5NNb7OascwH+6LZaIgZpHEUHW8WYrbPjg67TorIcAw8TlfU2cP3n6Lw85hSy26s
qVigadtLO1h6U0VN5iM9i3Elgt09PvRFCjGmidMM2MH7DPP2Yjd/UuH4zA/kQCb1QKV6mqOL/LZr
EwrIhZj2QGl2huOa8G+4j8OlNEC45XiA65cHptQv7J8aDKMs5Km+uffIZEoFcyej/gGGTv9YiCsM
v6IRkK7JN5vyY8WODQG21jRnHxNfj8kELmcuz8DbrAqQbzja0Ml3Q1LxdkL5y9grYyn000c20NHU
YmWCrVc2yejPIiIFhNzrtwGr/2t3GTPljDAprBqJua9UHwYHQxwalYB7spwTZb2Bh31uRsFn7EU7
DD3I9AvvmXBjLOYzHBHLNmWzfT2/80vlbYfVSqiHBicFr5zKkpfWz1vz8D0gLcnByRmvqxR8bPeh
sKMaujogffd6oAqM5wYnvYqep1U9MoX/qTlvdh6ppsdfFGqGFiyJWjM3FPUT2du8gIOKnkRSjbir
oAEvHppXFBW7IaKFNV6Js+1wF1uAsIbmoBkxFpm7A7GZOdQFGf4i1TNCvna35x9FRyYSJeT6+/rH
S/ovTEXQWrhQDAcGe/7rKhl7LqXT72lkPJuyolgoTU4zLpK+Kovqws8jrl7KSXJWJpR3Ezdk8Wyq
urHJe8S+rlI4FMLFW9sDleWjBTrz7FZAEAOwBUtA+iSGxEqBB1y1yALZRIrWqySPusG1y16uJx2S
rHW6r2eZ7EIEHMu1BB2tcPOWEueYvYleVYYePwFKPXik6rH18oO4XSF6hIuREdNZ99xJgFRXxsa2
7IASfoUrdqXlT/g50wqc1cCOkqrreffx93nvUgoe9UF564w/wCR04BqnC84MMhLnVnigMwfIqaTo
7xaPmmTNxY8I1rUI8bY2RzWui3f5TKCaDCqniwtNfC8/5pZQJTR6PjHQ2dipim4qVwHSaa4stGsD
4JbdVRlxqK/JsUvkes4rhNfts/hYZrK9YNIMHtwZR0cuH9Em1gL2pQwQTCTV7hsVwN/KO1uzxb92
TnBoRb8mn0k5IJ0xqo0IbZhcm5N3dBji++SornH64nbWQ6YrkfZ9yu7KDGhlNomc6aEDprTtPmEb
4EeTA9cU0kFRNbCjTNLzmF5dI6Yhx5PwYzCPhWnKWFcCeopc6PsnbqbL9yp87JMGMNE0vBX3iNtx
y6zBJ+p5RKt4ykCkjCZmj/wNEYUBLyRNAwGcRHmDxI2cQZip8GTfIUO0VVw+i88L5Pn0I0GR/tnv
7qjccxzmmhCAO2/E5T3wVuxG3geg8DYtlht80iu+kFafakpR5r6aOWxRIrsZF/VMZGs2XIQCmyNO
2uczG3iIgsWHoJy88r4qDetq310TfEke281QEMwdJUZXSkk6zI0oHEJDMfuOuYMOtcCFPowJzdqd
iV17rtscFOmq6E1WSLWgSKribgZqctyYY8GaMLLfckJLafyurc6u0YEokcKGTza9QrRA09m6akdj
3KHvg9KuN32WKuaHq8TjfsF03anyjHpTiGtrNuQ9JUuxEEzzHNDnKnMTzw+0NKg8kh60838iLaw3
TISYL3CZz+jIcl+WQb2BrtaNb/6EeciItJyIL8yxnJuQtzwlBlzazCVxyi2woEHIZbe8Aw7snO+Q
Ui3OwhQ4GkIDwL//zR0rBu9a7jQdLzzVNObsXNDx8C5WbCG4zSnrXsJADALcKwNw+B+a4cfVOmO2
R1bPRHr2g4JBdAasmhonu24HPdcboGtwA+HSY6Vp1Vcbrsw7xHSqpRnJ5LD3t5BTzGK9KtvsxgdH
0xg3az5HctLTxG6h1RSLXI0x1OLsvMQBGMNYHaeCHHcoX7/2tF4uilH+IR1/vMspsg/AcxC5ykyP
/MgCGClSSFHpEasDFNPu5NDIK8mfPFnv9kk4kalvt6tPJTQYtkk3U0RpOaXcIfCrG3DEpqSZEwMG
TxCTLlbHqPwKD1VTgcAflgeVSt/rpXWl5SnwAKTuXojbckUojb8oR3vGnnCK86jDCWj2B4qmCe9J
4kpozD7GmNpv/D7xe8nCd7id3oD1sKg4mGusBj8IZ4drpoJKoVxzTGnSPtPcmByA4xxpQz9Co0vu
K/l7spH0j7VbVOfE7dcnUHIM7Ds3+C1wjreQFz31HtJSvBVUV0XaA+eSeWtr0ytMh6zkyat4o+Hr
ll5riC2cOEfQOBpMu2r+6KZ08w6lfz9kzQRBRHHYNd3bkXMxofotYEsG3KFRlkdMHIJzBrA3FgIk
q2vu0vlhy8nhGfBtt+M62CWBdmfya1oNta6SUBmPBKaivmL5NHIR33T4YzCQSe/lGnSgjMVJ9FzV
DMnsAxb4HsBjcFdZU7QRJr8eRdJFOh8ow6kAVBFNwz4kJ82h1m0aXGeS7OoysB0/95dJ1EEYk0Je
tKzxnPJgVDu5iKZiVsLHuCMUPhYv6I7JDxHC9FUS+uNycUv4Lcs2E+le6ju0bUPgitdDwrX+kI5F
bWE49/DMJomQzw2akJhLtomMOQNpJxp2WT8Twb1dfH6/55tdxJ+7vnoSVSvIIWSQnmYxEdRNuPBE
kcLROZv6I/UGzyjOfwKP0IRgrhIRX4X9xAnfVfYpbqnTj2GfmdKmQk3kJRRujqkweot+Vs/J00DQ
DOuRM2WNME6+HtTMmlUe2B6i4Vx9xmqBnNj5X0NecNaVQzwQv17G8baS8bZWW2QHOOVIUK/i7c3B
/FBGluO04YhO8twqNAIh/8tnCvQgOsXrRrQcff2d1Ma2hxGIkEcLHwMt2nDd3oj38zKbG/dQCEIr
eOutRsVLZ5XG8QzyJcCJMIZlGdW81x9JIo2UfEHcQ7vhL3eg6scGjXi4JE+mcSs6/fmR8xTQDS6e
8bMov3tlLX2LzBiezK1H0W7L/WKCX575yQ7R1lRUrnG+b35frpMJ3sqKMdrciOFU/fJJZRLIh90L
0s/Mt9AV3O/m8VSIcqqm7ASKv4SvcsG7DehGUtp+fodo3n9N3lg7Bzdec5yuqKcClyE6uF1eyhMD
Zglc3MZ6qupUsEZutEjZ9SewUYen5Buv0H/thDGFilyXci/x+2fWXVRHTx+qLN1rS/44UIBeby6E
stUlGI1vD6qIetnEjLT3xioxyJGpcjFsXaK3wCu3SJM0DQ3ze59LkgDPP6MATV5eqpWxHTc4yMol
Mkj5ag2NDZ3eVuSap47cuuT0W+8/ps/J4WxjERFM91f+AFYzEYWsmbytvjIFatTxxLFRyMqQHYRO
cjm0xKybF7hOsOLr06saqHfWXa3cdtHl/N1ipFJp6W7pVwUONhrMx88ZEjiGDB57cvJmqs8MnvUh
GzEeCCe7Mrzd6Z0G6ZJ6kcEoruRgj+A82hKSgHwiUn0ogPUouB9DMWoQZWBJJROGHcMKzKKHSVfR
2WOQWWxINOmctOir3XadK0Ez1PG7y6f4df/w8daEk9UMb+1cUAAOf/WnjIRrfGwTOhbmGnpHf0Eb
wo9wRMi6rLSx58SCYl8Qgpab43gCC5KU7krZkNCqsFKljjrjGdaGsH34PUxTN6uD4K+gGnnnvRIs
tkeCvr+qWbzGmeZVWxPq2kFtJLF7a51LgWMoBbqdhAg1WdvFdOKWTP786bUlktiGdtPrcNTf3jlr
r5wTI8U+3Vz0JvHXCKzjQe/yYdLUKsQ0g9XFiyPSAfrUHb7LibmdG0LUBx8bAwP3oH7Q86XUWijw
zppM7sLFQN7A3T0TwDWgHzB+GPdr3TWWn1Cqa5rAPLzoKgj71i6npRT9wJ1GVOpbuW1ZWcxIVstM
MyyzKJy26ptAtC/+xWfLAeH0YsD/YbYa36FwNKLgFVyLjh+72RB1YvevE/ObRvPOT0TB+ZZsfF78
7kG4bZApwPk50l/5G49ZadbFqtmnjk3/K6nCLOLlRZyuK/208KoomTDREDNVRE8pDm+in3ENI2ES
E3gqKLvJZKc5c9dCs1thC4IXDcHmjxOtBJH5E5fYM7fEPudxIbgzfBbxbjlBrY65NxZAnAjjs2gG
bi85gb7lRm8R8mSOq/55GNrISVeE2il2J9whFCnhOLg0vtzmQlQYLxs55+CzQIpPukAi51jtxqES
zZKQtc9yFIiECiQUNUeNIE9EC04LKqPMlXrUPoojVHdwq+Lwvic63wSwexh1R+P8bZPmZgiFfo3t
HPQaVnDEnpa3S8H8NCV+FT7WyKjbYgv1bQPR2KK/9RVViXeXqZooRlq53fLKpJTwJTjHg3HZqIDi
N7d2+/TpyPOvBUvw/CkAa4V1ODsIj5Y8AnqtQ/4J4m17iYim5Bp884LtwAs1vwkoGm5RPTgX1XC5
yzRxa3ysBhj5R7X0rlGmdPSk6IM+ByH9LQEIUTJXxXyq9Y3aGbHwWckOtz5RkCGajyvOEZt04uVz
F/2fEadDoLs33EyGrl9+xXcjZR9tKxKeB2c+l/2dyxSVIc7FC62cxiDXPB1L1PXlw7HsPDq9nNj9
SnuYgAks4Vh+PlySF0jNaUgKdu1omWLo3fHCw4zVwrO0IUbfRXVft+X61bPEP1H4TshYloyLFngD
Uypm639vK70CPSLMmwHxWmV7T1CcUglcKmqmeex4AkP4jmZiI6GrEaY9VSNjoX760Hgs8tnoP5rT
SnjtFnzTF3Te1Zth6uCadP31wHoUsz74yoMeNdfEGlUhI2VJsqhu0qArXCORl0V0HmA6RjYE7HOc
97kUkjj5BI5RivzOJd5D1+jMz7FIskVgVhV5fWiDzVH7hg9YXUr8pjFtM0nEWlCkn4u/06Yf+xvJ
TqJtm0bItLv5zhZT31wh4BhPUNT4Qi3tJ7oIno0hYgJI0WSexvb6R/J81ZFa1rpMlDTM/aMDut8F
D2Ai8QBg4pmpcJ552KQsBUNl/FdEx02wiDZFZnRFaXUkw31jPaVcv6EQbRkFGIMhTwURwgbVKJyz
WZ67DKwh/K0o13/BJzb+koYGBsSD/IQHjw4hhbeMuz0L9qUbBACHvxO8riDy/YKOqwUZkRudwZAM
7Gref/JtsEPKkchG+db3Asn2Z2vKT+Z/PrmOfQcUNjOHbMh4gExYOGe3rcJfO8YzVbATHnXDcVeH
FPdGk7QGIYA8PPXqPcZkF3i++nvpRq6VsRpW66vn5pqJYraVotQziI6iuqWTxC4ta2foNC5ZFMLp
F1SvDq/nD3Z6R/EYuuS+vH+d/cwipnoEMpc0Y863lYERmoNRRcoY5YwVWHjJxx3MKwhjmw+2CHig
Fo9y9NiHXZpi+O73cxMqTMvTpTXlt3zZRUj+7JKj+64ym3kpsJsLT/baquQHPaZnHErRQ/iGQaz6
eJQiGo06JpKnsOBicXWAnC1kUmQ3iDjoB/Hgx5TdXQZPd+cy/p2fc47XKDv2uoC3IRRniJHQZGEt
jXUQzsToeg46WXYqd12MITRDTy3NbVOVnUiYwFOrQYDL4ZE7m5US3KKtjoUeOjwepFUsdUTjkLMJ
fy+WvPCzazNjWON8u+UXXb5nUFKea+w+69Yy/3FUcb4WpNvm3ef6IGrmwG+GNkbzeQd5QnMCzR8i
7/ucrxqvAJKiaX4L+kQFGjuAVTfnQAKw9entBDRYaqVC5lnQI0+xyGo3AGpTIrvRj+daOc03t7Dq
At+a3+BHKE90vtGc5dIDrLElRsmI7Ltrfgcz44d3YRnadarEEeJXLD1WXdMQ3jKdW360XVzXrWbE
sIWZC2R/PtsKCh1N5iWU6rJjmfrdsquFKbMjPLDrH2IHkN5ePj9PAv3qmd/4TwBYl+LTff6rGLRw
C7tjPF3Fwceng/XdfaImpwV2kFUuG1IFxK4IVCU2XCOkfX7g1iWMeM0GjZLyf5I3BPv4Y+XPYR5d
sYSSfaPOLi3bjz4b1BLHWfDmARJh3e/wGyGwyFhp2/eEiWICk//PG3+nZAS9vRWmhzerKgcOwt1d
K2aR+Z6T/k/8+sccy3UCWIe3e7zGt+rVyIuhTxm84n2O7+ppJw82qn/ZNHRLeywyfypu8AL/ejYJ
xEyQnakaGE0TL4Is1twnfqzYC7tBhWlKOgtT0uXCNk5f0fUBFHNxI0a6A1f3qw0euUZlfWsQ1fH7
Xse2ofD4QlkxzliKSc7+VjgU/kqtbNs1FAWRkZGarf6MC5Uj3Tn0CNDfxoY1TXuou0Wq3xwHQbB2
D/aD+sV879HveVRbo4cyrGeRrB48g6EAlybehpI/pinfNcp7z83kEoAYT5jJ2KBJbLGgMyOwuu49
jOgRgmguZrDIwo0R3OXlrx5L0g0D2uWllAIa+uaCBMbrBNvuxS+ZKhZk2elymyItHdJiiD3iNLc6
3liX3m9uSIV9KzBz2lzoVW5FFWC5vPm78hfk6a6szwNJBaSZCHmXvBsE9/eGdTEIoOhzWwCIdmzY
7YFWQiTbCE/t7lj0Mrm7Prvho6wG3aQ7K65LX+mFG2gbu6JzwWqXO+JQk6OEu8b5X1+9caMNlzXX
uBWzGRQN80Lh6S2qdsJr+2uMtbu5lgnPPVaeuRTxR3TlzFYzf6ZNqSc5eRmBkq3Z8TW+mphQK3Az
Q1Y+bDQWQdTAVp2JbqA4oYhrHVNHR7K/+cRFnjD28cL2oaePBZ0wuw0eaDrx5hcm7T9Wa6LYeI3E
/gWUa11TP4n6bPWUjFmFZqWkQ016iw9OZAgb7hWCIg+AcRt1Dtlp6WRakxpgr7gxG2mRrDuDb4qU
52zuuZFlqRKTk9so3V2C629XjUHbLufbO/TuWpC9qO2hhSUWPfbeCkwiIUHEGda9nduDuqWxj1dT
9SuFRwWbXNqAFirqBZ2m/iJ+MFo3G5ZcN1LtiIau8vtwlM8Kt2+7WMeuL0cFJk9W1WHlvacAXY70
qwcAyjMHvqsqJLMatWDykQ+uZf7bofk5pilvo6Q1ohUmrBu5cnLNSfbdNLMYVCjar/NS+5QlO+24
aS7BEDeVYDY2NeWQqGeztzMQedqK2H+p03A+14yYs0PVQ+gKFE0zrCO0EWo9ei9NFmJL/+sUy2hc
PVEuQHgkDigxfGyNCRqmEwvDp8eO8Nd5O7ST5JXhcevrZlGIUT337MQu9f/Tcq2yXwc3oGreG68Y
l8w7qVuul+gB+HawlDPtB6SqM2x4Y94xmgpYsU/+EINBgvnNlT1pKQyxQ45V1WFi+Q1SnXSqm5k0
rEH3fbIM+2D49DhpkozZUW5snTLO6Vz9Oc5eQfrqDQXdePoe2Cq6WLaNGSz8HHLwiSkxaaEvplRO
TNfs+h09yfLhlh8onubd11tWIwRI5C093jF+0ra93rG8JnrjLsMHEdkFKcjGQkXJW4v01DghX42X
GPQr7yi23rGS4s/jIcp/1DBZqv6r1ZhcNzaYYl9yTBS2Qw/SMJ6NFtr/iEL6ZE+PKjCmORSsRbm+
5pSKvTDPMiRXypRBAthpEFp/8CkuAMRCzAALlXsfBrg1hYA55u5MU/RWkpGTbo7o9gUeVZ8b1wH/
vTT6Lm2/zr0ZgRXsciW6eKZHZJZGG19qMnd8Ux/YpijHMr28lcwEJQc6SlH7eoTxrK5fYQUfWutO
hlFcPkaT4wUnP4ymkBP/IoHtqIlb0jldrOCN5OTB6Of1ZmOF8NvXgwsV46jqSfJExvq0OV3vybtw
owODA1Rk78xeQ/2aaNvlSrILwbYcFNvocFA4uRlpUIT0CMoxZj7zHgE1qUHu6KRM4RVyStnQdH5a
9InFjuy7PGOJjlspbdNASRiFlGyb3gzPxweEgiiyN31OhR5hVoBEBq+kKB118RMoiEJ25U69Z5dN
8WW2yB7+q5Xaz8Ls0IXHCp1WzMMjs1s3WI439LxYci47JXZJ86bdAelDbO7r26dYTuPepfV/zCLN
qhv9/3tPI+j205J75Qg3ddnLLfiTcaQGcgseds7Lr8d1mcev610sr0D04Wb2Fr7Lrr+/CohLHSyS
NLbWGj6LqcSU8MFt2ZfocmkKaShqMbM8zKFIV7odHcAY8f0koLgOCJLYt+vJ/cElfpxQ2m7dEFAt
PrHM9KMY3ozfc/i6c0ZO4BnCJMx5A/OkWkZnt/A3uUezF2b+yMakTNij+1cNIILxhKAYZ1GvUn/d
pY7DgDwSpyljOUvT0YgVLzS04ofV+V1+n0f8XSUrR0IkbXZbMCDFaOVuWF7Cpa+05ucrBiwZWYCH
48Ey3TeMpzEPjGaCVi0rS9kMAnCtsdqD+ZfiHCIRQVfVRTWHYz4pmwKgF15n1i3fMs+VX0flbD8x
KrZ0oXssKantBr1hg6pcClBggn7UG7JJUdoAYBtXJT4XSQ9HtFiVV4nLcoYURyF3adwq4P+ZfgR8
JEb5XpqXN0D5k9CsKVsrxvlv0R9GzYjvppR8ICrEiQ05c6xPi9A9T53dvvD/vKzsOif4Bms5Tn/T
2zDpxNjrpKVBeFoBgkyutdkdFB7m01erZTFw0iky8LdF78eQD83gSkZth7zbS0dx5E2ja51ToiHU
9wCK4dqyerHGLh0eaIec5HfIABwrMsa8Xb7RA6PzS0mx5hQkEl3D+Zt/K8K9TKTfKmOpfl6TAocd
XIeh2sjt4RiztuMb1EzhzIPjlORQaqS1un7YVMMcHHaJrd78LtLX3QDSs1Dro4iU7VAS1l3rdbHQ
9WGWE2UytehbacFWF5gKvWx3IWOO95dGwNRlzwNHzh/1Quml4VNjDPFdcFnnqGz8nD0qT1CK1oKM
tN57llGyv4/ovFdSLVnwqSweJEdSNnnqYZNkGGs8RwpBeEwYVPDMAvo5zEGhV0wR+Gz+wo8BpsdG
7SnkUIed8SrcsN0yVGYj+Mmfqjj2emDqxkOkNxv2YuG8xsgxy4fgqAGoEYhZZ7bwUkjGbP7FLGqm
pa5BAHXYrvr9EH1y532KmJc5L1Vc8f8t87koW6OLwai09NIoMnsOYslzZ6yZVRIoIlYJI2bbd5Y5
y+6jtw7z+A1gayR+0aJ72LTBLSTjGRi5kBdqnubpvkQ0e+I2LzBuzUgYUaj5roEgZ7yHNatDkmlJ
M/h2Cb+74cuMjNNHRLKFwrdwonpSVOhbspW463mgou/jqr8br2NuvDvf9hJlIjP4tKYb9GyhEoTp
iTsGPsCuy0JNnOIPA/4FijFhXabeS26t/wDmX1igC75xBqlEKoOPa1K+X13iAnRb2qnZ0LJ8254v
RdMf4N6WDGGjCy7nxdZPEfw/qeZp/Jl1XHB7xZigZHUjiffOo5oURkYGN4hXPw0jOkv68PqNBIGd
lnKZtD/ITqyFH1wA5yY0ojeBfLRIfbKoC9Qb8pH8SeU3vnUlfyZxBllodZhvvWBpx8IcD9+dm30J
I1vx8k/djaD7sTL5kOJO6RRdhA9pLbu1exCuaFaN0n82OiXOPKeH7Nq+xDom1syhyR8exvBBIPMi
0pdfYuVr1adFK7K3K9419kUzZ/0kXM26U0ekL8GSi24tfsLbyXEYydobpIQvXDIPNyqtjnjfqVAU
+o2MWI840Vv4uxhIv7Vp3K+vTDahTV2MCy0mU8Hi8ofSUXlwhEWKwGe5wVr7KbBlaISzCktOKDuT
qsXHyDQ+ljYVPx4xOk/Qdct6rg/YcLJZKoQk7U3NpiEgpQbz6dILfa2iT/cs2d3QsNe9Cmwem1rc
beA40qT+DjR2iXfm9bmOlhw0KpMUE9zgChgjueDWqDft2KRdZEQnw4FJ5XouG6E1RIuDHhI8P07E
WOhjN+0Mn/oAWsvAsBsxW92GSLEQLRvUm2FPtCR1QI+cnKFAQ3w84HlFwUP+OnqZtoQ9PJCoWdUk
hZ3Bx9BxC9wx4DfpcNMhAKE9UCgIdUrjyy5LIRVwYMxcO2ejROKANKNVoHqraBLgQttaP1tKzJEF
WQo1gsleQpCymDxbfDYCIvaORxaYoixsig+M4mBgdpkLK7CTTTv1whVHQtZcRLt00pl1MjW+rP86
c7jyOwLJkzSNyoaGhd6OZeN+LS9xMVyODL5q3xmAdsESC13iakVtkik1ZTbOR8sceQ842b8A00YW
Dx9u+esojZiYsCfCbR2MCb7Uc+g0aeI4d7ZjrDmc185OUyMY9fhkQoKxhHFM7KUYV/FZ++wI/nsx
5g0yxIukUKAKpjCkm0/k5vgbQBZy6nxe5vfp0WzeZWflt27ZWkB8H/MuO3kzWhz9JNP7gyvcSq5i
fxo0gSA9eY6m22xZQ/z9joErmRWkrGqnTcLkI6t+veX0BCmDCJiAvaj58cjsAbGVc9Bo0B5Mm/kr
DRIxbYQr5+Pjdb+JEdC8u5f+pav6WJY0cvBZLLLJeO8lLNmVwRQFU+nkWZTpvFornTLVSeA8L1wl
WP8vDT6OcCCf4Roc8NcoqsWjTBSsoWonxgR1hFO4K6Fiiu+k2qVDv5SfRZKUXOlfbQUVl78/W58r
klha+T/e/1TU7qum3r7StiQEiQv8Ldi9rcEnBaB/kMiauLEYC42xX8j19sC6Sw0H6Wk2VleLaBeu
IR8sM9d3eyGa77rcx3AjuRTrVjDFIwGcL4+fAYnpuVbuzI+VlHlYKV+exJLqIwITXA+7BekJILK8
yqMcdqpoY7A1aF2QNFvxYg75lA2AV+SWD9KwnDtCGEg22+xYSz+gKXvPqnUXFut4+UlMWTUvr5p8
uTwqtI0IZ/XlaaVpgPQ0W4OJGymYMLXoYHkKWxuwkyF3iYE7d4rRnTaqPy9U40DsWPTJVFwiv7nw
Bd9H02EKsQmvZYSlfhAegPRm2rzOWnd8suCgUUZ5hcATBEqqJIIkHM3mB/FZqP7YoJqXe7wILJ1q
kU1mmtuRAcvDgCUXlGgkbWyOa7f73RdvZdL5ytLtAEpDFzFBdNNUd1iXkStRhQ8SvIajSUGOWFZF
Pjh8Ijg6DAsFHSVTJuUQ12q5Y5whBt5bCmv9/Sh0xrJL77ZhO3vYZ7F5I5EYm47bs45MHUfIDb90
vJiHhNsiH/+FIgRA+mgdgFx+7DmtO80H1X3ogjYrDTXxpJNwREW5DcBlPayZvF3bJWqXgL3wubmU
tCrPrOnXmEQtP+B/MvIQmYOQFwtEYGJ+kx6m6zcKekhuCewdr4ARV+ERBxBBtMyUZow4SVYfSR6y
ZiDVUmw47nhN8wEwPGvIauCDsTt/4Ybr4UWlWxSYlLX5FdcOPXSSfADSvwg/kBjIAgMy8tZ0N6r2
fZykQUDPt3xdfho7OULBVHOCFL2hm8Jw03Yt950osMSbGJfRw4Xga78+0oPdw7usq+CGK0vXzL9+
93YD8lrJ+beX5KKEw/TXfafTc6eY1Een4eCla2q63SfIuk6ZOPH6dJjASa3xp6lJyjPX7m3FhoV7
U51AHNIQmnbTVSzCCaq+hFhWeC4WTuVPaogywJ/ghsYlKVeazdX3zliWipf3YhlMJW1/yOkrOXXY
eMvNcDISiy7/ZY5EQrM07ERyf4qzFAGIHCfQnGwfN47apmaXlxO10pznTQGfpE6cfYJSc3DTv0Zh
gHYVwGAxogenVyP45JIIgR6PJDPF4oFNkL9w3lFeaOc8xZUKifKLuzOt4zGpixqW0+vzfZ62T6sY
aK8mZTV6tpiWaGCZGFXBDN33IIdn/Bx553DUX9o6g4/PBH7b7SN04JB0Xe3rkfQ0QdlxXvzqc99h
97fouNn+D1/uQbT7HwsnStkysz+Xmc/HMNILU3A/vwvFpGI3U2g2bhbGKrxKEUS/espV2fr/579u
MlND2gLad837+hGViqDyJ+AOzLeU9nPHzLHMAKmdcSVrzHCwSiR3uzU2DAp8U3gG0SLZeZK9Oq97
1FnEpbGUYHKMT0rBk1S0MsVWDa/AZHzbQistxmlob6LJQjuNW0K0mLSgGsVvOkO6vVbXtwNqQJIL
FksVLzsqvEOYyp7HA1+yVWyOGJN3GrhSPFfROQ1jmgGmF6zzObigHsEuwjDYz73ZS2pIG9DxClpH
DJajBh9OjA7exw+QwtSAVSY1P82qkJhgLsvlOZYfBRg8eXd3nOFmv6SObB6gAvlxfnV0xGQsu1Vm
TN/N0Ljwri9tQ8jxc04XgZNEUwRkqjFd6WCMLnmxellxEhyWYWGXmLUy1KYl26bt2Wp+dib16AZb
U1zROhUv3nC2T4kclsL2GHMWL3z+oW1KUkgvbbSX10pM7yLtJG1mpARUNgEYpiWXcSUc16il8bpf
FeNQHAKQxQmWECuLO8ZpxmeVaG/uBNCn5KR/1fi5yGuX43xPy7wb7LuhtBYUM05witCooamK8A2a
KtOiamxNksYM5h4Rtp67DghEJIcZLNS+4oY/AkT/UaPYouFHO8N5FSYn4oHcXI/WG4H88eVejuQz
/cHal1lcXBgBG5LmYsDLIVfwp/pu4gxag5CPvIZgVNMLw21BWcbYi2CsJA/rt9eHQWbv9Fdd3hDZ
eVowPSeKwgZ74cjpMxEjjh7nZQ4hRzzftfp24uQknN/uJxlkO2M16ZlMfBAI9sxoSopZyFK0vHn1
zW0MjsFz0e+UAUE5emRE7JdHbQ66RddrYVT4Mb3lO60uHcj60yGZZoJJJpL+YsCOCwoBu8cqU9TA
K2Zm/lmoelZY5DIMDp7M7706qDc19ouoKK3dKmAgmbvIrMYa6p8TXD2ryMwAjAhmlfaR1h81sys/
1MzAkRYIiDxN7Uh05fCY2CpT3XbN+3tZ/FLX+sSVSfK642xY31THZzYsp+FW8Boy/lC/VK98xeks
CPixhfm2oyiLUIGTE2HIJiArSxJXBUpjq1jGH0elsTLjKKiJAdYrsJHIO6ODxzpLDtzN4B0F6JSB
ueDxw33jNdqMYU9WDGjSeAqRInb5a1PuTqnKThrMy79FmHvYxTKgT38S63EUSSwNhUX+IHCo7OX/
CbCly/+pHvIZy49lgjYsIR4fNu0KSsFfPJ8QbeuXLS6JG88x64Lc/qV7UjuGysnbF0vHJmae5xYl
jaDvS1TUq2YGteQYnARBLc27T4NLf9c+bCaSH2C6Ybj1AgFv9aG+omfbFVOXZ3/HgKbLW1Z5ukF6
Y12xl+9W/67grfJLfBZ/pMzenVl5t7zSs1rz85ywlfVKxvL1TWTWrf7oDy/Atl3w6gZoBGwjTtQk
9YzB7gCPYM6DfTgUQtsXdQxAMjquDWUFK0t2NfUsRNAj5Av4lJhzOFPOR7JLbPcBLHt/t1iDrrby
wgwVy5cC1AdjX5T8j/ch4lYl44RcAbOhZhJfTd0dHyYpusAq90LYvG1Q+IgU7YuN0KZTaSOIAzwW
3gOQWIBBe96djOVxNSrbdxG8/lW6NVv7LJDKm8Ka3USKpk/r2jSWdY1FZXvxWXWeFUx34NoIjjGO
qWnCjV3g9wezpyladLMktt1l5VZld0LFhFGxCKVmjFHR/g2ZOp0tLDK/LZe1CiRjPp59iXA4duYc
XLEPFvPpO29rzyERvMt66Sqcdr4Q2aV0z8mJRE9r953rBZSjvzVCGBT9kT79gtUlOJ3ePNVkaKON
zy5+IqWtB1THO/fiauuUrJ++KB5vzJxab8ERoyqVgE7EqnVGs1nRmrrjnX0ReqBPtDnRwrTamJ4F
OfTztyy2Q+/azQDAED5ObdUfgWSk7AYVNp0eCPHjXl4qk64k6SZ7t3S9CIFv4xSzOhbxg6DM4UQX
n77UMSAhOwbV2imXMUBzMhNmlrXPHVUYe7zvVe34uJfcaQwTsTfGja7pR52kivGvCz3zeKuT+QXv
4bpyMNbxUc14geGHtUtt4mJeAOOV/WL+nyf3PNjpz0B2vXaIa4tigkR11pkOSRZfIWAVQ3hOLOyX
TFcS5ozk5f1Hiss8O78+7HXJBLewSneBjkFLromDvC6jAzxcLyX3pwDML6GlMlmfyhudXQKi5hsZ
xsECuy3tRrWUajmFsqpH+lEcnLAIXh50d2Ga7KtNnrJFIogw612MJJhN91+I5xTWNd1ewQPOfQYi
wHla4UVpyE5ZClpqPQr59pg+sHptrRlJqFWFQMFlAJMuCIzfbc412LknpCRiyot8yqAj1ACpdiYs
sMPUxwkH4ghq7HaagjHWHfhQUEIGj/YmEHxXgI3ePmsuvWRGISyjY+nLzcqXchroIgQqARJvkz9J
s7RVL5vGK2LjRCQ71QTOgUkEh2y5fWPDJJ8m3HORhhvF7zUEM9MLepvLXX7TBzO54HS5+CS5x3t7
o9KiKPZLiB0uVkmsRHueCHwamvB7yreXC8oF9eEXaO2/Y/LFa9U35YxkKgA06ho3Z7//5I0L2D87
AkJyE+/AwottzZs5zrqYrlOpG4OznhDn2TwzO2VDg1WkxADSM8ngsHgw691p35Bt7tDLU5Zxm+It
xIDkP6vNBm0jESlOK1IvHawmhnH5x/S1+Eh778/thfJLN6CTzUW8qwzge4AhVojGmf1q6hQYE2uq
zfqcjUuCLY2kNo+Qmac8EIJj/nZN7HBL7WYFCwGMTfEc/okhJ87noN/L8CrfqO04FjFgDX7pSNM+
UCid97wHdM4jeuoTeHB/waEN4lZ9e9gf0DfvHuBQ29GntgxGQiehfzM++MeMIL2sOu5e629vaXc2
t6Zfl48RE7rSiFtPMj2djDPHVefngZQpCrM1Do8U9zwDDLTzPR4OyiAabz5iQlw7iF/yjx3z2pbO
IvVsffYGy3VNNmhJtFh7lShs/JSD2aEBYnCGzm4rDjf2tfTMPqnxHTfPs5nNbg4Wq5epLl4O7L15
8Bbp3f0IdRFrH+J/Wimwr5LTTx1L/7mt2lTerofsVAMVix2qYX/CZT3myJuLj94EpuCc/W4pN235
aI80USLWnHF4mkjjpPlxm+a+wM0UBqacHedfv8N5iVRhKw4ro0+QwFQtEsreetb2bRjT16AxJJIO
RqvqgCnPNA+9/Qc38RBxHbCBL88X8Azo23XrD+6N++W83Bj81ZEsCeeTaTXwHpz6+pexpIN+yS26
yvMYTm0UuSvHd7l7vAM0njSz53bjUylyQNK6ZPrK5lzf+jD1NHgbS0Djt4LYhVYDKFpeYSmQap5I
/94IbwiFwVxJT2cuFKQ6euqxOKgdmgb26qxYSaWgnYkDKO3t84TjnVUo9J8C88OEPDWij5Jry/Y2
OFRv7myAXv1F7LX2iAPQsxXj0MD1o+SUBkQGp4+tPqJpfvG5SL7IxfuFcHDN6eI9LkqyA2p4ioG9
1VKPTzoYa0bLMXFzLzAtLbbtnfy/k508637Ndx4OKWaAUr+ej+G3bJtk8Du5g2JhmPoDEsQJIFVr
JIL1h88QHCUy/4TI4LzW9oX1sLYAXfE9vLO1D2IqniH9x8QgjrKSa+8L3UMIta2hStV9DzEQP9Aj
Hed0b4UsXQPVq9k/9wRilDni4VtCV8LqNz19yDAEEpI52t96BCtRv3PCrGQlMmIlbYCzmwOaamP0
dVzOo9qEiWx1j0F5KBO9WLUjv/L8vSowNSH06oPBpZeMpxhe+g6a/cuMSnqmBdT+PcmIts//mWc4
WBX1aMCgaCqCdufpIwsXR+uOx41CwEd+EQ8SePF7plc25ZV5OzEj2s07poLmafnj5jgUzIudkC5K
9JZmV2n3Wa7WzsAw/SP9SVhuwEIQkMoE5dkZDlrnXZZYbLGbv9kfdBTHvlJxHJBTGSERuhZehi3S
1Su1XkhlXh3fyKWwzzBJ+EILo1xYIfUmBIIAzzctDrDellioAKtNUj7YqAGFHW0PtUJYHjZGSywi
ZON5KKKpPnmLAiSMjL1qBp4bny7Uap9C5HuqUC3GZIZ+u3HW1P8fYTzxxBnvr/lLjWtFln8oE0Ww
xVwO01Xhw6mlOMPDLo7mJEDxStELu2M8miXx/m3kRIHpOm69miionnZL0624MkrhaNy5npXwDFui
4FG3ThiRxU2OEptnnDI4oOmgNYlx6nbGOSxeA+pmbIP6YY8tZMPnnDfvPATo2GiWDIS4PV6oKteP
7YL0bupcP8VDhRa43HjvqgV0qWpSgOgEKJz7GkIlWvulfUMiCEcx/wuJ4dPR0J+vHTh0KisDyu8z
UNdK9NssoxngKD4xqAd3oqQIXy40254NDGokYdPW24ELjpPj9bpGZilpMKvfuoKzQpNiHGp75WBB
s1Kkrr+gAzG+g0hS03EkJdOhwsaK6yUixbK+KPazeJjRwqN5j119HNbc2T468Uh9/pmX6JtbwON3
vpCvc7VjJEcnBhdlc6+JfCqOYrFD8mPaNguvWsn5wHMX9I9kmlueUs5VbKHCr/4NwTJUL0mkaXU5
W0AOTM7G6OKcz06r+ONezdu7W2A5Za9QtFcINpyQ1oGbJPQxH6kvxaLJNybJe6/A+GldiXg+bWn1
zJs9QmtmXsnQJFbH4nXf/Povg2O5M1OGX5qtansKvmswqE1SjcLNj3SVY7hu97qR6Sav+YvaBRjL
zC4bVSRm1z4OZlcr6Jj+WbUHGigNm8Hb/oYADltzyCtASCp75n5wGR7Rll8m2MAoOGoiHuHsgHjt
0JQvTWoSY7EgZkCeMfqQ87wtG44evTPj4tB6O7Bck+/bzmLDuBXxc2XibtTygzBQXUh6BjZujKiy
eXCK6ZZgPQcyoDagawgg3irKgb+hcErXx09d+1Txtj7LZO+T20jq6V8tSw8XWhHhCO2OezSSGpR1
kDc5jBB25vOndq8IR/Ewuq7bndZjRdSHgT7Zfwo13fIsMnlniuWLPiUwSVY+iGjMKlhjQ3rVzdkd
lW+2SHmxi7SfASdDTPh1hbNPS6piK0tG2LJHyJz4i4hi9l6sJ/CX4dQXQiw9blNTM3CTB2QCkQZr
n8Er5nbrnZ4WH0m2DSSTUu2QralcY+0i72oJuPlzUDxMzl9GltNb+WfzsL01E0gheUTx4gUJD+do
/BoP65xyUIGUvf1NXGiPgbNQ873xd3gbsu4Hmoi8tNgsj8OYjQfyIMSR11Q5rDfalJHaOYM2hmLU
YfN84k0+s39OTra3LaqKweIVLqMDmlt+g4AS2g5gbCKVEAZ6stUba4bpCZr2iy9SyeAUNLSpLl11
p1+ferrG5kGA6ucS8ro0wkfG9l7Ml/NSQDjyRAYNtDBU5f4j22VrPv74aFIQY7dn95oNKLh/uXEl
Vnn78NCbjoPeqo7jS2nvxxtSDA4CGdGVJPyggGcHyu02d9EUlz6Mx/9vq4o/l+BbGv8GqcOgocQO
i02nbG3UUYsgQQr0pfy6VusV3npxAKwQJOlBxkZRnmzg0a4QmGgFGvSi6TlRf7Ffr+Icg1COjgKf
7Ty/z90+wXR4rPDx4HbFjQ1Urxu6cnXw29cL2E6FFdpHaGRGZ6ZswEoFN0xbZTTj4kjF8trRHNyM
FAfsxoEsqTtdS2ZMVABdF534w/vxAE/+LyPRIv9DSmIW5anQhyd6+BzbvRynuybRurR3DtOn3FL8
6PAQyw2XKKPWTNc0bJCEwqgqWSGjNgC+Wyiplw/Vk1fMZydg2Ju0jOphBzMbad7Vqn21zbFgI4m3
qSQydHYD1tpfyMusTjNMZg6CP1CEsGB99vakOHk5kOHToytjcaca4kcvY72xfNPdk3K0u3fkwBqq
hC7z8SFmDRkfccoeM+XQgsbTobgoU5ben3dOuIKFvNj2fZyH56MjIdhdAbvlgHddqsAuHip509Gm
1lQjx+JwYwJWjD5XZ2fEa4XtR7I/piKjq1/ZAuajMoyydZIkNLtBu+sP8k/umTFxCPk1h6kGOrS5
d3rJw15OWEenRg+nIdAsQ18I818mkAUjdl3GKBN1sA4Hw+Lxt9z8W1KOI7SjmFd8WUxJJSKlONhT
aYd4MSycULY9tVU7QnmLPUUOJa2k5pXBklk8FZ6e2wNNUq7hEb2yaQ97CE+4BOZEqipPslXbkJOZ
HQUzuYbWv7qubKVp0aEu66HEGH8UGB2So0M5oTf2DK7FC2RkRE+r+a8foMdPERJpz9VjQx3pepT5
LzJagCOW3FSJtgIm9Vq3XIUoE76AOnyfTy4h/4+j2Vjcge2Aw53qMcl93dvf/FE3Psjf/q2OWDI3
E8Helak7ln0IdmQY3oxXq72IIKrSIa78NaXkC7MuKZ4CpCfPacVBEyhkTcWHcNhxUbOL2zmsX4F3
grHdo8LB1huJR/f+/nhnp29Ksp5B4VqW/9igOtvXRcujKwObgJd1JKCW/eoejjz5qsh3QrBfjPgP
UDDkiyMdED+w3Xd3TyENk3ULMv+S0FP/7t8H2WilqSm2cibQgwjei/AFibILsz9N7pRCiKtQ0nTM
w5ihr3zPyS3S/5kq7ZunHP9W7LlxGbrRz22w+9sOKOFBlNYbUP1PYeCwWlu77XwF1hY2ijeY5H12
J1pFfEpHE9PNICEa3uEcc6LxyOIA3NzgqJpoREElhcjkt93syz1VRLIUZx1HTfy/wDBsGGnhpBQb
CJ6gUh3UD4g1+0TiRaqkwWtByQ4Q6Nq7nOTO7qOAkBMVT9x/+8Iau1cvXhwgUFGYgHKmUilYSSIZ
yDXjVqBrOTnE0JU0f1NDgHvJVzYRWDdw4tKHZya/zqYpbK99MP6qNPHTt26XxcD0idCJkyMMNSZ/
RlvZPdaaXWa2yw7kxPR+a+gtEUJfbO21E393LGvA/0Qsx7B/2KUpnZ+paTtGJq8UtlyWII4SUtmr
TAijq2WHLOb0zv8EXajcL6rhvFJg7D7PZd0twCEDwShSQfTw76e53pf4LxyUefXuil51VmYaWeBu
oOr4+0czTMf16NbmTS8S/Obo+HDTwq6xkPiPLBotIqv2uCNjdt7Um4aaByxsV58r6ibBn0Am1lkL
QrT3oSKRDNLy4Tjs/SGmql6Rk13+Xd/KIXAGKnZVL8LEOD87x6t/JyL10QLu+3g4JaXDrBbVAxcR
FtYLdzSsJCdGSjnaHYLfeVbH706xnvVc2A8ReNqzq8JNKb7Ai6Uw3Nv40Joz7MFGpy7ENdmvMMew
lUBwDd4Gtl6Tzh9yWOFefT3TSLfgxMwErZ9IL0/Q2u4Zed415q4Y8GYcBgwo0CHDX2VGCOECMgTZ
0P91UkSXJ0xCPDocBUQDIIRid4GjcC6so5dnpNu2jcysAVOEruqrC2E9ULnCXOIRgUr/t4LZph6A
8SPvstD+jac5K/BDvwjyQ+7f5t5onIAgrP96no9OvG7f34KtInEwUvVHS91ufbi600knYSbzgHaF
6i0JUWTTP6wI7F3gaG+TNl5SHE8VIpf47TRWz22cAq2LhIYkL0cMTC0QLaLKyGO3O8pGyL6f5XLg
esO54+QYh2NbsQV4bYILlNApSqkdQ/fNuJKMgHqBnRn6ayrTFmT1ZqDggKII/1snI4Ollu5jt8+T
SSE3w+7yqSWBwqF2itsa5AEVWsDeq0Csq+GGxrPBj5NWVzJegjFcj0zpynEX3T6AbyY9/Vb/9Njv
xOcDx6fkATLbdazis00hi63OKQ7TcPfwZh3enSDoB6p3AYk3e5FCZNMTtwwMb9fKJSvHc+x23glW
wxj68ewhGeBkW/yzyTkmrO1JS/mek25vsW8kNR0JkbJmXq/6+aInrIekr4iqmTQcC02UCbUBHz0P
FGc4evj+XMIXQUTE9Gthr+k1EAEoMthlv+fJZAG+BdsbocQ7Wg5U5djCd3WK29cJ4Eaph6w14bU0
wzWPg/NuXA9EjklkzYXZ2ibZqnIXasHb9/auenUoiKHBczKuw9+C/qMtBnjWN34r0+It6IXFHFvJ
zFlODKgVCwvOvttlUItttgcn/eHLObQG7wdVD9eX45DMr9OCKqtd8dcJinMUes96k1U0RiZrBqxS
uP6HfRPS0wl22gV0KwXuk7FAQvgbKKeDwZFqVS6+NvulhCS5kMpsRfHzGpBF6+2da+jbjbIXklU+
Imt0NiSCESETfoInWXg7Z1oeIvMmBUrkgF3vWe6BQReHeCzgahesodGvH7jd3DvMfFdMteASoLHy
S8l6M3Q9DESWIFa7UTzLWFaKSsS0Q593TNADXhYePLA2tmbQR9qIxoxBC1a3Mll9bkthbHwVXAMj
2EqRhvfUs2ha/BqZRcxdMFbIuItpTJnl9PpRMvhcIZc6EK9Vd+wk8iYA4F+IknA9hlzI+EgHKWSr
HWpxRGondls8XHLrngsFa6gQWtrDWG11bWZeH9Ql2ydFwo+k4SiAgB1wmlKS7v1Z/lCwtRVbUtAW
WNWDfjSX5pwK5sFKqzZhunSn4h74xsoHO+zl3D+6k3FDWCCgckWKnMkQUTgii6t69Wj6w9Y0i8w8
rEv1xu6xvsDoqgLP0Nu9f2XiLsE5ZWbLDxIc3i1UHgnn/HnGoFO61hU+qccnaPpugYChfNI6Fo1a
TwQ7fXel+47Lqgk4UhyBhL589jOPYVk7k1itTTIcqIz30HObRZxPRwTwK5M7M9X0XC7kjNx8JT4v
3lhgLjtlRKAYQS2A8JL9wApbPBI/s+JREKRvF8uCHCPj6yCiKoZpXCsGzse1gyViCso+o2hEI+px
svN7vhvpTnoXGHj+aVBjR/9pip9zeiQLVrM+QUBsjWJmTHmtZ6U9dSIIKEtHGGNuoKQBWJd8pveq
EffEw+RPwrYnGcJZOIwZgPtGz4LilhwzgJFE5eETlgu7gdsrBQW7xLQAiDlyd8iq4IIFEfFC1BsH
iB+k2iuSk4F19T6iPw6VXOBGL4ZZp8dgjxOt+/zbdRfVrNLGzV6QjUE4Ocuyefb7zo5VvVr4vgyt
b/osSuhPnJ4NyHsLmrVyzt2mwwARfksujJtb+UvjG/skzYoQgvMp910xSFggMKCbjncnXsXlGSpL
FMwAp8CXo8lQd8tNkRVAH1dXxiZx+IG5iVNzahtkDvgW30B3Low+XcJoWL32Uo90Di6youb/SQqM
hZi1niOJaObq4Odbheyd02voulOKLGfJY0SgGkWqpGVgRfv+JWcoB5Q1WB2T2jHkGCE5yA8cs74O
a3/O/pd9HrOpwYAvSo76Ctdh1jsEIHlfTE2wK74VzCgoqyNo1wZ3sLUhYFGWs10m0xZnasXNMXHi
zoS61gVfLqAAKilOmZr6Awbx3OrtKGvY2nFW39+fPLln+ho4r99c5z+y3Cd5AE0lJhX468/U/6nM
bPlyyfjjgz5yT8JGb1HQyxG/i6FWpA5oqjF7fHLWHkE+N/RzoNmjzveayBFX0uwcd59Ho7DzbmFU
Z3DkbVzaRaS6zMMx3po7GpejH8chc3zsun8HwftIvMW6kwt2ThYYzl3T2EWToVuzDohLzhsiPv6d
py+RenlAScOKLT+pxGxoR3CC7/1A2EjlfJIXWWSUtlm7goA8/UHtsflNZFWypWHk1RldHjpOXH5F
uq+wOs0cs1gS9V9g8mCYirg9sOC87ZTSU1Ny+DU/38DRQFh+2shkFFA9h1DDpxIAMmIo28xw3RTb
PGHDQCngQy8nOlyJ7CpmxIr9Fc5gJINVGRJixAWIJTnQ2IEjATzYMg8lhTHO96HMtI5hvQmKjlaS
16d3zZisc3JnnthEiKjsHZb/j9nE4JIYQ3Ezeab52i0vJbXDF5+aPniuJXNliYbHa9P4XaPfKSj4
DV7Uo/v5TWLEnRWzCikTNt3Iibpxx98gPWzV20DNFJlwgGXJeqxbhpxY/BQmLP4UiGciHWncqyDA
SHR+HZpzm5aQYCANlrIQ8Wz6p0nZqAFG0qQZRm0UFKpwrTX7LU58/yfxxJi4aPQp+CMp+tbYvjtP
06ck5jxtgHj1tPqmukXtcxn8egcPu6gh7lP6Nu3xcLocz0wCm2ptAuWwTXCjN4RGqhsFDH6nMOgR
hbiaoNq7X1xWH2tdpt8h6vsGYVJyLIs8S0QipClWGYVgG/9guhrAwmNiUq98EAkNDKzKkkLYh98v
COSGS5N/InKFfATB5Nm6H/53muzVcWGAMC1D3tTYDcp6Yw1RqkGtwXmWANkVoLn6zgP/YQjMCveF
eONeuKux7WXstRrlRdXJf+QiHLq1fWJk5rH3tAsk2TiV/uYYQwsDFEhiLg7bxc50gjvqBXSBiX9U
ow+e9URWNZSpRJY7grfoqvub7qAykKzvK8VsXKEfar60/xtJNshZADku4/A/ViUJuxsypR8CJtWd
TJelX0QSCcFSp+eS0N+LVVBXDRVjREIhomyZLjezmEPbHRS2XE5RegJwcDiexzSSJiO3Kyxcx1C1
wI4rjRmB/JjZuab2J10USxFxW2P73IPv83D+tQpjhJ5iYldKTDhwZ3m8X9Bz2enLtY9bRTdu/1vV
ejWNxjDlZjNThOt5oIWv47MB1uctDprwFxpETjsiKtXbgmmI3uizTxDcx410Y26Kl2pfK1099eU3
DMxWayiM7R77QtAJLNjZz1ngGy0rLWr16Dpjzd2GF4UpK9HPHUIdeFxXSitmoqzpfP07WsYK/2B3
o53EUiNEQa5AG3r0heNh86dPat26RqfTTko9r9Q18007sxmMmhIoKAqZ6klV4mySNtxH+FtJJTft
xeygGZ5a8ec8QqpgZI4H9saMXDGYGdPmrqrA3f4cPHedEvdMKz05zkhTmMIkXzRlOdPZZY0rDLa+
8LqUnEOey4vcrQW5PgLQ+816eM1kNqcKX+bs1Twfyr5eoX7Bj+3qrjJLX0jIk00Vq96/fa8gmzE1
KRaCltCvK7sqYLg4sEcS0Kd7JslqEU5qkfO6FkhhiEXKslbbnue66JVDq597VhAMeF6E14ST9JCU
bfbrzf2acj14WCCDeERqRFVT7/VMiBGWVC71iKF8jYs5ZRlRRVVUrGDw8LOoxHJiMom17QuIZQ1e
Dy8FwTPkL88BFJcmndxpeTk26f8jhFt4vTmOUO+52i9RytViW7/AXf0E9SMZvydjVLKYf67B41f1
cWbDAuU4cXnRZaCEnfIgjKdSbuBt+4DEV47QaTF8fjnrTgn6BT9ECR7xZWobQYVXHSBZgoIyYJTu
ySplut1ut/oSX6yxf1ncxpOWzIZUE5wsM6DfkHxb9Jc+4KaEZpKiFCSoU6tG0HXeZ1NWpRaumklS
UKD7LaDHo+/u+zai3eWPiQ/QVcUBFvWmYB4Xg0nY5g8JwmwZkfm1dsKoejE+fMEYdUQcKvRsdFlr
v28ECoxzc4MAtnskqqDNgGOauVtC3qHAIi5OIlzBdY4XzegYz5ugWwT5V985Ixmv+Co9sTBidJp8
HMongnnBQBt7xCl8NkUcATiXK5g9px8wtkhm01Q71DaNPjsQA8D//M5EvfmpUGJTVdH3mFCXxPIw
kIjHdlDH2VdZ8IqPBAAwmL9GdSmoCZFhwObUUuEr1EFJ4LTo+znBIvPeBnnkKtdiUPTNkyBIBJyq
x/Nef55z/AAD/KcFEsIhOlcuHqK+9CgzzN3/h9SzYEitKZUFyZMJjXkG8XgBRzcEHTs6y1jIJ4i9
c9pMgHO+oLPoVJlOJpUEQp7sSvL3YZaTQOnmpxip2+ZiSgYZP122SVB9vKV/RKJvYJ6alJs5PWlU
oR8UOir9gDhBIBpwEHv4P9tHa7tF+Eexl6+MZpKcD4XzHRlx4R2y9zZXjjj9qdo4XPBWwbYi6Ffc
GrqrRxTRS6DcEuScXAFnwCiob3xziExVnDdFaqYKB/SpirMg9cOG5b8WcgIxUye3AzXht2yHkPlu
ImuW0zpCr9uaOqNYFVvDrd0bUH8bTRN2yDm+I8GFxViB0xO2DuL7a7oC2OC0sR+MM1QweTlY2S4A
JHO8x6k/0Q62D+GNCppy0lkVz2AZ9TaLjWO5n4blSBzjTp/Fc/Mi9p/NoXhbrV7SfbTeOTO7qX5E
HsHYRkScU0NRDeQ7Ff+gmxN3hQi3+Fn36exrPtXjypsjrGHGWPKyd5GT/VmFOwKxjAWcvhj5nZ27
p4dVcyxz6mS6Fx4VnPuogDb2Gvg2QXv2hs2F+9Tioqh1OQAV8bA7l16uxQGTFq6fqlCydSLNqCrN
0RafZ+022h+7PeoHAHqfXcU3VdE3FdAMfNhlwa+7sK1WcLDtSUjEvn5Ch6QAvHPD/qXcSvGqaAJx
QIeWpAaUa8dv9/ZMzS1Du+BHtZhd8z0oPLQ3EmdoXHIVk+1uGs89G18zgsVVdTC8zmx9JvK7c0sp
MB2M8alqHuIHW9uiyklyt073+eUi9j1JrZxs1xiWdQbBoloUfFdIR5Lw0BUA1PK4kP23SRDYUw3u
jXSU5S2Z3K8Wbak0Xf9TQ3LuMK0lIbNSEVZQWYa9BkbiV5NDsAgRpKdZbzvRtm7Bvpw+S4EnnZaN
8N8isghToRfQpAtRvpiUn6mBklVfx3g2koRLeffkyOr5osrvPETdedyHlI+/jYEXug3M7yFzEGqo
RkaFy+DB8wFeU1meF18u6whMROlJNCxCHEEMOC/mUazx/kx44oQeaazQKRfcmLiSCksNGSUtin+N
1b9yOzUJHO1Dxst7Yt75LKe3aNIS7vZirfdimATJDXr8Res5TnhWFsn7xYGWmM1bxXDIool58YEA
1PnI/kGvUXs2ouSl+/fL8i/c4ZX81fdLxje4qACAptRz9MXFD3WdVDsl1D0Nnf5ckD9ybmJLfDt2
GKVUa5CMNW2cv5t5UfGod7YkWzsvIisSYWJsurrrc0smWI3XrN2Ss4aYkKMJr+y64ShFF8V6HeZY
zSzsAcKleN+yxyJ2/z8e8NvnLCHoDfBF7YFxc+1dhmxtT/LqzEW0r7VnqoAYpHaSuoaYiH033tyI
jxwR2qp9tIAoloGuf6f1iB2Yyvh0BKWFAxlXoba0jsNmN3I3qy38ivF3jiFsSDrAxAYAZ0ZBSf81
io/MtYJzjqZtKQwfe8utb93y6/QFAKnkCh3yHgSO32bRn3XKCbrrxgdbvkoTfKPg/p2eoUFz+oPd
Zyq8+Egm4NWUrR98BT9ELVnNxZpHMyC829700vf+s80unyU5MGq+EajhWNbRf9zi1Dm+nzKCaoYa
PIK50G1MgZou2iCejn4AvOpvLVlj+bD9Re+HuGUYbKeCJhhNnhjuo9ymQshUEbHDyEtH6w8fBr6x
6uPDaOzIB7IiNJ/O7zljZ8O2LOuCiPI50WJejMot8r504/vbfrmDhTjYNzhla57nw+Lk880dYt3q
BUSQ6Qfqd2imNQqvkeT5FnecTrAmloFUJRKZLh/tpbbPONaDvzA2ltTPvI924TtwAvtRCCobMHuu
RS7+/j1R/O/IBg5oRDGKGUnyO1LlI+GJ2ljkFrjmH6BcG7KKl0zjvnUPRcYTBCjssA8dqUranqy/
XUffHWCchEPUPGLUuo7fIrAHGTNl55cdmnjlpDqPaSa0kYuFh0jzQPb8NTfJ8rSYpm6kvAH0g9dJ
5B41kHrM3YcRBVRI7ej87S0Bhci8hXCqnm7nEH19q3hXBN+UDM0Kex0FU85qROlydxQrqrxDwiWo
p81giH1Ap1seryUWCQIDocT2KhymyrMR44vSwAFlWgPDsVJK4h92TzotWqs3wEA5e2LgRUXO0SW0
IB7cBahaEj8gVvqyvht3IVgMq+VtaS1M+mBLVVlWspFm73XYjOZcA7rL8Z9knRgCkOLu9Ec+SCC4
0gmaVpykmyxEfkGh2aP4+fb/TErc03cPLCb7s/7XDhA9tyWZNOD/1xFW1wUwTlJBThomxeswmZQx
kNSATDjB/POPVbz7QfB1Evcyv7h2eXER6lAxEsjeNZ5IOdkwVg4ZGSphw1nkYJhnyoS3NUqff8HM
E401up4ePPARIQON15J2d/o5hRCWMKxFAYkYdAnfK5IXeuNvF/69bS7rkDFiPapJ3RAcvsJzcbor
PG1uW1ys/GpZ91sD36F7z6pjlhXIz1j/k1Ndt/MzV7RBQeyzvkwgVooixO/4drljBJcvvFQ6uEnM
UyBAN/SUyyGHQmXDBW8B8q0bhZsHuUS6FEO0/obvrMWI790VLSorNUiGfjn7chymbXV+ZF6rqwan
hfz2INBW0CRQDugvSE1PhXgYe71/QnwVW36s8sNKnQhHHuZPTaLUK+uXX0k1N0vzTik4qFlLGOrs
k6srlYyNFOwH6mToEimoGoP1oR3pD2+P1D6qiWCnwdT2NH90YMckQo1fL/87NoAfTye1Z/tFodEX
f/LabiwrV2rhq3YpqOVZ1VwdM3mqWxJ2O4XBj9ecg9UOUIpmgscDzRf04n7MV8freGm7xvVg+eKO
q7lBvnGf1/TB/dcyeYSmm9TvVTrDOLA0IfOlM5D9t1du9YqiTlXNFUFMSQle0MDqTnnvXCKY14I9
tyoglZZvyQllk9BGq6zvKzCPoPNXQJh4SwceWCiBibzZRfChzkVRMhQns4I+N378C2UtbTuCm4o9
jV84176S3Hyx1eVgaG81fID0nmCMqIFxfVV/3HYxCr4D2e5Pl2U0kpFZ8oq6KXfI8k83P8LW57ng
QFKGHUzPYpFPtPgqSXc3wKvF+FaeG//N6ezzvBAPnZDv292jdy5CWRYU7Nblicg7QFC/vtufFgwE
eqmXi6y9HVx8ix4nZ/pH2vyYhaMkE5NPX+J0UO8xZJ8HN/rjRIIX6V8tYAzL4k9JIKQC6w7kryTT
tSVY6Fj77yUJgg3Q+h2+XDaACLCluhnJ1VQIKOqTnV6ehdr2NBxix0qruDUZukNYIlp5rgF9/mRD
f/SzXDONeL+DdAeTNEXbCQ5x03caE9Qa5qMr9yInSceJqib0CyYYPHpA/TGWapIVXeTyqODb8xPO
0kmRMR6FzsmxrYZAWGRH8z13GwtXVnRfTSVLudaizcJn7wNHT9puXqqNx+CuVbop0E9vO07qYfEj
uGei9qwv+vC2h1+QTiAU5RJEF/iX3XrNVlJTecOMEp4yVMwAW/fecA2jSm5gmc6S0LCd3iAMWO6T
FZYc54uI89Q2AC/aJ7gQ12o2nwoxfEQ+UNhbduA2NE9Eoiu6hF+k9bt1cKbEuJd07U5T7A2qgzzG
qGd+IVeMlrJ1G3NnQAHvKcMzqxKqIHXYPgmg91KJQO6uI1cYPLipwrE2Y+Z1BzUNW+lU8LIkbK++
x9tSDUdAyOyb1Ippr/Sn4OmbOoSzcqg1vaLAS4Chfy87ocd9WlvKRZU97Hb/HmXcYDM9YBPCGqDb
I4zbVziZo84YDeP+mDORN4mXYzL7m46eBXcIlt/bEw/mYktNe3mnBBLobw0lEMX7kXI0iULs7MNC
BOKmvUA2k38IrAdiVXQTYM1RuVvWQOfM1G+L8Aj119iQcKiZaC/Meh3qwBZczJKq9MJ13ONsYPAF
QX6CuPQ0ZlHD0p6Yy4zoJFj94KGVONP/GRauSNpom3/L0yLu3fSnJLzmeUvy02FtdsgFCQTFfaaD
BGdZtVmxamtI1Z4NeTz0uOXCg7IHcFN4O7HpKeZriYKeJCRo75a+RKHQzDcsOgQ7+enMey87jFCc
TSto7RIlfC1EpGNauKMH6sP3jHr6JaLPyTdcaN8fGl53k/dhmcuwE8Ur+bv5slFS2V0ISwsj0hW+
dm2MkEO/A4VuDcHnF5dTNoufbymBo+hTpJjuRgizayeKRafLStTk2LNDYboPaSO5HGBBHyF+w+Yi
9HxwCpfwj5ElZimxfojh3stEr7BV9LoukhgK+CViWYy6zCVp4C7OD/XjXQCf/cOKi0TNLcovmWKP
BgABxmhth7RT54y9j3VzJvyAFxmv/UT9H/7yfz7BfyljL+kWjiprwTWVCtJsT/lBzpK8OXxolVae
c7j4/xzlFPGVFThxRIo7B55hG/0FVGtAieSLDZAt+/u8HoBSlASePJxHNm3tB2ndiy47nMrNjCoZ
raZ9WylTXAXiSgp/3COxKINTCs8xJEIOfEnfuoCW+j19rjuSuhpD1iryZmt+TyYlPxKICV9KVYnB
7SNebBHqbbE8kKw+9/2ZIjdMzOgHo6Y7TbLV16aIJ/tnwNDTdt316Nab6P1UchZoVdN+J8uvU0hu
nsFFvGlFt8TKRBqmdKeRvvzcf2jsOOlBoElqvaXSVOZaIGo5ZPypY8wIDfK4ceKyZXzZrRJcFaBS
ozDuVEG/JK+qsrTIIxMykWvJB92LkPp5dtwG2Zu51FBRuSKGgOCGTVcCrqah0MkiguEQPffrW16/
uqmPtYb9uXX+lujz1awtimeBdiCV+GpcSAL2NxvtKfKWulpFLGpkP2vof7EtbraG0rODrHxsHlYu
ZM75qhOxXlncKGquKlbdQBm1kEvxbShwLT+cXTczvEwJhFTEbsgPPkduB2r1EytfPKjXsr9OxSL3
jLYe0go7izP77QmjgiFrwP8GWbSAEaH1VEm/fzXkNAZOn6zL7nCKpEZ9p+hRz1Psut42ejBBGEVv
pE6Q9ve6jtIToxXmD7CXi0TxOBxpd2lBEke+1vEOVa5L4YMnim+4ZCaxEgA1Gj200PXYTVNM4v9J
jsWJ8BETKqTiRQS5r7siVbBZCnHc1h+lGURoh4hgWSRENuy8mQe4LTceajyxUWW0CqekwkTCVin3
8SKOURnnURDztyiVCU3WwrAD5P8G9Zot/CJIWRmYE9BtoGA5tdwy1PpuPfW0EGgXoQAicUWqjHKh
LISDe0r2hT0amM4wCxXS4al+nm9uP5FVhHHYFVnVDC5lVBq2Jo86HxwrXR052eLA9MY50Or1b149
Mj9flRBGdEdFA7OJQ/y19sN5++euoe8QUKdBduDHqVur3EAAXObo/jysdqsZXsh7EqLZ9Vz3pwqH
CcU2rz+iByBG3doBrZA3f3PUQd9jA2uLXTsvYB4JlM89ua01qZce7kUxzN3L8QiiE5VfaFXU4ZZu
e0P04AZccsBz/ZW+R1bZ2AzMM3cCLkwfildovvTyUAt+x9+/a8ZXZxWX5G8BUuA+11A3iD9ZnRcU
eaPFeY3NLJ92S1n2bNR4eBb6OYJ2x4JbX06FPaTr9rGlLOJOJyBRkTLKbQYis/a/5zSZ6tay7tGm
dXv0pGesDeczFsX/Kd6zaNZ25I0728beF/JD96YdOWlvr0qVefuLQjzTL5Jju9dVo0jektFSyGRn
I8WT3pUkbu6B1Gzhl//WhzEu9MbFlkiu8z8Wx3kJTCyQ4h29FWlNQ+dxp5xHCyYwGySQhhjWYdZ3
cn+k8WILu8wIPezlFJs84qT4b4a960mDl6oCYumYFgT6zmuwOYUl9QMEgWfhCJkKRd2XruDRJN7y
m0yV19uCVP8Sc5Wq/onPPRdder2fnvpy24PH0UBydLZzyf7NTUjavjA6LbN7sGHk4YHdWvnuwZ+m
CaYLWJfRwZoAYQxiIwXo+98dVVb8XtnUxINuSyXQoKbSCMt3dsFdLhky09ytiyc0LJcfpbHuEQ08
+/oYGCyUsBReYH8P59Bl07ynxHEYUs121lR5gvNTWJW5boT4xnjMfBDCrLOaqX8Us2Egzn67hTaR
ujMHE+Hfl6U/RirHCdKWBlmNNl9/phwE74xt8xJirUPB2dsJT0uVny35mijvQy84QPBmZLf5Z/vN
V0KzoLRCVJKIrBKKZWgJzKRCkVFGvszsQRDnVFEN9cXedlNowQFD7qEn23Df8tnbj94ezc9GO/N6
9jYBKIkbSfz+Z7GB/mGvXr1zR2K8+TODMQW4TIgwaBcdcbTQVR4+qamXBuW3TKohO+g8XNqhzc23
asWNVtM1rkdMwUS/K1WneMI7qzhuOeo8J0gHiYAaKrNWpiKWcjsT56LgwInlEQqRVvNFXWO2DIBk
enw82+lYAhK8QqtvFwBXTFPCtWm9GKMMO7nb8jaLd0oOGljONv1wzfVy3fjWp+5JYR3r4V6tXxxD
T7W8fuiufX55hWbyRGwsmzsPU/oxtzOJEpEaPhff1b5INhsP99SOMhmZ+5QObCH5W5VqarrliZo+
MQ4nltFxeQfOAOqDq8HDcYKjMsouJa8c6p2WTH+kr56BgS6BDiWhPWjPiS7UqqEL5/3fpC5jwwSv
6eD1o4SkE+QzQLMeMSP9RNx7g2mkYvk5Oac2ivPBf7KCTYKMc87vr2STG9c+wt2HlHuIxkQ1lmuP
mnP4sswQTd9p7IiwJN26shH/6zpVQiDMGnyLOKoHIVIpD5/6g6jr16Eoc97CimUm104lQ0G9oXaB
jh7iS9NrMGh80OmZNF2VOiOk/YBf6eCUYoU06Ol4Ysvjma7vNAR4hJTqb8wLjHX1mmsuAS0G7eQl
Bkzaiu8RbNKFjf9UaZfx9OXrIsGIv9pG8v2ZCAqvY3kzHic5gGN+FL1sO3mCzfVMcoHt+Ys5dTgO
a3S5eTLsJvIjPtxzD1X2F/l1px6cZ1LmwV8iTDMdrEXRtcomrtQKtSZD316TKWIOG4O46fh87Sm+
SVZD+t5VqwWJH+Xrk5VAOOyt5qGg9sKv+WtUt2Dl6ON/7vHzt12o4ggXGjAEt6DuXdGQY3Ji3L1o
YdEhsmH/WcLpMUgxEpopa0VfLFMhCC6riKNKGirl4Jiz6FvUUZ1GWVrblt+718OXSLD4SkHOc74X
AOpJ7liolosnVmaJAncuBhdTCcgI0bkQ2lE2rzJkDDkqPAGY7RVpwPXFnoFxlZvx7YcvC8x5THqO
vb6EkkoI4Q0HVxb2lTZ/+zzzpMzugh/8itL70TsIAPfPpabCqOXdggmm0IViQhiilGgPsUSvoXHj
iznPJYd6fWLdigvALv14l2C1z1mHRW2CTwjxPfh35bBsYm8MDKd79U/vlh4KABGo3rtkyJYX38Da
UZI9eE32Dvz8/Mm/0jqZfE8+2cCtSFcvoNnj9uKBkXaW3P5hiLe9Oe8YUcVSK9cypFPo6SSjx2jR
y51sue8c7CYxmqft45AWY83yjSL5bR5wmD3pmZkIPueDT6Yc/Pz35LGAVkjsU3nGlft4XUof+lTy
WY1P8Tk0GqpURqLoxJymvryKR5/KpMehysNf0jVveS2xL2tn0e9DrYDrIkPtP9U62WDy0+UzY46T
oFtZsSraQvwC5K9t5KXVyaMalN+cJ83Qs2yKFh2VKl49yUKIYXBXFf9+EAZRjiX5rNGewiR72FTo
MstoURKgmSElxfe8Ls0dz3sUbLveXCqxa7/1lAOBPN5dKUx5CuBmIAeE5beZvIqCmUG+TTShDlKT
cPEN9mJjujXuooeSs8h1Gd4N64k7MBYv84p81CEQgNeou4nqYq0iC0/kZ9fPfKmK30c/k4KTnWPa
D1NGcTAqyugxiGnqfvzJh7Q+8DAUp5M9bgFrfrz9PXEgKcDnQ2Er8V8qwayg88/oDl9tQ7jBpaCQ
4aODj/6WeW4ULm9drmyySC+d6z+7VP/coWdH9EoampFTXOvSEb/wcvw96EVsVWTKQ7VD9ADMiV3n
8gckbee5VdZsxQnHAwfQw8zYz4qpSUItJnrDJjQayKzrRjBtqaDqrW7F6ec8oJ/q1IMVqA3POvmg
kcIIF+dWUbQ00YI4k9OZAOsBC6hkDB3jZ+n3wWv0AxJreowcLR8zPODOAi3169PhdkkSdYS/aKR2
4MukE1kWfoZ6EQ4kg/vAvhxLnyKPjcD+PdFHqfTeQYRW3oUfJuz7QWzQJA7cr0em1R3D29C3cnO8
d0XfB4+4qGliqdQc7ukkTRcLE+2aVMfU1sOYYR8K1oyEassMRbP6rsOpDgdLBo2n1LuVLGsB9Ui5
M0djnSQR8LTfcqvNk16gew/SvDnh16xFpJSQJ8ZNZHxG46oJLQfIvR1TPuN7Vw1OkyGwD3NXRxM8
jcZpi2ueGB86UNxILVnWj1ZNiBUOy6QC2BTk7pdwPQ9Wcz1rroXwWGgwTEeH6BR/UJYOgo0VjYRl
cnkbxio3tlmLa0eLEP+J4FlsyyJmFqzs//XTFBLyQEEZxCIcr/7l4/CESvN9hKInEdE2zrBushzi
AfPUt2cF8M2dhH5Bd96VGNIykArq0wqLrI33DkFlPbaoxwVQCHQm9f1ufFakgau2X3wgtrir/u4/
4eepILUL4Jp6TbKVfqV1KUoIgT+R81fwpISOWIDdsobwv4sq3YxorTBtaQMi1W4NXCTbAg3t4P4a
vu27NlIxzffYdt620raOmwKWsAj2bZlysaILWcTVAd4+8ijWaCz7qzyRpKneENM7OIdM8ZuJrc88
4pmzwrL8+McAMdeAD9MychMOzVuDgVNYHAh8ga8uk7GSEvLk4JpycJHojL5fTWMbz60IFWbXDz94
uM6d+d01GYUKMenKwFpsoTRSzArhaKaozi3o2VsHYAzqcBrplxir0pUCt8ZQTHEBMB3gGdwtv8jy
Asl3bcd9Stxh6JTfjAW0qwTqBfaz2dOrwMyzm/VbBFyX6PIEImKvorgn3jeGqWPEyuu8BP1zkVHF
A6d84rcKwN83FoY3h1USDmboy17vhZwcxNujT+10D00EUOnGA2EiVo9ZzcNfooLTBLQuF8rWFNd0
nXJabjbMjIFWp0nI9CDCKZwX/YDOrcNWMi2FX21DB3H4fcoN0g6eGThnNMI1CaZCCelcPjVNTDA6
bI+72e73bD41ThINTH1eziQZ/u5TJzBE6n9I9MzVAsdJaYxDX3Q4hlv+sw5x/1nuFYxTYIl62sLU
UAXCdJc6kvlV8QEgTa/TScuZ5AQsAYgFKmIOc3HInOalQUeRouUSoHuPB6jawuaN/SxS4W5sCMxT
GA1KrWNlTlkEK8UAVkiNpK+pOeCfiXUyDNRGPmDpJ/3KuGGfqI4uc2pbTD9du5VJ+fDba+sRdSYV
A6fHWtZxcAE4XhpT2WDfxLB7l7jd0r0uyJzpqPWTHCR/ertHDvukh15PRasvTiikSkzZ1lxKBhH2
Tpmc297ZtPsWZCtMCZ5s4hV7MVO5Th0ighB7WekiD6XpzWQzoyimZ6Hn23661ezPJgPF40PszWPw
HhNIyt6Sq0zxWwTEE3Sd0AZVX9UnRwxDU7d6GfCtXhtWi5WRaWXEZ5KWh3/7bXfiZQHdFg7vluGY
K64QyQe2idoY/P6txI5oj58fgJfxPwmCANS7nma2h73bwWEmnFpqLhLRE5woi7lVCehU2xSFjghD
UDWp7xUPzUqDsKmt77jN29qEy/LRFQDnPEajA4EXav7HQ5p2Qvdk1FYsi64j6QYN+raSs6z8+EMQ
iKLKoQx8giflTBXjAYwbGtv3whyrTZ0CS5VCs1Fe+0TAwsW6M72MCj/0cWD1i6N8MCKiDFDYUlj1
/LnZM5PzY6tFqw1lDTnk/NG1AqxWZjTUn3SX9W/EmRxqV04byk8rn0YaProMS1Cp09lD4i0U+TIe
N4p22vpMolDma9oSHYBdAlR8lPqLcjEBv6mGZX1S21yKwRvOeSTSAbdI0gMwvbFXdFLZO6iRO82i
KLAUkMIWg/GL/5dGxnjX1uN0qhAXhZGStuilCUgM0/7cynx/vqzn8tBhpJXJkfP3bvWajjQGlGsV
VLbLpBDiDXTogMg+qLss3bNfxwISzZlJaCnT+8qjZThXaG5/oa5cPu+Uoy7zKbXO7M9AxObCZ4KD
P2evkJEcDtfGVzkayu19CdHKMYEsk7BZJKv7IQMwUnz9Da6hYn4kZoizbzYn+BXtS14C3OFC2+6Q
6PoEj4u4V3T35nDhcV+v2Jv4eFcO8Un6VqHdOh1UHAVBUK0D1H2RhGbtKXZOOcFJN+NkyUAp1W08
qP61l81W5knstrSVLK9M3Geu8z7DNYidUNQ0DudH2YPeoczm+JE7Tvvl5G5dlYi+JNJ+uioAeuex
IA8vpP/pMoqmpBKX0RTzuXQDP89VKrHWAvkfwukK5DlnDLvWH5ZpgwPEyfdC+274nPW0Q8M2yhfk
p3SKe1hqP8nNXG5EnWF8Y4AcZMalV3NyJP72+RC/grdIsqOmwh5GLIGOOv4XeyNnJFLeh3+qhEF7
jrIWDYxgtUl2WCB8K2biLvkCwENLon8jKXlkoqyCiNW2fsAMpgnEn6P5tc277e/6BTA1q5spT/YY
fM5ygQfB86XgCLgvlfw7TrLB+hzn4LF8cbzjRj9GeyrSbSDWtj6ul9RGCIo+OlL4rIWjRvlnMt3B
5HKUDYuGaUCcyWMrU9WXd4B7L7rlo/N4spSNsM9Y+wiODXTFmgWxpu0iRQRnEEBMZQrM29H6NmEj
qAhTIelkPrpNepdBCVt5A08oSrlJzPGHVRZYDKUb+FyT2DUpG6yC/GDNu1mTv8ddpDj1+CsoLMEg
KebkqB6bFiAjxHiKtrg+ClCC4CiBvFrp+rvHLGE2zrrrKnjoPhHNqxnYmte4n5ZqWKq8IIC2isb2
RfBtDcSnyslvZM5UQY2EZ9EQBrwfVYCBcgWB9/y4/wsqGJleWRHp7goJaFofKTi3Xgtf7ld+zbEn
Ow3Qwf8J9GleQG8FSxOydFZu61nyYt89cR6C4mkt18UqlzRb2GHA4z8AFZO25alkOp1zncO8Q3Ti
sHEkHjOpdu34LGRU97uwF5pNAdnu/3XF8WouHOyoIKcroxIYatR3b0IzI+CEyleRcOfiiicrHcdt
slJx2wEqLshMZv27CNEl9yzdFF/xqO4j9VRzHwFrD33OWzObUOXYqL4tsIwkd65meszRAjh+dfZ/
I/AQXOSrGQJPz1XogBS0oPSMBgKP2+P7Cvakj3WMZ39r6xEM3KQkPKaThB8vCO4Cj/7pcsw+836S
wJT6IUEyHP4PFCpbDd4fTa6f0d07v5e6UYl1jekNrxCR1s15Xew1udFzIKgsfCF+tF+cCs6/Q6Vi
KKlvUlwA2WMenOwhqM3BkKdCczxGCh1XtufSsAs5/VJcQ5Sp/hnpJt490IlbrW6DpWfOkwYvO+c8
VOy20x+gXxzeCZhkU7LBTDrOsrxDLmwk+kJb3jF/+bIddrfarYWZ8e4SKA0qX8VvHDCUwyJPxM35
gAooUGxoO7uEoKfA9EWkBWy1t43M5+XkkbiW3HPXH72tiwc4n8jSL/ekGGyHWqAfGSLG7247RKWg
fouJ5/PpbH6D+ZAdYXTYTjUNydhv77JdPPDlyoU4CfMbReLt0JGYSnZkzdLd5hHUPlACfE6BBxZW
Hag45FdncMfd+BDHWKZftdn74BzIYgMyBXPjNT1N+jEkW+yB60mNvBvJBIuDKS93bPaEE2DSc99d
8dKNpywg3zg0MK7WolXWDqfcsJNcbDGSRS+lZwaeO/3J9clMUPxbURDv3VEjf+480WqJ0vqnuIGb
5uI/cexze1PQApZT0sSkTMkXcwf7SIMr9pBEyhwr4Iu4/bqI/wlVf5swb7BsdfxNxxwO4h7L1Uud
h9uOSxZixGCf7HKgXFFug94wHM53XKppV2Osf5o9aXL37O2tJTxRCTo6ydzZDJEP/lXMeZaZ/Qsn
ywRUeQHPpSU6Xr5vlrPRQxLRpDUj44x8hmDSRiOPLeMSvd4wSu5h2nKn4bgQ7sNDH7zKJgj71FKz
9LpjRVqfYP2AAgMvWIkhqXSmxc7XN5LEavCY5WIRnMj4CevAqmE55NbOE7IAKMZNlY7wahmhXrz+
ixer0/G0ohSBfq43uo2owZnOIUffKz9SHlRGFoYlxUy50+p9y3qHolouaocxVestipwOoTx4nQj2
uJHpGwaKPhv1SksNs9+QnPk1LEPH4Rn0RVvSCDzstyXiBTf1B1CYzOvATEVR13U90RXZIOZ3C1qY
xR0zU2DpV/zmaycyIaeI4zk+Qy+fRRM8ppgxey2jCAFY9eFeYIvTwSD1RWkCbgNTs2xI/Nbgu5rH
lmu1qs1QtLaR227+6kS9SyhcsQENKGYzpQlLpnuiSttkUY22UsQhDDWk7ik3RQgO4hzCGHoNobji
N4BaZlRlAIYq3nQqaboOaBzfDxlyDe0ynuMQApDxbWFDUxrjnG6OOYN3i1771mPUawpB554zGpkA
LJcHegij0agSsHW1yGh5GN092974CGj91BFc7RTuKHxyTIa3laCVeBBbzead4rmRWYg/GW35k7wD
Rhx1hFuxkfmyC8L2mTBQ7kWj/T4/2SxyBl6hkg1ZyUAUOQfmT3EWoZ9r1GxJHTonoWNIAqLPQL7/
tu62iIfmCHwutKZKCCwg7xq5EE96kG/H44qsjlB5+06jCkuMGXFwMshSRHEbdAVvll51VX6JiEd7
wtdT3ZYChLlMZ8fyMK2HdHspGi3JgrqHhJPWbYDHHjF8+RrrjuNm5wv+pRjKiyeIl+pejYDd1TIF
6B2HAz7+UwsRYqj2RyfSqMzm1jsd0ca2TtumgeZayc6qRW+ptuj1Q92ENEdbztGfvavctpKzLoXU
KaC9Br7QSNhBWDcPLf/s4WPIAkm9mfGlIJKwYv1uFGvJ5gkT947pJLsHOKh1SolgSoC4KIVkxDUu
vp7otyuDUKwdnm0wWbN4xKjdQXldTBqDmmR7Qz91BW85lieERj5jz1rRoJc2Tw+gRvoMxrEkuq93
AkdRtiRH6QeqfqwOFBMtJIvqm+rt9RXT0dkHvQi3MVinN3wrJYfUgk/b4gAOvRMKbtBxXbjlEXDs
G1nLcVNxKR8TlvX2EJFWyeQ/2al7wIxmsiieiJHsoyU4L+Q2Egd+DCFDg72Cy1v1JTLB41M7nTdd
RWU157GIDIdTqBZdlu9+4g2s8oqSZeWq64A5mtDaxWRVQIfwKagUC9pia12OGirjDrX3diTnIAp6
QyQ5e86mA4m4zg0sFsVH8qguLQ+wPbiUpJd0hT4f9k+FBs44v4fxtGYWUYsGaiM4rkpxjyfyeE1N
aC1RFVdx/J6AygMwdXdYC88zDh6c2yjqeTb9GxdlVzKCi/Zg652qsX2CyuUE0oUKtzS3aCtg6brs
GoiiDq1WyPvkdqrc7UoExWnPK8hKqeebEcuGhZ/WrvLBKhfMjUYBsqVmnyexAqKsJNV3XXY8zsfO
1evOiRHiFs76pfOAvxpxcUp14Hi8fOCuSN5WtiD51aFXBcEo2oO1YtUdteQI/YfyemU9zwgZTbS2
gyJGfedmvCxsePQ6lqy8Qo173RF7R+b175SSfMgIsvklh9uGUUxexTt/5R32wJMK5jOZXswFXqAW
VEuIOiDRGbmc9/k/KWPXDuyiIdUmKlZyoy4airWiGHJofaWSkacJDdfNtd6Jo/V8CRhJVvK3pBUD
6mLktRWy/D72MiFh1PGxbuZifIg1ngIZUllPbeygO3meYMwGLb4HDkrIkgfiCvYeLIHc0qlDG/Qm
ZHBIg/nGsduf4Ppp8yOpR5WyE5P+v9jfw5g+8hj/4O901JjVfVK5zKh9327pOiOLN6nN/ubDQFnX
9XpcaUBlTF1QiHPTgo1DAAWJRWJhl56MyplNRPYPuUCXdJdxWwCjVs8VagKTL2C/x/k1PuHkmDwA
AcVkCGD6WNktOMXmzStJ0OB4lBaMG0cyUMCFJ6bgX9Rx36k7R6tIJuPNw4gke1DOadK653K2c6Rf
Te0ziHLFqCEls5U+vO6X/RSvHfxo7L0GYwSyVYZBA1lqtDGlzHnh6ZvOJMyI6lfFq5l+d3ppi4wr
+8Ngj9CsnO2P1MNG2avVwVgyUzWRzgMiRgQmqqQfAgdYViWS/0cW1fKlmqMW8ZPEecLBVtbCWVB4
QEhD1Ha3Ph3AGLGyX5lJsm8d8/bS5zv4VHjpwxfMdtNv3o6URB3HSD5UMOaAkBRCth8KVDY9ur59
z1y083zUD0x60JkQkRoTJDVcubochbEjDEp72n2w0N7bJi0iFyj8M3yzjy9K4zxh4tsItUwtuw79
D83djrlsjV03lAaaJMKcIeIKptEjYvLD67Hnrp+wF6wjpNgznjP5gLKRNCQjI+nc4BgGgXRLNAJN
RRGN1pVDQezDvuCNA3cBsCi87OG11EUBsjbCb/9r8mxFFVH38zaD+d24qMtN4EKv6bFw/rHZO+iQ
ZjOnrfvFaC0HLF8zScOku10sSMuMr6l55R2wF2iMHY4nxD91CO0PqsPubbuNiCHohagTsorH84VY
7CHJ+MOeL77stFUeYNfComOoPKq1rfQnveSBDvI4GCAjFAQ/+NiSIqM6FApJ6xlRfN8DMhV7NDkI
M7IQ2617c/8UgdOQ5ohsy5XC0EwxMpFtYo2RQx0L6zlxa1TrqBV1gZ1/oN1ElQdwQoge+gTHyMhH
ckEJqiLpfHcljn6SFkST8C2LB5xaqjr2ywQJOVvt3Bw61MDm9tQnRIT9XMrXHeSLJNoDT8UEOw19
XiOEshXZAHwoeqj7j2AoONNCg3fA/7U8oOQfbvIcAWz7Mj9Hgm4MytTSnOdUp1+yBfqjPD7vaMNf
JXF3OK7ZCaHZjxokjX6CKVVAeiIsFzW2jOR+CVveeSoJgstHz5Wu+1CpXXxywJzili33AgXw2PIT
e3LemcLsbaOEVnhDPFY1/A2HNlyCpTqe4kdrbfjqXXSCU5KyHVwjoh5tft+Ip+9tjba7lzzdtK4v
9NzH/yzadirJpUu2qpTNqVIyjRqjT1lV6Z/dhSHJccAkDxQYJHjbjRLWMRACw39AoXdCVw4F/cVb
dpIWEFfNTlcuXL5VY2xEEA4zlkV2FiPMdAQu4qrJabq5MKIaL/N6f3BsS5k1UsP0P5VSdwlr7UUa
lEfLrKzvCqCjvM3BZJfEMy+E5Cch2WFpSroiImbDg+vLmOzG3rH+LSBVYmoRH6OrO2AHgqdB4zMk
3qnelF2niTDd/T2OOpmZZDLyVHsAmcKVW71s/GFNvHfSjMoqQlWR6buHX8Ct3MqLuIGllUB51D2d
/XeH2E5IQUO8kSGG9wVA0HLspdQVSIkmQI6NO9T+Eb2kWUJVxIwl0OfJbZc1jTNSYTVePI2fDrV5
+b/eEGT3qCqTStRl5F/faLwIldgRWsju6HZTfdScR6noyqXMSQU07lWgTuuI3HONowOlcOYkK+Nn
80ZjWMVw2huSzPwyqEji6TB9hepEQg7YLdN0o249805IDahMfbTnhb/8WKSykx2h3HTLQ//untLb
igLLGPRzsPbSd6i9ucsfMVx8otEmJjLcUieQaNLdv68aUbNIGmXPOZlxtamuZhPvJ/Y1vRVhQ6Vi
NCULv+TEqhh03xwfPMZFMPqa3ollf/PzBLdjUcC7ohSMD8T7t/3LK9k5+aTFUYpH1tokkDjnlbC7
bch034LLV0cGk8y7P4J083PDqOUhpU25WKifemVlu66ZBVhc3QVSgKszvnJ8dsezVNUBmNZbDIhW
n4Nh/6UBFlz0rodAqISl0w9ujIPaDZu8PaNqx4fccbqKSG8eUcMzNeK+uWa4oOtSw1paXAYBzOXb
QMg7Ju0WJ2MNJvsuWHtOX0xR2VcJ1Q8k6175f+VCHw2789VjdJXHljEdqTIIckawNZIjDbup2fHf
+iFqIc5ojMPqHgqtRjt0I/5GoxSjr/KpA7333FTSXaCe6oo1fA0AovT7XWrpppHD1tA2WUpJ/p+z
9yiESZqjS7adRxAOok5OxHw66AXuiabgaFqQZujV2psCQe/4fBHQDt26DBiXqtcuBmw6TKAxTZjl
7Y97S25ZKYTsccw+hv3eyjJPBCvHD7ovVIkFhVVwXW38PeT6ML/3OLhL3LUwY/5YdGUADYh/d2Bi
mXeGmyJjH1A8EMml4yTnMQchVsgZg96050udYovDSFXIPRMff6W0giqd6GantaGTgQBdvg5D82hO
feq1Mxyk2MHxSkpm4FRRrMz9OAOu/6CRcbrJMVin/ghclpL+O18xlc8wTNFMRMBH+8y2VIvZND0l
AYlwW6AgW5ZQ5mTScvL5B4CUSAuprj6H2wqT1FknjQwvL/tD3ADdKFAH6Oa3BXBJEIjnw+rj3nEi
GMs1aGPotY6+x36y5fq0t7W2u2vqfIY1seRKdeUq9mzZE/A1VNwzXESDrBMaAz29vqQg9733L8hk
fhFmR3sCjJJPkj0ocuiljXZhHxOA7KCZ4pVfeRXGTsWRS5aHpmY0sNIwKmA8oItiH4EH/lA51/2L
YArNhZnw75WvFzOS+g3r0x2TKJ0zaAgI+sQJky1Xoy/QhXVbfjIcmXABkb3LrPkTBva537QDr1ku
w0FuV9lOaQfQctzudI7n3q542fuklUpfDJqJI0TO02zDuuEYXAK5/DsDWy6BnWxruPOwJvvLtbow
3J3XW3OLING2syj9ka29DKUDSYnLDR/LJ4K5lt3mpk34WJYYgaH1WDGd2psJMQyJ/qTFbD6bFURH
eX373ErITxsZVdQ2ap9TbzQBP/s9uwkzqLMmvSCTLFkF+plVCRMPhRs1jJVMZaZIruGKnj/1UTlX
Uzmdv5a8HCb37IXDIvZrV8QC5nMA6Xa8RLYZV+Yt64iMjrweL+3tFqXy07uhKzEX2g7olkaGYKBD
PV4URGMIQU7cZkfNmz6o1R8HeX/C5UZvsvjeMUZIo0Uzg5wtQ70IqMl7EMRDQL9Yx9mc9WnW9AqK
Ki3mz/K9KjqUkNxT9MuBjhxKIORe0rpyQ5WhHkH50J1A73j3+UMtnBo+mOnTdcSD5uEfhxe6Ds++
XXmc6KBgMQxUsm9yVKYN8Ysm6CmOkdyrkzXWYbKR7c8R6QweRkf0maf9mVCgvkQmlAc8dvEV8gT8
bKlov9zf+3/7AT6fF6fEiL7rKCJE1VMbNT/L84wetKyO49g7/sPFtNnMfwvbp3sZaKO5w3sUud78
8lqNa4AdxXzQxGNCvQIyjIy6wUJkxBzoE/b52dox+VvQhmLK5TStrC/Kh6NyI4GN51ywzNJPD1Jf
pKCCzpcSiLkQMt4GJNb228ILWggAAOAwdOl3Oe2q0af2eRhEs8NHnGcqsNcHaiYY1fvVYBfMMaJP
Kqt4EY2SfrhHs52lYfRtKJgha38i4nrmJhZehHIFPm42youBX4iF0QLQx14UMyRa2vR06XnNhGeX
/vmvLDAsPJEy1X6cPhSJ52bMgr2KG9O6O6qAX69TD6cBk8LwTtkFMqTLh5i+DNSFHf4smbEhEkUd
EoFkztntv4Fcs5ff9rgxCf0XaFsDgPGw/QOWN7RSL8/3MyL4QDVci746eqXlTXBAhneQFslF3Ixy
iUYZaJAHr2v3UW7hGfWquYEqtza+/2BrvQQHC9g3/MjsHvMKDup8vPNVjDz75kJngjLx/Na14kWL
8SbsEEw+OJJ44QpIUc1F50GNiO2SACnHjTWUiAcnfR9Dco+WTutPh6SEv3HsR695MqyF/FrvQkwQ
elIvSaf4ROZRu9RWQhvLyIdzZnIijeJy05uGTvdaVxYB4umm8PEwzS+dIJbdXY6MwWUxXKVVgywQ
AisGGaDytfusk/31xx4sG4uhhqP+oQgdgxz+4LE5IAzCEHZZotD3kLXqA6pmx0e/FQmW/p706uGE
HLED3Nv9R7VW8e8Tl9ZDXSaS8oN+7Zdp09eNd0hU6T8kXlqfFDPuvWLj6bzAPLknYs5QJCWvgNQF
sQ/4XA+QRLhSDlSXu0H0XdMysilnWQBXb6ve/FhPC31wqNcKYhoGwHCzrEPRm9culCedWAnpwEsy
vHDq26nAlcY3YIF40PXYlHgiM5mhMqzi4u9Xk/BZSiSVK5bycM6/miGFHRREhsM1RjqjJp6dfpjf
0TvQKfIS6qHp5uDMX2qXPuZ8Xir7BqNHqtAODghU+0lG54SmTWr7GzQPVW5KP7qAEAjFO45sJEr2
rlEf2ln1ggy4RUXrtCyQio28Fb0FbzqL/E7l3ktoJ9ulKBIocf5zQaIWqZVJsXGbzykop/5u+DS7
F+73Yh9PQLjMhyyZXOTK0s5P0Vh6xpHenGlbdUEB14k9kcte9X3uCx3tBv4P9ehC14sl9VNQA18t
aNtd/6Ov2buc/OKUnMVM7QbVZqxGyRmpmBrJcuTqQWboMYRLbAMkW9mFVeMaXqnWkfiBN3Mz9fM2
yuJ/m501qsdby0lMCOSS8SnyoOtKHv85YYWOOTpXB6DHUitaLBTsZVB1Xv0wZkyyHIwae6jE5kGH
bDVf4EjS1rv+eOxw+kLfuQ20n1vHs5TmCP0ql4Kdd7CgOLig2oWuAlaH9+oZbaMRWn8jxKcyUC5u
q8AkbhzrBxiKZTIarDZUV4l4k+rmfVF1oqqc8fCku8jB7c81P9MIq0BStUwLzhUer8PzUM+vYtMa
ls/8I+lSzGzKhkXYeZe0yLBSYFg7mIAkuRE/fVS5aNUlLpGS3zL/DzOif8JUbPS74iGdyIJt7LwB
YHAn4eDeuqx4zfh6JdCxZYM2yDWKRoEAQULCZJK2UAzPFX0gZ721Lpy839nBYWjNZCASrhiQuoEi
oppCJVGtNIPgHODN/Cgdk77N/gkDdFBNz2S/2OY4IA1PB/9qAeKXHFHhU1mvxbkLT0G9wi51rMuZ
XEg5I5KhYA3m09OmgHnoYstwmfdeoNP+BLPq6ui2jbpYF/Ph+uI1qLTnz/1TIcJBTsbbyViVeRT3
7Y4en/F/+Pv3Ceq8t6wtcK3KrQic8NVrk/aVt3FrQkBQGTm5iw5eyhyf7qPZ/H44Lo85gsNhM0Aa
EpHFfT8m2qQhFXb8k0eVuwKyDWuhm9tTY55vv6axMlS31XicIK1BXOZi15VXziJ67xeNktqxIBFn
4NiS7Mz7uItUkGPqwm6S8Ee9Z4luNL/5oTqZJgH4AlJoAGE2R8o27qbQAV5TpeGErDyuFdDZGoX3
Cg/0uZ/OjZR36uvdZF/mq5sQ9eB+n9RTaZoQcM6usK7nTWYP/xKVko19st9m2DwF3b2hTeuzeV9U
HtQjjkbuqh22AhXvt5S1+VVa2r6hz3S0+Y9lY3A6qkixY9guI6Qx2K/dJTMWtF7NhPto+QOaKRVY
EhLR3DJPAub+UsUPdk2UwJs6MwsKds53S+tffTsandmuQmSYXCuLR2BKMA68QH+2ZjHP5rKpX599
XelPNIzrrUVck7qH68GSkLKJzCMlf/fx8cAj3v5oeQzRJAV9qe+LZWmWMVoA/zpTJ9uGV/8UwsRd
xp1FFrX47L7FqUWNmn4kLtO5hRY3ihk70tvucmQrF7JrlNCxdA13q14vcdqIpOZ94yEMV/O8yetw
UIL3HlaJHJ8/Z9rpSy4vayLuusb6Bmc6a50K659Vp90Eg6Yvq32BvPQHaHOgUnAyJ2r3/68wHQ94
/ysA3Y1RwN6y9xM45tmmppWjWDc2Xfl6T5xt8dAGDUjN/I6LXOXkddPMvywcEltm2i1m9w5HqW/M
dmeZJq49T2KqzxzefV1yGbzNGxtTSluulmEd+UlMrHXSsgCvE/bBXSdnjAbflcsCVZLZLtaMO0jJ
J1NqUZQSsPE+RPypTDPgd7rcGlMbSDR7hfufiK0zzHCboD00MK2bbrmvalh7dKAPvDkWcouU05MY
LhgMIzYdhyrZnHaMaOI4Mn672FI4BVoQwO0jcx2Jv3fU2BNl7cRTlJL2g0DsyzMv1n1qNsgs2s0e
V7lCoSahC8PZJi1AorLEcKU9qkoCVIAbz8ibeP7vMEQ+6Y1VuWodJO2l53bqBfobYlH5yrFZVZfr
Wfm9Go9zIghoxq/TSoYeVza8bSyLsOSF80x7TAjnCJAQwlb8KmsUy809jlIOSlKK4ByIgGqCw3fP
6vHN+MuSfwg511/vTO7ZwePVz2h6yPp2Wrt652zFqTonOX6DFW3LvERq2kgDMxhYcX4ZJWCIxYRE
fxoAs43y3IT1pMcCCgwwv1oTKUAfEXtZEjiMqJNF3Rl/zINdzzhucPClcMCXHnKpBNS//AHO5jrQ
xEMjEDzr0BGma0i+zyeTGS1y2sqwguCy2L7F8NnVA0vl0LwMgOu6RQDQnIARML8PL6TZVoRJEurB
RyZyBYhuqMXoBflOx7eHhj2bnFnxY40dX9JBkdPaxaE/id6Xa8dKkKX33/5kbI4A5dcnC8VxSQ2V
05GNHMH7SRXPEP11U2zTW2dcu+cmoLWVJuXxpXXAMs8pehPxhFqdqxVzDJADX9DpkIaPpEKp8ua7
SCBIZ8ZlrKMd7g6xIGFLI8R0jkwf5UXp/tRr/BLDN9Jrzy9riVOt9RqyKxYbEa8l8eFmR58QrP3O
pEskOT4qTlNLE8HSHU8/UAqpD0NsxKr1mDhdn4RwQwsYRzvh5lZji8FqCryqGvXZYXwceUEzv6XN
7sKp6iI7THafb39BTcc/4KX9fOJGzxHrAfTYLlIYTIkrOVZyz7msQU2dUEDl7/RiRm7uLPI4fncu
cHJTRhqAThl8LJgqLkDKtLtOH+xyIg3GuJhnZxHCVotuRh/KLCSESJSj0dDN8NULHW6poAWTIcg2
pJyfLKhNLUJnaxJkEmW5a8Y9aSAB1HvzWvcyTX98jnDrpcM8w4VQEL9mcz9yYNdHxbbTnBohAwcT
asK8Vc1u9I/74tIInJgbBLMyIDYDTah/OanBYCfbsPJBevsT3ybpcP+hRm35GCOwA3S8xaTDctjP
JCbZhSBj5cluXI9AUYyPvIaiIpWRTCEXVz1hgKhbNTFeCKAsMjTz0WkH5TL3LTAcXBZeH+RPXRLT
EGDaeiMBdoDgs+kJSy5ivST9J87EJG4mdVrszfrvtIGPjdFN1ehPkrTUx6q6+4qRamtk9F/nF5xV
C/oBNAnSmb9PcAx8oi+/cjrcqR68twGP0nAjWcD6Es7NmjtpLWmwHpbNOYXnGlrqHy4v/Yl1S2pU
VsFROHut/ojPUvsCi5zzAAPwvUWHQVx/FPkP/ydiyRjGIMaRXv1ShkWSronfDN+xmzV/olFXOVLS
6VNZmnzlePPY0+RMwVuDhGmYQmSX5nSzj2XRq3bdqavqfJabSTkeaAmQ5v41BJ0JLtELkoVA5Yzf
De+0yHFBVg++7TAeTLx0iCFqnyBCQPcynJVHdPFvxinnVdClZZ5qK2yOrdHUhA+fDif0iXeLXOVa
GzudPOwVP8GqJyfp9hbgEY+YDRzVAJM/CvZoeRku3x1hR+aJj4JVuNbv/fPcik09dcblceVFO9Rh
szjW49YZ8OpRBQ3lvEZf55eP7WdRJkkNa+2RT5Fjla/TY81NXLNrH0xtpu/ZSym6zcgtpycdBHhz
AmqDqVvm3OaYbIVXzAepbq6P6DR5pYnls1ZipxOfhZf7FVe2OaTmdB2xt1aOm2B4nh1p1ImOGb+3
P7CKkobJTP52IVBi7c8JhhX6ldD9XjJQtP8WPcL9RavxFD+x3zaup/fseg4UOx5hdNQ9r5+pEVfp
6Kuz5jpJsiJw6TRK8yvSwURQLX3VUkZX5HXRQSx8eOcircWrxCMJjEDFJNiWv3CGvZ1482Up8Sfp
TgPZT6p8BDFGGY1IS2n+bv/x+wRcdGMNWqFv5vqLblP9y5FBgJEWGwO7fTl4C5pcodD3SsPoUFxq
b22noG0Ghfr2csgy99z0QqJIIpmxbe7ItJDJ7heuRapinB3NvWz49sZ1VTdymAFT6xu3VbFMD3Qs
eShmHXMIHJVhJVMTpmcR40tGYX1SkiGQdjn/4MPG8LYpxV34crsRwP/HS9OgEi4X7DMtpmVajct7
ajJQBO9sMw9eCg4NEJi/IW28N2vaZVQiL+9b7Bak8v09p6sjgnqR1OlmruuBJKM6+Ne4PTP42uHP
3QvYV8HcB4fY/+A37Cgj+88E/HPMXdhJ1hU5MXJd8S/a1q20aD1iyexllS4RqweY6cil4Vfy6tnR
srDNbEsdMK1TOhIYr4ROExs4qhbaAITDvzEJhamrN3MEaLijKf+r2PmLfR+1xS8nX38oVeTQVfY5
EPRrJM3geXpNcrhkq107IqZXHpWCjh/V219xybIJPPn0zdRUQKsZh0Toc8/i9yE68m9zEWM7RtzK
aFhdggBD/cSpj/xui4uLuzQ0B+ekfBJqIIZuPjWuTWbwuvfLAJDCCFl+N5+x+udmESROf42ckkt4
cHLH0Or/JvT/TRXAxFmwPF9+61QelLo2W4UTAc9pEnPq+8q23akRrpntM/D5ZgeEGdnJf/PGs4o2
clFqPX6GfBOSbKnOyBpJVyGwdz3ScnNZBRlWKF8b4dQXztNzU5xQAGgTF/VYdJN/OiMSGs/cdJ4K
xUOntCXrfJV3KVbdYfGWechCv+TAuSoh89WOVLtDWJ55R38rUTVB6LaJCurIGcs3RBeyoUZnJam9
EBKTObGly4e08MlKXZHAp8hRYLMxLaTwMhmOHuyBFf0zZ8+U8V0k73JhBLwpmo1VmWmTVhHqAPP1
QETrSxxoHW+Wpscg01D9TZaODv+WtOPn8lOrew6pgrV3g6PA6229u9XQXmZ63sDCgYQ9x3ehPI2N
evd1wvFOGu8QNUaZUY6UDTyW6pBloKKp3dlxjLx1EFMRigVrrnv0cRV6MZ//Q1CBz5GLYv5KpQLm
5u0bscRnAMSMBwFKauiI8nQUI2rfHUW+h28alTi1UBZWXC2tb42Wlmq5kwxcxcOPe8KzcAnCck2C
1ol83YlUXEns9YZ6mi9IA8eo5WWjA9ILB0vfpm6LvrDp6nHhzXExvBBY77xh06Pahs4LPAgi0ZQZ
3Z8QX/UDFCfH3+xPX7r33OqsFf56Qfgj7L1W0OScbOVDo6DNv6yfgTm2pbqOWSrSbTar3Fx4mkb1
ACBWEmyJF2DD3GjkloHQqKFeUXKy6VGnSX869sffWiprkUcgI5k3d/dRLW74rGWK211Vv5LVXgkJ
rAFqY7Nl43B5Y0NYcREXorIV5kqqv2LA4HM4YzqLqCRZQ8e53of5GZA1dcfOZdIUIJmCBD1wAlF/
Cid0xm9YKwt8yaHM6rauafCdTUl7klCsQJ7PjM38qaiObAeyoSzabRD2sQA+rWuBuO8O/aGWVLc/
zEhJyfXf5ZyJOpjIWX6WaS5x4vR/BwpJO/ntPeS4FHbEexyCm1yOMPxUoovl7uyWaf+bYaWgJxkO
rVepqNM5g6kmyQm0sunlyLNbPsKr76gZ/cRN6Oq3JQZyuE2+w+6vgLopVt0mctCAIcu1pKSiNRZf
4alSY1fWaLJtafH++ZvRwYglY/Itfy5vGuk7yxggt/kZqyikA46KUSyhE/NQGGhnk2efZZrh9ihW
setJ0AbgfH+QKPLqgp8rVZspSL8IZh7h03gOyFsZfFBa+U59CVl+Tk2/1r4AIY4ZqVsIGVMdMnJU
FjPVmO94w9xve/CweJh8Vihxnp8M0xnjmmuJkfr+9BmR+4j8r7VRVWoYwxgyE5ytlIgholfwH9sd
hUNHnqhii93sUbrXe9ymsmXr+//M9aguikpxH0GN70VIJPG16Eu5rT0hjTXjHTGwxJmoUpQCJ+5m
NwaviwT188djJsCUmatkokFHjR6O0/Z5La9sU1HdEdDryka1otiCdG3JdDlNjZtg1gDNTJsGMz50
+5d6KdutFUDPym4dIHWM61dzbWxQ6CRXXtj8DkdM9uY/dU+aR+d/bU9iVhxwohW69aRM3mSGVbAW
SBe0vN3xq5J6pcU5xGYwpFDClRnMfbxkBd1iHfmyCsGXd5nZ2TtVBqjssprJriFn6guVzkHBSBwh
M3ErU8SwjyA6SjXjHQJevwIWRo0AKPTwKmIJwkVwkptiOowc+f824Ox5fDLzIBz2AYYfLMYqE6SK
iZIH80LbChPeytWqSNR6RzJPIqu5rfJrVWM2Fq4TsE1osmwOQ78f1ddT9h8JzvpwB3kcJ10P9ItG
LOKdrxKFfyqxvd7qinCGjejchi2Uj02jnm4sYP1aQDPCHzD9JOgDZg21GC/TSIdx62+YejqK42Ig
tZBQVnviBv9b+YOEImc4wwvOh6Ynb4GbAAdwQaO9IKp9uJIyrHKj1IzXhlWULZtJH5nxBfzynKD/
ZDR8HlpWM0QnpF5wWW+oQ07dADcMd41uGvo0CPl2jlSJRgJvJLUyQOiMELq35MyqGYbZiilvwtHT
B7ZnXiA60YJ/kiyiEEjJCCx+ODIB1pSqYXjLjYq2/hg1aaYre6sglmH63T1Mpx9/Awf6npZqsyTb
tKsyf836BPP3QH/NmNDySQaps9UwyBUQCa3tY99XZ5ZE8OOHqGLW92ATdh6wj1UjlWoEl7qd5Zcr
F7rTSku5XJAsdluXL59EyqTen70MUi63IPXl++ZBJODK6osjXLmRJbHd9IO9aZEjBsHLtq5+g4EW
zDQXRuIJNt7QenbJErvdpWC4A4vVT3RWHUyOU3vG0UWen2s4RQzdf4UKMHXPamnoXwCeMhOL1EQt
RjCCttbuTN9QRIe7Yzc57NYv874d2rrLKWNjA+7mfneUDiOCgBNAYcOKoY14/H99/yWp43lgdIRp
MGRVuz4/2oy4ix8aW0bh75RrgcXne1V8S5vWD5QzC0yYfOeQj0BNZWyzyHAMhscfhL07N2TduY03
Q4CejFjB2PW9SK/rf9nDetA67iiXL7bJqY8Tj9XDo5evcRQ4DvKSknQVxWaGtGsFFCmXptpSJV/D
YhyIqoW/KmcfuovjsiP7ODQfyJ7EpUraAWOEAzb9I3bqq2HZ4nMe7/anoEdNy8a8Y4KV36S4E39f
U2zbkzlBaR9IIFauSfptoSIMt1rRS2E+vmLMdPx2BvAtC8IkRMkdZjdnV2b+iYHs6EnELkdnB/c7
helHUHGVbG4dWeBfQAU+XXZlDXZcVAU6FZBNNVzuXPCrFkUho66nTyXfeZbuRjhnHMu0ah044vp9
ugsQL4PekngB8PCtF93sorfUT4c/C2Q4h4wHcIGv/66Hsg3e4izlogzBiWJa35LpkMYgCr4FnP+0
8x/knXjNi1w4kGd6b8MYk0qO/gsy6pnO0zZ6QRFXOz0bfAnDlMWilsag355FVLvJ3e9TXsEXiVju
bCx2O+DEa4D6/VZuc3V/Zi4cBwtiC3fRMVVMfmMFFsJsCvVnwTAyofJyHU21gPLaiLUqYDeVgazf
8ERcvo7yWCZiLXqrKmU8ZvD5ExEJhXOYAsEF4yOCwTeAT2M0MAHqik4fsQkzOwrwSKVax5brgRSV
jAmcYcmLJcooCOfHGuRJK9wOs8VUtVWRKZJROeWexH8Nzbyr8wK2xIYod8XiwZlIbgTg3sr66cZB
GbrQS0x7+znSSulfz1CgogEPGKEcOfYyHzQ1KI2zTpCt02mTA8XqecRI/mSWZw1MLstEYzg27Z9+
MRNm8xG0LK/ty1ue82eF8Yuu6J/htTCu7KHJ3sKKFJkCAL1dqYc12dupvhYPhJPbLj2E0cb1qnRA
gOl6IGkcGxCvCNtn2Am/178e5Xr2OVyS9LYIPBbSIzCwY2ikiDWRSPTaLfdQdlj0HZuHUQdE7A+y
o2pkHK2/jbEskSzOyEbOclC8kvV6DeqPmItvH0FnnzX/IyeZyUFV4527T/bMXkCWX+rB4WD8iHwf
jdRNPwbZ7ZhnxZRBG9L1gqTN+2hcs4EVudhWC5Jn2LFbPWqPnMurE5Gu/LVGVJ0CwUKSAAriM8qe
odWdP0yVPRZeMXPQJex870G9sXJ8MgukBRKTrf/nOGCMuCEg72zrGC5TYzQ8xLdSmfgfuKW7w9ok
ag9MP7oXdh7zCGu5XpjQ/ErgobacmojnC/V4JC7I+D41eeADsxi2fFvfEz5I0mSxt2vhYX5rgpYt
YoisugJMZ7oMi5kk24Hb8RDgxU1FAMkyAOBsasJZl+A/fUcfFutkXYHgHMCuTytp8R0GSs6EMB2b
5JweWKA+keW2dr69ar1f7tL7Kt+YkntWWtx52HO9ZJPzKqQZYj5Z6RaID3dFEx3JdoB3LOhebEnQ
xg3Qj1pGqeOE1DO6fiu9Orl2aZlLO2swhYd8Pb+azDer2xaArAb7pHQrn8+w9QrGRqDDdp6CiSPQ
MgVN9J4YIkCxZdKr8ngQ/AeBgPJ26h9ofKl0OC/5TCImFMucJLtbIlQaE7u79VUXI8we7Ixwls+B
FOozs3VZCxWMDgMyVKokmjwMbNgd2xFso/2n3GmcIOHXe+/OBogOgPfxNP9itvAxGpB0JHpfr+s7
6Yo8m7uGrRJ+3/egrJrtxka0rkBKy/ACtz0FenX9LjPhpOnVupF0gtGRDH60DwtezxlKkjAZS5nB
OiF+nqS4NNMeIWZPn8d3AMISwojflJEdYBLNLDgDQgVEFnrP//mSnj7Ri6m2J99QiVOy+uVnMkcd
qJJH1dwBSDzqFnf8YKrjCEM+rALLTxn2DnaR8/+HrE+ztbLzUM/Fc64rJP6pk1LHTKMIy7T+D+I7
PJJ+8HGKo4Jblr5a5DW09Y/eiFImISd9pvj0s87Qo4+clfIcEyJepObyDLjm341LovJjSFp+W33B
gxGfzvV9fTArIVvI7dzUYtncm61KREBJpXN9l7v8q5dOOm2oEfr8+wlZccDqarDpItgvXhUOUFui
lZDWvPUOwvq8GY7ropyfcEnvqWcIiFVDC+MnyBqqipSn3ZRBT2KgtYX7ayXdW2V0BujNsfs5/2eC
3tipZ0HvpjA/Jdf6tnLPv1a+1r5emyprZpUHFt2pHTukbmaYO8l54RDU8Ebxkv6BzYzlh5af+kyA
UT96UKa12byKL2TMo2j/euTzHbwxFsHsNZyAFEdPUNPCH8J5Q2Feq0D0IeJ0YSMuuLt9vrSkuDMC
tJpWWzYPGmHVqU+esKubgPBeSf267p+uRkDVZQxIHlATx/igh37/K/mrNMMdt4BnlvF83xTKqkxQ
EUOGpiAVWTbHTiK2UtC6fOZKrSWfuNC4B3PGKU2T0pgWiE4ppXMxfJ7DBM/2023u3QiMPlp/NTJb
sk04NRaL7cerNFPP2uKC0LhtoJ8a0A0YvxKI360+DjoI2MagK4VdrR8AOnF5OkMj8i5ZUO2oB/42
9Fb6VhNIhxPw43twPrQPjTVryNoKTpGmHnrnHyEfH9wl1q8zSkD5umq3oxAQqH65qcQCymJ0FNoc
7dYGjgmmg30AdH8XH5PXrlRPp90BMB2YCmwSY/m2B4pv5S0D7dSjw+WS8I31isikBMeI85irIk0b
5rLUbFabKG8F/T9GBmEt6mMK4/e/KNcAGaR9jkxLD1zL43QfXfXyHSgLxvfhz1EU/OM79lhK4YQE
MNkC3UZDbC/v1ZduMFtYeOJrIrYKqC9ZGe+fD1I84E0yWeM13as640k4KuoceFLjBmATKtbW05Nv
8qNKSQg8gjXuMWMd6r7oQSrtBQ6Fer+hEzOazN0RAaKRerlpmXvYRZM9mURNKoC/PquninmwOymy
EHTGx5dK/tjOkCoUOmS9j6zotBsmZC+fvGJhGhgDc2LiGsGMHykzc96DYwF51tn6iA+9alEeZKtU
KXFFOR77b4zm43KXNID1TNgu5nIaPWFzXwBS780m2VSAxI3Ntef/T+zYR+Q+GWMBbGfZpMtNBMaT
Mk3jtDYmi6eIt1YKr00ZXCW5pc/A39JM4DQhXPLx/9RkX1fzJhcpcUq84ecz74uMJGhbjrNcgm6B
5xWWkMcmuwbSfQ0fbJRhLFhqL8jXcy1IllpFM7HlGMrJQopQbjJtBYUKtfkttEPsj/L5SCSsNWdC
tcZZWcqcNOm0kdUS+CB23KcpvQ1Eivvz9vSTJuf9wRpHw/7Ve6hg9kHb8ail1Zydo0yPTppq5NRV
c4XO94dZtQMTfE4stR/IMH6mAHSwnlKjPblnImfcNTayEytNOdtwJbb6LayMRBcyPXVZfvKgbdyf
c0zbF6ni0mYtFHPZMwwi752uyR+uzD8tKBJIyz/MdD9gV2aKCd3pRAVvUZCZpKugNiwseNYP+JdF
WUY1t2Ih6inAE93/CUtV3Zs6dsRvz9cttvBfDrZBElzJTPZeAAeSCDDoiGnH2r8NF36IFsH7ZZSq
RXnqn+SEsSw/ZmXI4Hc783G1yVC5/I9TjYkHiO+QG0yp+EC0dIIo/qDDRoJzN3PA9mTlvIdoeafx
QJNAGoHuv2oYSwm7IwsD7KRMzCd3Evb9smkryj/LJ1DTLXYLQcgFQN6gIv/lqVYGOHT/i/sq8Ws7
KTp/vXTafdhIiFVoxlzUar0YMn9YaJcp+7OVDWAMPrls9zFAy6NXwQzJWcjfgd2OhVXGuVPNOQIn
Ua7TGEWgIHt/YK0+OI3S1qOFttT3gjR0KbAgtuljGMIUQ/ChMYTX7uiH+8iWTzcd3ui1ZL5Jath8
JtcadcRxUYl+8UD/elAOueiu53aN3Iqkba/yxd1uS844G4TYQfFOBRluvYmqh705oQBQdCVrcmz2
Byx9RzI9QXQl251IwYHm1R2Z0QSQdnGkOcghWW6UMXimV1iU2ilq6NaWl3sJDquSYwULtoD8hWvB
2asT6+Vu4x3xDOs4nr03ZbjplNP8Hu9afnX7mBU5CR8O8Ptm0J+K6o9+ZgwcONXEVj2DFAaHBrWG
zdlXBRudEBokUgF1BsYCU3qzEUmCkpaiEH6YGxXTimCzjB41o3jpYlYPiupOdrPgZvk9suIkiRwb
ZHJeSY6rN4RtnQu10gtMKKIjDd7cREwsLppd+XFg5oPRkZYCe2tRxuV7ERx28xrEhG6vlMrqx71E
yIpAIBerzHtPbeWhgpa7+URIsY2vFzL9tGwFk+92VB+4bNKFUoZZUYVtbCJmSem1e0I+iUiJmG+S
QL+yUrgKokNozvqI3/zEEmdNetFolDq2G74O71WvcO6cXDUOvgQKWAvgQtk9rRWpn/zWW6u7WoQ3
o3rf1caOc5oWF+WJHTsHYPvQUBqtwWIygdNs3gDaiGyrRZeYEwdHr8MjLFYYjjX4qd24JGnGdaAX
Io+xGuxuTdOlNLYQke0IMYRa3ziTqFTGxM3ybWB35nRokxqFJq0rXC3Mqd11mifY2bcaVw2X0pnw
l88eRRVuqsuw/coME3A1f5sO8/acNRjttPR4lJs/m4dZmy9COHwHBWFzrKXHXdhqyrQDAdPffWv5
06SFBF86lfVr3f8WEWjKS4/nz95HyUNSJn1sD8g4YCzvJvPJFghKbZZjeKLCQumMBIf4yRyS3XLC
dpjAEM6qew9VKu19A7kXKY6Lmb096bCc4WFHTlAJ7rkGYewAbtd+qTtb4JWeBY9hChG1VxDYDgbi
n4SVSRbdWHHMBzgQ7YsfawGpWMQMW5GP3mTDPn7ganmRMTyAWPv4qh8/zfBOgiO1hibOAN4UecFU
iq95Sr5NjjTHtC5GCg0fkd+6lp3uhBJCGWhJijp5RA/RUesMU+6oV+WKMLAVnIi9TmN8jcbSwvFA
JPEBZL55MtILPZkysgU5KPrziQvos9wpRU1zSz66EMFL/BvWrMyjDlhQHyJuhtMpd+4suoe5yslO
U6Jrl5PGcVMcyazcEZYLJjRLExBGi+x46ON93U8aWDldc+WXyDi/s2HH/p1K/OSgb0RMrHmDGAHi
TCQ9/50DqMJGbnYFZ+EfBNbUeIDYaZH9LBwaBktAUHyBts9iFJpyNcP2yqCGDeDozJhvFWBDuGV8
p3sKOjj5aJqnX4XC1GK6fsTe4vCNO+i13QXFNseGUifg+805SG+jHmS6ZW6fIMWqrctkNDHfcJTK
0NcLAmUTZ65YmY+imOhCo984Tbz8twvhpNKJM3fV4QkalEVdaFSofKNGbCth7CPOovwxDYcxFkZi
3uWAki2A511PPvA+RdVFILXuqVE0EB6wsY6D+eedl/VFOvs2fEIyGT8hNhOXHwmPN5MGRR6OvZF0
LkiEFYwlBjOUDB652x1iy9rvytL4yhJ4R9izhtt2UeGpRsanxRIrnA/HxT9IIFd+YyKJeQXfIp9Q
YNMjsAsFSMrzwdmsMrkRDiLxLMCbBtjqS1XfktDPMAVPELpFyVt6hOWV6bOrDox/h8z09MYQMB+/
xik5yDOj6jauH3FN8W6WyeobZ8fIH9M2H8b+U1WXsmBToO/Gt6fjWI3xiePp/Nf9+PpcOs4/229S
TIrsqFH/ex50drX96nI57OFMNhEumBqoh3aucztXkkQ4y4HxV4iSKi1P+nE2d4i44DDF0Z60GDt1
7e5XbPnqt0jYkUsQKJivbMsZJPG2p5u3q/eydQObI05xWLLJW9/gTE7fwqHxRuIQVCrnFxHmXQXn
piNQ8fY6dbJpNbwfRlGzprJTPuKfZ64hyvUOaRaB39im/k2ev+ThLI3/HdxiAwTuQtI9aeqzOWoL
FuhsxnsYt/+9IvcEEaGpjYyrVi09gSOZGUCbMffO0hfGZfkix56oAIKyuSpV4Jg6N3emS7kpy+xX
0e4bjE93MkEI/TNDxqTUV/HnLtehfEdXuaCAiAwOL5b8XuypKAJTIRIcsH7Z0GkjFNd6lhPObVNy
XPoaaHnBB0o9AjV/Xb+G1MZdiBVjyYQBse3EHk6Ldd7KhJyZu9+LVtq5V4DxxgGgkfS5s7BF3JzG
paMXZvp6VtcF8DXIyipzsYjh/AfxBwrdJY+wa38liBZbifCeu87Ny1kDwkEw+nZloliwo+RwAiRa
8aLFwOxOExZfxrs4NIBkVXmfDrjFWawCaFVaC8ae+HvXlghEIIHHvRpRvimnMQA73CAm70K9Z0dU
EdYgIyrARxewl7lsm9AFyz+KHoQ1bdl0e6N+kQ+gFI4SZqRnKiz86qyCpHq98bgRhs+Kd5wPVuQ0
oTV1LzGRdYSXweTfjsHdrOJc5uTgcftkg3s6Mn6EM4dmKUCiUnk7gntGwePc8IP4hZjEBi03GpNa
NF887AlCa6Qq3NSr8Fu+Jmql18UlMrMGuoTLsAkfx5ztdg8IPZ1ne1YUS/DSf7M1cs7qmy8EY9fn
185FGcppYJExn70HILMvNYQayf324dDn2NZouTdJMTQiTsxY807VTgWqGJDaZU7vcGk0E7HVhxkp
gVvQwjWGPsmdzP0f+481IDNk6JTn7QYd6Z3dRdksHTi39PHYdEHm8uVWSOjDDe9oAkS6j5UzjIof
Z2JYSB3yGkKbpHAGJ16W6TqpSYiEeGJX31/Q+tgh0vAdoTsdWHatLX0iZuIh41MVtpiqHCKRHkse
4m7QdzwMHAPRU9o8h28a/aWdrkbAAb9D3Hcid7ee59qK+scVkgtPU6J/4RemFnRNdo7ymyRksTHd
H5rPC/sG4ArX9Ami3mmwbNdgZZhJhbPVv4vqeU6755XwrNKYTn5mqrFV5mrIc3YYFxO+IrqiZfnH
TTDjxH85tfZIYqKPLF1yohvVjKl7jdAQ+qSPLyDkq2ySobDTkt3ue+o6c30kQ5MTW3gYeWyPvZYI
9NJ0C00zcy2GXX8dMViu4551TbCDm2ow67OETCLHaZm9YQUus+Z1CDnd5on7qi86RDe+V3Tl9HSI
jGPpz2ayUemThaxD4HFHMhvaikdcQMWaRMJZX6c6ftVkjGViYeqaOsFVO2y+G2gtXwvD5K2PIcEg
lGWJyfC0egc+bKrxsltW1WQO7jIXZ2kJkKFMABHwVXy2YbXxcYORhMglEzIWaEq71GTTQiiKr/5k
Kv8WussRE12lwc91AjKotf6FDo6fX22Gn3mzeEOF/3BL2OdcdtWcRfLB2idSQPC+3pyVj2u3efKp
LNbr2rsVtOFMHYOZq7xN2WNlzmiZc2Rxt1gpBask65F86K7RQqfkq4zsmNtwo1jhtm21+FJdGNDB
SJsV+nmygVXxIv2OpvHdTRrCtXVkhsrwL4F9vEE7FadBLVMcj2LFtQyPLau4uqbCvPLu9dXyZ1ah
PGF5knh4FWn2/DeThDrnKyJ6jwEclfcF9+23nnLrmaxO11oGNgEfpk5RzRp5L1+u6YyeV1d61SL4
lExeIe+fVJ39DtUwTwLLYG+OWGJTmh7HxJjIPFVlqXW/36qKD+QFVEZdBRkU9jQ1+J5+NTim/udY
QEj4GggCuT83Yzd7JkrGpCqN1czwBseY5DfumSg4SnLScysJu2KdLQa2TkJCsejnRPhTsxTv67o1
FmjoCLUKDhqrfkJj7fdUgEAscC50uX5iNgjYPORx4RHOPT2p1jaCMGEagSIumyJXwSIGhzqiNVqd
5RnCddszptRBuqGFE4bsgOrEKl2RkGm0ROpHyBoRwY98DxICJ4jVWSQmpQFq6BzBQaO73dsLpDrU
ETFx4TZaJCsYfvslWq0cmEB3ujHyALdt7wJm21MnNouZyg+oG1ImoXWFelsh3T9ORjXRH1r9FGsy
i9HC7is0VncHvP4IoehngeNxXW1AnVEIW5qi+MwkxtKhQh2k+TnSVGychPVIVPJRWaMjtvxQ0iSi
zFXdzC6YbJfM8JXJY2UC6JiKr4Tb3Rk6uDm2zi/22o2V//TzyRvljQXqiyjZ8gMXsfKSqogbEIgW
qDkKCDIpWMjUExbnfZtDIdnjv1ixWLWcRSPj1P4cTH58zpKJQhMnBlCYzXVwV7YTlqlOJ1nhCo9j
elanKncLlrSvsshfkybAyKT79K3fauQFwTzNj9dwmBAqNjvWU7EH6Ib17ibekLFA0sHL/8eS27LO
OyWL0DE1yol8B5hHMuvI028C0uD4pi5OoYUP6sWi1BG2HGnhahoDcqJ0/WJ828JXoIjBK5RTsg72
j+BQQYLCxDRViVX+KLsPaO7b46hgeItDXuM8M/Ua1JLJP9xVJameXIHbx5HPYwJIHoR+S6eF/dOQ
qovnSeJro7hfCZUlE72MDmaIZMswdlsIx1HEVHPA2CZRPPM/rk4HsL44onG5zqrbWOSKhRm7pmMQ
BNiBpbGtvfisjvM3tcjHA3ssCwv6l4pF94SMrNUXCY9S8EuG+5GUjTAh/E+k1MFM7/CmMfzZ461J
4XX8ifhYvRGPgyYbmcpTe3doZWYHN64+khnOhaK+usDIykDL0rymr8ka4AeyhFNwo1eWiaXxEQFr
KfH6ZTbxAqj6/6gS8reKMccUKpu4g8vZp+OQS4S0j3WS6xYSpbH5/oh8iJbq8gpCYfmdSI/SPtzW
0d9KD0WemxlIXC9sdblY6h653mwvZ7oFcFy+EWtnJAu34u3jcDlLXKyyWrT16aFexe0TjxpThw8V
4SEGIDxnvoJB4Kt5RrBfZ7Ggdeh8xFhlMIDPXcux8GnE10xKI7uyVtDK10CwsG9yFvKPCBn4e6Hk
QTx3zD+CAJS8+B28lM7vzm9K9q8VJs7KrSsVijNm+6/vLO46yFbYYSiyXRROU7cbLb6pbbmu7EYa
e3zg1vzK13xzc+nV/FBjxB9JHXvXYUYU1jhPmkzZj8wFfIKHDOT+PcxBey8db7tOYeDvb6yQ+XX7
U9rWPYeTSnp/CQiNmmb5xU1puJ5wKnIUAVFx6dFheDY2OKA1fRRWo0lBeRB8Eqcxwlc4pi8YTuu8
W/u29BmJIM875JmpT+Z0Z24I90rAf972UD9H9dGzAqiCKL5m5yia5ZEvPC0C+2STd7uVK3uMZksb
AzY0XKdwobGLRusboPwiWDywJ9v+erMgR7VoMBJYFq6TVIBCKu5skykdqYCmiMyV1BpxIUAsxsMS
tN3bWBR2zJFpB/TqA8iI+F0N3EuLuDGMwmcHjd1NOpVJ1VnL99uxqRqzfvlC6nqJKT1Y92fZnkFf
aV8hS5+TrEg17fBPMF/xQyNwc0AW09zwa5h9kYim21M3WB1sIGmQVKyd4ZkvHt5PQqTuQOFsHQXy
bEcdhq5IsttJoyt3BTmmur4bmwYCjRUgUGOiS9KOQaRhHbLoa7dMpCSofYGwP7DqB0JzVBNfgmNN
p7Gp9FN4+QSOzLlvh/8fe47XVdmJeOeUzAc4oP7kPDFRLd67DPYSeLMZUKy86qiImmyzT1iE/jTd
Mi6disFqkzDkZnCS0M/gOefJEfnIVxVMQxuv07zH5hOMnYE7rX6skDnexONeNnKULK8Sh+9BVPDf
lqbrnSz0zLtFAefKyHkrpBr7nDsgvpupm8gIXx2h+Nj2YsgDDL0ffUBtcBI+WY56GKnMxfzkp4/O
4/7WL6RZcsJa/CfkmGz1A2QQkS+jy24ZZ2alzwPqM9vI1E3XU+bbaQWGRnYW0ArjlZLI6bhXMzEu
ns9pm7x21MY9uiS9DOocHl6S1/W4cSnkA7GOCFnhKG5ThI8AA3QwIcaH3iZZ3YoQZ+mytAzcnkYM
nW8GopzPPllrNRZTc+EHiVcBqETRWFVpbgIXImGqgQWIU9U58NUuRHYxXLnvTYiI8oTqwsn2H++F
leyhzzTak4Dh2tl8F1MhRY97KllqsaQ1512H3AV0p+PmCXeLQAX9egDXaVqA6UNxJxuJxJ9u63Mf
1dGFTQ2BrZL9V/RuHN/r9cEbkoFQlSWuyDw0h3AKLIdUsIHZYLJOiY/f5pI5a8MoHoo6D33CRX6Y
HjYmto0MulPvrwJUdKVVNt+/LLrlZBRvoq7ve8neHEmI7OU+TBvxF1oDZKj1w0ggAVYWOoE8ZfnA
3bYSSj+ldOLmwsuBpGe9fzGec2rakEnwhaUMQ8JMu9d25Alk9bDfMxhCSM4gVvgqeDbvxHvenZ8z
u7+s81/m7Z1FJjSFl6QUP8EfSgnjOoL7o3CGwrjhoma+YVD/nbr0n83WHBXSrV4N2zT8Ryd6Z4bM
I4fa00mf0mnZwMhrhZwn/udmE5ne8GgNP6rNw6XphzqIp9pyAJQoABsctUoMPUe+t0Ze7VwWsf0i
dWDi6Qc0ybFf8nbRvXPi9o9JoC5OIUdZVXASZj5majAqoKV2fJ4bJlKAUUkMt1Tt9QqA3G8JJxO3
UBJb01e8uKalsSvhOR1WhUov9au001N8B1NkR3nbFIU5uYxBG4bpkzHhN/ZUUgE5wA1kYHbsbGQt
gjPhrcuBjstBKOxo4BU+JJylJI7wjcjz7+CHw1X3HQx7A0JYvPrbBATpIa0xG5/+Rl7cN2W+KsWF
bh8pQR/TqWuEZEiWvAjMJvM7UgcVUzeoWWQmcqAYEcssvQw6DxyngkiG/vJWGFD05YqeGQwO5PXv
wwOhmSw3w6kX2pidaejtcz8TtVaNIO+MSg4GPUParFbLWbfFgqRXicSBKvFnwtLKtil0SgIK/iOZ
FIIZ32yU7vD1wdS2Qr9JaARrYnGjIBPbdY9WrnznFKBUzpoVP9zZWtHvyOtQLb0SwNJV7eVKeUf+
dSxizPn2GRjx13/zHMp3itZ6CqXLYHmT39Q96tJH/nkDONZOvx8fv6dpwWDUN/Lo3MiXnyjYx1Cy
ZB0r8iQxWpfSWKHrkTWf2Zecz3mG3s1DoiTkYcqFq50Gdvq6DAN7t4ZJi7dDxvHHhirMCsv2lYPK
0s42QsWsEfhJVzUGqoHuG6zwCv0P8zDrKBj8br1tFXchA4XzNWicX5FO6oeA2RepfkVsp1oLeGKv
GRnDdXncGhea7k9jTRoTHB5DwoiixkTjiXk6viwHT3gdtFyowvAKjdBCse2/IE8vonHwpEmN7U4s
iyXmKGH08o9+AlRLMOl2M6xOJk18GKkZ59o2nDCNOUXpMmfuNFtiABJuecX6rnfpWJVOydwdXvPx
Qh6jPnroTwXLJBIu3ixfO69qaXpmTmXEjhEIcspR/yX3/XcBAAfDnig7Sq6gtaDSAYHwnFmnUlEZ
FwdmhoPr9M0i8gckzvlUbEg7iugRZQc3CWin8hKQurzSN4Ct+p1/EHIT1BK8QRwjFvbj6KiyF2rN
Oi9K3pks+KwNVM54Z99WN+svjlUDpmrRfK7oU8Uy7pKb0BHy01zo0GuMc58Wz661JUy9MNrU+04j
lcAfQopzL2Q/YifaIMhNo9vpnGADEYmpoxRic4UaHH1/T9i96YKz/4TcfiIoZUqQssDnlhsbCEP5
VM1DMxjglkDKoa4XsCUe7yVogA+ORqbMREPo3FK14MVRtiV7LXXVJEy1taAeWd3bBJ/6I1ZyMIyg
pTkO4C8nT+rhPo8w0bdWXlOlE1NL0LkCKjO3Q5H0NJHlkRJt0m/YsqSjjxP56Z2FduowpzH2IuQr
Tv/laLV2JdgEFaqed1UF9++WyUBa45TAxCvt4KmEiKLBojhmgPbW9IXsiq0LqeHUYGwYW0S96duT
ivsZhQVCaYpI1ZCMQJifYjR4E8Z60b04aKybFJS+DDfjb+tWGswS3fO48z11QJwFYYdissMDlocV
JAVE+rLD5J+XKgsYTmbOVgec31L+In3CslBQgCbgSF+hNiLIqtCFe8shVIV+HzZerNqCj022ChqB
J8mN8pQq4jKqN8QYVOGPBMehZTJWgCch1izTgk/mfMEfR9vWuZuInS6QHr7QSYIQguz8CYfeNU7m
TuOGbsIzabLCa+afy0/Yqh7CE7hRVfzePqBTK/i+I9eFbTg08jNZNWqiDgoyChPwr8D56ofqEYYU
P1IeiCcX8O7Pe5zpVhV1wTXbyXHjX0A5BOsIX/0iAsipC8/TXKqX0ddHU1mDyEIaNWksPgh+Vfut
e/Q/GY4Fb4Ac/49fVB/mRhLzykOu0ZhZBxS4XEg050fmjNt+H7dG0pY+WpmjENjesTCXIp+/mBwe
PVIVo9y5M4RG0Wehs0gUX1ibABPncOrNIira+WaMdDZ31S+dJPVtOB3T1DjHwjClJgQeK5BaGfp4
xSOtr4LeKtW3QLxYOIfFi44ASfJurRV/tfijsyVTo080JLtFik49oqKEdZuPrBANjGMZG4nHQLaH
8lKgkTtPP+R+F3uRtmMd/8jEPrFY49jpPt/RNCsHT+uJo6VSKy1bcCIZbKx2LLdDKtfJ1A3rh6gO
mahOC6xML2ddJ9t95PC0GsUvCHDCp87chaCvmU8x/L82sJRQxcJheiOOtVRvrgLTHrbUGW8zc/hn
32vM30rGMYtDJCKRMmZnbU+yBtTOxw5NArK1dAKTWRDVEPenm75UdH5RL86NKYZxISFsMFPb+lB8
/WrW5E0abdLcEZ54jH8Hxky30Q+k8xsRqBQMDy048OAsbxOpZ8Zqz1PgvpCUuZqhMnbPGL3Z+ZWK
sckeKjCx2nsuySkVNJBzXf6QvM3AErXNAvvKyCiQP9ji+daXhBQRmNAuSL/SPEkM411ZcCvYf6ur
9Cq2a7Dl9WnRXKEJEwxM+ELHfa2eyPVkfnFoXdjgrhnyZNW/FzmgzPLjOgzryRBa5ghyDWSf9b1i
JcmDi6alRlVpzoIdl3bauPaXweCEIddKkD+XgI7jQJTL40JOIwTEsewo8k7FAVw647e8BsGPdg6t
v7dqF+mXav+03pkV+gxI62LelMgQCx27uFYLuBNpwmA9pOqFuaWEJe0+mcUbbqdt8285sTS0VkFN
FV4fkT/539913kl6amF9cZPuI8fg8b7S+EFy0/40r14c8JMJnmv8u+Vz68wSDOXLAM1TNa+5+Wtw
Mds+K13JanKrgOBY51AM3Pp1ZUblyn/wAyL1lAlCxEv6kaFgBFPBKmB+JobHgK9ccCAFzoHGzrzV
50tDy5hKgGHpf5ouz4xkV1WDPUNDJcw7sRaQe2boWol14vyD1CuhuGKc7tRpstyJ6xDzrQ5SOiV2
nz8I06eC9/kzQTkwoxYxxYRYXm8DILmbGXLpwGMDApbxw1N0jPIMZISAQ0ImjQq0uUJLoTS2WJNS
3ToOlQsO3a6lgpnE+jQTe4hPSamHeakmSQqnEunn+0Rn1NRCX69sR8SS9gwSs4yEiROrlDcw2UW1
lNM+nVb0apW0WPnG489DVaL5seZryLlnoxYuSSoM0Y0GfNdWZcFaFM9VYzPQWQjZ7YJa0ljBHbZO
uK53/e8y8jyNiQ1n4tkqo3Aw5vLSaWxNy7AOUxdTaM7Jz3S7DcW4lBZ9GVHXNIOwv5/oQE+cS+nP
gC34Lsv8/IAwoRyAKVnEMgaCN3n5OvuqG6bwAe8R9lgiHq+ybWkefDrgSG/j0cMhgYijTRaunJsg
DkbfV3dcO4Y6BcKJhebrdrszKbV3VdQUSYEzLFNuw/47W0bA1HE7QdRvrHKh4uce3kfMV1K9V+pz
LS98zmn8GiWM/0yn8aVSat0ZeU8AtjlQc0bWo3GEeaZiVdd2WzVM6OUP8nMhMY66DDQRH64n8mTi
JdwCUbbYgM4nmiZTaUEZ4JGaJNTQL0Da2tIubuC+YmR9T7jcNRVfkUafDffV7i62Zh3HParHtumK
ShylcfQUADkxW7p8qsDGo1lAIeNhIdjrwoV1g8Z1gqiW/oToCbkjwHlNEHdAk2D3ZS/4BUV3HrOh
ICz+tMxTLy9opctnVqG4MmpM0xltj2FpdrTAO6iCij5MwuJRzIqdNfD8HSgP6zHNtCjk/kLIE3rC
9l0kqYbRRJcvg4XC9T8fK+Nyy/e1t4QMMV3vwc+nzVcrOd8+kI622e4vVN/Y+khiKn9v0zO/d5/E
EtLzfapYTm0A0KBmYlGDTGZ0o6XdO39NwnlodzWkaq7SapDwq7VaoA/B1wru9X6sPVa2D4Xjgkw3
hJII/1aLJlTcC2ed3iXx5DFlkpr/n19+zpvDC/p46dgNYgnvtT6a7vTgEoHilese+0+a9JyyOV2O
B9GsqyRj8zHKHNoi2czvRJyT5f2IaPtmC/cAxZTn7j6qQg36yRvUDPxn2OML+DtEhHGnAG+gNWyv
ZqKr5Krs5tqyaM/1r3UhvgbSO744ONdHK7e7V1kw5fVULMxNITowIM7a4FD0pO7sYo/Ba9yPMk22
/jo3I7plGfBf3H8F4xCS8I0TgX5ZHKlQUVYvpcRjVl31toJe76cfFnLX+1Xoq4r1beq/3pkwIlxi
RxONWIRTL8Fyks51y/qQeyhaWKVfW56Uq+iP0PNhU4N9BtX73D2iC/DxgE7Z3qTBvWbOvLoS3ahJ
w02UFg0j2CtixWzzxD7iww8GUM9rh3nNPLtaUj7+YSHCnpNFdnrzqJbxKzBStTm2BFiEGd75vOKe
xh14pzuk6A/FX1Is+j3/7hSXhj6KsRvyK4Od7Ux3XrgnwWzlZmBkjVB9pAMlUmI5EyJDwH39A2+o
4boR2OHDRChK4dUbR/XOh72U4VkFJEDSEapmqB0Mb55EzMGQeJ5dI+vmQ2dPCLqaFneenfWA0d9z
39sl0DtXuxxngu82U2TEtP1Fu4GLyXN/B5ZjTPkCxkAHu1qxkp1wQW3+HcOLXAHy2md8MqBpo9hj
7REmhI24HwTidSMowuNBNuOPwpfCXe+iPJX9usqk2GHSmubKu+G1P0i3An+t5ArDayoi4RCy1Una
lhcx0zKPz6fs3F7jZFZQTDh35cVrZGLNQF8MtW+DLX3mV9FykWOn66dJTKx0e8G1aLs5Cj6AJR96
D/pM93smHjQACJfvtCNpCFMsh2zhhInp1VuAGADLeumQSuCboSucqGGbG2Eo7bSdhXUjfIl7tHc1
140N1vldPnH6Q+/g0cePvXg/8Jmuj2D+7KvRVPH8U2O0bcrs+MMBfGmWO1JKJzomDs/uc3+pkRke
ugLSZs/juZaaY2qk+28mmH62Fzo1sdhFKNhQtNPI50lAktefOCjhwZvaEEXp0ZC5wSAzYCTir4e1
GOteT+6MGy2NcSJ7GZLSnbsRBVDVDXnUmyEQMPfYPqU/9ltdY3OA7sFosa8UgMJW+J2dTrOZv2xV
S6HWAEQns0ZYPUZGNiuWArlwfqnbcPsn1FQqsEGM/Uslmd69wMNt8SCHDq4wA0gVzpQVfaJf5HAU
wsVwooGBBieVahyxqfwzmFPlaUb3jljBnB6FJRAknjtodOgzVCY0aW3A2+sSZMNegfIS92B6ZBBX
1zjROuPBzAo+3jcrz+T8cPOwwbs9dRDk4UFo/GbPTZd4Xu+eSCmLn3slQT/rCCU9ModS9MqkiUJS
HjgZSOVbRO9vgYLTedoEO3woXWWpnUgdAMTbuA4JPtPGXhRMFykS3jdediQVKIbwy5dGf79mEMJN
Rkf9szoZoQzlXuYAjEKAFIq8xg6wi4VFRGX5rGddBxIMUj0DmLu2+4gyxDVFaCkPEFcZBMT2DN77
V1MJoP4IpYc61URu3fVDSOz4xwk/0ybReXOxjCt9XFqWwUIxqr1NJkATF2MOe5gVD/kxilMNxlOg
BHGcGzkte9HRV3V9dkR9mdWeuUJlTwcwvuHnTpj3jhaYCwSzKCsM9O+yBGbm6e57yDrjz+2SbLX2
mia2gfjqxUSxFWPkRUyLDAhlubpuINLr8iW9rfPPpJ5kUa1hsmMNSzyanFY59Z2L5aYuDJrM8Rab
GE2dXSn64DlF0kgjAF89oiTQP2idtAF7Ij17GX2EQABIPHayQwlTBer4Goa9X2TmDU8na+YS6kv6
BKUpNrhOUosurKDMbg++VLwV/f7VFazQX6MByWbfKnyOIa1sn5CdtIo9U8nDclqoc+BUvLq1TzGS
YnY1/9zBA7CFISGekTCQE7XR0XYrXx0IVcs8EWQLvpVhJgiPES12rHlWPtteXIgM0mzFG03R834X
khHCYZS0W1sfiE+uZ/gm2B0HkkOpYDZPMgAuqlg0/ga0qXwdF1E80DjeMJNQnIC18cQq5xYx5TiA
HE09e4ujrnRiC8vjiwMSFSkUry3xqTkgE0AIrnWZkHvpK7kXuAFBdj72Q5Ltk3s77yaowIQJkN7X
3JZ2wdO2xdh8wgnA7TxDMkwS/BpT6LUO3OGxjgOiGuTbogIBqno6E24h09LGqAZGhNr2yzVQSVar
f2pQaXOIjDFGnoarOhjivhw+KUj+mt7Nr4bPLNDtMr2Ft3LcKvtPj9a8Is1eONKDUz7SchrkLmCx
NCEa5dUX9VD9Z1Az3T4l2zS2tbdoUsZcnFhTbNCqnHmopj7cDB+43vUI74aMMHlQjse3tU2CjJXK
QKO4Hb97RMqzDLBUOKAo7X+Xy4MeFeYxjHFs2OsH5cB7Yf6u74wfFTFE+hCwUk3wXNuTMgdhidD7
nOIeRQeIpjHO3ClPfcEynabjzqvudsVQKUdJuLxZwz5hdeorRD6r/vl35oElgW8DSvymvNwGcyQ/
K8461PoTA/sceGyUD8srZbiWq44JzvGPz8+WQcTgxlIKvGj6khuTOtgQXG9xtXVz6E+F/A/dk++W
BM8Bbsy6XClA59dvQO1Xr6ZYQaIQ9LJSuAjraKYKnpOZVk7qiYsh5Hr60U6AQgO7GAQSBbOB79py
BYVbjTk6Tv+ap5xTfc8LtwexJgEXIJj9NqCiEvX0Ggf3ZT0eo/LvT+iYMVFq7oATfEqSuXMox1eJ
Eh50gGNI7NPv+Tsa7RWboqtrcPGVltkbwkETIEHM7awbH4I3JVC5J1yqQsjJLbG29sd0KLdgyqn0
WHad/kfqHOISaMNffMhHiTHpDsFhdp7a1vEcpo/qVu8FLwECoOt+wrXmnfyalo0wmGZYcodAWSLG
5VKducJFzGN8UJFITZB2WfunX5eK/G5facGmCLEFVYv+0OUznUJxUz4jgQlGvBFGeymjkX1u6Mnu
T3Hhb9dNFsQzVprGmZVkGba+QWxvClJopLFnUWyM1aIJHAoApXUwDmxKoNc7irJLzxwhdr1kB6zm
RgOFpb5T5MihpmH3NUT2h1ri8EZD00mRMEm1ITuIEa2emmDQwWrAr08P3Vtoz6Tj8h2+TwCPWjXg
ZcvYgfLBmvaxtGEkoOId8Lb5ZGPGTRXQDXom8hc1s9bRUsMuyGCmCNDHlTuvK84KOa24EPkaRP9z
onEqxUocsUSlyNmX/YC7VIk3yjSvrbxFNW3AEDI1jpTWBTMFDR7ljYENY31mYeFsTjxvQlleFANx
MzplWfLjZFi43SB0Fw7Xviw7hV8Vk4eLXamsW6tMCC/XdkwPlzRZOm6RQPt7wXcgK+O8lcY/54o9
rSFyyNyCSTOtDDIEJX8HGi4z8i47uNVapipeE8bfRZUbyfYcQ90KQY2WGQr9wythh2YBbo2RaTEO
yetxBVIyBlCQN58mMKYmg/0fhCnVK9R4G1tExO6os87FDF5RGKBzyAXbSA1plO9aIXnrwdi9YTr+
BqZEVZBrC1/Xw5R3barF6BGdxwOflDRhc8ng0sIvUEswCiP5HaRHYvwueciV679U37LI2oGNnXxe
VQ9c4KmKeS5wFmgXYSxznkUMVy3vqPua6i1z+AjpoQS5glda8vn/pWqF+QEyA92g1K9AVgbWz7oi
oWMNnD6GqPHkzs9L1JzcVKC8K0epqItFhszbpf1q43WVLtoPaLC+1GnwsXExJbb791TMrcivTwyr
e6Z1LKQkN2J/dbyt9WcQ9Q0aqUVEURwolB/pytsVrvV6X6dhklCTIX2/ZwZBAyBAC8kTrA4oAmzg
m1xGlpF8RtyreYr0npPJXn1Hpw/jTZDlYkQyNNdgJKQE8VXTQ+9AZv8mnr/WF+DmkSvTr6D3mPGP
Sp2ewJbB6l11IdWOw1YyY8QNPtqbhCU46qXbX3nyHgzLHVZ0sb1gQfEBTigIpvN7AFfLrThhJyT4
mAjSMRNgjWwjTqjVP7dO85qhUMPerIDcHZYE+RomUDegLkcWQKC74a3U5Ds7qO0CFPGmGwzhfsbp
pt5HtcijqajFV+6J79M/S2wlp2rjKhkLk7ZFBJxm+nodrLEOuZaEUDoOKDNjMEmu+akno07nsIeU
uDOZopvJDhKDmZRbnrA/vqqVE0ZvSichjf6nhwpSTB57m9t1L17Pphie9lZR9c0kLp648sgz5gXw
SIW+WoeVWUrAYxMaaiV1fo3XQ6pFFq0ale7w8hBkyq/AF0Sg2CQPyJTaGYZoSW+n4n9q6ffDO3Gi
o012fvMaKU05k7+ERau5B1GsIYdmjJS+gtIQL8d21GHe1pI6pA9ImzTpCyP5f7Dq3fong7gsUI0p
72pV3HtY/67gvpRVzgl1OoFXBvtR1xsWm8U/JjIIUR1FNFt6fbKLja1iUCvLo5dWoyH5WIpsilGs
YC4W2/RY5p3iEtCi2sftzkABBVL9WbDQe+ugxL7UPLoa/wf/pmAZqmTL2+BNuT8EP8tBv79HCUaT
pOXeel6nlbP+wSeHTtbcw78t+AmzDcPyWSmBFJGW9T4L5zU3xV9ywEOaAvaN9dSw442xMPAcwGoT
hm7BnoKgNDRUlhWeDANSiMGr11+pPuSYKHK+bUy6W0Jkkx3UZh8mV/gOsWPPS6GjMjbAf27h1tQI
d9m332yhiflGv6tpaU7qyQTJ/Q00XIXf74GzVhuU0fX0AmkXD2C98cLN7uni0z/9+PnRpc3WqsXb
Y4EeqVbd+whmm5njVfYNuz6Rh2RJKnW5NXaWLNFoH9H6QPQGNzL5x8mSPZcIQTdXasEoH1TGTJf5
NBvlpvIh+/bJ4ffuPFd9mSKwl3la3/WF7H71PU4ApVEzXq0gzdlP69rlMwbqjwR2hgnFFqPbrrrG
1qCmHE+N90JAdiBvuM/B9bqRNJoOWnXBGGlt+8wB5bTUPFQd7akfdMLJRY+cTqcZIgAgXuMBfucZ
nSAm4tGOAA0EcAmXPA4H+lnaKyhkaMoQJOuIqFLJTIwhuxDToXaIHYLBDvEQkCEeSjoGVIRXQfy4
GJLGdI/RyyybanTpdj8Zt6dNeuvoh6pCtE6GcpmzAEtAn9E3ArtRvfBOurEW6Xeh+2xKSXYbLBIM
ZEJzyqEhItFMKP2YZLvtuQ/qI5DAn7q2jEAcwVzEpkaIFy7MS1UU8CDzea5P+oVkThHinx0jYYKd
JFitBP3B2+dKupC5kF3F5s4SAZtJfYoLb0uAqi07HSJBSdFxpm/8gfvOeixMv4YAWDQ2xnd9XzNC
sC7V3tXMn9NZ09LGj+hvnHDuh3UlZZe/JQoTu4j/9FKW1bjdsOzC5HW9Y/IO3kifEBabU4R8l1GK
XelrtuahE25KGzYeRtHmJTBS8CGtRnhkjKoycCSCchkWC9qgQR7Ie3A5W2sIOC3mEM79ToTkJE1W
heSOwQf1g8LeQnSzHFZqL/tZ7Liq6C2G6fjRvFivNc0vTWMopSz0KvgD5g+HoSNKPM3o6Gwbe1G1
AwnkQg1omnHGBZP/mFZT5chSibvk9PUzlTQIbKlkgMxPUB1iyVIG74LlE6th7UfW994WktIXvekh
48BsYWqh2W7VgGEtc04j+K/3757DTXoPoHCM5VMImlf8m+T/vXkmYs3ecLDJgW8I80gDC11Z7wHL
QGCaDGyA0KVRhcKVbDG/qSmexQE/UZG21TajO+aZ4E5jvvA8yfkwxNXTAiqAvA/ce4NSLECJ0eUn
YBalitv6L6mq7eVejJ/tzCKyGejcaNfhx7ZODUlswhcIBZ+XYl29CVFde0T5KzeyMZrbijNqPulb
MLWKPmwJerkeosL9iKIY3jfQPoB1kUb3bNNbswxY4i26pt2rwPbfMGZtdK0DRm0MDN07/Ep39h9U
Xhile91Mzwa9IalVR8+u1edE+8ziwp7LDMs6Ezr8yjyr9ILim5VtDIVLKEyAOXUODxDuFm7eJKTX
UN+Y1y0xKWBYRS1S1y5YxzQBTcuUqCyZYXyO5dEsJRLB8ZFWaBfAujWlbrs4qKfGlI8q30zEX2lS
cGhH/OlX0lOdN35U3wJrMe+RYWQqb6w54R+uIsqbrfpvzCkW1P4f0bb6SOA3WCkY1kI8PvR5H4vK
WtPiosopNsx7MyMJJErkpnFXqSwPYnNcyNo405ZfDHLNAsjm95k62shmN6NVHt11hvhSK8CLs5Fm
rbr2f0EuxQe7KUAsP8iLaqAnrjBJ3ea7J/Pkxva7gcY5UtrK9sts1K74Md2TF+CATTDyBEGDstOp
m8awiNDEpfgoVKRyUBN/RlmnMXllIqYQr5gCl0FxHvvBf1zugKWn5mBTjE9L0qxnWxirOKnRQQcU
G1VAu5OvgnzPUNLnXMSfqGlSs6JaRF5itv/kfxPrcN2jv3Py0WpNjKAEpa+2CGCf8DiqGYIxLyA8
p0s3BSrcY+uidDBxdG55Eo3JU1liAYKecqXUbteYLH6e+poKzh6lSuKMx5Z1xM25wNw20vqIDwTx
vG6PdT+qfHeUTT85xukgSuIVTrhMBnxieAsK3BFwj5uYZnBvL7dSA/GdG7+23HLoODxVhY6fMvo2
41TRLIg0eeEuaAIIx0I1lG2BMIpq0avShRyos5zGywbkAqKsdL+XoWoGdR898f8nEr9SvD50hQyI
e5SlbVdTqW2awQq7ccLHMfliLvjRWfxMRNvaa05uQiTcrQXr1NFqKJMSofV7yFA6S9hA3U6F1GHX
YbkzmD+vMPAD7OLSkc8FxJ68rc033rHyxgLshZTLQztjGcqv/s8mbBe4fLBJp+d0BTsepHyUqNIm
+J0lRVbb0O3wRJQQNyTGMvrgOVQGnnOiAc3ZAeJ5MgqwuHhNEYlATLolR0wAtHqBgvg7Rmz+31x5
YFVAWDhx+H31UotagIreZPEqMsKLREO+amGeJzWoMKXNo8b0kkkuAkFMLNq/UJpQOq8tYgKvZfDU
aFG89tTm2J8nnd0tLOrQgA4nf2f1yHpYQq6ezpzt52OtIblIUPkRXoU2xyAp2HrtEboqJ0UlJY/K
HqBnKyuc8wbK5txfSU27jO6cMp+p/5S9WqzWNlvt4RVV2J8r2CEPHMDNvoCocxoxiouYKSM1kweR
PCswXU+7VKhHX8oy4sxIFvJftAMjselLMSB7pkcf5oE0Y7WoHnsll6Pr1s8rLVG/6FI7a3urLU9P
u+IGUw46lLRTHycUETZVIIKY76oRPCQVkyf+LFdDjuEmgz1659qqbp0eHAm7lwBfUa84zskUMLIp
F0hl5nc+Bj1VGsUcfM8APuuvklTxF2PfIYFY6NcnQjulENA8MieM2OaC6w3HVDNTBTOVPVT/e/MW
o46xh+xX2E0LlH38gWwfeu1dSARHrnc2H7+pPejEJB4r82bpghV7Luhcn4FeBEo92OIAzWLli7KA
7W5QDxQ7MpvJIy/JRW4AWD0jGPLY931H5e+eSxf4Tq2l++rdv11RhA5qoNrnoys1tCigPOIQnu6y
7mex+hq1ub1sSUpm6VBvdM2C81L8F24t/GdfEh6pwg777Qj+jrxcmsKsEwl8dU5Yxt69rsRRyUV0
7ON2bbBvnlkRSTBC3/50A29HxRY0QPH7CFvVFkaAMflje23ZsNihQs+yX02jtOaoA5W1PPTydIEe
dAoYDliy6eZ9o3+OCtmv9ag9M2VUx+lX/R6nisP/wUrE7sEG9WZ7oz6sh+p7PSv+j7ICStATmDyQ
H7DVxl/hSkIBSAUTihtWZ9Cv8Yn4DBtUPu9GM+ntSsxXqHL1kPwPlwxp2DyJcWFBjuy4KtlmzYx5
NN+nMIcjJYgI0YhC6RsPIjkqBP0EDTGElcznGPIY1rF6Ijt6RaGFZ10YaIPvBaNsA0fSBmwTfQS3
BUfedyoeira9riIjysVSj9VR0KQ3L6OvPUHByJvEY96gKewRucePtJyx8Oh+SF3kdm9fKYQ3vFis
zsKtexPFVxVP/aTaKPague1pNNEHNuri4JrSV8ks0pqOvfgSqwssyQEBn2f7dplwskebcg5rtnzE
1Qji8461/6NRjljZSuxhXMQrkAZX1J19uv8k2rmas0SFdYNeLA409ZhMMCGV2FqaUupxibUCAvd8
dxFV0DcgbVpqJlVCl3QqNsziKgcjoTGicB6alRMxlj5o+t8ttCvOmpnZnHAHq4s6B0FUyX2Dz2ZE
eH/8ReMbrotwYa0fWvBbtr3/Q/UVePKHF9j3QVeTJoIdsjcWgSXVBANfsgmwOQMUSHWm6+Ojr/uV
6TGRr2rVaMYeVK1nzlWn9XE4mcFnnsOYVgKJqfUuRL51I3ZvMMF5ZxFyOKj/Gc3hhj2u3Lof6inK
kx9px06c0nZM9AW3S2xve4PzoE98yYbHDi9Rgu1QsQ4oHn5pm/RS7aSAySLoTd9bWKl8msOQTVkK
B2rmiLgKBidR//KEHuIOsPUpLjVHCMjtgOeUtNuxQ26udzjToB0C9aFH4j/uD+gJAH64m0z5kKcK
vfkd9oafkuqyTh9NpQ36rOiMr/jyrErLDJAIRIuG/Bo9jxjDH869LjxdSceyO6X8XdKJSg74kJ3j
ij+f80S4tVwHQt+j3lRgzCQBzt46iN6dWONCoP+VhSs9/xNUDhIsp2h3fLgKsDvnpJwo8FH7q1tM
Izxk8cslAIuAsSvMbTSxyHF+ijNal//fUX834eFAW32KtFMSsxBHJfeWQJs4iL1XUKIWH9Kdv9bF
Cea3kue8XwqYlEOfDySiYIGXgkM0KrX1AgfgA1GZzFaRDIFxSpqQkAt5ByuB5PrKIia1TCerZjeE
vVhDh8rSMStGxXfN0qWjvvlq/4a+GwLRzr3CiF4jeRt5UX7jiCqjRsbm6T/HiFbN8gcxRx+ng817
p2ieug5UrrpyQWN4g+Zg7ulfWrCYgpXuDstb+/jic0ypR8LsAaSY+91vcCm3B80fQgzOZe9lCOBc
swxSzN1t13nroQyBD+M6u3rgywXestIgrkqNLHeLn1RFfGE1LIkHI6kdw+kVbPIqmFeTKaimQah7
enLuh726DGeKuSz6mRJ0VjgrAIKVPeYTiOmzxV+RdZoeGBOmuE3YVWaDkA4XCKeTfpA9GMpYaBMf
wjODTj8V5QJ1kYbz0ZsA7mSEA7yCd8ANiqBip2nJLbBWLmxcOUs57qwJlR0rBPdo7cWQb7CxoVwl
a8wa5EsC4Qr0/MJMZ+6mCnBaG9zCvYTCm9c7zdZ54NjmyC4qBFaGsHGnotDnlWwigoW17S091Q7I
0G4QdMa7bVeEUtzcdrIO80OwaBzGNEYZxBxiKEGaYbeoz5UoR5R2IEL0KEltNjdn1pKwLhBHHpJT
sOqxsCOwBOjBtLEPzSLgBaLGuExPBylVplJOynZYG3yIiZOhskTStrcoI6EiNXLSaacKewUBPeuF
KrVxaHrxFvsSGnL8OZC4UsNilwJKOUtFJ3dcarKZCL6FxKA1sjEK6nQsOPUUEtWHYW/UpIWlhtPf
X/hbOqZvcRzVWHf3hvADZX8jeYX+S/88u+SnwGZoxNUfLb94mTMNkDCZU9TX1PfGMm1zh8D5/uOw
ubTX4V+Lvq89LldJPWqutdgSXfl1ccpOzDYVcZ8OnjSrbGTlpIRgH13YDVPyu6Quz8GJaJc83JTD
j3O6hAOan/tASzPVe/JXIrLD1FKvP8U5QP8UUBPNUr7V5ElXxZBKxg7vjGWfJdQl8Lzn/z1MGVjs
DZ793ThF6vJ+bIPE9VR4RPxiT1p4fFtVpjMwP4Uby6xMW65h1TkUwtIwl9frbVkx6d38vdjDlkfC
dUXgBzoc724sA+dp6UCYtTx8Fi5POxpq/C+YJ41WqhT7pYTSbdAncVWvTmNNGnzJbcw/m9P5Hp9K
pI1d/gVTbWv6E/ACMnd4ax8XyVHB6l7LbC1Bh7CQcUNrYZPJxax/UCFFXtPBd3d+r1tYqf9mv3P8
+ChktZvTlmdi7+xQnfNr7hr4O30tAfMd2epJu0UV3jUhnKTmiHfe9bMBYKWZHOMuWQHu6yacvdFQ
vDJVSXPAQbtgmWYqGG0TfgKW09flON/zWVLdBG3EauLsYXx0kcjfjp4H+kaEC+QpyJCU6Z6YV8n2
37WcY7QA4KkdJMoHJnyxvkqDQ+DVfJhKkl8/3J5z7aqlskUqrQNR3dtbcRHat7yMIl/XPCIn6K9a
kBBxFISa/zEtO4Y6WyMb7AZuPr0LRtv3GCPviiVAegAtsqy+y0pn8DmpBh6IEK4S1x1m53pf0cFx
cEeO1uviZPu55r/ruwT8taqSx7nr/NOOvWORgP9ZecvP0T1H8LrbkIrg6whkiCVzSHAmT79H28++
Pj/WDBInBc1v2wL5zgg3MRJDzvZq3IFL/hRoGRW0jlQHtNkb1Gd+kLAs5nxXRnwDnVA17oOIq+ey
+Cwdn36eyqTOCsUJshazkUtpSKnDloVoPGxs1TewGQsmiPpv1qNpLIWYjmel3oVWF0GzcgB8CHbE
t6cm4a9Rk74Yar9f1pwF2Jj1AGv6RUbRaJCwJ9qCZ4rXFYdHhMnVOedMPujwZIlmwHRJbSwiIrs0
t4M/j+Qutd2B2FOykT1p3zeZcn8xK5i6cXk5cL1jheLAno9ZtgG3cLfuF1+SttpiJzIFaZwv8Xb4
w/Cs7tyrgZeSB24yorx3OFEc3v8V9OrHOHzA5UyM3U+pBh0i7h7CbvAx5SwyT2oADreptG1Jf7Ro
YRBuXyNKZWiGUNXg7ecwemIP/+wTdJIb6eGSAAJcOJLdMQZdu1z526DiUlrkegclPwM63a7LpfBv
LROaxwH31eW2X9G1+/nbiOOCF/lWYdLZIdCMBofRPFjdLsV4QPzxB2UgMEfOi7WYWEqLsWJV474I
odAslVSKnug0FnZWOBQjGxIdvb4twvsLwmRfcpsG8QjjMYmAXqvH124oAn+sbFJt2/fNcPTRNxxw
q3b2PROH3P5C5EHmNcyPW4uodbhRMd7Kwkno6HkHSH6BBXDhDYauM4x4AGZtnOSVrz5aBfenEK6W
prGku6Gsb0qEKg+ioIh15aB1iqGxSs/IT2Ewc9V0Zny2fZjVCBu7uqa3vl5fMVHrf+zA5GGojGSz
i4hvfpj5koGvExLad7/BewqjaBXi2WuRjMXa2Tvx01oCCck+WRHJSTlvd7xKXtEV2Swbjyw4umq8
y2AciN1CCFzLTWAc4aCKLA2Y/euHqg1Qa9rJdxQYp7iZ+O9L7UZXhRX3Y2kzeSPp5V4Z8NmMqch5
BCtDs/eg2IQnwI8ORF6pPVdMRk8k0IYtfCuyVehfHDMV5mmMeeSaEyl9u3QxaCFHYlhLstw+0u0d
uBk+gXKXD4pnpV8dNFYw7ev0IFO0BI3PsUC0FVMfBiAGmc9C6cBUy6+NLC5FLHSG5Qxu6CI3QzmZ
7gOrJgoeUmTbqli+fk0glzVW+Rf35CDLKNKVyy6ddGSpx+QWzcKY51i0laeSblX+uO4FaiQuDPRY
3goqY+tZYl/swTVSvAYoWKKxSUMnF6EFgJuDvCQiofpRxsqQQxGiuP6w93atmdCk3BZP9BiyLwFl
vVg+KLQpAes+rywbKXQBWLwcGNiK8c0fRtqpQFuEblkbrxmOedb+PdLnwV0PDr7w0+4STKX1wtPm
Fmvs0V403xrYVVJD6QfFfXooj6I8toHkNOU8jgmF03I5/Hcn/Ll4ykXtp0nH9T5S+FJ9rn2l24DQ
gs1mrgZn46LfBjYD/pLDA/0ZQjcAHPmSv4MxBD4yJH97yLrxdVP92P3ZJ7vtQ0SK5mbkZHVHje2A
Ic+L314J5YYnBVfGpEvRSXyTyVzLQuo1Bu+pUQZfSwzLzaImKaiDvcpPZNQCMENh8cyGg5qdkMsu
Qg9gaMJvxlCAdS4pXN3eAF18TxiKseFXD3i2sQ/h5/vdu6MqRU+xaJNy0T9QJEzWUMhNLz+jCqcl
P15WSgS1vz4+e0InHLOr4XL2ckd5hQl9LWO/dMI+AW3zwUE6djaXTuWku6a+ktL8LKKsKBB7nxHp
wPyC7OhYZbJyHbhaN4zDpyqFLJOEhKPA2zIe0T81atdYT0KFjcOH43J0uiWlgVLc6e8Hs4siLRdf
p/HI9wnaLGfQQ6+1H7PukfOxl5catK+esp7IWJl5jGfWS41jVWnTM1aJI6/zN8MmsyywLxQatEcZ
6JJhb6WP927ExtpyKlkTk0E2dAeUmu1vPR/pRnTxL0q/cw/Wylo4eZ0NOEqdx9O+AHkvt3N2t3q1
IA+6AzAmqB1xubnUG6YCDHk7u7Sp2qkSHFzOgyN8ea4Znjvv3LY10Mx8otRKOKsY1RKbvHb5Z7Jl
fyaLJrDYr7RUNFwis9gheosOvKsd8hlCCJrUUvbUOg3Rghtb+ZiV1VNxSg3waGI0RALPXjWnGik0
cvCUv+7P0nrOgIHzdfV7k9eI+hx+VxOcAQmOKxvIYOAkmuAzOZaLQzkcNeRO2Vyjv0ovbJG1zL+6
ChuWr8CS0BbZd4kJPmtD8CqodHV74EbMdJNK3M5WAzFJHVzYyQgAQpDcKkMwMaSy0o6UZqpOcVVI
ZRSDGeRlFlQSwjSPRzVPr1CA+/0J5/0G9LaJzBoKi8csbcD+Tams/oS5UWDYyVMA7BcKB7yk3VP5
GYwwOph5euyGmTjMdSctArCdlOOd6hgbV5i8lGVEKkQLVB4cEiLao8H86dVuKo0YjWOCmRTxdVkH
csSVUdHpv3hqnqTx12sVjoSzDjNFagtEUjQn6pAps+pSQQIcLKRb63icQah0FfOpsqvEJ1iWn7q7
v+Xr8/rrKJxU4GkOYp6QrZLRMiddXAxRrTsY4b3mhGsos54v7vaRd/uDh3fFb/XcLBWfHmnwy9V2
cnepipYHn1QF1BMh+XU0N54xnAlUouOiY6W91wu6LFbNerhYKg0/aD2iCDgZokMejEh+rJs8wgCA
JxpgMc/sknTDmtP0+cW13xWOg+qYGxSvNNpJRZ41aZfDnotPKZFHK59T38eQRnFEr44lzixZ1fqc
gmqJtwIAIORFFgreFHkCpMdWZ5UFYCX0lyBC1wckFcDI0v1KV1EbDoRyFOp/91oZpnVBSHGgw2aI
2fyLYfAZNbHdFCgVhDkDn7oPXhaSQK3KNzqcEWqApeB4lY8P46HMNruBQ/misbEVoyNtVP/4B30K
xxH+wUmz/jXEis5liGODO51sD3/+9fUOnlT/Z2XbUthcMqcR79M7ET6gswHKkXdrrknUw8vqEgiD
6621XnRq9OoqUOxsYkjQopL2WUcg681TunHXQwFnkEgVVExPfAtrxJf591y/swHYePxMlsfNEgmp
G9Hb2vsazN6xRqa8KSKU4heFllJQwyUJnTscelP5eySUvq9x0NOf1G19VFEdROH9M7A4woUw0xtS
7fCdHpCgpQE8YKZMQKHjT49ZIaL5AZ2rk2cbOBWouQgdNYyjIH1iXTCkHbC0b8hJQBnYW4ByuPUj
DBaAhChkQtgH+mVbuPeOBJR4WDdlen3ItRYismB/5em/1832kUj0Wlxsv9YMmX6U4Ij8Vru5/LDI
p5g4EI+JXyAB4v+4GFYIWc7GqaoHfolKsweLBffqc1wcerKY9kwRtxWSmLP0c3ka1mxtX58DrQHo
u0iuorz+/syry2Rjto7wMTOuP2PGI/709ztDdXMXZglbatM/ykhpvjbJiJ6VV2kgU5cn3joox0HF
loEWIm5Ml5PTfy5yCRgpHstoql+aG41iZpA1/1sNUZQVbCu+598ECqY7YLYh+I/3a8BDAgi1w7FC
lEcXUeHxz0k+cpzyVZQ+2zZKCVduviQT81vfoF/OfU7EftkLqgeWbK7To3jfdCZHg3qAIGik3EpH
4vXTslhgdh/fjdoF4X7qo4nFXN8sXeTD4eDulWiD4vWHeK3ZKBkH92FQF15LYNMlGJnfQpwQc0t4
QTnxz0jwk5IgwlDyH34VSptkcgyXWYwI4ItxWGpFBwDbs3hP/ySViC/5vRWA5uMdyOeZVtJeIQRb
HWZ1FDxJSVHZsD9LkBnf5Vom8AH80Fx7IKsR6EcQa3jEWqv7IfEYMqHVSu2c1Ti6XCf7wXaBhw/N
uO18HeDhPIRfRSeP7svU2gW0bfnFUs+KJ7zPkl5JARUUZvt3Q3MROsbf9jxTihW9Ys79pqG4h6NJ
Kh6l3B84hBAUkMJOh4R3a2XybyN6rg7aEAtVWYAigWGx7FF6wo9YzG+V8RKUD0IiomTQTvQ6UXTY
HJ4/M/SNypTYfUQ+pcir8p1+w2zwfNINDEogXV8WLE6iqcCy/ZcU9KwAEbK49oSuxFwInKAHsJpA
A9bp0uPr2yMtUvpzluH8LeVBNo4tW2lGXGM8GLOCgEHiQ+YZGdWJ65+dExfvdDO/VBY95syJFpNz
RCwpG0o9ft/vsen/JI1OMJlHeU1h8V60Z13uewTSrUEQF1X8DJ4xNPQtbYgxOgi/oMDEFs4Kv+9Z
rfx296HZZw89V/9kf4HD1jKWuCJZmZlJxZfYb0wOj9mVcuTk9UwwMaODkiXrK2OPaH1LfDiexk01
/AQIeypz1avXo/Bsbk1Z0P7AiDRZqfmHr8noco6kMGjqxRafQ+RO1lFP70kfF7mYRFZJv7Ts9yI3
woMU4o0ZbJ7vJsnP3E7TwJF9Od20mTwF1EsRWs2hUgXvlquhIJfCpI0sf9Nr5I79/3djhjH2m4W1
wp8CbCEIlk5D8o8jDy86FrgiOhn5BTO/UOwyV7fG0chnuftsc/fgw+/TNAeNAg9LpUga9jQ4RCrP
zayFYq5jVr6CQrV3uJ3VqGvBbfg/0js0sr6C9W2ohuoEOznYr6YfuruEgaNZ+69Hv1hby2W0yJrk
RHfPSo2ppUzImSUF4yM4io/I8iQPVQz9GmwxtppT/Ggovy21FgzJ4j8xM9FWI07asyoXrcgQEa81
ZCNb8ejsn7WlvRN8f1aNaMty489UTd0L6kgBeT/k4GC4OEvynkQFPa+bZm830kfRq6OFGgjJAghX
M4+/6m91PdxEGctSf4byOEjmgJUnMwQ77CuXwBZPLDirdTe5CHuM7AiS2gvtIauFc41474JtWoMr
32ywYhkAZC9LZPpgYXinKjtVqQsPBPFst6FECQRGRk68FHqPpH8xuAWCASkv/e7193tz2AY5/YVF
dm/waNAacPWLL8nuAWakPd1uxfA57hZmE30mlJkT9Rf3C2sJOlANzVvq1O29KBTVdHTOGzjdbR3d
/VJxZ5texGyTTYmPYoAGU22prXpTMbteOsBe7zbvhDA0VX9Beo0sJ1INxK1hrt474B1Mud1wa6+m
521qpT4b7jjlJ63Kyojri91euDCf4tKKDYkyhDFiaCKxRIwJlbRwkceR8EQWdozqYPLlKPgBgBE9
uAwgBOt9KdIaqATv6FAZkxDPvd86Ic8bUSKFSQdCU4D1z2fkxpyNPPhP2Niwk91Fc5y2dobgZluW
OgXGIPMKs2VoBvN/R1sGCiTvnN3Q9jAwqiWHPD+D4XRJexU72aV087HYY9d2aVWZXJtlrvQjwZuD
mTR2trntY+3ihxb/YReet0ekPexZ/mu1Pdq8UFwtDDVNsBQGhiHztbl3atkwdY/CAQPI4Fs/Vufw
yjFNNAzrEV5Bb2e9bYdttn9v8jcoWD/YYVEQbJkdcD8+M20YlzjToQn1G+rCSaBD5AjxgDPYX0ML
MbA+ByMHh6660/lQmRo1GkxN1uuCrWBQ5nyAxqcxlByPdaJit4OEG7AsCXmRaiwBcvgNGCi31XZU
hE3lri5RBHKProGUILTr/cT9hrjlebUuWsG5SLHkyr09YVGcNS+jdxe4yJ+pPVuLUgjhO39tVEdJ
40efsHOjj72yHFJ6k57NF+5CWsdzsy7zZmNCzrUwMltx8q8j/ObVNLaIBv/uxivrKvBX6BomPJ4a
izbrkDc6sftB258gTUtHBcpg3CBfyEodfl0JOgJDgYbcX25Sc6B82kXnwzsYLPiWmQqozV+jgS6t
bAYC34mV7uUonGtBPA0ocWIr/dDjytSMmloPaud+P9Sa0clypA8Pkeq12hb21cNU3VKYv3LsCbYX
Ay79zRohqXUfk6uta5M81Lk5JxyXIStTCp11mh1qma70VeYpt5ThtIXJjlhfCWriAuDZnZCuJFnt
pSKoGXwS2YERM8ZcFaiux3IYUeyxxiAHcwbqCgTE4/p7tiRg+XhH1B6N0f/u4FJ3QK4j/UVS1Bxw
IRIvQ3TTvnrRba3HV1fBDF2/smoi/GWBeM+SrHDLtiKrKAtU4dQpqTup5bXHMfjRgnV/dWNGVj8I
sZFDdwBlTnSMyMQPBXz2Wy0o8pZ29dQYj6YGepKSP0IxMaHpssPA0d+2geYOvkZjjmxXrxzjgytI
LEFPV+/eV/HZB+8TWGdPm2jJDcRTs1lo6bnwNSxrje4I7iX/GsXBuzWkhbRTDMXQo3vO9PgBtdzX
AyIB9xjOuKV9epqh1IDKJYl3l6koGieYEPg+6YwzK/frX/AqrnZAeGl2FGI7KFlTL6RgOG1Bab/6
CYDir/EladdcViK/CT3zpfzghi73NtBVcljdBNfhTcj6GFK97PPWs+AnX8np5VPy6nPj7bnlgAVh
KmYHCNpy6TJOg6mwKRwIkGjh+JaAsi5Lj802mnIu5cKBC1OzIjOJahFSa5MTEHcQFgaJtM0wQToD
jb1sCp98JMBWzY8Fin2axDqpx9G01P7egsFxnb6HNMo/lUpwzU47Z7BySipxT+wWaIS1BjGrwCm8
gBoZ+Am59GNhL/iE8GNxyoCmzhPRJGkt6oEHwAdBOyXRRiecEvHTI8z1pR34SGNpzQGT6JrY1OhL
C+7NMn5Ttmg0s5X/tNGUhplOUmcYaDKXyn4mzcqdHD5+/hW6XUxfCuXLUSWZP6RIil6OuNNlPyny
PAMmCqxmVR241Tg49RbRwuOswDjDJPHYTtzKlnXH5gEVBM6VJSQRBNK0YKbCWF7BbuEGejuIaIv8
LAWJYkTOk0QTmHC2skpTP603mp1dkQ4onggfG47fghG7pHuQN7Cj5eeuuiqSC9LWsse3Yaq2OLJ2
XZxdA2SNMmEUY1WgJL77A1m88+IJhU2dWh06BwFUvCLJOqVzC+CXePYGybd1awB2PN5eV3X1ClUs
GblyynUYnywOW5+L2aMOyd9xAvtP0TFhuEfEr+7zWGE7Rw+pQSKbxFLIaljqwkW6pV/FrCLm6Rys
lX3QOAWq92H/Fv5VWzTGJRatZx3MxcWrcRAAIIfb60/nJrjWgYOkqWXLtyHXEkYDNstYuD/O5gJy
LMXdDxhFJBOc44KEATWXDOZ5rdDVYV5OHwzqY5fSk6J87FAUhmAJm9xKaUYS1c2WLcFVR6ZpJt5F
+yeV598iMeOmDLIS8RSVM4nutNKPC6o4s7Siaqt3oAZPnSGy231zXB+wbviaqmJoo5iVZzy14LHH
fPGsz5GcvBaxpmeimdzNIeO/jYxGiEDPcRtIy9qPYpR45okdKwH+dDKx5MNXzMamWNGEpk6YMEbK
SORdtikwx68mTh97JZ9X9kxFt3whlYgCjS+r/iEyTvSSxpPVRDJMx4bD0boh2ggDiV/0p+U30eEI
M9qgxS3SIOJPMTOxXiKfZ0l9Rh9mPZ9GC/9fDIM1h0uIFMcMqtGtzZQBD5WA6V9BTGci7Y/WePID
KxhlLxVxj1BzzhJfTbMw7ZEtgob/7lsK4+irFz168n9tUjRCh63sH3cothIdxR4WcJmuEoCBWPps
rDKYoyz7Pr/A8RfOF0V2jGhcdKV8JeOGMp4iV+NQkHt+0NMgEqLnqb2Yl2Qkkc6327NyddOiS1f0
XS/I/a25r0z1v+L0z73V0+t/f0CAdXoI+mm0QU2JMrQoitfMHRSgGMABxVtcMuPNDzPRkH9kmyLa
pGES64nXuPjni0e/zsnkSmG0vW7dASm2UFIZoCakNLxpu/bLODesDv8yg6fagVbzLz6FDU/m08kK
zUxipvX3+77N+G3FUtE+VrJJ3PilmIrBeKA0sWnMgF7Su5Mt9XhzM+lIN69Aj7gHff9IE5AANuqP
BQM7vfgQEXG9VLioUSYt2GLsOdIQecCqS+ewCqQFxROh/5Ee3bvFEfZJsLI2P9yI7zb23vmPM9uG
GayuzLqdU1uErokVvilLVIW38whwdmSV35Owy1ko+B0WKbNJ+emsMbzkPbAQDnzEvpvO3f3aAcvr
VWcDaU4wIR7BVzy7eDruvCNoRHaczwn+uukPJWRvxICZrSp2nm8KeE5P0+PTxkcvXSOLg6QmXJAj
2DfSN6HjGy3oJkOt9mWCdZzbhGpC1HjMrgQtg4M5UQ+u7FWy7orPCp8PK9Fx2y3n3KY8JFlBNbp4
IWwhc9Up3fo1QmH8SpZNweR5GTfnPxd51U+xx6XqbaXtVBYfk95h1zPQrYzN6VNQq+O4uTH/AS+7
Pgf4Yy2+KbRlleok9KitmDA/xUtYiEDjLvfzQZ3QF1qc0nJ408Atu0iP1xBg2RAyaFFC43ZZ4v8H
OKR0P9ndS1716Un3FoC9f1gVkNPoYY+BFzVClUgjdNWjsar9v8aZeLaultC4vS1bje4gMoWJMGMm
zYTU0F3ER2XfzLmHD4tvR2/PL4XmK9b9BzbwAzoLR2RGfntTZQPPumhhNqh0dZKhUOytKQXMi+hr
W+3j+bW2nrgtPmJrCuq8yUaS6YqKBwSTLdj3yBparynJop7qCmEn9yxfPnvkDN5n6c7ad+55hv13
RX/IQ3qDIOk3DPIkbOSIg659Y6w+gCG0URsfqLeYaokjItA9aMKNJEWLSIW+5nWcuWZwPnHM6WVl
CWi7YWI5vEYh+SQKWUO52h+GIFnPWb/7+NHEWeqyKeq5zvVMLU9gggKFFsjZKMs7ic6slqj98/ik
DCQhr9XERPwY0YflXeH49TVKX81Pdx0NNg7hQLIxwErgqBtOqQaqw53TXWkx13jMeG6W4pos8vD6
vNXYUmuFtaOLHRwA+iSFWGDPfcASuuDAaPcfnbpwak7uhuXxgwcUO17IuQSs3hr57JhPz0T/GrkN
tUVIlu2P1Kz4WPsNLQZ4uH/Oh0lOQvrdDrr+HHY5NX4+rbVg//vCjgrHUbxli1l9XV92LHgk4c55
E4KsvTinBb4JLLEDpfKeRMosP8ojCzCwKUkTeFf3lauYp3CrJP+95W3UCM56MEPr6AzGzclnOPIn
tOMRnzIzt4ykzB6McTReAg9dEE6YgKJEYxGCRigIlMO9vsSoKRXvPyqiwJ3pC8W1O2p2D3wt6F4f
yywqyl5p/HUPiXNbxaDfxGW4CNBXtbDfjwGR3VVmwxmIx6MCdiWjvbbd4PRBvLd1FsnAfDOTtPF4
XuLiZjhFyDVmtug2I/m3TgFBGOS+Ox8kXlCnlhqz8FvPZRpM9QHtxgw/jIoZn/4/85rvzSPoZ9Zh
NP4BAbQRfVic95ylVVTIWQumsdeBPIECZwt0FZm5lvfIbOMYOB4VjU/uvY/d4pdbrAhSATYyUWnO
YvrVw1sV/j0g/U5pdCatkDL9wcvRK2/Zxv7Y4y3zINgz4JMcU/pihVUGEihm7h/Blk3w2LmQpxSX
ZFwHNKlPKIjMAK02FmqVpL9XW29Pvu5AnUbAhWIJ6TUL2rbvW+ToUkcjsoo13KaTITuBgpsP5+iW
o+iKTEgSZaby/H/Yny6LIr69cHCIDLpKOBxRR5DnDeyGRvcQkBcZmlyKEn0jV0SS56kCH+eZs+6Y
Mt5pgGhNPmRzryUcOrWAmlVo9ASNvgD7KM8kcpmo/Kmmt4z3MWsCggFEC8uCm0rz4d53ob8xqLt/
h4fJS/AZK0gu23zsBvWhmFtjbfddqIpATlYNdb1hmUR1DxjjuDAJ8eYBXMgRAm8nfhHFSosM1ch2
KYAitz8fZNPmWPlqRDVZF0X3ZupkA43uK716YVuo2KLF+9lzTsH8RCDW8cxxz8mHZXAbyCZBYeH9
jgvAvnSmTFn6lUQM9xe2HIkNoptHwbAOBiz/U8QQ8GyLgcvDdreAnws/Bko6PJFPMmDg9CzeCkoN
0dbWg8zSQoJ5HfxlTfaJG9foUxs2Va14O2G6rJsznr6CZGlFm7fCbY5K1hWyje0sIFnHmH2lkM0x
IUuXIXKxZBxNZzTd1x4SZkboLxxdN8tD9ZiNn668cQOGdRGjZ02pK/5onjzFw2kWaHlCADPWxomu
uusi19m4NEytQbIC1iWm8ulqJwQ4UujQa0P+r8z6FPyWdl13cO/SLz5tfmfwnUPeo1iMBcNZJbkb
U9qRSQCVt4DkFnbmuSRSVztuBLaO+CCS9IT/tma8Kj1ib7z22LLwZJIp81Di15ZHDqF/2hE4Ynuy
/bWFNU+pmau8ZV6GoWCnVGj4Vq6jRrc4cZ83iH3aDHNQ1dxm7DdwZUkNegADj0aXOH2v3ZeZzdTo
0HGfpQ3obFMpQb8lsNP+Lu/h03Bk1jomcmOEniZVdZz20Gb/xE2ISK+QtZyBszUW0mJAAH30q8Ic
xb5SN8bhlnXph3ZTcEfKsISmNXUe5YlFGFYuMji4br8TKWEWyDMmHg2A97sEb6QYjHrF3XHIF4dq
kP0J1IpozFf1D0+bXufl2HDn2H36HZSkUusD0WVykeBl4AqMQg+sWXTcr2QaXUbfiaxPPF8tg4wd
GIw3n2c3qS0rAa1a2wWfhhHMoMbXl/xoH8sE3n/V7dBhjPQk78LRIII4jE9vPksNzZ7gZKcYMDKZ
uaPOP8JxTwHJ7RAc5TLVsj0CUJBbPrYDkxyt3+j7BPV9pLpSeDR3jFIv0B1sBVmxgxDi7f1fmceG
c/syJpsZJXgUQSr07TT3htSBHR6EhhKab6+UxklJR2A+qrpg8IROckQ+++p0EzdbF6xUwSil6hNj
A4nYAfxgVdPrDWw6HFc363PqtuQjtqzJY5Pk3MeO+WogfKfIKxeUB07brBYHkAPZv0+d2yuKwcx7
WAE08bzrV/3mdLl3bEamre0UmtiBUuZwFsMfHA56M5XdkF1I7+twWnEtxGDYvYbcqem6M6+VfBip
22QUhDjvmV76e9ZCixbY3Vd0ZXgBajkP4vuzHB0Ydeu06I83U+P1QBC7fbBWebE8jYTovMDxV2Fs
VudT6GA6yMRwmS9hO1JkdzTlyCCAwwgHpYB9/xuJGSKdt2BGh0O7mWQNI8Y7sRGgnFTfB95ozRs1
37vyYSadUrClkTaWUYxH39jCZqg+t30J5bdZH+r4Aa3Hudo5ND1cVK8CrrwBRK5gkX6+Tu1iwtww
w3iBlaH2Jt9L+7oUqYTd+BAG46Vp2FKudthF/tmzIOHSkF505VXJ/tt7Or9vCchOkycKMvUBDpC7
kOBJ0ioXQaKaSr2iO0jntj0VbTJUmgdcoJavxdr2rIYeIHoBQUxZWg4LxxKF4rY0MYCzKKq5B4SZ
lQrFfRPWrxjA643TZE355+B/mQ0Fy7bk45v8XfCkI1YzKdJ62DK7782tXtAgFhVrjcYwqHiGSbvF
dAc8bubW451Vgmgkode/49GqudYqwebuul9KGvddhuzNmUIhLsAlOaJAuBVJzy8lpzD5LFynyuHq
1aQIFoL9d5PCC3DSEU8o+vEPmoG1K2/nDCbe3oHsm9N/VxME6giHsuaao3XHwbzfTFP1Y1YCegMK
zrmNiFiQzfFBdypzSmvT/LwKJpj5qmA+/bKFmjaT6Bi+Z9qANuKhj7cWpvP9zw6727Of1eojohdL
cDMWKzqJDfu+kzyf0YOq+xqwsIU/6v1GVQ/TS9dv+a3mgL30e/V/k9fkkBW9cm3Z7aQK/lJ47zh2
oVEOX/YMF4MuHsp52IpwZQiKgwTeEDhrxOxhanxOuFTdMoM9aCicwG5n/kcZ+3O/M/92J/KwjOqk
RGsGYYyP1afTaa7ZpBxOaar+MnYNP/Z6bDkkdt2J8jE/WUJBBHp5N5NscsvQ/ziX8XnGoQPhm/hf
TloMjKHbbUoSrEZDgE7sj9GJMsLYMroco4Fty+0bC6NzpfluE591uqbTmVzpC1OXSm2nldSvv8l9
5A3vdIjf26fHvy7Y4UzMmCkJbJU5CX7vOl745BGaY86bkd0vQZt8vstQTgpBOeJ3m2PHY5FtIDKY
nt5CApIQhVdvszg9LAszM0+9GMbVkKWHG5fHQFraTnGsZFPGHMCd7EVO1bJCkxM+eTz83ebKlUeJ
/p5mr6ho3ElvUpVmckzXTMyLPs2dsdnFJV6A1/fgoLCZkDV3TvQGMmguYqWr6ptbWeePeiJ3RD/K
huUI+7f8nv1bajl3I/wwnUY1sryWmHX5Xx8EV9Pox47QHVZFZ31GSJA6vo/ISbEG62eo+mIpDTuA
wBhIjg1ipdMFeFRoyZzLKj8LWRVwei9bSvBxhB12SKL9nxLEfwTF3cYkFElylts+El2wyCCNb3u2
689Z2xobNf0fNkyV81m016EMwWVE+YkwjGIIkqt0kN5FEOytB351+TMEm/+Kd0LbUyuIMNKvKqNQ
hzgzafCPSEDDbY9YKldK1+Ytt7Zqemj25EQf8+s5KRdzN+CvAwzrs3EICgVahzqvHHjfbuFEKqan
9pF5f3SMEZLLUQPCoPKI4zC+H41V5aSEU+VJHfcuiHRwdFy7R53V0tMV8zRY9R4BAn1mc88dxTcW
Y718kChAhfjVhdH+c4O54FS6DBbkZD9E6XmVm0BVFaiQyOqbpKuYG0giCfrVTS2jb91Jry/XeEh1
EtEPgr1Ezuc9o8QCBEYVE6R7AsmVvZzdt3PFcuu2GJfqlsWYI25kbJ49V8xK1cGdLTAClS7SE/i8
zm58MwmLLH/S/KDo/YcjRj8bnrqgv34cp6uAfxA5gDYb9pOtS5E4dME6c8EUqNTZiMk7sL5NQ4e2
Sy1v3ZtOF465jcl0T2B6t9u9HVSVNDgC+yyi/hBn3J8CafVXXwm1wf9csnCCBgQk6TOx0zQPfd7A
WPHxrE7GnC5UQVw1kYgSsBSbhgbjRNPF/LeOYn/nDRmR+L1gzJyT0t5UOC3Ibe7vn9yMF5mwarFA
Sz0CLbJvbof77ay6yhkYJHOoZyQDYN0bJ38tXDXRI/0V6EdoohEYqMhXAxw95dQG/sACaqcx48PZ
BlWc6iaPFyW217ylzSrGtKxNKMjCFg/VvA0emegSxM3cbUVdNm6fp7VhA3Jdl8iA5pg6U/LnqU9C
HKqWTsS90ePOXri7TNc1lXXgLC4ssSnWLFV+nJ/zGDJJLwwyKShvqz7HbA/pilp35EjX5jw9B2g4
GLK9O3ZAAerZk1Qi7IqhX7PKQAMzoVEyljnZRg+oTAVFbDScj7vu6cqVEXzXUExDVkqY+ImVMWZ3
0JskemwE4ZRJE8kA9ezBYcDBWENjbPT0Cw3oFF9hifp7qHJvQnSnKoppEcCOsxzExLlBifQDGb12
3dXZ6pmUe+eWq9srLwVf+Oa0WtpNaXQ9w4ttfC1zJ+DQ0yQJEIGEe6EREGjN1f2Qz2+7IURXihzr
sdNQPJixmHtGlrjrqAp2chIyLPqFguwOFQmI2qKgF//VGjRp6ZpF7Ur6Tr4T/Tm2STvotlRAorxQ
l7DyFteJyVWpgL41sVNEoYnREfMkveqBRTQwomMQ85TcLPbF+klDCH5ge/GnMShWhtWdvw9XlSJS
m5Gjc6VPYSkikqPldkhYnjNPRgpjr7ZCLKTQ95+mxL4+3JlbjZbsPPtx5mGXPrtmH8yRxMmzmley
IBnxc5lX6621+MPoFofy28YLw+Jm9pfWsn0l/oP25Ij1FEW038wVJH18xDJfuPw9NBoZwlAffcOu
wqPsupPCkjUwq1yCNKcHT3lWwZWiF8a/6Ab4myqsFQZPjODCCBhxLDgMrXA1bZWWaFPasSa0oUMx
Sfgkpf7Z/gAcM3FrCTyieiDfjs5Rz8XH7+j6R8wfJLs0h1R4UtJlhFjjcpHOX8M3Da2UdyFjMF4d
m80AuN/38AmxzuIeP+D/1tgtesYf1GO2cWxLQiNM/HbNAxiMNvuARr2vWlbDuQT55Z6cKEyjLrYi
ItB26OqPvj2IEGEQsVn0WXkfnOvSYQ/B0EAtJ+2vPjZ1t8YTr2imkqev++4Q/1c9fdjoQKByUHE0
J2/cN1bg2QwpqGODTUSIFokIjEfcHcnHv4d5PNcwKZKykW1TtBrMz7j8I5IohIGv1I77Y/bJktks
PzIpcVwBKucNXj0pw0p5TH1mELpP4vHu6SGuVdmXWOsjiHqADx97BjoDYizHNxx4N61zUOXVkowi
V/TJ/yCzP7ojnRE5kil4aewF2q88MttG35GfarkqKNk33Lva92M2poB0CjlyWCZDNPkz5+MX53Gc
YI3TtSGOIcoQJHiI/tFz1daxOS68UrEny3IElsmacG/Trb4CfC+VuyioEEmBjRASrBtusNp6bxFw
mxsq00Uqx5z/F5+aRATyxuHrLYpyj4x5p3D4CioXPUQyeuDQa34pgW0QyXatg509pCWoyt9Zva/b
NJCfSrrXR3DpFV71If5hhQ3YcxpeSa8zsE8p7yucyiF3GUrfW1TgM8p7iJw88iLl5xCrUYGXAVzt
fjm4YDmfmd+2yy4Yhd/T1xDGyaGZuBQHUSN1PCz3wkyulrls7g6din46BbK84YiFEIKZE+E8VdCn
Q8vZzzxgJIf5f432IZ+vP2szTR+VoqAcoPp2oqEUlZvIjTKENhaxrOvCzikbQ1qMemMTggcuFaqd
22Lxus3WV1IYJ3XwbbwUDxONST6fWAzOMNix/cYncLw2WzKSlsvX3F2P3odSQgVi+Hq5OpCj/Hfi
2Otx641SxgVxTJv789AM/jinknEfk6qkKPEdeQZ0+/ktfg/4U+v2yLbkdbvlaGwHUSWDkbHnwGF7
Odf5ookiSOcsMiqX5AW4CgYVM0bU64y9YxCky0DGtwmuVkqP4vpSzKOEm7t4nPijFXWV3+hxaVnq
lpqwCyNPGvxTW7b2IOptzXG+3waTF41Q61wkZTEpbiUBkINB+uXEAM49dDZ8wUu+gNo3mEylr5Lh
qeILGwqfiYy3gTIgtL5FVzfO8SCQ+VUrJ09dFrkHoExVCaPjARfMmpukMlA3M9ECZC0h68P2IWtE
fijdeGQHVMWAqrDyVY2K2xsAe3wso4HtfPbcK8ZZro7XnGRtfdpG+/Ui86/QPdqKAbJe22tT7c6x
fahy21QoJPOeDrveRDOprRxLCcoZNFVuW2PwGRtF7jkZvVN8WiOBsBnJQlUICp6DaOKFLB+zMKqN
UvYvIudV0fF79VgYEjk9/1T6UP64lZMKK09jswqNBvXSCAzg0tCE1zqrD5MV1CR3iFl/Mtoh5hfb
gIJlmyZTlea0csiYXkJwY7j7GR4VfHSpEaHJc5wSFVoQCAqkVPQnLUSeot6FGT30G/VTjNdgsUit
bbtD9HtVLKfvuktiB0SWLt2QwWkx/EtlrqrF+uR6yPIvxdk1PthDZxYo8OYxnW3QPhtUBRusD7lQ
PWGXp/6X6h6MIcRO/I8O8fLsB+t/my6q/zka97pDBzg+ldNdwCuA6QB13Lorrb6ppDQgRJpzM02Y
fhoXIX0EzKSFRvHn6FXLapHg47n0rzlf2axvPaP/DwM95DUW8c2w4ITJGEg03TDzNay7B4YGXDs/
AmpIGmegq6ArSl8ppjaTOmXD9t3bJhuhR3Kqg5jx7vArBZiyj6i02l2xv7ciOJX/54bswBFGD5O9
uICzZ6QObupIQXS5GRH6/GwrdBQWXr/Qc+SUcqdvQenEYFPOJmO7GV2GwHrzUTUQHTwOqJf14L2i
dbhAjnoWW7pgdD0Fw4AQrnYa3Ylq7bObbbA2lHP3n82fpCQkAvIPE9fa1j9EICULmh9k+5Lku2iL
qBq9YLsUn6mz2Mo5MSYQaxwDiLl+ChM7Y2IguxRopyj7yHgG0hZ/XMu6dEFi/IKmKNm62HEna6vj
NgxpCmLihDhDZRYe1AUfgEWvnsRNRJ1ZaCJnhTJ7/dx5s/69M7Jh/OIYnJ2j3WAl378QqIE6WQIB
CL9y6L4l4Kp5QY6xEjyv0gD0xgidoTpEj8xtANXFUCYjhcLPYo3MLC2DpyzhJRHCYyEGnbeYnnVy
6QTJlA/ObZSd5AdYg6JDROs7xI265AIUvZX56e6pG+n+t9sRoGFJ4NN9jDEGu1lw6USR289w5UaI
gES2FXmkfeJ9mhpRLq46Daqk3hLvjJR7ERaqG43nAcaNan9nqhg8V8ctmBy28TIjQ5UhkHj+UfYS
+2VYKVV4Mh1EWX7ruZ3NsZk1s4a1Rw/kvrPNAfd7+RnEJCS1E26WcKms31xUWFm76UNtOT+ndP2g
k1T5chvZ3PcDqY0r0p+0Soqu9wgp+7dlbe+om7fKbwT4IiIkPcdyv8CQdmmljAEZuov1tkBdBe7x
JlZ7RpZMe/8ix2rKrWoQ3nZCcawPHW7HzVh0f3vCojL4dK+aYJ+0JGgZ8RzPU6Pou2WSO5ZR9h0B
S552LwKiWiOx5SpdStXx+Lfe/28IjfoCd7eYDSqcHGaR64+KHTeMSpYrS5L6SB0v5LRNvafWOpAU
r1GHd+BnVVsTG+mvqoQkQq38u1rAttxIi9BrmTl6aCBH10d9as5p5OzRL2VDTWI7XQA6whgBIH2i
qH0p/DuzvgRhLrlG6ceRilX+bcp+cqBGz2YXLubvytaxOCCcWRI0sq6Ex4QHK5utjNr5dUIn9xkE
fzXXyAXc5jI4g758/JTq6gu3dHuheBW6/bAXgCmz0hv7ihivCgAIvXj1JBA557ONlBB86YMWA+di
auU9k8IdCfc9n5HyBYzlbEJvSJQZ+xaFyVDO04fcnstMxfs7yXBcrG/TZ+oAVArlBMzvya1/eGJ6
EUVtFUDSKnRafLPR0ulP8Fc7MFabogzWZycoMevJ4VP8WEm2kMWAE6p6ZkWsKf9HsFhga3aC2oAE
rjHb0eolVUbMmQk8IRmRah/zJzcnOiWRJvRmuJgCARpBF4uAz1aV03jgZB+2Q/ZQuP9poXoUtD1n
4z0+tU1dhlqN2sFrENfhw/xPjoZvZSbax7eaXrmviiKW1rR7LIgJxgpix1cPAa3TCQzQJ/IFSx21
0fGH1DSU3bZxQ70jxyMROaCtECCEnjnxcUMa2jszMYf1QDsEU6gEx8L/ZCO3PhYClJjrzM7O6WgP
3dicrF5zUW7MBh4vM6EvHKBNyJ3Jm2sFEB8rDXoXb+jcy4mS2MbZ7NSnj79cQB/7x/0MkAWP9Qi5
zzs4UG4exjZr2pknfA7A2QsvDnmwajQn8qpJmO8Dfj6m6gnyWGfXdT6/kZCkmkeA41Vx1QPABQ9i
GeWqgwr1cRmMWdd64LzjMUr07/p6AFiAAtnNjmi3Rogtl8cLvBZnAgJbXcfFg5Gd2alPK8Wq3/0g
IErJiupi4UVXk09iu/mIrbftcGKQMXlOzYme6For7a1ItP2Q4zkro8bgygbO3aA8J87eUjyJN3H7
kdAgw35QZvUiYweKup2y/yrisOElBfLVqFaIP08z+vwc4n5Gj1sIztF1xu2gFb3n6VmwUfJ8e8Kp
W8EZeVKAfOxF3ZCbPYUEynT3xX5yxqzllRI2pijhb94PfUlst1cUTSh8yiUMupA9/TQ3DtDlWYKM
3iF0tAd560MZBJ5wpWnUZ0GOoA2kXQ1a8l4hV1qQwSzzixi/r2dqA+cepjVjKQDA3Ie0iIfOb/qZ
4iHu2Jc2Xq4c4eWWJ+VicwYS+X+slUfS3uoAXeDWC3XO7iUzjLCp493Pbt0C7oTZspTaVlXOu6dZ
YJU5Ooem3KdjqdDBzbE1ZvEykGVsl1CV+o8TXXak5j7/KrpyCCIbqP6DrtXXuKW1r9D5HJWNih4N
h7LIWwubJ/iHP4QINSl1sPV/WwVzMBmVzy8No40FFNnd6TUuU1mxrAnuKLHazLsNKgTj7+6lXsym
Tm0OFcOe6EoCz41n0GT5tvQgz+2gEofoA/7bGrD84APgHyBHkEfrw9vi2TUiPPxs2LotoubMbK87
BtUFJTntT22EpCmP+6fmDKX5PqfNm4Wujz5KxHqAep0l5GCqh90WROsHxNZe1UBAvCuEV01JuIM6
mmxTToS+v6s+GEAV0JLPpOIk84pHVALhEnQGiC0geXrWMWV2lFaO67O42GCdAdJHtKRT9DdU+cEn
2mBHydr96yCdsi4kH2qqIJfvVVNajsh31QlnwgT+TRAwk6WeXe8k/JBOIl2ZFfHW1ARBVFSrb9J0
sddT1BvZfglsyZ3ofnhtPOotd7N8W9m24QttEkwySvGrjZocR5+/tuHvtOSR2YZ9uJpxyry1CKcL
771j1u4mn+1deswx4g4XXdk1VyPhnEqQcH4GHVcfz1jFe7w0JJl+5VZ7urVP7UFylxBr8d8igiIi
oB7gQoNE+On7WlTHp6jjVDGAIlziijWPDRepP46lQhapGt8v/4cFQSsOdj1gB8pE8EuxCFiwVrCw
tzbWzT1wrshaS+bQYe0UG/UFj0QDUhwtF3x9YEHVZHQzpQtKI1LlEpofNCStKWtol7AvzTunwJsd
5XmSHEIyThJiQ5MfxJP5Yy/33UeAVXiZCdjfY5LclolW/33tFrWfSModOSVm2AQUtjC2RJn3fx3D
yOB84u30i9t+8Y1eOypEWNoLBDQ2v7GaXZRh/0DLDKTzs1UzjyJgP5lqPS5Ac9fq7V/tp/mbED0L
JjlsbhboSbRWD3CV2OaMJdAK1VEwtQqzX437TnUV4yukEsOdni3M/zhF/uSmZTrBZF3o+T6aUf9y
To2kmxqbL7fPbCo7Cc7ZsFI2fuuqPechB3gerYH/C6vd2qkYDqCvBiI5TGJsL/MVBjOZzRipvuMb
9ulLG6PGjqzpzkFT/ClVNYl6u/H85n1AqexT7lm8ET9DOQpLRq15XKAMG92tbUmXCVxb4bOoNIN3
YX8LTv1tpTvj7v5fKCaFglg/5IYmfDYA7iYWzxoeLWuR6AWhf4nWDHab7l6e9WdqxqYgtBw0ugk8
5DmbL7EkAE6Ro903d3uQHTDCuPs8ZPCrGr+2O8U4gNRF27x8b/Mn4gNq7QYwbSyTZ+KeWlaLTUAS
HQjA9k73QJ0aYBxRtFwcxGiDmJyyDiVmFyDkSZL7VK0Q1VMyHeOK3KhKAshcbc1IVSJRt9eCKUJl
BCepZj2gYgqE9QPXqWbuSlNY1mdl+k63X9MK1mCD4tOd9e+pTdCd8zYxJda24F0WToKNXsWmEqkI
8YJAqwWTs5UjUVOk5boAh0IBwRRlc8dw2jJvZk86L3DKyiXN0jj+WdxKWiVEPaVt3KdHSX16WWQj
Vb5SivA9V9pVHuQ8IhAgKb71rafpvtLbBl0ff6hnLRxPYIJuY0U77OrDL+D4+eItFge7CMw7pCQj
yjg/cmQCNa9r4IO0MoZ7lIhBHQ1wayLVz+TwxQ01tR9YjFBnBOm5kdxRunD4bneChzdroWuJT4+a
HoS99hlGqO6FK8AkCZ1HW/DhV6EFhNeDpstofNIExXYXcQgDH9UgTeonM2ummXDHMGdx41PxOuGw
Gj17wxYudRFu+iqlFiEanaxPv3r6KIGXeJSPkjput9OoZ1ZgUKzGY/0dnwTCwtskQimDDgMszX02
xV1FTN1+xWoRs0VzVCM21wyE9zISasw1uAUg58z6bbshVxPKZka9nXJmEed0vlcWINHQElGxR8bT
D4UK8L6PoH5Ku1satmnjugioddumFuXExigWHbILQjKkcHAVWVDVV8mTc3h2l9T/evERTg8kyzPE
ZBtdDiMiTl1DGx+R/+ccAfJylrNwDxCCCvNl5HZEK8tHbt9PR8vooocbJApP5qO/ljNIF7wLq5Rq
5fGGuLfDKBFHqnFIwra2cIrbek1cRtwk79jwg4TZtCLKv805Lhky38wGYQ89wCsCT2eqo1w3Qurs
XVxRso+ryi2qLzUzOXGU+ro9frwetlL7q93yNB5ueH4EzQZoMByYYE/KGMje1/O7JcX1WUY65BXi
k2QSyDWjyMJYPsdtmPOSZ+LMKq3zEZoLYAvGdnSt6Dx5BfAbqmDlnf/V+SMUKpzo5AAwRbv04xkh
w2ceZIdyveYuT7B7Q1TqOH1QtTS+cMPGpolK80qbysOkDeYaHuFywdIxNIzlThAE/m4mKooQ2Iw6
sM0ykS46YQnlskkxZREqk88vYIoYuryjLCI0J/sO2fPa2h0NpURtJFt02uoQikKJHMJG9ysoEGb4
h7O5ULC3kI7SQYQZFmQrFxSPWz6F8+D8IzidxIxXWlOn9BoFf03LpmKoqFZ4vrk8oZjmIZ5eHY12
d+zO0WvzwH0vrRi6Dlsiv0DBrP+myoibxwyz+G9dojAP6I64+O9rR5NLBTIG8xWKvRF+ecNS9FUi
O3JsOIRJFFeiE+8ZhSboTDgWMWqRmAogrKn2QyrJ44V+Rg/7YqmHbV9eFoEu4CP61mM7ojoYS57X
P0mkLXEPYJVoYAe2q84ENjR5ip28zs8oqQDZUFddQcESDqCpcx3QcpKDap5RMJ3pIZbB4lO4blC9
YL4EE+MadztaZl3mtYl4SzDImOlH/piPz1JFl2QRswJesnBlF9FEwu6O1E4pi2lQSjR+zzB2XLba
eDaQA5WMAa56RinFDV/7vha7kzXF3UJUBqN07vrI0JoNeuQiQkz8CWpFQ2J8Zg96dT1o/J9/7lwU
hLX1QuFmyK/tCmShPK/6j6PxCatA5NchrBHhlW2Ev94W2kXj55J0erM9Qonq5/ONUJ7+wAA7Mbmx
YzEFTIGC5kfJSKm349qUYZLWV/RiEOHqXOzGfMt1ASPuzf9HRZWAfJbNUC5129cbuOC2mIzsk0E9
zNZsb8YMYayCkLvhX/Uu2xsCp4xMNClxs2S8VQZ9FWHEFo2fHkqU0657DiODfARhdc6IyE4HOM8Q
rGAa7axeDMn9EiPCgbMaZQznEBb4mkuBZTwFAZSbh7TGTrgWlEdjzuODA0m0eCu53n01F5LzOt/U
4xPzM+yemb/7syvCOd1TIlcJIKFbMRDhXS0kpC7T7qlFGUUKHm3qg3B0wN44rq00z58V1hPw0YQv
qgbT9qoz5BuHae5QVJFY7L1YCZt5qPKGOlBb7CsTYkTceTmyqvgDqfdtVkgXwID0CzloTn7BD0D0
uHqdYmMePNyLsfwfvBipCr2bK0Ctx+YiCUcTunijFu3LeQjn668Un9CnPk6Iea6mxxv6lnG73amf
4Wcq23WgremARaz2pLMWqOhapJBqf9HZP08d0yNkByNsDm6uFB+YQKLa9V/7k/BeJ1iBa8UMCX/b
5bFjzwmEFMuDZu2aYsD0lpHCSLrc2y/ApVmVacDBebub1v4UIQSpUQzSzQPnWvRoYaxeU9WBAmDB
+wttyOnszGq4kBB/v6vQVXOp5r1jW2w9nytLi8orW5E1cHGyCy59JQ+2qJUI4mTULOhi/RHL0bfx
V4rvtRtrK5qWXqEXKfjR+Ax+viJAx3Wq8+PQ9Fu36G6n12/b1uQ9RXQ38cwoxMQ11glaIfpnvOSe
8OKViES59m7U0ZQOXrc4oVtAzrCNWe0yI+3IUSxi7XfKW01oH0RL66EMikf9Q5XfoUn0g6M6BYAV
tR3cuOxYh2Kvg1pKOf0zKhkM52zxqbUyMyq/nWKDt1PbqXzw1ycPMBXBF2Jn7wwJlrHALRLiiA2+
JtrBAE8zpULewo288Vt2Go0E3VdePUk/7HPkzMtnvb7+AdRNUrJKWOOKbUT+BNa0/wQA8PST/45l
6VAPDbudaV+tWHKmZI1tkQnc57ZxStGyjaFKFVxkr9QfJ6urxkhB5OoPkpnqUUkWIo5tYvq7RnM1
dLHZRHyqPsowiKEEtaJnsP5pe/rhsQLGZoPI4pl59OWb6Xw3vqmPwrPzGRzWr96tblVNbuwlhuIC
Vb+wKtkrRSkjZrxJXJAu7b68Bm9hf2nlTv1XqWl/71/Z7vJyHuGtNILI8OyVx7+yccZFOIjC37zY
41ocfD/di/seeJQd/wn3UbSC0d3+3+poLh5cy6yf0yZl1qiUHku9D1pkv944IAqcehDgTD6pihin
CqvjzyOHmZiI7dTZ9tq1PllWMsOjBH9SqsrOKUTL4ClNjlqRLiWc5wLpGhoDy/Wmbwk/6I0RvaYs
z0vJheew0EQyx6J0VHvSzltsvjh3WMAgY0xR14JDzwS4e1zElBghXyOyLSaDgOpg3tOejCpQZcVl
AMrvtkOEYKP6/83SR41VNQ5rAjQoNHsGmWBe8qnaDZFvagqLb4ZcYy7eZCD4tj607Elha5hrLbEo
FyR8V9+GrKP9Z4L1EENmYYfXC80lcInfIURznjF1kLQksGZX6+HZYXw4yRyjitwzukSRfUF5587h
LMgZm5EyiSdzf2owIbKp0HqIt5y5cUZ75sN3ahqCVkIr4ZuGbGRKBF1KHZM39VySo2GmYGVkFy+Q
jbfFgcdRwfd4Wb+xCLaEVdm1mSJ+5gWf3isHsWD5zs5/FJa3a/rzWg6c/ADUDrkPHtBMBRZZQG9F
7iCnUvw6XMFPHSZaPX3L6+zM789ELu6ZJjUmAuvdK/c18vcyx9d7iwKzAGCpCWeSvvfTH0S8bSym
2E1AUgdnhf2UZ8FbOP+/zKEiAAyruuxV2xbcryHBSIexQz86nvR896CImSBDUz/wwPGE2jOZvSae
mtbCxzCwz0a2QJNFvAymnoorsMbnnyzyTZX5YCG72ar2WjBmVInp+H39CoHDxloZjQR8tCVDDs0t
XdSO7IWHcW26Cvvjb+j2QOSAnXhNS2v7OT6UNJW/cE5X5gA4lbYsqSaENgPT0iUNKSDCmwrevkYy
UD8D4oMfInN/JPnH1uIbVz1+EIg3l0smYwrpEZKs9L913o+w+QjjlaIPJsf+7GHtyYiZhvpOUYDD
ibAh0dACxPwYFjcsxDzdCjpXqfV5BzdN6sFAqy7zLPAUQak/jQsnNGqITp76gjir30KT2v3ewSKF
Y6ydp48342LocLcxbo0LE3qCvmdLnt7VIaUsobOaa2l4e2nJzX6hg8D31lFl5DuA2p3Y9kBOUIlS
gOGbsn5TeCqKETZR6Rg2I5zkGx2rhSX5sZfrdnJBNFouLB1FRk5FctK07Xg6JwpCXlWfxeEEAeod
Nqgs3InSusmAgBYHszJV2fwx5MCMuAfMIJTmnSBTgo+Et2g6tfyWKi3G+4LOZ5jzSR74CRhLRDKD
SJ/SZCz6lknvaatLKRaS6I7phYzQzDgkMrpUSYXdHjTZTZS4inZY7RekzYpGlh7VDom5G3veESy8
1z3TICntQHuNsEeH/b8ejBXlgHhwhAV0VnPm+4CxQbnKZ/lxjkurKbK5n0JvzhVEQL4pBDvT7c9u
Dak1JZvIZSaVBM81G5cqCh8QsqHqf4D8ZvcamDlCLx6YoejJph1T+bS/aXZ3GglYVcVmc+th6CwC
E1G0/xXwlmQegiq6rvVnUuPwBDHNoXNXuk3kTfV05FpYyblDFZ7Paqrit7BzGDq+P92p0X+cthiT
a0rEyDFblcshvVPVIKIIokX+gIFfoUYVjbtL7T6Tuo1xFgTEqNqQGn36DFYU4ekwyeHzcEmdznOi
QNEDyXDvx/wlUGulgjnZ7xPTa9OEljyvS5sqkUfHR42RMYgQizM/85g9tJ8IA6jUsDRqBPrGHa9i
L6cLRK6c9Fkxw+qO7kw2Bpqxx/SP9uigDTLXKEFDTn+o5erhasMpcSRW5zs/0ZLmbIqC3QtSSdX8
NeeGtKFFGcUuu2E0xDQjyF9xGFXNCs/4Ge9h4zCceAB2y3TFlmcwvv9cxCrq6LnOyu0+XDQkO5cK
JDL7tBzIVPgzTh/0/+FR5K6bMxe5C1omjQkzFHfXxEBerpSv2yOCcfBULN9yFh5IrmI4y1tQx3jY
W/zda2Q5jGYWaqhn1/ahiP0yv7V0nwHe4KmpWGnEx8eg7BldpYJLyxOoONcUOzLV8nJUhewM3MEZ
BNb2HK1VSRoICai8bIQcLpyYOCi5p/Pr1/x8a0M4/uJx5k+IBBwhN6AMwM2x1gntkCelhwpi6FXa
UtWsdtdgeQ7MTVZXdUMRjGM8RiFcvEfczytnPoDa52JaQB532MHHzZzpBqGPshSQszsOa4qZYhcf
/TsU8kpjBgia8BHMiH7k3PRnktz6oPGVwve/jsLBbHYF12EHCZrpVc4HI9D9HyPgQ7lo1iSAZiIa
WINBR8cFNMYmYUt/9ahd1X0F0J0rO2/ZhtkJfvvNpYlZtrpnMeq4RE3bck5BkWghyg5ADOxtbgRS
di58/7la31TjQl9dawAnQGaXCuvjyYbVoneLgXKq93OHiE+6JL/uPXwk9x7rHnRayEjIV/GAzdro
GZH2hnIM+03dJyjNsIh63Th0lm91Ss3P1UHZhrr7iF/AiRuK1ZNqxfftC85CRZ7xohrscbjWH/bi
FodEEvZqfSsUom7jSgv4lmL+TaFIIZv9jjOLTrGNPH5BLi+7EMJztmhPpYONkwlNHs1ahXcA+wPF
R3xW/oOYVCK97vvyPKpFv5+kZKDn5Uvt8mnv54gtlXVJY2VnEOmaAML9ndcB+2/d5uQhKQz0jDCD
0qELvXpnL0iSqN2mWoU+SImOQPePOICL4qGSI8KlljzkAYiUIXKYCZK7t8JF6RV6Nb4kwkpCDt7R
A//t+CdZJDJ6eFZID4vKDWNzEyPKegVdjiuPTDv8wKkPp0wF0uMwlRbYZkliqOY+ei6p6d8X+aa7
ux0EPssi9IGIRLJ3lm++PgHxWPm1lY97wjWe+sY89hi7O4d/h3BWUbTWfE0S+BHYdAUA6wg2HZUG
/RxgnLWh9fKU//WF1pPKEigIw1y184FDc1XhrdKlIjTcriFOItDx9jR/SL4uJFm+wCE6Cs1amu6J
es4ae88J2PeltmDJlQGnNqTVJ3KbxvyA0PcABN5g12eiqSRNMWHKr8gaM3SCMC7U+5S61uDeffTn
YE2UNNOK2na+JKy6tCEWMJrWhccu5qKWb3lPdaXYYKkz4MqnBpt8zCb54UlAweA3eAEheUcTOYW8
8XkWk73IvPd/28lsMjoeHtNBDZvko10kwYvJIcTJMFhMriw6dxRPX8oFPP/Qn1on3t1UqitunMD+
9irAUCcChgfYFe+QZVOK/bFr1WvhVecZDsXrnzTAlRQJM6hbsggSf1zNs1aeoxuRmXWiCJ1QxxyS
FClw2B2YnZFtma4e4bvNijvpe2Ma9UtrG09ZKdIrKpncvxcx4whF+gnjCTK109YCMXGSQtQkeZRt
0b36wLOVvPhV+6tf8GMjK6neYPfraf+eov4Ls40m4Ty4KQZ++mkwVjgnqhs4SslWM8M3OSv172jh
hgPmAgUwriISfeRX/GKTJ5oTZlyTv4f5+jEJwwMkoezhzTpqaXP7tBdOG6SEtlvFG+KhNpzSYRmX
Ld1tlXHTLWichwRuEHaE4lhoFeHBf6IQYrOwD8iMsiRNcHLe6eyFT9O7405TB/BXjABLo8Tm1TId
3ErJa9LimH3j0JRW98sD44N5FbMkqIwDCY/qD6kCi9b0UFNWC3ae3z2wcQe4FPzrM6FhkPGEr4wF
op7SuoamXDAGacA2h9IMlcXlmnvRFXE+C/PmMNnAPgb8o65+PQmcAXLj/DEwnkGWfCe2uSBidRxU
Z0fUM1vAn95fn8nVlg6IiP1GaPzMPvYLs1mfVE++r7Pi7+A6/JMAcRNLrOBXYZWX597x+Gcg8/CA
FbtcrVmSADaSNs7vguerHimaD4y3O9ESQM3TZgCE0KICn4JFczvh9jjk0JScZ7vuahirHJX3iQ9o
/h83l/5nhEQOxfsTqoWhMwIHJOcP3awHA2We12tCqeKuHTbKS32P/Q1DMnGi8hs9oGdblbj76/Fr
ueO2jCFn2tfkkc00FTF1WQ9RhbHM+mAhg2CIvV0GIQEMBAXzj/8hBETTMREoHZrCQuTXrm9FXLRx
FiFFcfuItwqmH1AyNqlFKM5oaGle56EobLEm8AOhW/dpRHFR5PI20rvmUf8SrQogh7qyUBSRsRAh
Wm01DkkEcft7TiBoLXCFXdbcwk0+Llq/LoD1Eu1C5ZKz5Js24Wfv4Xda25hNmtUJ/ppofSYRY1Nu
UBV0eW5TtEXomYlKd1eHcJOapirgMrUpp/kI91Hl07G6W8CB1k8FbStrS7FfRH9qqwia5rpw7JOz
A7Yt7nBdVMA17jF370tPHhgxcN/KCpzcXg0RqE4JbwtXni1HeFYmENWhCpGlaadAntkN9sIeSIPN
V2d8HzHN44lfR3Glvu4E+VrZJdTpA+oE4YBrY0KadBZ21rH2lKrZMCX0cJRPyfKalwUxnH4iCOhZ
yjufFV1DlcorpeeW388iJwKnvDiCRDOwl81N0NX+gCf50TsxhVzgwh+l02e/VXMmDNMG9yf25rK7
kQjkyywg8XI574Exmz4WSMSol61g/ZJrnI9C0WanbQua9ml46GPcgnQEsdjCgZ0U1vtz2Ggg3jYu
H13ffeZzLHJTkR7tAJz91ymrtUs36g9eDdgnicUJUPrJkwrc88X1v1QLiKNjxdI+LLlGfFD7k96o
Plkr12cpP6cAiS0fQBxBUBRMoRsaGsxegBaGl6qiSLX9HGXQPI1fvj9ZTJmOb6TC7rjb1Kzu0lMQ
O+EBINQwWQexbHIt73VHB1Ysy0pQ14JwJpy/c5ndfdxWxtzc5amKVRrwYbwLYyYRQFocuOpazSdg
/MzNwT49qT0sshKUxkCnwTyRgPMuoeZuXhYfQy8UTi7fmzhD8j50Wk1QffTb88rbI95nNIY3t4YZ
lj2ND0Y9Uv4ekM/e7ruoQTlnGFchljsNw7SgYcSUSU1144B4D9+qnX89l6qtWgL4ptUhN4A5xHUw
WaeXh8FfIsdgTNKCUhEIr7gdaFx9zGugbBBkxQE3+PP/Yr6JfaX/q9ywyWTHmoxbbkmbkIG2zL0a
AYITDX69L3touNUCGwzOVbDnWv1KIdn7YSOPfrMr9fVid0KpdYm4JB/7gbwOziYlrkAlbnTxn7xS
5X0ToN2ET1icRhZ7Wv5LicCFDav4XU1L/Lco51NZ01kkywp2VFAWcCw5Su2CFQt8xcKXW9MsTA3t
CUEmd5off5i0AgElzzdC+to+0VPr/wgBjMRXB+BhulSOyXtrWL6xyvuO2ozpBto+CQjvgwhIw81J
nVJaP6UM9dsoPd9LJJWgkFS1rM9c+GHjWqZ1SiQSoiaeSBMuVaGNcmCZDfs88pjCy7F8gehCGlQq
nciJ9K6ELbdJqt5hdQ0LcfeyowW/sKbNE/Gpe3XJyd49q3So9p6l7o26Du+8YGHYEXhhw5b+zLg8
GBv422uliEZ00TyI0GawR5gwva01SVVgNQ5+VbIr+h4N91qzET0BgimFiRYq9wX3LWhqYWCL9NZF
ApJLZSNc3yRgciAtaod7/gA25oyMybngBtzEGwYt9vbeZgUoFWs58ET4wk77l3nnXPyJGJMOU2xd
DYMRD6iv2PhE2Es1fik4OPcwO9QkiZq4Vuf40u+BbbnSA/VHntjYeWi06B7Vb5jp30oLz819JT5x
tB3cT9UNiFLKrEVoUVeT0Djs5r1fJs+kyd4RgN423r/oEl9bceaj5OleMagTolrJsF846d4ht6v7
DIzhL4PGyHkPCldOwGQHmjCrf3A4fuUZxiB4+MKCGF0LhaJOQAtBMYyD9+3glTlCd/e3zLQ6WJJT
MFNXwKvUfNu0Y7x0vV4w9p1SbtY5OezMvOvHSFrBNWjnN54lbNe8IoVOkEaVlxHlDrSIPJ9I4HMt
oAdTT0Fk15/lHSqpTI7TkfUREY0uh1mm+tXLVNBKZ60U5h7fwTO9fNtXUqFoldOMhHbQ6kprJ5ew
QwZKpoUB6u4UtjZ2ZeVg66TnIpMGU/dRnNmOxROfcQaM4Zb0lcL5ZYMs5erWwotajbhuUl0QTaVB
R9LJKXmQkqczOPNWxdUqDRigFPhIf2/BXmm4h9zNNEn2G/BTvnLeSWIbEHTqjLyWS0+4QH6HMUuZ
9JAo/Q/OTnEJBcH9025HilmS4c3ixYdycOiQqDYF8g0HKdcXx85e90mRJKu79y9YZpp1kDFNYYTX
Wr1yNkPhaykaav9tRlnxkNHx4Te7wg+b5v4TYDlvjE0MhlH97BS0CDW7VuPR+S/NmhLBMjgpf4IT
egd51sHjBryjDRBhcLvm9OdbRP/ENsiqf5u/XbfAoLwA8kQa8yptLvis95cYzGcdTjPmVmbtAfLv
3XjDYr3E8/luIISngPr7w/u06Ozj+4fXLJMrDvkwRnn5LH0uUIX+KU89Yu72NKBAJhQ2qAM0xIYk
n0vMtS5abqzZfYM/MMjQfy4kftmVcuPnA/Ug6ub3EuMPBIC2cBbkWDFHOZhtjE0tGOadSB5K4jmJ
Cqdb45KGq+jG/XIg6++Gx0tkV3bTJxQmOZLk7i48ZGGXNHFC2m0mm/ThGYgn+gD4dMToIIon9dmr
bdAO2ScdB6+2AzUXkLd0NdjGDCy6g/qJjZkeG8hVbX/hD1QeiYP4ENPCsVijaBMjE7TwRDNHW26K
QnnZeMo0lnlDKAmYBCsUAY7V4yHYjchZzSmw3iNYlkvS5hrtwe2IX1ryMq+622IPJ/8CcpZ8NarB
avJ2sl8s/W3esloM0+XL93++uy5z2QGF9mCcSqiIto7yG+6WMDy3yAS4S62d+3F88lji0M+AUxRP
2Nn3bnjRqlG+vI2LtmwR7TS4FQ0Wv/RnH/hPzaVFGIvbF8W4QmgYQooBN04de4HVp1G5IABP9dNv
+PkOm+OuLplOmVK5LwiQcVD4MKQvnQpfE1tpWU4ctHTws71bN+Y+2OFxJ4vGveqpALqYacFNV4Ty
dDnnrSfSpitqJZ2GMLHCRtjL/yVkzWIxbg8r9vByRrlBXNd15eAd3Rm4ozZfkngBtYzpSUO2iIYC
uSGURLkiR8TXPWheod2gTm1j4ZttlvsPz1h3Gq0PVHH4ST/+xPnkOzrH4ccQ3hevXbuW5dA3HUqB
TQa8wp3gIslaJxOAiSpZEnXRajNsCXjLqhoEMe8oDUSTUIvuMJ0z+zh1szrqb9wS0qpzq3DhVcs2
2ZpD0xCuxt1N+PKcHvGqiHM1DYsQOyjADK6PUoJAHAJgftLKav4mpSV1tuPpOxzYfc9BlShLBeuI
f1sV+81n9UAFqOtU7sYLeOghA2dGrj+fbt6fd4nIRKm9CwamKphqdgGGhJ2AKYcgXsMFVP7eeFhT
LCzzWH5wRP4T7qQiLqvtIXzQ9O7KYvU1wqf7QT8OlmTwhKq7JQ483yM6verl1bSAWdad3BpxRan6
3QPALzz+ZuWB7Krxc/8QxH+lnNBsXwyLyEFM+kCcbXAjfbmNEeyP4XvanAhQxagn2bA+mpNo8D8A
Rz7PS4LGYxajIwSE2QIGquviPkDPo6KaXB2DXpAuEpehejksAEgyoY2Q3g5kRXU9LBX7WuVPMMt+
uS3j76oGbYboT5ap8U1eXMNE7apCSFP7uLg4h1B+pkmLRuE1SLIP4SbokZjoSpXlOWAXbsE31T4U
5fL5seNKGsTF7Xqvic19AYYPK/vaGCf2gB/XMlWA0EV7y2V0gtRNPOgWZBE+CgjfUD+RBXKjTxXV
6I0on1yranLkPOGVnbtKt8G0hqEyeHxizWj3MSzMuKtH9pTU9es+FJ+4XBR3/nlm3ic0Dc8eiCjy
NzwtxETSgo/fR7KHC1WeyG3Lfj8iotMxwNAwVPwVf293aGbampPsTN3vXtlhVWhLDHBGyTFMCZof
hDRskrI60UPu9cLk88L+d81j+Zs/G6bCw9x4WbHFIhq9TYjUIqwRKAJMmWgel7TUBkriLuw7i2Rg
VqzGOP7Lc9SJuXkmyqybaZei4sdg4x+LDn+RpVT+ul/HC2Z5ZSICW0t9FLz1TtOuz5TcMyxx4GqU
+Pc4w2ixDwVoTQ4K4q3KNqeMQ2/U8Knyjk1kQ3f7528807Wy7KgFBI91VuAOFO7cIriGuCi88aXK
D4kh2asXgoEfPgB+OFo4W1FOpj+y9pdaoEAiet/XG+3kK5+nT9o52aL3jnBTU2gG82GcNTYL9/qa
k5FSnJFmai578EgV+9kmRZiJrUlgs3LqlG5DzYiAPORlWLiPI58ZsIuwBGKuRO/xWEoVvaMqOpmx
SmyOYbD79qVceiun0WCFnkZwB+OJWWCdvVZumMHUSN81QRYOkb9pB6TeWPSYbamLUwqG5vBUfVMy
jv9rPEwr+KZqlNpXikDarPrYZTog1l1b7y/U8hJ2XQsYPCfQiXjb74eHyHevtm5jHJp2vsjWVO3Z
7c2SSdcNoZAEPj8LdE0NOvTstuTBlL3czgsFIddXicy19XwAfF2oNEeAUZvcXejg0az9kXX54rb3
TiIza96hCGuTjm6uK8hMoiQ3plMxnTHCmkWXg6f7VyTC7oIWMddm9eILggvpvvxDaPWy3Cc5KCGz
86qck58Y+pWj6td9losuFiY+uf7AeNfvXQ1ZJA3agi9ssqsGjB++n0ABkSOvrTVZMh/K92xdAVyh
IQmYXDXPYo3JiCUX8/LuFOvryBdGh31696MKbzWKDD/6OgmM50SW7iIedxBzxbEzjI12dLcapIL+
0Cv0X6MBGe9GRfU3a9sBjMw+haIhN8OY6xbDrUorPzv2w1HrhH8EzSTzKyENti4/JWnWN3yp/tCc
vaZK2EuYuJpCYOf2T8HUttA67pv7sfgiAjLOIxeXclcHwN00wfLcLUu5yrsKdrHiPOGaWH1iykaL
ZeTkJifWa3c98tTjzefuE/fThp3T840t9G+RoyR2eoeECxxrKXSXI6OS1JO3J+JEkn0cgl7ctKni
F/bLj/duosftqe9mbLmu7D9jtVA4rXEpEPHHod8D+D/wswB9FWF9kYLb6kz/hx5ZTdcu9eSn+mfL
OsZTHYrBnemL64TIyv6Y/gtJxEdi8ZS1NJODcwboC/mlZ5MaT0PskEu/Wad2KlvFMspM87t8drDE
dtge9UItJomgLYs+UFzx3j9Wq9SxEzILogGCd/Xjb6vfnazw4gRhEWc25brIIHiKGaZsb2Wdzucd
AvMbGgt24HXyjwnUkX/xOHWMKTPpXWisldlQ+jxxEKEDISr7wVlDBVf34cFYVnybbUv2UpB2LxNl
KchRVjsG4M9P9Lq3fvQzRTBiAs+SKjF5LeqGgGla/n9Mz3b3lGtxKo1MotejYK7VQU6BX/CvZBcW
5BxWr7nYMLN39R7C9K9JLVtbfKnqn13CcKh2lix7JrTGlq4dFsCQMzIkRXD5MCw0PrtSnYQefYOG
o3KgyO0zJw65qxfmgKGYtpb9ozOLK6Xmwprbp5rm7UFbnnTsHsshSuFVHK00wbwwJtlFdtf0or5u
XBt/vjB3X2U0WDVkAFyA+8NqOQ6dR01ErXYc8/EifJO6w7mrCMdQprLYPrJfCaJM/AUrKM35IsR7
JB9NRMDYzePuKwX9RYLGew9y9QcQ55A8QqT0m2PCVVLpVTYtWXQE//lSipkdbGVIWvoHe0EbVtCJ
lwfmX58Iz1p0Ew1DMFurOfjudncpkMMPngpeEzCteGWzp/EQe9SLLJ26NnzjjPl+vp51qCqBvR6I
+S5bMe3U1on7G5NZ2vK7n66Q5Aqv2ikMyAJ/D7pYhnDmae53yrp/IuENU381gtlPxa4qP2T5+Gqp
Spy94zxGSnh5yvSeIehTO7kEMU0d18/zGDB524ZC7Nvk/fUbg9/dUa5MNwTp1rnZxMKkJ8jkTLX1
uk2l48u4SxxtXxYcO9SqrpUSxcZjsQL31jtc1vEYlu+Id7UkgokjEbN2KY99Z8haDr1NeVydEmPO
0i4JGY0aSGNOCI5NPZmMPkg+yCO1dRCzTXUnQgRqr1W3xZEbHz0lZi57XciwMG83UL3Hjytehd6D
mMSpLjFkAs2FF+/0O3pd8wTTyaU3nm3XKgo0dAXlHlFq9pMrYifbMDJyWsQhGsITsOU56b0Mi86C
0v+fKJlVU03y7vx7JePxMlfwYzxfvsPNpyaxOEyed7nmsn8LSxe2Zm2JJOAY+HhfYEGanTAwghpp
6blaxcZr33CjdrOL+AIPiHMF8gdAZTjCdZwdqkHJKRzWOHPmQ55ZcQ1TbNpiYsDYK7/+UITOrQ6A
51AmBpUyRFmRFbcKV5wZqrYL2HQ5QB0u6CQ1syQYpBcvUrILYguDNNCqyDI+awGq7GRe1y8im+Qn
QR501k04/Emq0/X+IKyQTo294ug36/rfM6OECmzvVFJjExOTuCOd7AmLF2sbR7TAa/OoBee1XRiD
/u5EPZABVJsUB5HVfy2tSizMwG78Z0qYgFBUMf3aTKsoztSZPf8vAIjGSXkQYTWfFC2v+v0gWZgP
nAROliAg+92k+WeqkTsWyy65qOlrL6o3xOFapr1XxRgt6msMg35SjUNDiOgU8UWjCy2m+C6y1bZl
g7ipaJ7HHAYvESxw/qmFmYNF/GL7jvgC/SeIHX1e19lWIjlyVPWkJSjzD0uyJjAoB4pQx1SWGtDA
2V1jBtpAE+/ALWzi/rPqPcRVY3V/1VxMZlqE+Y8XdWXazFXgKEUgFLhGb955+zcmpnEIWPq57v7s
p4tCsuEyhe3KQ9+MGotRjRZULseQHLVTRzFZusNcWrV6pSlG6C/Z+W6jCCbNp0McVTwgAh5BZPjX
ikugTvwRWcgbx64XcGn2JBz6MS6lnW/sCQqheuNTs4E/4DErpThx7RKu9jjB8Q2cg1RKyXa2s1Jr
OE+iKe/wKNm35rCSIcxzsbrSU3UpN58WxChoftZidWlFn4H3wneGBbkhk4aNdMp3162bAaVB2DeS
CKmLYUfF3URQHehBqrurdMMApfMCU9gNrd+fBp74/0elFydITf3NZVzm0Ur/nPRfOciL+RIL++Za
MOGGcgUMcVQTZ8q+21z5zeNf7WLgYLPlXzP6vQPMfr/3Ih3PJkjpoQf8r65X1DMk/E8xSFelf0sC
5B6Q/klwzc1MJ5suo3GcaKJAE0ZJmmbUYI1mWpp/kkBer8eRD8oBFdKAZs7UWpaf+D3qjFWSAMdh
sFZk7Ui9BmySwRIosV6kDgoMrlgaLiMzTTFiAJZEg8ZkIr1S+6GnUMHsnuLXpGAzF1+IWyeOtTRV
XkCrgT4tQ3/xzpkh0m22knAALLjHWYGhLl25RJfW/+0GJMCt7v3hhQi5lopmMkdhg3MJDkmNxhqx
1qwpm+hxVgwrVW5v1ntgJDIvygzZzFjJytj41ZtxnikdKr1p0WTGu3+yu0cIRi+GwbqnXDOpiQ+o
U6xht4IAUHLwlCnpNuogk35v02FvMrX7iHttyrElJRRLCmHnEi5Xjx6LzsNh4v+MARQcjV1aB3Px
gEu7lU2kJYTwoTDcy1T6RURpao/DNKPFiaw5UkWTtP/Os5NwJJas53XHhLF+Ms5o9fEfcmjmaxjw
0y9IxVl1wuEfHCBvO5+8kHrj7Zh2wTZBm6YtbtYnexjJ8DRVraN2Vo9oFFAvEZmBnjdioe8r2h9s
b68ksm5FNESzrzfCkTyS32addcdyLN/l6jWuTzdbyP/nosTb7Q/pY855dng6jSVd2tuyqq6YmXNi
je0t+lNbE2t+l2fUiA008bziy+h1Kk+70ftZ7OgT5L+NrfvAnscC1FhrPf5uraq3AtbuaWNsY3Zd
FrsCwPfIR4lqRfdNdUkn0+jScif3EPqAbXgAOKWj6ZOkd4s1dFEZ+wyZYfwqMCCxXDopfXwajUw3
U8pEXTxFCcNI4jWOT/nXoqildZasVmJtymO/fP+7wDYaxRqzjnTxjRUJx8bOBsfapw0qZUG018F/
tjVmnygJjEiQSZOpkcuWG3HtLZKaTYS1zRv5TynJEbFGwjps5ydS3KWg7Dn0hrqWNiobM6bR+efY
C4tXLCAKIUHWdFUbsvXM4qEugTU/4j8KBUmIgWB0ffcQO+RV57kngMHXl7vGuyA9cCxIx9l45ocU
0+1A9wL7m6oRY15Q+huffEZ49+GPF60MfC6K2H6NsQDYHDkrbPv9G5CNx3Tqg2LlirxdqtwgUaSG
npEIHzp91LTO7aoSddjz+0GB1RfWCprawWrWe4vi/ycPrIZLlT+bKHTlhShCBzBnB49p1KcqV0Qs
xfjk8ssPrpf9cd5+t70pSML5PQpAX3XJJVO/zZ7a337/PQkLJgmrFoOmQsIqWzp1TqPlV9PV2Bjg
VhvvqAxct8XzwJjP6die2Bt68jtcrLEvSPK5ALQ1Li+frzpplCEdPoR5XXKjZ14EYIRJIcW0KH6U
mUEub4yugX0r5yPVvJ9kSBXgLkQaTDMHR8EtB/T5M6UTsTe3otULzyd5w7AMeUaPlOyXWXv8b+4j
J8yNkL3wjuYmwpGEZGdDMr5d9WfUhpcVRKLmhgqltR9P+i2+tZ+IderUMPTmreQ37or/LfuLvYuc
kSxs5iQsyIRbjghFHTvRdmloGtvalCShYbrXue1ui2yfhHlf7ORODcf4HGCc7ZGZga0NAcSqjkc4
CmQCoBTj5BOuu72lGuU88xDBtnodcoRxqyUK155qIOgNCgAhEoxQZAQ68kYG0wpPAd4sxtyszRjE
ioRWmpi0gU7TfKhJge7BLhHsO+Gw7K3jDe/2I+KWLdfeeGNlthHfyep/dP2a25y8J3YVlX23sz1b
PCTuhis82SMUKLVvhOM2ccyEKqEkuXbmLLr4EaEVQCwIaBYYyy8EUv+Q5eIGxrBl1S6fQHtznrpm
wDwar1HGYnnLjaVoC6qko7P5VEsiy4BvXf5tmpeNBuD2ynP79v5ZgVt4eeuQjYnX61LGMVYoEFSR
bUG1zyuMbvZC9CAMoC+CnScm54G0jF4c8nc9XFOSkEnV54LtmuP6hWE65XNTy9YGll06DW49EsTW
q3MAKakmGda4lG/YZ8xkpiGHpmTi76NSWSm/3WoqLOMBUiH8F5e/N6N4iO0DlJYeam28EM6pmjlR
fCwOPe2JP63d9lSzpLXcEId9Vjjemcd4Soo8+L/mlzo0Ak6D9AyaSpNw94g92ZFX8ux7XV7zFGFF
Wd+f4jl/9DPa+A1NE9n4+d6fpukrfwrKWa9dnsFxcNFdskBp1l6NcYjBjbP6ZbS1/z1dPc1r6OuK
vWa55mY2sqYZp+FlVGOiqR2jZr+sODoZy6fjbah4V6WUWpFDMgn2+DFs/6EQ2C7Qx+ZxDz7sWMkw
V+a9q3MUH/oPrumODfDY+wCU1mzXNNBnZ5fUb45k0DyNjRP1jTCuLyzDwRh8MSwaIebM3QfwDKio
8PEEU/jaLxWhelm7lLmcWVT52ODAlK88BwOTRbljEeUu3Ow2XTSNrGcSeXlEPefGtW2bicV6bvoO
CxLq/WJnmHSvQ+H9cpD7mAVKqnEnR81gfAt/VSkLCB8AoZ9TzY/xLtYY/4xKuiHhUXYafUDoPRfv
FVxuW62fUVfsS/Ern2KwM1ENnsiLdlWBrR+YnT/yCUJycF297r6zoBVv7cMkEXFFMd0mxTMen5bw
Jisfd/DUF0OGn51Pja5uYEGD8PdvsY9YgDHoKVW/IWDLISBWMsYXmONoHD+WuVaHxXhNrtbYm+tW
gURhhJJ2ZFoDfPvhUiEcVIS2yUw1+9IJF04uzCxXMALSyyNUvKj6G8rhsstYWVT9Z4mvWCFJdXqg
pCD5bfM9AHop3tyBw2E/S0+q21zhenpD5dAZZpkZFcZl6qVsMlAFJZ1srtldRCVp8M/PRHdEaFG5
fW3ImEVEWGHcL+hGW+YZ1MxX/a6ZZ1fEhoAsGR1iihNzCj/mFllh5l7MLc9lwQ11thExJZrBuqVN
hLC/6cYdlPidUIeGsIKeJ71KcNt+Ve6tf6V3UO0dccOOkgnn677UQ8gVkDz/PDvWaMxblpSTDHaj
CcG+CAZrdpaIKvGXlr1lVzztwQPbCiWIHYrn4c1GiiqpJ/voQY4EJ7dx6Ql15W0jwsNFROSnBWL1
thpwvp5mzgLqRQt0F7SymKKtEWLgnrISfvQItZuX225MeQEXasP+j9FkJE9bYfbw8p7/YQU1/wQR
L9cfFMfIC1kmprAix7I/JsX8pOi4VpHhjSXWEqPeB8qKLuDv7XWFS1Y6K9xREG55TqKhRtrw77v7
PaR3b0nwUObKx4zzWjKadsq+PJ4gcj/2eyySGwR4M3l7F5M8zUAwInFvHBfw0+6D75ZO3VDU+SMm
g76r+hwXDc3ectSF2lApYBex/PxZ9Krd3SvWzzTGmrFZYKc+vhIltQ4deRmmAUZDiyu3DeE90mRP
zeW5H6KGCG4sqjYoO99WxhVsoPxhO7Btcov5kO3rQyCRgAUFW2Fb0tnWCjUt4EbJxG8S28AnMWzN
MBWWJGxWePdXHUj7iYtwDYejwzG5bAWgjxKNEzSBbBKQGI5jr8CciVrz825CNRv4J3oudhcAQ07T
/PAldHhb090DGIurf1KZ/Z5VoXEQQJymOArelhaXWzgGWtFHVgpYMTxXiHjW1hkyzdZyrc82AwDf
CNZI6JJH83KCQmVBEk266vHEr8JOSsN7Jl4MYsd65DKKErq2UyvpfquYom7+i6yezS7R3r/pHJMK
LB8BymMsh1YIyq51NUcRwmuyr34OiqTwtjOkMwu5x5BEo0SEV8VnIAHg5iESFMcy+UcPRuln4rNk
1S50fKdnvYSsCXI7Zd9J1/iT4GPPWniHQC/nCMZw9NGP3arVrY/ZsQ+DZU0A9DQLBmR3ZsHnfbtF
BdhZAU/HU1Vf0klNDuWnItme6EGlaOZ18zu4ttlUz1taC1SwvRilrSqcxFN/nbmlKCItP6Sx4Jz6
2/k0hEHIFzULrfI70AbFJi55DzM6WPYfDARG8B5b/8mBHvla2Ca7WFmI4VwlGcXApAqxInZvnVkq
sYT3XY3yLc7kjCHp8C1Y/Yb4ZWmmHCIJScnijpcelMn7+ma2RigfKmw/4buBVCs7aJzhnuMEaRiQ
oBLD2hSPU9TuzraybHhE4McyzoY61HZ4ZMEJ0Zam9/i3XDRXsKUXcAkmv9BuSCvh/8ceDdKaX5+h
ka83bU3Z9hQWJzSgHQSjjMbrOZjkfZECPzoKtdLOOIfVg192/gWhepj6KmxrweR0zfHyxiE68/qX
TBzDVwb0UC6nKIb8f4UM2hrG3fZMG4BmlMI189upioGFqFLNvXoSMEBzeCJR5XrwC+qvq5gYN2CS
pIyyLeeQyC11+PyeyF6Mb8wpbVHJjSI1U5EKlZAKanr/4MvTPSGnXm3dtwLh4EZuYI2l8OoWUXvx
mOcAgupb8GewKQpeD0RUkABeX1WCPYk2zhcsLSeLN0nITMUg43Bl1POGK9kzNuU7+LLpKoErbGQH
ilJBL/FU8RrAASyIxKrdt91oFyqa+4roQp7HZZGsVKjAOXnXY+abIzX3Jg+lpScKM2iiTwJMhNsO
Nv8PsMHG0aBSVe4vOPhEMgwTQhD2uT0EtuiUfd0qKcFTDdquO4YwwE45mm2XScqR/F7QPMgf00fD
HKORXOHEelNM4vuqfKBlU2ag3+reHn5X4GY90PZkgfZ6rJeST1Wm88H95toZE/D17v3Qg8fMZ+WI
7tetVjTUGnVd/mh8z5v7932EPAMQc2c/c2uHl278b9HUEBtHyFfEEjsW4YniPZYJqU6oeW1cWGZU
LgShWtaGP93rcDyZRfwouzGbNM92lb0u/p+yKqRYWgPe2gidIFNHPvhqxMCE+iL//bGUwdlaaWcI
cntJirJb6xeNiwJUXf49200sIeT3ioylVKTiGbCZVeMqfl5ybrv5lOsnMztu0h4eHtI5X5RgfdF6
slfS1aLhRo7w1sAplN36Jz4sXIlrJSS1kfY4V9nng0Ok6g8ExX2ImhhcW7nG+15Z1C9fKFXTzf4d
p9BacOU9TZ+qlZDsOoZlN13D5W0tgv8HAik4ujdJdqTgit/MV6T72f4mXObP7DUUYdFUudWR/zLd
vkEKPFUqbr5ZyfTZ0mdxsjJnUvY9nh0PKs2B+5P30AzFcRj1aWMqwcJat2gZZg5F5eD1jl/sQgW6
HkWakXh6c/6Hx0nAY7cdOrc9g1O3/Qj0lEKbFWMdgCyLulI7xtBdMyUq/WRCMXfQE8muedhMB/0F
LAjylgcFeKn1L5r6ZgdkwCOqHw6XmhfRZRdPMO+WJXQIk6AR9qdiFARlAY4M/zyCjGrJD1UL8fZv
51G5AzWhhoGenbQ746eTbbs18acSB89GfXjt5/jS0+J1Qa8a7nj//4BfeFd6EfMvxXlNOby1RwWd
wWZu0XUeq4tzMJEdfNqp2WV+RmT1XmknMuoXfTkKUV68RNWjUgvQtSZeypHBiwIXE9M/vWOFFZ12
UL3R3WZqL6v3/BzkvCxpqxr+VmGz9eq+UEfxpQUQJXWysv4l7NIxNJ6oXQmV5KO0L49AUWqWd8Dm
yVOYUJ3dgXroSpXyow2G7dZhqSIy6FajFNod3DhqyrrFPWYtVVJrx8yimAaqHbDalpwPKPEHE7MY
B4qF8KFj2ZkSWlLF0qmvH4XTsAW9DDteeJQIkv56NLD6Vqee2I5WlKbgd9+ZWy3XDq24TwOHFjeU
r7pc9fU8T6uKfZ1WHdPjuzTvG/sccOsvq+JUEz2KkTs/b25YYLT98GA63IvY20l1S+IQ31hFDTEn
r7rfmcL1v+ggbj1ROw4P/l1eR3/S7N5PQWeWLAT9Fmi73xACqqntk6JnF7ozhcDquZlR5+M+dt+/
wKOWEqKqsaYnPqGCZkFBuTHSNNCZWFf8zXzpsqfQJJpImGhbviNsa/W1MY5EtonmdJTq/BTnjT+4
XQg0CWi06cAJX2CvsDHuLdIzlOdK7nz8QWJbUsu61ci7cd4tAsyk64aza4K4SpJI3hq+3y6KPiPd
LE+BEhDkF0VLVFXxtVk/JiwK+PXJ6H0SSYCaC2RWrxl0feKovQDDdiEgMGsKtqiOIG93WftuLhdk
8rq7XHOTWft1SqTzvj/K7hUktf7gCX0Fu8MzXutsqwI58OfVtROuBd+MIUfklDH5H2FoKWx2eLqx
rzh1QChvt1DRVBCirF2mQOgI5gmYQjgC0+Eb6S92dB3EbOwLR4nKMZZ1z0B5yhLFCexbnR6MJ5bP
eLJRwjXfoILZR1xJVV86aBSJHbWz7EBwhfxH0o2jAKgrShHb7DHBfKce5TXLLOI9g8dv9Sh6rpAV
YBr74i3/E4CZFvx/0hFVPwYaZ+cEcLJO/h64kYnAHuXLVq1CMiev7izwkznBgXXir1Hjp3I9XDxN
3VFHxdYE8BrYSnVyFgi8lAJgWP9dSFgYpfDVeUopTXfelqKbqbpxWcUe84MzJTlR7sXZROy4fNDH
bF0hoUfzDsOqKnqVC6rqdPT3T8QxOFpCUaRpoUYj+AKQ9CqT7g6c/mBZlsvbdkez9yQi/rSvSVjk
hDe2ROv+NoLEG0Ezs05PVGJitjh+O6qcUVlr+ehHdnkJxekCbUozNPyAHKsghwGNEK0ukE5veUrb
ybpDQc47XzZTDMU0aecdfYKBa1ndJapeS7TpK6GJmpEMHet5I25/j7hKjgmF+B1X/A0e70pmuog0
nrkWPJdrxe91NLYjX5ivjkEbd3d5MmAaxl7o0n0S6Jp23mLXhAfX34nhnnafFO0GFCyXfVCaojO4
5BgebEO1sVmrg+c5yT+RWGOrSmW2RKboLb5Udrq7RQ57V6O92Pee9qEuxXMtylk1m1/RwC3HG37p
/hwhB6YuvptABJ03TG1aNOb+Vd+1dnVzpBgeR/GWV31lsri09m61o1g2Il/tLIcIuV7nBoeVIYtw
Hrq1zh54x7D1a2UGa7MyR8WqYYgDUkhaYrAJqO0cJ1J8a6ve8hVMkP/Hs12iCiphNyoVrhRbFfW7
T5/2rAOM0WbwDxIfuk8bB+NDphM41D869W+HBER0AIFSbLePW4Bu10skHP4lRrvUPc+rXurIsmlU
dlCWfXd2lmuRZGW2w4iJAjQrst0MdSoCaZae5MAiSJJQ/ajrvpG7vuB3oI+lAIEGJWa0dTM2+5DA
RcgNbyVA3t9jItNcG5TxS29Ir3CB7YvlaKT8Ft5SEhoogZqsAyEY2YA0YoM7SRDKSbt7UOuN6kKj
PSprAa8/pIuqk2nHsMMHCIihDx8M0JRXWXzB8UKql47GULdx14XCQt5cO9MOuZNSsMoXyqB8hvH+
oeyIRrlkFJPFzXU4BWFzI4vI4gR6G6lCVDGwveWoLD6SuXJ6PsFZDVE2cVzplFkvp2WFO3kRGNPb
tXG5KtQEYajHsLYHPlS2uvYUmhwZ9vbT6AiWOwiEHMyeO8CsEQMGLIbAn+PNQ/BygxORh5lvGbfh
RYXAkFqWRKRYouYYwJ/KE4zVolYAwL6+MOXgDfiLwybYbhFefI+lklXVKZa9mqvK/PbtyWQNWl95
uSrVlO1XBysIosWHOdpXW1GHZuKlTNSgYYOuoFr4qU05O8EifnaNgHI0wXIDHwy7oIfMC46c1LAA
BOSocjINzf/gdTAwzE3C1ZBSODzt2EEXtHH7LhEuqENe+Cdx3cak442iwaj5ikqq5WVH/lAiw0Me
HiBTQM3/44CDj2xeZ2yDQg7GI8YIWh4V+Eyop1qbDuK7Ql/v9aO5zH4FZ3zInuBfvl+kK6iA6hTM
3ka5wQyYl2GE48Y9X6JM7uXVLdFSv3HeHtVXBmBAoclmEDYuJcfYD0AngWWJ17mZp40c2cdlBzos
qrMltBDQyrauebFN8+NxRw4tIlQKcdTKedwIlU/bnJFLunf0hYvqdB12JGWITJ/nymsNB/NcThUp
etIenSmb2z4XxEDl1fgND5DolCoBKTEKIFhcLQ4tfnNECVIZP1/BUzAKrWeLWHswXdpzeKucBx2O
9nCJNoaGO35pxIZDVVQ7qRyqP3dPsDkKfQ7qHFi6SrWEEDac3qlNY2U2SIwo5AJnadH4RxIGu+Ne
H1HRdnafWdYRfAa6uiopiXMtXP0Hs8DBTMskK6CLsC/rwneGyx+SkBZSTGMlsLhSs24tbhXhD+dU
cqc0KLhYA0z0G8T1aiuN4OXvsP3saE+YTaiKUBOj6gwUJeoNm4A50chAf8+rxsDmgMPwzvMk0Lti
mgmdSKaCsc1Bwj9AykJBGLfE/l2dA9mJWHKgMyzaiClpqzDp6BERyjYZwa2PqEz43S0zbvuYneZm
r94/RP8VC6vIqjLyL/x2G/EFElJ8T04/iBpsf32pVvDmF0tr78hjs+GLjZf5N3Jq+J23SjYLmS3R
8FSATknUL3SAd6KSdLUgEhBsukcPA9IHIOCOyhDpLxiLMfhnhHku6tUWH8aN7Oe5P7Z/oojVnqC3
Q19utUo2g29FAAJ6Z/leOA4zt7fRFLOdNza1+AGpfhkBp5Vx3JEiVMXENWlqHR8BoVhB83NVsPGX
g1wv6LI1dTRvDLmqVBBkE3M1ZB4qxq/XsrEdhdCfsyhoauvRNQe0Gu5wqloAK1Qy3/e+5w72wQxj
MatfrlS5W9v23u43/RqUDRCkAZ7Z1YLqUo2Xx3eCo+VFpLeeFL3vcgHITzGVmuVGI1tCTOBFGxYt
+6vTRoGgRq5QkXUXWo8tqIGaSx4c0LYkO6FUPjF9ZLSv9vbCPWD/bFj/PSktPaL3qx3e7nwhq8ec
jfnGIdvJW1O0H4UhtizI+3Hr7ODL/R9FoWJ4YQydC0vH3ZRrWf9A0Zi9xvL+c/WEcSvF5zPIo55P
TY6Yk3rwW4jwwzNUeVF2ty9VGaE17M3AbGkugQS8awLV1WQ72T4fr6/Rm2Eco+8mfwTdDUxZ4TOt
HBHElmKLIFu0C6HwoDtt9+hkDHpj58JZGeDJXw0tzYDZhXBMrE3RDiCbNeBnYo3G0b2IknCZYlgX
Yl38FptT82EGfRZcNpqvmloxocpat3GWYPFOHr/9zcNAurzwrOtOdfX5jZZnW/Hnagn8CpFc4U2E
2qQDI1w3wxTabG+L1lUfoNhIYUbYscm8qaPvlrtjYRqaJ10wlMeT7hAu7YGNgDpVec4HrPEVCL6D
xoRgRzjNCI2p9OWkeR/nkkWVrEJkm9zWcICg/b3OnEt+U5zTjZqoss27WoJfh7sPXViT0C1tOhgQ
sA+GfkyQKYP/atg/nw4mbu0qXl8UerUUE+TrlM57DzBb8vW7lWbLj0NSBprV88DwZ4qxyeQA1XRn
aqVCF8OdpCM0UxtRaWrAx2B6sZKXrUkB5N8DPAUCJ2sGS2Uxry5DA/IGkXTAlMG4G7xy34WCLZf+
QZIQTiQqz/tAo3MojUXlJ7XkB4k+jT/HzfZ+q6potlm3mrSmkZ2LNS59o8Wo6Ak4eAB2Q8FmkjEZ
q+cb6xlEgg9W8x63SlZRPKzRinUWudaSttZcHzOxgSsyT6m84BpC+uXSAvl6irfbW68YaoaB8pHO
GAW/88bJ4KBlvPy6JQO4FuB4fdz4f4jZ1CN5jFFkhsfkK0Domt+vHp8LtIVeudx19BvD9A83Jk+d
O2g1YGb1U7LNWmHfOC+Q+WQfYzm3Xp65YeqZHD1zH2OEsTCDXasn0T0NBupgdpPGnEuVP2nRhwxT
DgG6FDJ9CD8sYCfrRhxqRB44uWCX04JNXYfdLflOX3D+pAB72Tyw9EX9dtyQdSc1ikXFIp02zNj6
Wj64QWc9gc7J9T42AYpb3YZn+/hj/quQbStJeaiHGUyIe5BnldpWgW8qcIHA+Hyw/lPcE7EAUM3T
HhHjEiJOD0f6+6s3ld77xGx1yHu+tUFtnMSzTLJv/UHT7d6mEudkKXj+3WtFrl13eplrTZTXG/8q
MRat/x4tRfAdgA0zAxhfCykrzZt9dJbz4vK9Rn88TfaiEmBAPBi80xYsl8cwCv+h68OAwbR46sPv
ATHOXZnklB3mYtC1fcbNyTd2RSQZQq9GB4NRZS000TPMSc06RFW2/omTApFi7DMiV+kVlL6SgaHz
Brj6kKX4B7lMc6CNI1ZSG7r5eP7O7Grf2tYwRge6bvp2+ZPoYQ11Kda9m7er2m2Ye39MbbBnnzUq
2yYCMRr/n4WqhNe4sg7tn5H8H0hbOc0uG/35uX9ON4wzehv/BkXKgbQsrnio94zhQv3c2BqlJtCu
j9DOGG9EciQCp2XRmOrpR+I/qQPha0FTnQvb5i9QBQWqljZKhx8EHr0zA7I22B972lWe8l0tVJ64
bpobubi3TGIusvcTwNGBnnJu4VhmMuhQVoy0y+8EhfwTIhY7G4PN6Hd9ERfg7WZIcVbNlFTDQpZ2
8WMliz2no9Unemf8KDrrHBy3Oot8zqicqI/eTLbkM09x7+OboPkty/LsqS4LcejKtrQrpA12DDZC
DZX9z4oDY3GdQQF1ylvaJnHy6o9v+1bHeDicbtUn9MfYd7gLVQ6pGpwwvhhANCh8FqFNG7Aunsb4
vyX+uA+rdtFEme/PqKqemCV3eEeQPe2YdV9ZOAhBdhRvMDUK8sj1ag57nVGNgK4enS+3ytHqWRa5
jrMAY6X8/o9jZ4yTJGaev7Y2JX43Dpva4Lpttpd3CE+iN+yyetP1e+MMjR1jQAwxaAZuPoe8uh9m
a+9u9NFmNUxan0r0DO9jTC2GBMylisCrdp2fn/OTFNQzP70oyGUpKhAGar6KfNvdc+IJgnaLqe0U
82vIfkQuujzShBCKc6WkH4VVN9Hp893nt6/Ha5dAEGW7UYrMMkNV7wWBuPRd04MIqtB66jT+LLPL
oOCDP5koULHGiI47Pul6YOD+2SlLJVjR5u7cUfU8xTwhLuyHuHxOmofX5uYetrsb6+Kbc5fL8Zl8
uvYMLCQNx8nsKtXhsHyd+okjS/O5Rn28VQiciNbROsYrOT0pIXz1v1WQPF7FADOvUhm33ST0Vmh3
jp2F1svnbkr/u+n3qR/xFj8kqXzg0h3sNOZ8K+1BDazzAuNzwxsbdSMbV1p7RTze5i+HiG75a7Qa
UhV0lFXYulY/LY4LrTIPC7c8U7IoK5HXdbaYC7Yar4mAdwYOq56RpELGzmLBzoH0JIT47CXACoLr
YrKvyjwWMEyGtQOB5owEKFCP07g+BQ45OUkP7pAqWgbhIP5P55rOlJ+QvZETuAZh+nZSAgWFBU2s
627IP25FDEQjq3ypM27pc2Kiq9CJkH7nix9HpzsUVe3sUYWinQRE5dAaRdo4VEMwpr21ceqGFdN6
TNaLDW7ihBvbFbAzM4Roz/5DjatlUoFX6sfFeRTVmypoC9TwraPzRY9fdtJuxGgH1pQ2/ozeT9aM
LUGxDYQZw34g3v1j26/Plhd+E0jWEpx4mKLLroJ7G0D/S71HC0uLw3M/G3VoTEkdp0j91crmb2pk
YaIx6u3FzdIx6Hiz2ku7ud2X/CIbxo4Av/0iHs1qe9SfCJ7LZlxdp+OH3u0Xm2CIp8p4Od+FJ0XP
S/RfuYiVwLoyuE6Z4wlN3XPN3nPJzj6JlJzTtCl1lJ3WIKt3HfgBD6CVIgEU+YG2vfa9kAvwvjn8
xwbnEKFkLsqHPN+p103E0gUeviUzg0Dqb03fnDw6+twgld6RO/U9HE7QC4nf4V8VyjSLiGEipUUs
r8x9HRQhNWQej/1sK5if0RrAzg2ZVt5RDDxn6t5qtD/nj9GhmVb9Y6oMGRJprFde6SDOElmGTbns
dUITHpTNC+ZO14GcRMgcK3SOFtQczYJNQmSwz0jTD11zoos4TCpPjoBILzf/EvKV8bKO428lY+1H
wqrWNe2Gq5JhQoEegpngtrtksMwC6Ww54GyZYeYTG4xz6DPzZGW9wdowYwAFwA6/N9Pwp2uo9xjC
Rg3OqUEDFTkkFfl7JCtbpx4++gcQuJttOuEk6mpzDpblQoEozxWcAmdmq7u7IY3/3KucAQxWVr32
cdVfgUaYjP9je4fNNL3guUNCzv9C2UO0U2ohHALiNEwn/cKihqmm8/1gz6cSPvXjsmhe3Y4gQ/Z7
QPwo1ytHZpjXcWQGgcWB6KZZTkPrVvyXRYdRE+Xy7UItnLpge9LHhHTYr7j92tiGD1UNoXvxTbV5
lveQjkFREZyyKEnXYBc79o3BmwoS9Hf5gu9fKgDUKfNFJXpUQFrX/sR0N5youcILAfEZBDDJ8154
KOKUFuDbUI8BP2FNtQcJev4rnCF9eidwzlxKunv0hVPiBRP1HDbZiNFnDNqCiPKbIyG3RbiuULkK
gMIXendETPgzgNO33o8/gSLViTF4IUUCZExRaeqrOrSmGivQshsiRUlbqHM2javkKb93lBzAd6uL
DNBD9BpNdHhVn/x6Eh9G01cWhjWJ6K1e2olz2UOuEyh9nnUSblTdoEQf561SWPnT9mfVRK44Bxcg
OOtzRuSagY3KfeK8uI1IrhIxsz4HUlU8HIyIWxGiWiOhnW3dpDDcht7COrrXyECDOtF7xtvhVu6Z
NTl8fH59eGElY2j16gonWi+y6kZFcREAl+WrHY9TkPexRLGosUXaXtB5/pSYfeiFi7KlS57PnaGe
0PE8F2LyqDbhqjP994OczeZlWJIru0fu6YlbM/kim0PM3cmYb5n64p0ZW/1D+l70Oj+k2D2+ha9T
3aEETACoiV1mFwnLMXflOBb+EQdq6i7I+ySuI7e2f+aAW6gr4Igv93V50mcb1T8krMAScqBDUbYL
8PaZEgHKsHpBw8ZSHSzne6pNVQ7bislB9gVZg5UU0etkFipPcE1RBA2FCkhP9PXAOc2i9sx0LUtk
6cizK7bu5juCTieVZ3Oktaluzufv7NjyZCtppSxeuAixqyv/xPnZxhpD8nn9usX7G8pFp+Ds6jX2
0LfAvxvIQvKq8oht6B4SdwbawSCmWtZhk/uIjOWj5qGmW1N/edZnHkvDR0Ac0RQWnp50Ri+OFIdZ
w+sydye+7m/hS9oywQEJJQ5uKQ1bihhIJodfK3TaWxA3/q9YUVq0dCq5YRaDqMWUbVwooHvCDO7E
KerAJHo3ZxAe/mJxg7Xii7GeWfmlZ2xsWhekdhweHNqvGGaoHHhYtnIk+O5wI/tAAzc9nx82S/0r
bD12XsUSPAC5Hmc35SJWW9H1gt+dulizvy4IhPHJKnyOMr95HR5nlCA/pY0B4te1o+ekIjl52XpS
TajSPzCnY9AbSji/8GI/HdlaQvZuP/+0Yj+SLtmfVsdC5mgwdMwdH2qVSdYdt+lz+mufZkpijbcq
hNylZzvV7oQyVlDz20giIYQi0yYOvZJKx0S7KmeSoQe1ac8/QnjiZurCJ2go61Y3F17Zq9ax8tAg
5/WDTxCQNm8UBlHT3Le66QE7Pvow9AdYA4QZJ8O41xKc086JY6nCRI+ViVprhs9P1eZxCHMEl9bF
hcuycErnxnNpgQCAiH8wZpKs/IAlhvqVgHUa6uVGS8VD8Rkt3CppoRsq0IN36kxLQfKYSzimpUDy
XdEwbFOfdy0NrUFNoy4qiMYhn1Zhg4zbrBTGQhy3wKy6Tn0qNcFZsfS+4IpfQxlcQWyqTAwSt/Hl
8Lv+oGRTV1RYwZ1V6Zy41vE8HP2KgvwF985Ws1+FJA936ojVMpxnxNepYHp1ntkLNiWp4vCv48Y/
ZVqT/tMcpnBUB1XernrAp8YCkBvzqr/l72yVfhGS2jDw61uaQQK/XDR1jTZ7HblaTNP4qwgJleJ4
CB4qXtGmzKaGQGguRteESK5ynm10Gy2frnnfYY8KD+u8fgPlmo7/LuHtfKtzZIuKM7KlLHGEsbH2
4VPGUlJLujmUeQGr4oq0qmXNx78cvl5sDccEDpCo8xYJieqmQ4WrOZ5I9srX1NUEvhVkmGmoSJnj
XEWOovEnmIDEx3FqJIi6TSNDczQHT9vTOOnwaYHX6db+ITqwW0KBpxzZk7R6SjcWJ2Fo3XU6D/d6
iiJI9w8Dix3q2/9qcsx8jy+tk/ycnqDDh38R0Y4pS78ZxWXCbn9iWIY/6bPVZtz+hTc7qolaXvmk
wpdPVJv/u636ZVe60+XSnP5WiXWoCKc23FOLrbuxLefrGGLmvZ+HDHU7bKapozNR52MBz8EA8nAt
09iS/XoZy1TT/LkyAsfo8+ZE+7nEuqwDBPuXWPA2peHOkKlZMAfF10zVknza/8eCw3VUcco0O8rH
dZJW2jKAsD3Gkx41MEBOhBFjaM7+g0U7cKjMCN2qMKUvTKUYXxsnV9159GRSXGsbsDJxllLl1/zC
B9JdFrWKMHNOkFIErIYoCQqI/4/OArv5YNdo22vc56hIRtmj5VR/f7AlH089MqQb85xAofba2MJL
EGYbkFyn9Cw52vS2PhMtFiaHRTotBnd+dRr5ZlqFs8sqo3a1JoYsM8TBi1bEGt+c1DX+1JCV76gL
NJ2Dk209hYTR8DvKmSqKlub+P2NGB1NE6ftRILLlXkLgSzV+dlrFjCXgiySzJpuVMLMeDnuffKIh
rD2mQqwh6OIa5VHc83GK0yueG+EPj0TY4ej1T4ZsnmTuOFavUOw/wRsMP3BKRc1UZ+OtsF3END8W
4+JaWyp1iT7yDoV1DLPa6g9QZ3olC/09ENplYU9MQ7ws3Xp9CHBlyZ88OdxKKS5nYVCEdKSZlg5G
W0pI6Z4txTlONxbicqUthQfl/H4dz132m57+/xwVYosAU4IPaNR/7a+ogrt0g5tXGJ8tkPkYqo+z
7YxIvZ03F4MMn5qMyPwnAJhH6I8d2YaOwTk8Li0TFs7lTX/x0HMyhQROXSELiYAu2YZUQ0HHbNw0
6diLkfJzxH9r/Tx0ao99HpwWFFWGsvqvGyYRco9TdeP/ZOd8E34tdjaqedA+VAkMUpp9+PrhY/VZ
7gldzTfc2SuNbi2AQGbDnAiS2lfv/O7EULIS7JWaH9a3iAIBPozM6MrgbQTmAqOrqg7HWGroVGIv
odBCL777RFHOwIhfos8Ak5gHv5/C45CofCw7H44FySxgfVsjNIbj/pmlImk2x9p/usVQp6mXZfPZ
obOTR4NCZdhJsHNg+ZBI4LAgem8ezzfXBq2tKXmx4pt4ZxoDfQ146pVAGySSiswwn4bx6AfqJcB2
ITkeCPivFOJw2acr0TNuA3qPdEwUxeCD3TPbAu7RqsdYdG4jpP5ZVY8mc/wjji7LEMDNNwpUj04d
kEKYHxLw9IGjKtrrdbiquHOjJkxpdR8hIfgYQLep64YBGmJ1/duo4QfN5yGs8acrcDM8Wem/cAQW
ORfCAEX0R+7XRgY+u6tnC8UxcN7VInQJ2jiXa29RHnkpo21N+QK7M98efwZT7X+Qtxcn+YAosZ2V
CqNaqvQeV8cnwb0Dwjyz4sdXKQEgj8MLAmipSPGC6/AjvzRBFJwiSgHvNjBPDLt4i6vLmsYYlDb4
uvqmsscn1PGB0D/TJHHpPqMQv4gETGD2xgEgROkVWODCLEoSA4KBKKZucCAFk0ZjeppipZ/wuWV3
2njKL9cC8V0dvLHj9xzCTxMHdTwlSWfq9gOFeGDh+P/AwpKi9BmBGNJ+BeU6GfKRzdbE8ZvLUD5l
RRRdeFjv00p9wR435Oq2QV+pb/OPh3hnhWtGjXN5bm/hrQV35xDMBvJYFaPUo0YS+0MQCgDSzR9K
1XgiUg/GPzP1oHo+2GQqt1OnlTe7IH+ELOSmvCjTG6h7BBE0faj4UTX/Bja1J0RlYnMNcLglEPUj
RA39DKV2t3Qjv2RcNrEXqmmcQwmg/SNewHFY+/3LMZJnKufxr5OJSSExkpwUn1W6nerItjAVLVem
dsrDQ/idB+IDbZzrQu2WZfSOGrV+XbyU05VjPZ/xYQAg7Q6F5ItyUCXUKNQgDW1VTOBEShmm76w6
4ibLDguj+pKm/zXzzP5v+Q7UA8lGKsjVt/mw3ad8gum+32hGKqXAAWAiMF4yRk3DoiBq+Ck63K23
NH8IujnbsS/Viq5NU8tA79C1yxYKO1ubiYAZVIvvjWpetJe/Qh6ndIsqIOpoe8m/znfVqi2ltjC/
Aivse9cdssvwfIUbY8/m+vCxg5Uvqll/AKOF0nWscAwt8mGxFwS+mbqj0+P+hGXaU37YrfZ+dw9Y
XVqg5Aib4zt90MIACbTwCgn5A4FAYPQYuHYexOPiQQ9jOjg+gcGY2/qjNYXD+2y06rSGNdqjbZBt
8m4k8MHLmsAH0gyRYejer910l/mIH6l9ccTroxINEZ12KSfMrsDFJFu4G/QR3ImFgeYEvSP1lQlc
cQWf9DgGoaiAPFPb48fw4jRYTCi1ERT+OlMVa6KE3AUfiasAWsPzVR71B/bJwF3H8iPOvjxND4rg
ge4mDuU5Uy0G0q63bjqEdVk5LaYVN9hR4vdqIC2lqJg60PIDghQIbu4L9/RNiCf4jPOcEBTMqSb2
MS7ivkEEXPVdc6iPe3u/yNdcQcF+KDP+9hf9uGY9YNPkJJ3B95/isy5mEIb/goWon2UO71ki5xJ7
uwZR1DZAW3GJbywvmqqbhs/X9E5xzFmsNTswOq2u+LexJ42shVqf8XlyE6M0S6Gng0bk+5KI6HUa
wYLthp/ZCtHQkD5jMT+wCxXcqgxx6Vb7DHSNU76qO8zXHhrEXH27L6KLcLM0+g00kzL8TalXXS2S
aDAETNASjnTZbn/jpP3PfU8Jm3Pso3k261gwH0tzpW8SXhandMrFOMT+vV/cylO/ogBPJ/FJWOxP
Mt91rb/ySedSRVUdN31E7lRLeO6ijWaS72dlcWmrvI00HtZMcs2rDE8CiYCJsDhpaJ3i8EE6M1OS
QS4wxOcz5w8P1RBhGD+x+HTd0OIT/CcFI+TCQQQZAmLJ2HeMj0LvnWGuwiXxhUnEKmagHET+OjrX
D7EApaB9Wqh+XCiYBzfmGWUT4T0CS5O607nnPbINDNoQNwDKXth/a/dH7MqDpwV9WRgtigM48V7M
hr0EsFFVZPycr2jNUbmlu6uRVmUkCRdwazxLNM9I0IsOXoHdj54CTA+VLPoT7GVnvNG9a20P62Yk
yCS/u7oJxNE5Rs9gQTMNsLWIvQJyfI+GGnAb5lJ46e4RgNGNKNea6JoGpM11QNM0NHQDtXdSiTzA
qv2usaSF/nr+JYE4c4hhuIOUK1k2mWqOQmJcoZ3AjjdoelKk/HXXwX9EDqiPwhuXVVw/PyUQaxQY
7OQHfVEdVny8UdOcOX1RzfFfjR8HeMmerOSEOPjm9PsD5S94TJsdxuvAIHLAuboUp89n5bojQMK2
nYoh+LQzwIoFFJ1P0fTQtbsAwy9YoccUiQH+wkeYw530NSIVuCj/0T3B9k3+o4T84dkKMcyz6tTP
zLhG744IjARqKJCehK8Wlhoe9312p7Bg/ld/vtjKIiQXyTsx0HdsDO7JrDiFRZWpIAmfu4MyOa/N
m2p617OoHAO/96I6y2KyOpMPi2wpd92hyT7wskcLpcXc/3MAH6Xf+LYUuMFQVhQ6y9hN+y7HEuMp
B95oUPvWowdOeK8T24mXkhOyHN3GIl70jgNVNPjdIsz55ZwbRUnE1D8ioQ4v6noBnhih5d8kFL7k
QGxK14kf35BCUsCsGN3uAPN3sZLhb9ijxkjnWC0jU56ozNzcJOFIEGbShH6415CqPHWvtssqCFiv
PJ2i3Hz4DqBzczZiixxdofSHcbVFnnN6gBJ+9qbudWfR1p8JTPS4jwURHp1/C2ZXbdhLPGQzEmCu
5OSmSo5Frz5Ulam1MA3ePrZp3y5+y3rEaVmHNsXEPVqTKtxXI+6rWMmUANCtMzMXyRJqF/+qUvQU
dZVQWfn2nkZyKBw3UPmVHs7oVbwmqeKJLmWVXX5J0ztwdSNv1aCp5ANjZWRBlhqhH1gVJ2+88/zx
bPKkMWoFueVlv+nCGJx6+qmuTkdH1yxfG1wNZzRd1aszTloXdThGTkE/txaPsdQzsPXqskqqgJAq
qVB8Z27ivXGk8idziZrKHWe1271By3sbWUVfuMGviXYhgCuz2BOoh1ymdM6vh61LT9HZwBmP2Yzd
g9GpLWte9MzBdRr9kX8uM5RyMaxa2uX6AR5/4Ze1Qw9xmJwxBTbCJMN+C+Bv1iWtOYII/lEsjJNx
mrB85jJEGtUCut8lQlvVKNNwHpNdDKXOvOLeShdAM3Bd8xVh8RNzQhU5q1RKPCtQHLH1OtVS/Qbb
BaO8OsNod7kYN0LSxUiOMjzoLM2RkuinfNNrO2LJfc21XUvYOWg9UqFs01R1WdR1/N705nK5x/Wm
I9FTfb9NrMbUH21znycY03dwoqbRJIGPLZwyg1j2qOcGf71P32bNu305yv3shwrDyinBKI8ddce4
/lI4HFf45KR9vSQ/CQjtW0au799LdYh087j7z7Z3SuXi5UJhNJntYFzj54z5ecJfxv+1/2vebn/z
79ht8COZ8QcLAFRrwxLt+h2Q+Rziw4js/8YzDgVM2BEpdgNl7rNQF+tLHPizF4f5Aba8B0xqMpjq
ewr/dQorXisP9+waQSV5mU1jgtq4G5Qa0rW1F0v7OXtH2jF/W8Q0LX8ukxi99TZGcLtD1JkIHAqv
Sv4K0puuLKmJQiDuRmfW4x2vCcHVNF/ffwiJ1RDh+mYkbI//xoTgdFc+nO3y83CD670uA59G91ld
vNtGWv+Ee4N1U3Qn9HHV7jFsWjV3buLssPN7AL9g9iSnWkQ2m/AI8bzwv+rVwF90/3KhjoMwtzoj
O+gkzRcE+Pd5piJF+U3rKXrGt6L4nxcoP9ZW0uulbbjCC7jq0zNWsU8wxIJl62OWt6YLdrmLX/Se
oIb29EF4wO0cphPc8BcSRsQPYlCqpsH9e84MQu+frOL+Cv7miKa35pxOF3t3lfnwrNhEwkONplxW
A8zt6wgHQxzqWdS0AYHCX1dwE8hlpWBbkQJs3Jm1A0KYBWeSCpbYOooiBloup00aeakl9zkMUCK/
MV5PCBAL5Q2YewxWva0e/PvblmayPwmQo7uYa3FO+WUCmAg441q+Z3opQEI96G4BuWz2gfPaR5n5
oc3LpQtPBJZ1/NKwAZ0IZPi9p6bqYwvebpXsdmnXr5pUaEvUl7lsZFvlK8LpjBVpl9qOq9AkA9Yb
YSeYKt0FAw/Q5Yw0sTPEDCUedNYbbvxPYcP3VRbior/GLU38gwH0rYvh+uKWQ7hZEfPfT8QG7ZW0
MzqMsX3Ito1Ik4BhJyt/+emxy1bAV00hT9lF8CeZI2aY7ve76PqmvigdcrZMUANw5zaqdjIeEG+r
YBNRj/Frfej44mUmpd5CmbVj4YXTrTiwzLs0HLGhPs54fe5IYaGlO5FjHBly/PsIJNOI2JhV3Z8b
vwsqHjpGOlOigo1EYwFfIVVaqC1ImHGYuauY4WLM5VJDI+Ce3rybOezHSKlciz6OBw8z2T5ZFAuJ
3714ShGur+ZszPLIwwxlg5MHTZn+jFNHLrDnUrdjStDZvVX0LXnw8CjcuUIfY6rPxAmKEXZTRBe9
B2xgodW9wSsKjtPtZm8IBz8Cj4/R+tAXI4/f46a1pyKFkll7pv3zw9lb04OlMoCC2UvGJs9TysWD
LHr/Av2m1uTDtHKqAH5qH0RbrnrWLjryoucha2A2yo0N/GgeVsEvjQz29aMn76QL1AhiVy0qfdk5
8iKSRAoNsTw/nIAa+DJkZrJKbvdyMXolVt68Ziiw17RF/IK8G+gROa+JtPbx+dnR7U3yNYdpTd8f
hYWB3FFmGqI/VbES4eSziVcXAFOGTKhugbILcGkfzXkDEOtwokMtLxSLnOlHD/4aTqD9r+hI32XN
7BN4uTuS6609MTwAos/hNjaepWg9KBkpV+yc1INTidngS/zmQm1h4HynAl/TlglvVs9bh6t+HdPM
+nNt5SFtK/IVrBCiMPlaJRXWyZIT/oT8sUab+bVg0Z0tKnffty2mTpYsmyhe5mmby0tD7KfboMIG
veeR6eew1RH6KffsAFcKn27CD0K9P24gDbML6ow0QOLsXLhr0zFc5ZRNbcLyU2w2rOkp5p2+zAuX
0l1UPRA7iXIizM5hmdcnxLfEKvIjd9a/elhd5nGXgoW9Cnq9/qHaXkjjQLzXjqhgu92NGJxidwfK
ii+o5Mz8zgFeyicNIydXoyHUVgjz9HTjwL3IacYnxcRymk882R0iW8DgAVpUJZuhzZxQ/pYWqYBF
I2HKhbm4M+p9G6dbT+PWWDPj4eYOq8OtlfMrgFugPniaoG7IweZEbVI1HbCRSOb/i4ImHjUi7gyY
5bqMPLRpwwG9SSyUbnh9wUFwzw4UTFoIjQYjQWX6IEPwe3P+nFk0Fa5jyMr9o4Mi5Zp9r+WiPjRN
mUvmQAbI/m6mN5FFtFLr0gHxtNCLuDBV8aoVAGSzGFiH871z4SJs0LQbkrjr++8wyMwBSAZwSbb4
n0zqbWZ8R6od2p2BYDHCO/JT3ae0OW9LSHt+F4eVA6tOC3tvxFlTLe/dB8oUZJ7p2fwBnORGUk4l
QCkNaAiwgHo1EP1EbT6giIGtg/3i53PMetnluOv6Bl1v/089ZwTJ7sE5BaSm1uSbef4M9qO8lJol
iDUW+hmp1O4M4T+ZuZv2pS/hHbQ7rolkMW3cTmIBnNiBpZdVzVzWI6O/+4+t03KlJOlaL0//dx5e
7nD74ap+U8YFRkFH0Q7SI3A9wRFIkjYiUrxykqSK2VqHNA6Dn4iHVKvFuqK0mpG6oDbUEkDCwCIM
sTuSIDyX6cSwYvIbzzL5PCz7f+UedbScxQ5/FNn52KCQDb8sxVxqQjr27x1ltVABrn2vzYpuwt3G
qOQO3lm06m/dkFunSR2/WTeeoXmeMBZuMQqsIT0+SrFjB9v42B8sitK6jmLRBqiuUh22o/K72obg
DzctWOPnwF/6ys4z0FjQ9+so/RvxsWBud5eBfAjyfdxkRFAa9nd1uSvKUjtiKMomfPHiBH5Y9pz1
UXD+KzxK2ZvVNWCVjTcgU0qaDQLYslYINa7Mhy9U6bOzuDkd2aS8/yEoVzfepKtY1U96s29XhxC0
j7kwh7TFlZFlcbeeFZY0gVkQdpTHMpvdP/R5Q3EGu7cuFJlth+j9VrazUU3OfS4HIZ7vmy1cpd51
BiJuS0pO6dYmdmFiL6IaVlM5I2eQ1JNWu0OAr7Gzku0WgOMpRSLK0K9A84ed3h7MRt737NVhBe3X
3LVHCoYUDToTNuPh0nSTrX9cHdBeYepEWiA6l+DdraPz8z99dwBgwQIRN5zh/9hH8ZtzHc00x2Al
MUG1G1D7hFb4mAxVSyryJzTF9vl7VQzJCZeOQES13nSchXgoppfw2/rTABMO/imu5ds2ZD1XTFjg
zGNX/amB3b9Q5dBB8w/XTVSBJT3IYkVUiIFFjMqmVfH8ybCZ0EKmF+vJmE+IQlWELkAYDz7MU1Th
dQbiQJPVz6Lf2tWleNhfjgq/cjPGJEfN0neL+AYGOxNJ6Z1YlNbvjXF4pGcjVr4LPCYyxnGTfr83
m3DOYk5dWux5XgNws213V/yca9uaKbMXepJ07e2S8J4CdCN9NHpm4/Ys56Bl15pHIN+APlsI6cqF
W2R/73qSCAThaF8ndx/kOM/n/UngDFvghoh3u2dENtoTC2eKPi94mRMKDKs/9/HeqI/9tjJ7mn8Z
jiM9+WIADhQCuCmwwmltNuk2DBTWqbIHZpzTHplgLsp8SuvYnW42JO4mmATcVFAmuz6lPrdaTrT/
uNxIUsp5NlEtMWWaOIIDgrBi3aU1BHwTzgvRJRkwtaQjSL9XeaqzY8tptLk1pw1h7wLCH48U7JmA
GeC5xuFwKuILUOkONhmboty4YgZu0e9wXk4lbWKh2RqkN1h1TxhsfrAAjMqmHQtXu+X2NeYUEyEV
hOKzQoB6Fq8JRcAxLaqOwE9Ft2WptSGCb+XEMSgZSrh7V33Rh5PI7BouR/zvYhJBAxTvOB8+jvr7
OnIZrXZe6tfU0QzJSKrOBd5sDXUWdfmdoRzmtk9jHgzO+1VIrU+RE7G7jjDJIPznZYI5i+1RVJi2
7p2EihRoZjlsRp+SWiB98H1j+FSifIPocL7cruMAkBdAZxRKiInFga/Uh6E2dZiHsqKTmlMi3xR7
wlZYfMUaTFshUf6PPIonyZBFSBauW0daUCqmx/6zI7VUz6pNZvrqlJMiijmsMH8zaigb4wdSTPIL
3MmIk9Ce/jtMBAbCzG0jaqRJzR9ZVqq3T0qVRuXe57zD0LChIgS3bOv4XD9Q500w/k8DRLhM3GWV
FS5yvMiFY+z794Q+F70bOKOU/mDNuuWUrOAED6WnO0qCoCVuu/CQwzjUF2OYEvIj4A0Gow0lqYQ2
6KFIC1Ty4rel/ryM4jXj1ZUUye/YIZWO9QctXX24smyZ/kK1nu8cgxL7+qZsEuoBNTeygAsJJrtV
ZSnedD3g2zp1ApPw37oCX3MKTM5nsm0/HqDtfW5IP6AH0ggpfccyvROW86m7lQ9FRwEnedhmkbz4
nDx0NsyO0veiD2F4p87viIr2K/84oAtgyqpTcYTJ1iadjVsPC95hw7q0rPgjEHt27VUVbKwIPjps
WqTYaUfBcSvq7U8Nd6TFqKbXny+h+6XH8bjhUsdmidrcSlzDWVy+BXsoXI+U/acAX9C57N6a0HOZ
wAsouNcOI1B7kROL4tlvyeZXityXxbv49xE9ZJPwsUUuQ0FA8qpA7H48SZaM8de/GVqTdmKdIELg
dazE8gZBhpA6LUmeEbdIPh45DbipQrK2gHVZrm2vIoWPtAaODa2spTa//SemFuPI8oScYQHdZWn9
zXN+rpphthJeSAZbNMOpAwbSCvEvsHHu6wpaDsCrYGzXODDCRcCqarzq2w5PTYakoJDTOdeZaT5v
ig8VWcSgdjYOCM4IZf5yDsXyJkY5j5VLzQRsFLMcmIN4NQfFGDf2v/wWDgezlYf4K9CF+ctOXXmq
ssZMNrIzpi5ICD+vGIvdbar2AIDWCz6OcyO11eV/sz9c1CPCji2WidJoGz4RQB173R6GPkgofvCl
VYOXWjuTpcKwOjBl1uwH9KV7T+u8DBhXw+yEcS5JrzSopATt837zWIDK5N2o5zPaMkyLDgp0Tsq1
IfpN5smYZHmQ0RfAw4K1Vpb1oy5o+4iWeITMWdB6lU8FvWnxKOmJXP/DSbAHxiY8IQHjZP2CIueM
DL6iOdOX8TdJXFZKCORJrI4A5gkpBdO8BT8H3TjMmtfazucSRD9j1sdXSa3Hb9run0SY+AyxPfO/
ykz/TOcEDLtt1644sW6U4vZ01TxJwcd1EOQHEzjRyO8IqzYLxe3J+wutr2v1EJkohFhDBw7Vf1Mx
1RTl7pejP+e2HDcL4YIx0l4jPM7ujoO9T/+hUnEjDsDfov4MTST2XctSffKJKmZ/qZww4zVESchR
tzLFgcM50bfucYMXMKLdsW44P2TsE2HWHymlQt61+5WNZpaW105sd00xnCWqsjcHiP3I1mfTftJA
fRIdU8Q8i0iPOM5sks6f61tEkUiO5M+ZWyrUgbpH27aDTccH91mfI/YKy+OjSXC2rENnAihrsz1s
o/31J244GY0X7Uie9BTRaPcP/DtfC1cNYh+XSnJ0eZxB6Yfe+MN7uU4R44W7Q0ofZmFPtFhfDU+/
b8qMUGYbUSAVx6GlSN5dwl2beOjamVOmi9UI4yl2ednXr3qM3wYvLcRZpLSICKBc69JziXEayCDv
VZpB74Ls82WjD/ZQleV0nY1wzMdx2H+prlcaEMcjUnPtmwUyYURXifrJJXGTBCZAlKYinQT4BPLw
QxmXHxq6iHP6O/TrPXB3bBRU+PCUj8j+NaF/xhf2kWYlEUv99ve2PdWQCYsV1N1cn1xRZc7DLgyO
ulWUouV7IxYaZla2ECjW9h4m8PgsytWDBlEd2pY/hOXF4UcQLOdJxRrG9IVy9D13XeQZD/1BFuzr
z/UpjMYJEOt6KNBRFRFv0cC3VkyIvqID/fi3yY6nCrTZyQIzs6pOOtOHbdd5sVWh6rgzlKVsLVX7
kgwmXgXevcthxdrxJuMjCkpsVS/cXNfGuBd8kl2aBP0A8KDardZCnF3XRy9CADyUQz38afKQienx
twERCJyDtuDGBLnr880i6PJdaRNkRrp1JFEhWDpr7Yd1n+Hl3+UX+5by7SdNiKrkSwWfDggJWarM
qaskSRwWzoqiojo4YUTrM3qpx+CgzBGmNxVxgZn/rmhUbVTgaYaDiMvg9Kak1R05yhRtNBK9vNdU
zZoj5vpL1ZweXD+jFW4y5f6SigV3ZhjlNSVxwl4WqNsi8XCNnIvZ3JC1K689xq2+SDjN1VBeZQj+
RD95ZefOTqmz3lD4rIfVnB/HOIaRvcI6JjSEymcj+uvFVCaYJ1ip8XRGKNciklFuksGCE5iXY3QA
r4LpP4lVtgnkD4TuuZBoIOEpsc+U0aDZ84bAEUh4QG729MF5w09USO9Y6SmlWaQK5A2n9Pg+BoVG
UX0F2Eik9f8n30bVj5VOXvdn8ggHq0AVCk1z7RUN0KTog55LGSifFWFzAyl5z9jZPGqvOULFdYjh
J1+NxBfrl3uEK7Cbuqu37iHKMjbicoDI44iho9NFAEqFMHY2vi8mB6j4M8XWVMLSEsO9FJof9pf+
ZY3pM0dLz4lD/5dgrsz3UaiTdK5GrczWXoS9n6MZ+pRNFTEUMTw1DtDa4X4wK1zJPzG1uUcTgMVa
HcaeVhfkxP4d8PDaIn//x81KrawdKIsV4MBZrcOqjZl6+diCQ19f+PerCVjE9e4WgOXMba35IgAO
qwQS+fQXil+N5VNdjrE/qvdsxt/riPO0vaGjMoYwZkL6Vt3dSGMOGDWqoygrfM57t+7lnucirqhu
BHltBLFJ5mRiuwL4JjMt1x1LSnX2xaW04y/+IpnogU0jM4RxOu1tVzIudKSNz6mnw1xxVAE/kP/M
jIR/Y4nJxC7lWljl6zhUhWagTvzmpp80ioCfwMfdBKUwhA6v29N6/QELUAstzFU/hz/1qiDqZZvf
OcsON8ZqHFmfsYVP5Yoph9BmDap0baMoBg9Xo2g57kfG/p/BRw/3qPKvUYlAKezu0T9wIPLUU9Te
wgxh0GQ8dFTceR7bA6wK4BLsN1/gkeYr+jjcfaUdIezPGM8QhKy+X5/WE1b+NQSVEdbcHN10Yd0x
A/mzGJRid/8y1nvsSvfc7/X/oVGFflkw0JLzby3R06Q11wPkXrZY9nzJdfy+sZBtLOhkHzvSKTYJ
WxbyGzvPhAVDQVMHUZ9Ndl4l5Izdhs/XG44xNxIQ6jAzSG0uVGhTOok4T3pTGDvHD+w9UtjObrQ0
TEvA9HMig5sBQGXTIRYrSfWIcTZ5dRC5OQvSTt/1iVVeKp9dqY1pZiCO5PUvz/kOEWzY42aiGUu6
feSuNs+km7tCBZqbrnyV/Qeq+XSInhSJBiiRBo4c8VaBDYIfQJBltjD7we2ZvLwqJXt9wbevPbOV
fUNzP+hpOHeDDnaFV/QtDge1yf6lA5N1ssRdp48Z/2kICOtvIPfBbb5vR6N9f83v9i2Q776N1HCZ
bB9gwz+a8lkNJn2kN7tG97+E7QDoXmct++vFmHu19QbZODE+Ic1nCbO46JGEG+OegGwX8ZUd6y41
wfll9Lq4J0mrFOrxlrD6Pg8aRRyqc3Xuy8i8A4Bey/Vd8T5J0I4EtdJ4cT3XzW2miq84wpqTum6j
kwY+xhibdYLLwrjG2M29kmzHWzSQ3WwDHpuYOMMSty9Gl5bBlKiE+GHlCxLA3odVmfti8Nl/43jf
7khpwMNoK26GZh0V7CcjovFgg92qFCmSqRmz38RUQCnPVzgUtaQbrVraqyOlLf0lrCL/gCHn/CiL
j0tbPxKdybcrIUu64qFoGiC2rZEt+5Z0EM0rnQz2g6Y9DRKexwgzF62tA/3RsyjTWOJr7v6S8FwC
by2TFixkj17teroM4CwLdrv/iujGXlJy7v1mZ+iy/WtI1s4s3vH/DbUcoTZA1Q8JEuRBFFYhF7YY
LSR3fkDSaJSnOhTfbCtP5q7GiyeoNTqEynC3NeGwLXePZ9rztIePxiMK6rtu3HmBWGVpHxbRLLEo
fGtbjS4b1vojtO6lzvQgn8HRkqc7TxjoEmRAczfe1OT8mpRv75IPFqjeL3hQBGQ6z1jWhbUt57nv
zyqHFaaR2lkUhLPmGMdH8W8elNpk7MVkAWcxyXR7tRPzWmztjfS7du/lBJPnVpLG3hbrSLCjAYDa
mtKfoiXWd5gN2XYfMpNRB/20ubtNj6FdlpQh/iVfbtVTt95hkfhFWoYBnJzugnDNZ+vO39mi0BaX
MO9TqLZidNdobajcp7liAbYekf/Ev6O3Y8jlPBe8xtnJVWdsY+WaPd3apH/EVL+BvZMVAW5ujWkd
idwo5oc6a4nqIXUduOz5hUGb1t9KrCJoN4Rva80Ag6tp4AxNZ8Qs9HJAVOpiGYaj446QjUQEeZ4c
uIHesWL0Khy2hQtqDokgWJYcLNZ3gwmDM0OVDgIa1l0ZfBAXU17Cn4X17n2bOxQnGNnUYEcqZKqP
2k+pwAq/78FZlcCJOr0c4NfP2OuQeSyFvoMR99mTv8S3S1rVyMDSzS6ZemDnZzMiEcP4ZELIiRN/
DSHmub3MLeDcjrHrjSmYfH86XE74tMBrn/6OkxZAy/0NYmT+8Tq/ma2ofEpS0n6xuqlEWYedw++A
dy/JWn6v+iSvtPslXYrqpi9o4pOQWIF+PRUL6mp6AP/2O8owo9JQnmhOGfgnKX1a7XIn5jETUp0v
pgSJ3HhNU/SrBCsKCPXI94ZynGN1n45/MPq+kskTa+fEdWJCAo/RFKsZyOiDvRMTanqeS14L2gZk
aZuatFUjzaCZLtBnPj6wL1Zq54tu2/4aQnKYIxdMsDzv80auK4kK+EvE5aQy3hPfR2NGxTS1kdou
YoziysZXVHwpvppKLUX7tCLDT4mgdx8IhS2rB1l+pQFOAT3NQ9PlS9cG1w7twLp++NEPW5hwo9mv
mbql2AcUiymfEdIk1gKpepgRWhY6KM3zEezcKAHLsx/EOMAtP+lkO9SjlWbUt8GU2NdHWXjkNmM7
8jyOyGmrFy3oW0bYJvoBAPo3Km0evAK2Rw0WKvtbEqyR+KXRaMioDEP64XhqS2BvLElcoedAWyyL
WiQZMJ2sqwhVju/fL+8wEao3xupt/xgby+pF2FVWh12mWQ2UwSJTLVuY1N7rEyr7mEVddGqw8o9z
DjUKhxfh4ZjNwpwyiV5H6IgIYbLlDPKF3Gt6khdyME0nQKvkCAu4Q2D4ZJ/MuI4+CbPEWbepLF7W
MFwbjoJICNZWlE7Dfskgd9Gcdl66M9XMhyjCeEg6/ZXvytdyRfR38MoIWm85P0/lDYMcfgUILSbo
YvE9SfRxvqQOh8LlzMa/+TSPyEsgUHkzGxHdUtW3hr2W9/Xrb969SZn7rYa5li2vq4TwOdq0Vc1b
Oct6vGELNb20XICw5UxFl+f0YnFokCaFe+WojxP3h7YKB8LTlwTCqQhSwA2GqpS4mxzbiPDcp71V
onAtoLCbeSnXguwgwP/BXDWRo+bLnpKSd83tLYIf1hTk2itUcjHHDVIumPJ0r2hyKp3eyWUaFzLy
KJMhhFwFz961iXJ7S8VThhxmrCby8SuFkuh0GBUV9PvE8NPdtK1dkF/gV2ngoHioopjJ3KfhlBhz
tLzfSmaiLdi10jvoAj3tR5Rf1WFik7gtfhkpnLQBVlsIY6WsNW08rp7TBLO/TrTXNHN5oBRASKeq
IcwGVd+B622r10JGGe5V+03qOE9NSLlwmJbeVNFnFZvGHk6O3MJXok1yyzrSppwmhoS6t7D0VHeB
DHJQiOsmy8vc4QfHioTCsE7p8p7XiHeFrH/bQecvihGHRsNjJq1LXe8T2E9U5GvKe220X+GRaJ36
mlqipYDYdMYNpEfqDNQ3lLr/2k1UswCASEDSIo+9pKykl1GeYiX6vXxHhh3fzbplY/Dk8Io2d4tQ
T0Efwr93JO3GWTRVW3GSL5LGkSFQX6avralcwicFMVGdFJlQ9mKVJmMYce4kakfUK2miUxT7YQQ5
9sEUF1YbiVlVB865hhcEjA2dZ/Ix/Rprw/nOALtEVRPUhw889ixWyYWMGPvNPi70tZshweUC9rSO
Tn4rLxFNSWldBERUt9thrg2V9Jc6aJOVw1YrZS0R0f5ObndWrgkDUB4UyTwEBjzvzPgSYAIoKR/v
nSFpciTeZrSGLBlZObDOjQlwtAic83ptCmiH/7Sgy2A7TgAPnsCXEGxrcHxs2xuPeamD9Vfm0wrC
Zx18s95kTnRcblCfXfd8b+AlRVvZlO9khk6vjtVKeb94VWASk4Oss2CFT3kxXAsJHgnupC2TfFX+
AoOmcHlXxsquiu+7RxERDMo7A0RUDy906qwZoePf3dE5myVRxAtFDCNU0kTncnlA79h4fVbyQRFc
UL5WpEenoa3aRCyfpPl6yJXJAyxnhU3oKPlWHq+gjzPbhPRI1O5RgestLuv2Mugy8D085mbwjO4R
5tC/mly4ZCdmUts8jhVpvCd2BdFkh0B9qZUthiZBtrQ/eEnQzp0+gdauit9gS3fEMxC8o2s/oV1m
tiD3YFodnaUPwzJWZtlaMTVlzos9KYryS1nbN6pbcnC8yh1jgle/nBKBUddw12h0SNm+T/sMjHAE
Fa5BOaSDH4B745h7RWFEL8iKlrDT1lbqcU47taOqcbECgogL9h5Dp1JvZdrLqSQNnvy1SWt4a45H
9bFnG64PFtdXFKRYnyfi9po46F6LMPhNYB0N0tAddXzrGQF561NTO+ou3fUv8hTs+abL0RECjKM5
oO8yIl9nG9uczNew00exc8l/016jEqcZ/33GywATxmXx8OULZpt/P4Ica8lBjPrXAU8ZikFF6B40
aAwCP9U3DzqGKheZWuVDNLFQPQZnV0c9TZb1beeECdvL+9xBfuHy6MeRk59+aGk7RFvJdE6EugmP
HcchAbx9EgZrRfovv0/0N4xwO+86vCcC5Httnm1rUg7MP1/o9rQhTPmUb3W8cUNNzOmvTAU3yN1b
S0WVCMo1khBLzQ2Mw9sYOhHj/lpKaA5q9OEI4QY5z/qtTWcgaMBLpj/EamN+hipY/5RgcerS0ZIp
+tiMw1hjPwUftSAMmbn22hZNGYUZCMFdyyytR9nUI23NyKEbAJvKVtXMAGY5TjzktLMGY75cVJiX
EtC1+F+P7VUchS7pWKzF7BvXpTXcJJBoFEkJQmaTQDn/2wPlB8UhB0E54rsf0vOAoCnHjh5B74Ft
LNUEVCMDSOMKqQgvb5n2MYWQzYDR2dv/TkksIdLP8wTpi/AnFErj2FpV6WocUqm8UQndxCEqwSCR
slB7JW2jJV0vGCU4jMtffA4cPJUvfq/7HzVkQ3nP/cEXfmkhK889UIsIGDPHs2pUD1OZ0rL1qIH3
LYJaDs7W75x1OhMa3pyIG5kRpoR5267Wa01JucOkiC6udqGdlL6imKUG22MJYCzG3iEZY1CNnnNX
P3zJkPzE2BYtv7D0iT4OHOZ+DVQ3/DRUQ/eUFW9yg2noyEE0KuISnqrdrgu3GeDm6FXE2xEfNYps
8bWUhXYmPtqmaPRXCKt+X1BdYgWMp1hQ62u6TjVy2OsQeE5SiL702EnLgoztRuz3vZ/NFcm8qEkW
XUw+dZAfXxM5B0v0IwvqcuG+vmNd08hNd4EREBuk0lT+oMn0d3rzydVplA1x58KTiLEDWJs84IdR
Tl+vtgvqdafRSf58SzAn6cQ0RyfhGyB5xCfYDgJ+XH2GXgwjw+/rMe8awi4/Ne4fkkPTaHlYQlQD
Zv7TSoaPWR7WjOPYshUJeE1saowzcKZc0knv2LK1/h+x63dn8D8P7pr/oxCu6jWVHgz4UwWKKFFL
biQ2cwYF9YHirqmxxmiWFxFhUaK2Bg7fJmz8LfZpRVK0Tdh541wrWac1hpHiZT6bJlcCaHTjJQsz
kMkvDW+TKqj2tW/U+PierE0HVJjw+ogHK/84Y9wCvRCxSTl3dD0lnoDRvLN6L6G98OGhMlOOpZ3j
a00rq8klKtlEh8wBAINA+TmHoLUhQwW5apP21cj2a8svtYKOPP058h8kkrmlxztBMiy1sO+4Sqd7
7B5S5RovlaDd+/ybCzSv6Lc54xhd2CN++ylGrc/CyJgPqjOx0aLBWMkQICDq0Z2hiMrFhOk4RKyd
T63MWcQAs7PUBqaBX+ORzgAwNBQgA7ONdLtWHdY3xltRrxPew9g+iYZVlI4P8kTbvIkBKH7n53wA
gRUmKxlxz7f/IDmUEf65oBAnFgc0CmfeeqfxKr+Pcxdkzwo89Wgy/9CKAmccIYV0bUprMrBiDQbt
WeOF60/75rVXBIQvP6nOn+SjE7jxfZ5XQGjoRj7jy8nNsJfmzvgq4VV5uQ3QU2ITX6zci13gMgQa
50z1SB6pV2CLy2AD6rXDnyy65UWFSRU+9decFt+3lklAWTUew0sdClLH57DytwUCeL9smHt3x35s
FuQ/9n2XvhrV6THEF8Th3a6+D7Np5i6ZqDqHPmuSre/q3jdsYnLKx/Lq3mLxqN1kPOhuIeqjamzz
j141Itl52wLVgwe+ouzhMvw2jCTQUqhL+xnpJPetE0IXquEBjcdR1gYbiwn+hOOKrlDf675O33K9
HybFIHThCc5I2tlKJ9q+DEloghsPiSwS3Z2Zcy6R1uuvQO+DOFPHUyxUJOzuchHsAtcYouFRvwed
6lFDyXlr9+Ya/lnSG9uj5Qd8RXEa7gkRDZP1wqql77nP+HD6GGdWT8PVACz/XVWfWiYZG2WZ1uFm
OO7tV2kxac/5ehtntvqLebMSlsM2d/n9UmijimMfEgbFe2JOYB+/ILu5iESJXFakAmtk2kyHkxgk
NAK36VA+jdp/xN2/v4JDEjBkSJaDags3jMeam4nnb4p4KlXGxy1TDMgISFBJm7QHxbfMaLnSDlnD
sgT6APABsr4M5vkrnsJW8G1huiD7s257LjWkRqnWr4tdfBmZWk5PJkdPVys7Xo3Yv6gM4LysL+ip
CZ0biI9kZ0KBsj2jM7LduXEvE7BcAnTDmBA2A1DZYMaOrwg4R22u1NsJbcg6A3ch0TD45aiVfZW9
ecN10D6ONIC8I5WXVv1x2NpbX9XV8/SK25eH/B4PJ1FnpQs6JPWr5W4tNwbdsUemiCHFDaJ24hDq
CJn3HvVO/a+NEG9OE+cBS758EUpThIG8BPJRSdKEoI27Ot/3sVonLdfgPw7wJnWf6YDLEbVrvoVU
NB+VaojGYHcCssOqAmiTwyWCWmUJ0uHYfH9LFTT9Yx09Fixqyf3jZN5y4BchjMZUC/7mMAOUMvXs
DztUX37DMf+kJIPSQagXcj3quxquJwlUZi2XslTmyh8hhdPIBIDhLoMhpWPh9WsbiUsgVcDTuNW9
w1c8NqAspZRQx86rOoafe9Bk1EAtx557P3JtY7n39zx6vxM+8U5LfMmNkzuF8ocdXw5jCKHCzxkW
vCTiCJNMkQFrTVh+EO1jxmR/hawoKdnrQCOhGGiqkwsXYGba7bVYLqwLPRjmjeNSpZmYybITVVqj
PWJpB9jlVk6CkFe+OgfDbTauWTtyr5rlXXwMtASUCz43dkRKTC5jVm5b5hfQnvXNIXu8fNQvZjJt
azc/zoSphEO0IovHwk+ICwbDRHQLMGdPu5a/DTudBeklE22ETMUGhTOKK5YdWMtsOWr1vS/zmXZd
WUXputq+23v3Pc6jQPES2j5IEtzbUQvH9afdW1De989rrB920P78m8omRRqjbpjfISFpdVptcrcX
aLet7h55QJTDB0OIcXAOg1IhVjQTTIZwwbD5so4EgyNqKuDO5WqfybnfOi8vobmIT6SsKIUrqVQC
oWPm4zGoXy8b6lSW4rFZ91PLwiZEL6ysF9goHO3AuSGt1OTfA1g5nCUhDy3L+m9nLyGs+F8sZ3JX
LZK7LsTSYZQbLadB57U/AxeGtbhVHxvt7LIer35kSk8MU6RAFRzG2OO6ytvfsG5CEEIJiDAjqLEq
2IVMWCs24UEzdlKEaXUM261qjv8qGrOTuYqDTzXrjENONStAWwiLPpZ35qR/KENnP2Zg97YXDhao
cUK+UJGcnNAss7vyOwiCB+w8uZfG2i27I2Nb+exDRkIYYXSGvHk0nG6d6NpbAkXr2j0zlzKlKWIZ
ExiXJHduoUJDSuMFCKMWV6nEhTDYxIYwrna8VDsF4PD6NZ2ZWp+8kVEzcZM0aUsqLm8jx3BqolHH
Q8t+hjb50RjJMk7BgYWaPKmL+PbAqNIjA1HWANW6gDLEjOSw3a5+76BaTWahsFXApi8KZ++jUsbl
2u+KsC1tJDJ4CMWxv3s6hjbeN/WvIgdO/rIWbl/mdevOJtSvI9jnHlWikR4QhJreaHx62s4l+3PI
B2E9+BrPEsnpbcKBV7qKpI1gbBUqQTVNn+F/33roWCR214TR0P+s8LABj/DDD2ZH06fYrcgBSJMY
ypyC+BvcsRKziwi6nNBpUkMxoUnvgiZvURpxFJKgrcgtfoZRtHlVOLCdCa0bMDRaTGa8Yi6DdB/B
48OgFXcoi13i0j/nEmEbxULxQxW1y46aKsEqEc1WBpsAMDxHBoP+LihYQCo4j+MQY6qX3dUrn+Aq
0Vkv85cteMiX5aTj/ihx6HDoAGw9JPcjrmbUonBrBvclWm0A4y8NHcT+UwZUoMh8TDNkodADEf9q
sPs5vT6D2GgDNguxwPDx5MqHae5ALBQgz1fYL/o1lVKwk0kwEIthszEbIpXbhKYYNXyM4C1JZ1Et
DSiCQtjX+Hck74nbHfVsGkZMTZcyZpFbJZREZ3UcbxzJqEsKt1wUcGWx8lwvxdqqiDHk81pXlaob
z27FTaMujBIaK+/zrAvcLBZoYQ13awY/UGD2gPBadfN/hymmY/+VVgAtwI2UY8tI8AKa/068ZjT+
EHKT8StC3yQql89sVvEXZW7wYIKTmr+jD8hh2Fn0VEDztSTP9wqcd5fZuPmPcPakS49s/6TSJjPW
1ZZiiQivjlahlrzoDSsHK6G0giavgyUhE9dc8T3Bk8cQwVlOSdltNh3o9xmyTFbV6xI2ECMfVKJI
qmYVg0KETc/TFnOZbl4uAe/bGnXThShcWEVxiilqgRyDLYSFB6XQkV3gxcYkBKcqno83+Ytp/Fnl
34/ZGEQTuQWIF5eefdXzjQpXOtN8kOaBZJ2E8LOjExfCOtzRVyuWTgh3b+eYwDzFxWAHTaLZI9FT
R3HOjxY6EMGJQaIPHeVBLI7tJsFTDOvvbToD2zxNlNn5/90qnBhW82xeDgmiDhjxVwjCAVdA2VjF
FBLCrpTi7M9/wq63/Rl/yYDBUzwmkX+OSIvLDC6cMmpSU3KyqXuplEvDiABnV8/4JxvSnBMpiGMq
4EFrGejRyxiXoO8+NqiSr6eXbMInncS/mHyi7didZ4pg+gF9gfqVxfeQhf9oW9a6UN6Anm/1GV3t
QDaFhkhB5mpqKmbsvpEuZuIag103un3B12WIEKK6p0e73vbuMSY3/iLinsiDddoj6s7Qte9hDc5b
vv1PIY3Gw7FYGG2z5WLr+dmnpAFBVp6dxEwujpsbSxBGx13PgSxl8NsYOpoghg4E6ZTgJBZe888A
8uR/qztM3K3mr9x1/BapvcsNTAvSYPSpkHOvuOMFjiOdAOFz9tgjD/+9KStT3MP8f0jD5o37Sfxs
WBChKAdqk1JGWRyziD4wATWwvHNHqhZFACGCoMJLyI5NA4HX8lUnkIK8bGomIKBLpIXJ/aqMW2gC
N3rueXKvAU3wtMEriM3a807Uau8qkjT34fAEGAdow39615jRiSOLiWZkWPYYdVnyQmdXtZj2WRiV
HMnTaHETZxaGmvY7v0Fg6wL8YpPk1kNCuWHLobuRKtGx8Pl/gJtttK2FUyMgBKbjRx3gxYHiX245
PudRswMFh/9MJssgqtXhZ9JbYe5ba4zmrZ3I68jODu/cAe/zL3qsM+Nwcd/i5goaEocOP3M78erS
gPQrQimw1Qa/D6eHlSfO5mASlR5Jt30rnBQ2ZyO0lcTDSf3JoeYNkROSZInWGlpvQoeoCzCgZ3g2
eCAsYGmJH/NHiA4qLmLnOYVUoi9gUBixIH/sW/pzGkonqlOG0UJ0ZLlzadTUTJEvrH0vngmDwSKW
w3he23WhxBuzCUa+Se6HSeB2uXQLLZtZYajuCrJyGhWZ73ERilZogpps2wUiO2wMXllk2iMQuXCD
0/c1wfnu2BrzUIsBn/a2aJ02zkm6CXKaWluHTRLEKKf5KQH5R/Z7UY2/7Q3t8S/VzwNmEsULbQGq
VF/85WCGiB3ww8buHb844tYjEutNjHgecJoIBMqHwb46tp1ewFAZ9A/BLXvlKo/iKQ0np5DSB4Jy
1r0m8ZFp/mho4zwrkla0DXyU5i5lBFO82RXpOgu2X5hU7/yOyVfcviUAownFkYGrzuts/ZoaFv3l
akBYabvWoG8QqGmkQKGKVKlP1Cxwt+oDkjo8YSoBf/Cq2fzqJFEbPcd+TuLFI30EDB0hWeTGLgPj
ALQTZCLgdw8+dY3OmcIkO3uKfbtdrG3YQJZqlBNswLSrGmsjgxJIDs5fuXk6T6o1MUxIda3+VUmp
ajyNhXibR0JBeo0fI6aptKWgRSlikQ0NBpK68OuqZJdDxlhkGApoaImqkApWEVlnpqmMAFSVbRoR
c12G9SRBj3jTnLvFndJl0RTaqA8UlM5kLtHjtab/FVfX8oIgk/CsKCNomRe0PyU9aoUjbzPw3Tfs
ZcvRdtAa+XMSWTBVvPWMOIBTsO2sKZZhxSknev9wzKPUpZab+OnAjDnE3TWMhnZXm05CGNPiyUEh
V1DtdMGaS49ecyxKx9jzgDk1osY7NMgtPO6IaFMMRiVqTISr+QZFi1uIaaSTbyfhO3lZQRVMbznz
bgnBmGG8D4hUTHlVDuGEjbazrstUaCFLn1Bwo8V3SWWQYRZn+LJZGXOpEEtkPLaFWCwV39KHatgk
pDQE5pNWWwogPm8kr10F8ONt/TtjdS/tNOf18vblLOu5EIMkQWUnP7d0k+0Rs4Hb/InXb6EEhZKB
s7ylsVWkYH6zPv3oWxigC5YBe/LehPAWgQ7xn6gEm9yIhl7wyek0ikE1GttQ1cx8t6DpFX2sJ7Mt
X1+ixz3AMDLLNYVCpLBdgPUXEqPWzpjoWLt1GsQRvQJzxGra8Q2UC5Ph7dXra+aP5VkkKhi5u1TJ
GmBsdxSbomznASr4Oti5aDJR0pOCF3Jph3suEYdch3Y0z8/p5Z670j/noQthw16xE6LqMsvt4kdo
gXJMEPfZb3UaqrHuAoUtPuVejZ1adZdKlu+nKrOyUyGpsrFbs13tY7ob/o0ZYbQxu7WPVPCCfaTm
Y+xi0d86RJhGtjEWUhyF09lypIbP0VwupguaHcNoVM1NVmaRgcRfDWjPxKUJS0Nle8iazXbLF76y
NpC4JNDW7Qho0/i4zZ2lO6nc6etik+nApEWIiBD/cnEdTGlcIMD9RKsHb60SBQKExkX04W5RgdeB
dXzh/1cQFPflW2Pf6jaZ9xCyQqds1oX92MAdghF9wsHn8DL8N7XtQyh6gaYKojtCNq5Ft2biw3hZ
R04YeWloHoDHRLnUgnF2rn/dpReZYAl6EbXWGAz3c2zRXlX7FVBPTTWbvWfJDjbPA/E89d/ztKKj
/0qj/kEVmdZjrmAUpmmEqFfx/HQDMwq43F2KuDQNBVgEaaKJUNeBm6jfWx5YSotWAKW+u75gl/iv
DI0xFXg2feHIJGOnyOrGCKcTVvqt36EojmArv0LFqvoIOeR5Gkxa1GLik7BrkMB2n0R4gDogH4Bf
P7Ue+vBf8aqws1Eu7I3j264n40ayu0IkqV+2RE8uInR9dBeffD49BPSGuopcQ8pW+0R0UmOqKuQb
kYnn6+rgIHpb9YW+k/kPxwfMMRipz+pMo6+hGRkVPdLJ/Gz+5mdfZsO6k1IgDJQgajPBNB7+wJpd
ifuDee+BiczhWAqbLYnwpGdUkBOQ+fvWydLY7ZShXeOAo03t6DWEheHrYJE5kzkbuhlm71LbbK14
p9rQZjqvTOG1n4oo5jGBbxB2RZ+KXERvo+st14nT1E9JOn4txKPzLG3fSpWC2XoMPGatkL+rRxCY
aqz4HDsKs6k2PB4IK13EY5rM2HUDtmqfi+nc8dfvmqT/7+dVhnG9aBKZbylJbw1vIN6AbGqKprIV
Dce5BGxsVVYwpibxgBP27kRGerh7/pCv1eUZW+Gbu4mr3+Fh8IO112NmY4G12vP6TERxRcveOPQ3
TEaQijX21g0e8r9DE2nNW5pp+Ga2TwwuBItxZlijCXfIl04r9idbp7aILbRBGsich8/YGJHe8f4Q
QSsCtjXkGCTSWeR8G9rcPcippMiyYtI3/FuevNs2+yvaZAfGe3CLIPr9tRE9auzPjCG5LZtlF4Fo
ATcpVUtPbTVF/e8aAj/ltViqC0V3RtvRsS5u18yRg0sCw/+F0o8OUv5cAxmXNbIC5JNEZvt4+Ssm
kHov2k0oG/phAfxUPb/+Evc4JeOA7xPhVF1fm54FMQN1gJsGetWzZSHhcDIRY35rT67RZnSbkBrX
Q3c+xwJguJsfWUtYNpS2vKotUqD4/sOySDgMFdT0V+XwpEk4zaH02vwOaXyEcW6o+rW5J8v6ylCc
RMVKzzKeQ2SmL/LiE6NsS7yVwUMl8bE2mVkbJATrJgoRl3ps59IsFXEI5+xdUmJAYt2qC13Gu4A9
GShNDrqTmu6rf8+ltJ439on06DtKm4zJTjaIdEZDkc5Aw9ylFiUkWTe/bbEksAAIPx8WagkCU6GN
XaYwqZd/7hwVwMsfP4OafUg45/GIOA6XXfhg8yh2Lfs9s6IKSvPijeno3h+PSfA/yOFPjn4r0fiC
Fk74GqYUYD5gpeTkh6DIB3QcJpvbQl5WXFoB6naYcOKquXxq29Zpl0o+3qEfIMFfFkcV0rhWyrYL
R/Lrbnmx5sOW4XHqezG3EBGuRIBZSNIg/m89WecEtVpoBlKjJ1Oap7WaE9dlE1MGOz6knlSfD7bC
qFjXtPhQu0WNLq6j7DhK5Qlpp5ZaaGuVDtyiHRlNO8y/SDsfX1xnipuTN5lpeX/s9TKH1ukmiucN
vFEmAOPZ6Libjh3dTnnlaTW+6TZ4cmzRRo1Nj8BJMI1ymYRNIn0CjGui3y1o+WTrMUKs7K7YkEKu
HG51Sjf8k4tpQRf5szZNDJ+7yJ0nzFCSHI4DRJBRHnxHK+c3sWvDqPYQZwrV+04/V+1pX9jJOCY+
mVtBt56+OZyF1Hm6EqUBZd6uhedh7EREOcv3TcVBJDsf+U+xk2QhOcR6Y/XVWnKXPJnnCc4eBYuH
TZiqZwFXiLRJ2A4dzxm+NxeMNdEyautkxsvqWOYkxhXXDaWBxt3c2rOo7gpqKXpLSGkiguJnc1tr
eEMsxAsNyt/QSwlJIL8ys2HQMaLVT6uuWJC/ssM+hL/eGz/FjL1vPVoiXykNNtN6AwTEfOi3wtUn
Z0M++buTAypUBxdrJ1KTil5kX9wskT5RDlf+sUTsLiouFbPZzdlD2bnMREkhVG1bK8mBlU59IRFz
lsC3xf5GMyukxn+kR3Zj6ZoGOkTwj+EeFUxH5C2fY1+OZKu3PAtu5eT9Au0sABrkLCfi3fmH5C2F
LX/awclqZPtnqyFeoHITNcdPcZQX5X0zSc+Ots+gmYdPnU8D99PQqIuc5G5L+D7F5OQ9A1+QwUDD
Mc0vLWCANrRz6JzPolOfrfVeVJcgj93inrYlY7VL0lLihVEGrmWcoR8+yv7AhKL7IeSXWKJh+KXX
nhBGCTqY5uqCktyxvVuBPbi8BM8o2UC/nM5GSfteGlUnoOmskJwGKXCQ/rCf1gmoTNIYIHwWsLEG
/paCPsE0renca2TKgufSw1mrUAbZvWqFCVoPTT/0Lgwvo/CtXbNuRLfHV7rsQHIaHy4FlA9jL8+P
P7WlQg3JYv2rS3H8YNBJ3qR6d0E8cq36PC1YipUyPUntcDw22f2hXXN9ehDgpGYsWvB1JwfBxPdj
wqUqtmUSx1CyZXp1dKY68P9f/yT0hV5M/g046cGao4D+4f37UAv7BvHw4ETvEKxbmpePK1q7T4Wr
+qkHrW680nf+d4CHLE2azNt9H7RHpLD2RGDQPPWOG1mPbbKzCq2q1yqW1QyQ1PB96hM9PfNt18am
Q4yXqiGz/6Z8OnZxBcm3Zp0/jiQnpn2lRfvSYuryZtCBOgtUKFHrtyKOKi0PaRbQfYoSlv3lmGsS
GFZ1Qd1uGdtn2zgD8CpzO5QCKCZMmGLoSnMWp6HI7Lw8EAWYPJFpCEEId+dgtATeMbiEmSp5oqSy
tMtqT3THzrt6Jk9HfR+KnmtdQ3+vfMvHbTEpoZ1t+84RhZzQ4zkKIOpyuGaD8RMLQIroM01rl8C1
s1HtAH8NZ/7eI2wh96aYzPgANbc2KC2PVGnFfpZB8lslaqQgTPpLO8GkYg5iym+6TKxSkeRPFWPi
aGaA+vTCvsyiWO90/IrKhE3LoaRgz+Ge1p7JDZ3eEmftA0nJGptmTuLF3QYczCh8WDbM3loAEtUe
FDoUzRkYPGuRhrslv0RQfcyUIgt9LsAa7Kv6bcYwspzvkh+qTFaMX31CK0BD6nlth4M2Lzmdiu17
/bw8oR4pmhkXGExYk+cQk2GEKtgTZXYQdVpoi4O3A9yOymz0LsjuWtLdguJQXCIWAYZsdJhJFo7f
KqCszECEBJMElhZXoCMMC5Vhr/u+PSPgQwd024xnW0kuY9OdHldudUodWCzKD48NNlPJn8Az4nY9
w0diCzDnLcDml7FgwZU7/zhhBKIyTINpyVdVI8V0/W/CbMFg65rmfml52Oebv/dq5w04mYIRKcoW
FJ9y7OVzWE7Ja5GoWStYay7YTGT2uXxMx39JfSGaomYjVNSjnfjMFznXsYTCZrJd/HVaNi2I9alk
tRb6KWaSi5QX3ER1f5x3sZydPs/dhUIuQBhq/XgwP4IjufIzwi0PDmE7YZlmxX0MpQDdxBDz028Z
ujkL8YH44RL3wi7lcwOhUVgyMie9u4c6TG5wIr1r3fG5QtJfm/Iek6bvl9jtYPWvgv3wXdadLkQt
DhyL8xjYGpnUSTdUoG8SbKaJII3WxZGEJL7cN9KxnXvf+0vn/5BIzW/4/U75+G7PpheXusKe+LQO
21r8qJwDWtKJoEdzzww8/xGp4AAJ5tiv36jsUjlaCD2FTax9P2F6Uh3Bm5aMrzbdavCMpNozJ+wb
FEU0vT9CDdd6mjl0kVDCIdBIOjBOnZnkeop7iHEMWzLxeghK2x4V4NQfAez81lcq4QtzTXHM64b4
Qxokoz1BQvelCE5F59/7RCiwPL8KdEFWZE9RUEyvic1lFflNCC8ZiBYzHPwUa4LbXC+4FWLzpDFQ
iYLLQNAF8IEqKnhpj7J3jeaMudRcVgbD48TTFRFp9lhqAg2z8cU1K8KAVI/WYc2v2igbVHj6LuUZ
YX6Kg2XNZ3p/YbdrsBa/YHwlDSJdnw1e7q4RQmZ2jYIcjV1FlOY8ay7MEUdpuQ/LU3fpjXMq+31j
XQxYoHlUeEKhJDnP1zlpP4/x76ONpu2mDDFi6OO0r3wtuCa/A/GgEk8L8mncH8cdv/YxlmHPu9FU
ZBEiLgeBzqmjhxYTgk/NslLRLAJlUTZ47ekpTKesvEekX1anjvyuBD5rcNgp7eaYEa/q9J8OkzQk
Dk9kPIC8csPVzOfmvIpcjcZet3a4/m10zb0s/dmQ0MHrno3V1ZVF1gCTETyy3jVDmo6M65GFoS7s
s3GIWoh73XiyWBKRCdpXOsZbKjc/EjTrfyqHNsOKcEvv/U5DQMNnWNydbhTKvoYOn7Z3pBZqfjtk
C9sgskIAXxARL1aWYqlNn6sfThqn2iFkFpC38x8XlSUd5oJyIiIRtzqjjxReNLFreQedMzLmdvnj
/KvkakCHXy1B8emNV5C+sMjFlK0kfb/GnIm8BL1wAVGNshliPmXY0mAuFygEiH+258a7OzX82mbj
dQtq9CUjS4D9qDRdKCz/xQYwTNdKfbmCwCSE0NENIKrkffbON6Hq6S4ZN9TTq2ju0Ii9Ec/wXh62
mqoJerVIZz/0Tpa8Nl8de0ChHE+RXFK3Ipckd/K3Sy2wbJXBHg+6qT8XDWziM2pcGhSgQ2lVDid2
lJeHUEC+vPG+spA8o1wC4IpTbOU8Ky1aovYi2wUY0W8BTPwuahKo8r3HWMR20iAuQB49L22t7DYi
dKCQH47MBcOVz4Mhl0mbsCrzI8YCRTz4F0rOHprMSNS4/Nsy3Sa1WqJWfqVmQI3Dcug+nP9fZkua
PDxXZZUYzhqcsxaArq2sfE90oqPPdPh/oAbcr7s8SOch3Mg0r5eNruU9TolCioNaR5IYWhx69nEk
7I21GBnfsx/Ptiss5XfsYV1QQB7SNwYbaVGgm8Vra5usbZxuL97XsLo7x1ajB0P1zLQi3CJQY/yX
BcKHUbdRgsV3D3jSSG8oEAqt1uve2nRdCjmxAwKfOb1ho0qhrQZoIl0TQdLQPNso4lGt8gegduR1
j1s1RlvCsNpx+F1nE0W5fBP6RrLYh09bMKxWoLt5as4AK4zTJLfufBKkQJPCNdngIKYFuyuHBlIl
Hh1BxK1bznBqhHzdWV4nNu3K3+wEqYjlCySV+Nhnq7SYl+cJsAo4a7uhzwKfnDinsQDYI+/OQR6l
AbRowLVNQmtfNakCYIsPJ3cFqmKe2EyJV4+ocgtWXOhTqwMAhH4cISrlfkVST/AS9QKImfu11pYK
BtckdNOf5D8cTStwrXexz4kwXlKXcRfSEIrEyvDH8iQLFylG/IAhtBCzjcWJhMIibagj5fRsNZp1
UNvFwSmvHFVaTt7qgdZBTyudQuxKlJ1UTW3EL4vH2O4dJFe99aoIOLHup9VM0ER5gLuKoBh+PxAu
pq9plthmZR5dZNtkYoB6VXX+Lopz8u5CQJMJFeJRucEVm7k2Nx+EHy8F6d3NwEgD19tcEehXUuTT
IFNeV/XHml6eB9sHRilMrRkFQXLtTRUMaZrTCiXg5LsB2CUuDXSBjcesASvD2oSjq/DkKoYwRI6Z
Cfl6P7gQ5QJJd6MtRBQQ5R7oxt3KezE9jxmkZiNFmdh8SCDQOlqP26qTdN/qh0uXYvwGINBy67Gb
JMEObtGrFLw600l72AXm9N76vAxMRZdcXStgjyGM3MS2t/3sTj0/gYvU2KWwSiORwxb+zqptRADq
UScJJ7Jse3BnTYGUWSuXbr9nJMdwc1He9y7uSuteX4leXqI9dwOXhy0QyQD0BhzNkw8qJtXhweHr
zktGlJcye8dlckOJT7Iv5CAnlhMcOrvl47hqSUj9hOvpOWTF2YhcZS8NJoRhxT7u3eRJcPJ1hZTh
vYRruL4wL97QScnwbW82dpH0HSjwnsXishL8tKnkXxw/n9r9uqSfy+2lbjokjIPS5qDzownxskZS
RRw2gtJyHpINz0BA9bAHxalzPZTmhWxrAPqfwiYx4nEptNMYUBWSyCroTCrl3HC6g6a/Lr7QPUVW
57AYojYOo1AjNQL+3Ho/j9nUFyR9H/O6623UEWjTU9XZCvKtgMuvrgG/XCNpvgrjgHCYNSZJmxsh
TVrT0ga/mYQa2CMq0cLNVIfV6aMq8RAcmA+ZtWJWl23QT6nM24VUSvp0JSi7b1zGHV9ng3NlLCZq
izD3SRn6tendIGnLjXW6CNXPZ77UgqymIpXUyFLqqYgtigXoAeiofHiSgup4Pm6hrxk55Y4zD+Bg
4wtO/WHXtPSPmq8YNhYvKwf7Y+39P/kYPtPB+/9kuhVwJ33CPJnhhVRWEV8oh83NgyZsJ09QtFJY
cOqUKL6+pTC22vboZfzIDgFjXebHvrOuMDrtAJPAny6F/kNkaq1IOU6D9Guzd8DgLqMyzdCmd0ca
eKLq+qHBedkptC+8JLGBjHh6kHzObCsS1OQQX+ian9ezoyXWFweiaqtqI0G1uCAMo6tQHHt9J3Bm
1LS5FUEpXMSLj185eoHJbXXLDkX6j9qR9eMetVEMumHyOL0CnldpwqOo0Avn6OK2TNgOpqCutBOD
+VpRtZygLEFSTgsrCcVZC2fqIFfZg23bpCk9r5f+NIX8C9pRQiSVbbwaJIxFej39rkZ6KJUX38wE
wGaDmdyAryk2qVumfZq9qmJeffirRlb3iBhnyFd3W6smkg2LhJPOpo9Es+qRnz3qR9nNrJadCX1B
jgVdf/4GdZI/Q1YlsF4xfPtHP3ljwEeLtlD7rpIzv67bJcZ9kiqHbIMX640c8fTP6Y+uCgXMO9aC
TLP5Du/wWOYWmS6afDCjHtEimc2a5BKlXYwoTWYsstjGZlLSrUEIAg8su4V9boH8TaqTs4WeSBg7
fPvWAog/W2UVByRLKcdWSdH5vlfXQ+l8x+Vf7iQMTDDW/NNSL/66bvAMcPeygkmShTQrriz5xfGe
udh8RHgqZt3BvtilAmJBe6Zyn/Niloyl9CaZGvAGaMAhL/jJqotMAfk6u5onifFn9rcFDN6afxVd
1brWbhjoZqMzW9Ff+cp13UatxInxvl0pu8u2Yy5RUSwJU4yv8GBgZPOyjt+Gy4xydiOGb3FnccS4
0f0qVI2H2SvdR6dr4YF035Up24TCGgglM5pQfzjwolYOLJHUXeTu8UmzaQhcDv/u8BRxIjlpGtTw
5zYb71kdAytFOxBW6D5YQQedGmGsrvXVF95+P7zNkEb2vQHzqoFchgixP2u4wSlXzhgm9j/w0HtC
eUewFhv32LnF4K/cXgfprdNJjmJj3iXUgvI/Qr1CB/+Ssrf7EwP4hH3dGjyTto3kNknUEjmJk3G8
ZYJDE2pXV5xH6gOvc/ilsqtbywtSJAucCsWxbdW3oxDWc/0oUlsuHKhyx4z7N9zRAU+4LbSeuPoV
BMQ6rWpMRCNCqRdRlTykhz6MzlsoqbDQ5/97x8DmlB92jIOyOfj69cf1RgTmjdmpPhzDCX8vRKq4
F9h+luq//5hh8t1Tfbz6ZbeOZAT+mLgbLkPz9cSjro+xRDBrN8umeFGcwNQsV0iNCeOneMLMqwzq
Lgw5lvLZt59xN8Bpy1o/gBBViIjmhKXpaPSFsQXGY/FpdhGtLusNsDRB7UYjqSbArYXl7thUOAG3
dedY+h09ne9+DbfzV6zMh4/wCjbLylm4hA5zYwR8rXi5nq8Iod3mQa6eG6fereER60eW8SvwE4Mm
Sol7ofpmxARxCiu57KxNWGGlDOzXuuyd08xzxx87LS/ZLqAJ1LTx0QV6SiGFNrxp4fFCtYp3BeXd
Bxs1TFzeGXSrNi3ClXxYA6iYQKbDNtW5ikDKArnDpi68xAm+aD1BzC0d8Mi9utSmaXOPh0oY51cs
M90bChhEfZl8ZVDHIkDVvpiuk1UbZ1OysT1idsEOqjs2xyzn5625SuYIdSw4vyO7L1WlFpRBZeC1
fkgqSHY+Gb1whZSrL4ZL3dlbwDbZTr6MUw3lMTk0/BSLnkJAWopEPwqC6KsRW+r0QIlNjPhUCzc1
+bSwKhczRGmv0DxZ7/sHVG0aievIfJlKVxeTCHYyOkixG/5dTjXe7Pk/7Vm0UGKmzHt1QAeNphE8
0w/bShCqN9ZVC7bLu3WehTegH5zy4Flw4raoGWR2GRaAAJ1bjcgLHOU5WG8aP2+KvKXAyrsY6rAP
qZJtuG6bUmUN0l783Ua7xT9JQppBT/ltyE4tPDD8mnwh5y1V6d6mEOf/bpFRLe+UHgDPdq12t81k
AhaXCcYCV/dfoyU6xTR9Gr8ADqNqJq2mwq5i79MRMRRm9S44HrYeqXWL29f2uvWg+F4SD2hwgN+v
VbYws4wP+ZdI0IJ+Hc6R1DLVzJCrE99Ynj4EaRrQMrPvhn+OoTRIBU0Tng9AC4W/T8hyn2zmnMai
k/Li6qbay2tqVaH/cJfg1nI3+gxvSFVCIl54WoW3S5LRnJHR05NMeihQUvYkY6bBOx2tL1g2a+/i
OD8M+qzqMAjkxV+XB+4+Z5ggL9TDhFEp8wr7BiHCL+SWXhPczGSdSW1eWTGDLrZTOFGweyDRTydA
D0boSEBj1CM4nMw+vW2rudBbV1puvkSZv/kSWJxPpO5bVrfQWc0SyheQN/1RQqoAXeQExRu8Lu8l
mrniPZGT3yvTMEaqTQaVEjdL5Bw9IsSozX0ekL6Zpdae+ekhpVAWV476cnbmBQ6hT0pdbT7VWXVu
pMVEq4HIHWW32qtG7qTfwzAvyvmYS8l/KqcC4+PfYZ5xXclhGn64mlHDpiljTwpaJHumqu3ENuaz
JuuPXM4VNY49YRLarnxwdOumTyHN1osE7wJCYSPKPsSOKukQb7244+UIeL2ovswWVnPJFqVmd36S
ES8e9FHwdMODbTMJKpZsLwXzMv9E6ixgRZKeIX7wlUfqg3NGvFSLCwAwPJ3mu+VnzQ0t7x1YqFuD
i3u81Her8KsBczbIQDGE/I6b/6EOncpiiowG9GOZAHQcVB0wuxDj9sNn4geUr93pNQabsBCl1Qwm
XWoQEYt9r1G8GVqLAd1ahn8wWuM19yRpHWjs9SO/BiPw7xOa32WSM00OZHH5xhmc671vs89Cz3PE
UGoE9LI4UnrrAFzmooewl6NS204MCJO/l1DPc430Yl80Bwmrv4mVpvVAj2V/Kcm1mj8lNVx3vuAB
+XZ3r0cwbC4j1ZaQoIesOEookkuHpiST7t9C110/E0UtgXj4PBQX2f6dDnTHsQECa9VvFyTyibXO
4Rkl4hsVvxJU5XKsDrEvYhze37QyCSkTHH+592h/3dckZ/wkphudR7sZ7dQi6vGaiKjgU7tYfxHn
fKBm19kXbZMQ0z3AEBKPXPpS7RdJj5dp2zIO9bqmrGUR/HAZYdap4PA3SWIrtoR4AT5wqqxTpost
RjZoBqXY53Wjg3HkmBP2AfSaCgLlOlzG13dLCx9RUNOQVB2hqXodwQc0eZAtU1oEJqFeDNEKKsK1
lTHFwNrGuPaeWgO+o0SF9kcGr3fSknqIFnW5IFkqvYWGuwpfeXyMwJUJNAaPArVJ0lE7HI99Vyfh
QgrczwsKgPradMN6nMzKD6lD5OkgKr2LXZ6iiCyXvDGtcqchDtJjJPuho/39zAtnAh4L8IPlEY8I
eCofnVfH3CRRrkfmA/OvUCqSSNuGNpNsZcHH6saJHxvr59FKheT+ljqIZX3OqdJ4PlnJUGrDII93
yOpMRLreE57hnQEIM66qxCBFburhMbH1Nc6wiwlUMVAsDfTO6w7u9dNDJlUsddGp+7KwDrhvIpYb
pGZ3VJ1X8fb9QydrbW7/NsyqUW0I7NO+EWOebeQd3RDnfpiH2t0YZl0w04ecG6MH2cj5HjMaLfB8
81RMcKebRTD44mgK/sZ0i1Ny3AeIUXDZLDnEY2g4PbRGfJgd7lExaxndRfNFOP++lyYemGF9UBCl
CaKjzrzJGtCV2dkWLS+P4RpyUVznKjWQ2w5yctaL2yPnjzSsIyawBHdtdilWotayUexuhuiKKLXx
S+9gJMAmdkGFlleFmIpYsmzgpGlnescQO+jKxrdIl/MRBZWQdITHUwA45vDtvNp5EOk87zPeC6+x
e493molYb0eKen3wwKJk9+ndMQP9VqgNSvPMkBVeduz7vhA6qaVFObBuQiAVLTSvsUM+jXPlHubG
nfBx1JQWWP8FC9fjI5LaOoL9mNgm+Eg74OHxadJgSYZo0WQB8bQvHyTn0ulHUsdDNdxYgFa/pKNE
JXIXnDSZfcdZgQa4RtMP7XzKvJSJrbzlI24KV7VD0fbfF0BCSQs69qD2JqxleCHAJKPoHKk6+Ydd
ncU3OY3qRftSlI7PwH2+qCWh34My/hlHYYsDOY22ANTAyRLa0hDU62EFc5FCQiIdTQpHUpr0ak36
XgYjz1QHXil6yMBTFbkBfpHUDO9v8VQrIW8NPlYWqaiqEVKHBdJjtwHuZDrHMxWOZY7vM9rAgHMw
u8GAdto6umSpm603SuKn87YU5t8UVDtt86LgE5VnejDke2unpVs6bGH092PSCTforDZEtFTVxS1a
7wMakV8MkpTObV8pu75qnWiODjRDjucChzm84jouGF5fQLa4DRFPGu2pHayJ3OY1XztqWeUPLooe
7+5Tok7HysfkslrJb72apUejhDoTRSmGy4FDPwP18CpX17txQ9BKE3kU6ZqM7Bk0HtF5Q1tmVlp1
ubLcZdIVj9i0SG0mUUj9uH4/iaRl9pFkmEVohOPu101lz3rsaeCyx2w2q+FDSmfm+cdTVIgCLhFB
Ei7uTqLhq6DK6xbnLSQe6xn4ngYid0Uk236IIVXzmrSUnsL+V6NEbtp3rkLthhmgrtDXhyAloI7m
s9fGHXYA7//5khfFSb1/FlvqNRX0ncePKUZsENsQAuDhIuG6GBeavHCCeGnEzPHEGyKdOHriSQqf
NzKWFC4WnGvkC6W5k4hRt+jFALQC6nCgI3dUQmK/cmBakc9zfrqS0BJV24uBxEpd2EBoAmmU/c5q
IsHjdhrppqfgJbkV0QuinuSkQiphy/EuWF3t1eLv9e7YgXoUCSsUJvHEiO1bndisUJ4P+op/mTe1
jWJvRiYYW7sqqMD8YOd/ihXRONBUU9o2vytOrCq5yyYhqFnBWaiVmUNA8XOCZqV+n6f8Opit8ZNv
m036hvGBmJqEzLD+TA5NA/yzt6WWGLawjhaOFE8hhbPPxfY7MZqnDIRIdnuKNtBW1GVQeNGl325O
BAX5AmCZEPOqANZDLJafZUOtibBIOSwYvyM+6FYzUAmrmAY666JG9gv+RXXcBtk9zCM6zOYbcOTi
SwXgU6gtQ1NSRVKFKdY3Kh+VixXoYPWd7x2wefns4BoYAFMQED73n0beEv5ami3hsUycawyF1B24
a4RGbL780bJ67BAi2KwFkNlp1Vr6Deh+Iuqn6WU/4PzpLo3Citl9FTL8KvdoH3sWq/Gs1vuEtrn0
Wy3csy+h7GSpAnlZESuA5aapd+VzMTMFVO7WDvNLP9iUIgnBAya6BjURCTuAwvS4upsFbRJyVu3n
s24VRZS4bb647Vimog8OC3ua1q7lbMM2ILlGiyaH0BleMvHVRcRsHRc1Pzb9ygCHs+wMGqhb0rxT
OotkQzLVShDGYIeD+7dcUh2/w3WNx5esm06oM389hSfaN1ZwUqnRXRBIZbdeYkDfObiL/xVq75ll
fbzTcrT5kqFaWnEX5xyK+0RfRQZVaAvANMjbc9g5kFR7OfWRM0u8CUSe5gu+LCHj+pe+U70nv7Nl
s5q92mfrJBdmdHA4WkBl3l90L0NrI2asOnj+2r8wQ/F5ulwtyohkCz6SRiJ4wDLispRrW60xR7cT
/8VZyfGbDC+yYkho+NoV6rxzc5sIMEQq0wAWox8zx5iVxZB+klfm1mmCAEoj7RzYM5LvXcnHqdMg
2zakz8m8Eh0qd9A0zcX8XIfP1tJDyI4xFBFFKk974ZN2kuKVDBuNRoblP0QAxsF6cGHeQjLpNB8j
dJjIpxWN7MULUCwnbDHFUnrHOwFJZw8kPWJJGuiGQuKviH9sxG3sgbnI5zBmu+fS8tIP1GCb1TrO
fDiIuTjBx4NKBaSc2djBaBtRDRZ1LgYjCaO3WkhSHqfHW1PYt9uhT6aQ/2UzWZv/4ViTgxFGrskr
zEJ3Hk5bWvKeIIKrerq9o80DJYQqVr9Gl9AvjmHxht1Cz8NzdIljF/K/sAV+B+2rRtlQGYdRfeYZ
TGfQeWk6Bq03Kj7whDK3xxMLRGjzIhtGCj+hBPI5iWv/LXu+T/nqstk0KLS6qQBSdFP84gLb76En
IMRhcak6mbAJS0YPQ9qR3Biie/83p9qr8aq94OXbqLhCPisJvs11uUkTnWuuls9Sx4pUlO62Ozf0
N6HAZFNCO9oSj8Pq0JTxV4JFogu39W6JJdBdBliApII6G2ehLhqab601Fwqsd6e4OYg+3NkH1/nO
wPiNXPzStkN1FB2adKDDX0aQeU8bOTRep0rUDz1RbvSQAWacma0aN/1uC0MQrzNOivVVwtj66k+E
MiQXCtvao5IV20H4LbzWQatKofroWnCBgbtw/ObHeV65pbHXaAdq+WBKL/8h7uQfhtGE66y/Ng4J
X8R1LD5h3RTdJRG+IGjvLXhmN9jiLgLE4QfGJMwQPtkQtxNXaBJ0ogyta6fUDrT7ZRVutoOF6ax0
kQf6Ym1Z6eh/OHl+NrYE03YXbSI1DsE3bHDTM63E4yQz2cZ/woZ76g24Qzuqg8fB9nuSejPy9KLE
Fk2CVAY2M8nx6lpjGhG2FL6J51kNbAeeWTEvA2fH4PBTftdvVYIbF1g3NILDfarN1a7hFRm00CoM
LhKyxHBGLQU8Wy4SMb/TDiwn6Nw6SBFE1ElW7qJFcgXid+U7oOkl5PkHlZUW27XtLxWPiVlnPXGg
Nq89oxvPzN870sdTRaPJ6TWh/SYUQb+kkGOHDvQpeXO57DOGM5yzpNDxWXb1/m98qLWsaxycou1m
fqu3zPsSne/qsIH+tK1PIto3jb/PeXruRWmgQ6c+UsKcohB3USfZCZy63nFqPqvHi2wp26eLZDsT
AmuQHC2D8+c9cNbJqhCJ2hHV+gOwR+QMZIAxJFo4v3ee7CFmPeuKCmjLWS7NIVT/SvqBpghT7Aef
h7nSNmAQE580rSfeJjYrUf/K6mDG9RlmlbqUD2KU3c7/7GUukN/8KH9+egfjgeoSaEYaz3ILrxxp
EeFt1Xp3SgU9ZI1zudibkjNQ+8o578tLfoaX/swqh+WNMOOkMrcruxN4vQBBmd/651yl3mZq9Bs3
6s/5NoAKzxE2UWAkmXUbu3rKOh8SZZjhXRL1HitoMuyufdn8shbzmHC9yVqM6Sy3cHFKYSnbOkla
1qrBU2BDyxCvzhM8i3uTYdnCy4nkIVBuGf3rSPbVkYt+Bx/xd6f+yOrjQGLiVrBUE2mgPhOAdTg9
584YIwEdPKEKUAmPfnNWSutR9Xy9xU10Rpfy0IczWyKg99sb0WO55iFxhWZookhbD8rAEy62+OE8
9itPtDsGMDACpsDBKDc9ZBJ1djhD3pLZ5u75HGoUoTZ22lUM0I3YKy2Vdsh+iKPYARJFOA+JcgIy
86TYDFRm24OT6xMjXkRjpsgwFGW+sKNu7sUsa9RQW8vid1YC2wJmvpL5gK1JL+PvCPB4qvSSVpUl
Qnoc/KFYHKfDU+iYtylZVoXROX5jlGDFF5Ig8jyDiLPu8TXYAYBFfuprXoZoVk1vSAtG2IilNdMA
9sEuwbXss0oWL6JZN9+7qV5eNy5K9lCZ0GIqdXRH0oU5/8i7+EhTx4osW5nD1AM5kk8F7r6kX696
NYXawM6s/hZiTt6kP6VAv7kZfDX94I0hVDN/HB3hx2AxRDAb7NgGWQBN3XfaDkMTLkAGQp5/PsCn
PHne5eRpdYWOzHNKTMfcLlV0/wh17rIOWEFdH92e0bLDlb6LkFtzjsTVpAwFBlhZdWGKFdJCFx+m
UY9cBToBkALAkmEB07wgSjZRtLLV4mjG/6p8eg3xueb/BnrXSO07bz8c6jqGj9RjxJFjYiUnVeI2
IhiJSiDQET/tZOlfcGXqOwWNSJBRHVihFgf5O2k7AgHbFgXVXykkKZbvHcwjbfG2LhB09SBgQ9Si
RgRbKVZgRmqqouot6hBjaX+f9/eNJkUxH7byZP4PKN5zMYEbelyZxsWEQhcqtMnCjmxPuMXbkh7e
2uEsVxE2Qn7GiyNPZiS+1YQUzy+1xNTCS0yYa4vqdDOkJboepa26dCF/cQlvWBz1gMDVyxBVaY/N
4Og5TbRVugYT1gfpdEPXNFVEI+iAMe0AuWXQbqoBXYej622Ri31xJplUB9P6JpQn96/MTIWSQ3V3
z3RGTfa8UVvTl2sXl9ci1TIqFr022yKdl+GaZ5+OVDjhfHLAXNiq79VkFw4BSMuPzdrigZ3hSCb1
eEpuykOeaK32stoc3In0egDmJ9JgstA+prJthTTpyj/opFWNjj99QEvcvclfz7JSZ04GQF6+5hFb
Tua+eRWgK9fud4z9BY7CLwS+elRcY9gAIEav/sKaLXdv1MfVqJ/Gr6VTHNHPA8tus4KJT0A+2+GX
4zN8p5xPH6L3EG/Jig/K2BeF3/+xXawAl0bg7bBlUPh6wmrRm9fglWrD6T4UTEvDmIzl8Eat4OMT
GwHhA9fjmU/Oy0ii6OktMZEy5mEc0p9VFQd74cnVsUnibeh0A4suI+inQYOn59KYFuQhrA42JqB4
WIv2kDSq8e9LDaL+lBugtfJ5qKWhkgRDLNt5VqyUwhNjXDgcdgJUAGlRbL5Gd77ZGAeQH8KVhFB2
2CtxQOxpcAjpkj0HmskzGu3L00Yf4Bh84k9PWx35IE4b8itBhoVcBTQR973ihRyXWlJfqZ502L9k
TYbaCOdq6Mfqd94Ze3LMw0/wSwIYRbUngSIYQJKkr1YDBihoyG0bY60fT16Zbie6mPLJIuI0JkrF
dTFUtVCdE7iG6C7y061Ypj21GlG3e827Fdh3EwpO55IjF5M7uMISIDTIwuy9xBTmWm07q9V4zAMJ
hzLUQVR/XkbQ4WzrEEDAbmLJwZnj0hMBWQY+uQ5L82A164sfqGzi1oKITa3lAbtrUbxVT9ZTkWoY
kjWBR5Lb0pXucwNZ13omtwc5DPadGBKHQnovDp2noTnS48TJmRaBr8lGf27at5y+iXWDFUc5osYD
CB7AUsU5Z69ioQFJQjrh+pfp7L+w9IXviFyRchrO4LdVQd9d9MEMR+RDx/KrizuDpr5zYKSNMLqF
JDYT2g377YD+6ZUy4vcqGJMzUc9RR8SzRCyGRM6dU0y294skiuXJfSgJm67MnndQZqagatH3Otcv
I3VM4Qc0CngVEGbjdxRmXHT4no+7vW9yqZY4UDo/Bz/m8Ybcxvik7HOx/NJo6WsaarYgDwJrveFn
1BASwsb9TyI34WfBP8p5YyngvBOxK81BBvlb5i3cgi/8kobQcJNkuxdw5ZJO4DhDwubJn4TtaXDS
bBsnjQRIfSVjRRU1jL8Hh+r/5a/NXelascdNhUYRQRjpUryWmB0mv63a6NHW2QjWOICo0lrv99rw
l2ngiDxEBjYn2D9YZkJia77F//F7YF6RxnpvsyEMnIsKOYUXsR+Fvo6hWmTRKZb+ebRMuVcpQTtU
qpH3XLCir3JBorV3ZMueMMBw2nyzUmhaNQeGxkRPgLQDA6Tk7K+SmbTKh2ZQcGCy4MGATfvU0cqz
gzBz/lpUUC4a+F4OYnibiJEJopdETI6Sx+Ncuodj6J4/PAe34Gi5bWoNm46qjDbmyZ3dnvT5cEbg
GpQLsqt9ishvXzbtKBM8+xYDmvdd2LfqtO8rsHEme7AR+l9xn3LeILWTXPEstOvxqVMvI8CCECfO
CO7VM69XH2E+vvtTQ5AjL1pYvJUMUOGyi43gfQNUM082SY04bVnV/qq52VoRdmWS2KGmkOaklDuV
BX4Nhl+cUZz/8YSfNAgaXrSgcnhrcmpZFtd+7tUNM40qeDyyaNy4mB3UYiCinWxk0eqQ/vbmfiV0
Gyz9y6Lh7ZGgx6xe3sAqOp6kgci/Reaealzk6ovKFySs4G0xOZvF80grhEyGS7jBmNaVn6zZClOa
hePAsMTITM6wxiog6qM/tg5L8Tyza+y4jCF1nYARzv9+IS8iGluGYra06Wxgrkxx4S+AMDEaNs64
BH2vZMpUxqy5P9emZvSm0g9BqVz5hwrUJ+Mm3mi5/wdBkK1HU+1J8Vh39tQktuTKCgs54ldGPfpl
jEpGTgiXqURPXexr2qdJvE/88cBNDnXVlg41jGR5oimavZZZ8dGtlLk0mSi2F+MeYewrJ1lPyScK
tCja4KKc09wH/K23wiX0YPgj/WFD4p696Rtgas71O5Jnm60pE6NSN+okc5ZXUefmcksAU0BSkVQM
TZ9VPH3RJ7QOhueiGhd+fKjv2Rx/ioY6mcUN8UVFyfrjhcsxzHX33H4obwagwuNbzUKVgKvtxLHn
G037riBM9hcHBu4EYswsm599DNVUNN7LWd0U2my4fJsu36jn9RqAVl1tCxCifORP8yOlFH6LlynO
KTqo2GlmaJNADGcycdVMk2yISGImEzy5UtSsODPP3CoYrU1zxyV3ZJLp5nP1XBR/22QtfdONCQRw
0bX6lW6WE8n1rZTVjZfOO6U7hgdUjUTytGjiC9TIOQp6P8EnaEIPljjpaaytdTP/YyMhcRwhIiPo
QTxYodgtrYeYWxS6DjHeOctcGrd/rW4tfqWdLGuf1hJ+PAnUQ2qRU0SeufnYd+FOCeWAi1N3KAIb
e8xTrlKSkbFHYra47KGtdUcBi6+vi94/q4DXSGLkplnsfCju3slsXcJRSWcWrKMddt6P3j49nWlQ
flwvol3+gshhx088i2wJuZ3BcNe6CFh55puuLDmXDouti0dpZ2OYifQqbBPYm6eFsIN75DrEwDfP
AKS10Ep029aS7Lb2yDOo1ka1703uui/vkqA70/MhZLEj4ullGjSFKr9cxx8HjSRxjDLdWyaDnJ+W
xY3FZxSTvSyJk/P4mMu1rSqmucWUAKdqYu74L1UoCL8F0E07T1wRpnzvtUeinsVixkrioBcGE4eI
4+csIWMcPfqSBjibjy790XZ5h+pJH8VWtmcZ0VKbp6WwHS6vuGxRZvUWRX7kdbJZmVP5SxSjQslt
arcEcoYbK+CAN4YX+QkYX3d0giuTOcJNv+zLHlbPCH3d4dg1zt4YJJ0lj3xDxasjzuJRnClREI1j
4imRWtYTaGpeY8Zz8Xyo73gFsxpWkoslUO3AtbHJ5xc6/b73Cq7mfFHdEFYo+efneAIdnMCIM44a
wYTwRkBEJHocT1HIeVl/ws6xSIp3vlcV4JdsPIG80no7VbZey6J2gNsj12hf2L0EEtcLeKKnmHEo
JgECT3gm3qYjjB5mx39W+0cQGzsj/0HXKm4/8D6PR/aclfE8jbGI3rHrV+/Lz3N1FFn+O0o93vlJ
p8xoii+fn12xshgUhaVC9HvQ7fLXwwQezwHwzxUm6TW4xHYKm+ZVDJl67BzipDlQxVFzkt0yRCB1
gAd7ThObFB1DjE+tn8MYRRwd4Vvn5a+u1+PxV2gIAHlbz8gI+w+w1mFgFpoICG3SN9KlXYx0PUNc
1My4NmKGMwAdOmZwmX+QJck9ZeR5RCGJWrhdko3bR0XgfG/Be8f1sQk/4oxcwnCqSz7RCqtaHELQ
AttzmgPfKaC1N4rj90veGV6xR9MJo9CgHuYTYbsG3F4HmgCokqlXq1FKfcnCSzOVFtmKj9Swm1ms
QWvCZ3WPB0stH6sQxnxtUY2qKfzwUXF8SeWe6P8kHkaPYJd4pfsHgbBDuo+md3eUOPO8T7d8VdPS
+6ib1VpVcKeC4C7RqQ4GeuKS/1ML/0cN3WByCOXb32lPvqVAmShlUmhBJPsx3HXN4OSEbCVzHTXc
9X8HStpz8RzpFN2rU55B2GEPWNZ2U4ZQeIK5ENtQlv0ID8Zr2+wth4+inl6kATHPpHcmu6yYl0u6
tm9HUFUKGzx/aKixnGUaj1vfDh+eTbGgrkFaFsM7ojGUtaF1VJsM1XDNJxxbZ0zcwNl0oT6Bc49X
1mf4K3dQtmnO9wtCQGD/IdmfmeUbY3dzKehiyI6rcZJArGbgmFrRKsqS1bq7s0TTdbBVv4jB4OcJ
ncuiugJrlL/RfsIONmIc2Giapt8jkWRaEKwoEqnyL7Z33E7/PHe5knQwLILbw3hk3KydjdcYdLrf
SVCVM3ZQt8q+cP+HhUYWz5j7sZNF/bwa5zVcJknGy5sCQPUd0Ni+pdipKbGFUnH24xk/SHz+cbtr
j2/7PQHC4+GCrvVRizlrj2x8j5FeqjwjQVMdYXD8d5Gy6Dvd0rh+8BJDY7UwzxvRfaOnhbHE+Pa9
q+gGIMSiGbb4mlWM6VQ3B6SusQGfYu6n1J++gQcJ3lt9x80NSRQWXjbQskb204yr6Zq8KSgchVjk
Q0P0nr0piAirKkMe0gjmoZTdVbB5zj/P4lezrWlO1gM14kWTb5s4niufKSlmTdN8TzhlSFGPIrc7
l0lDKQRY62pa494N+i9g/Zp/RER86mb2aTqtSjXrt6Pgqfz2F5cYsWnttlLhBcLf34WRyy5fGANJ
z2r1zH7tw6AB16MqpBug3tDOdWcqcQASJGd64Ajv6oiKRUqucCxmLPrkLSI6YpzaDxpFQXCWGqHy
SsxoX/6XRVx6EkZ8FenV33BvmdFP67hk/c4yBc+Z1l8PKfRncaZEBKhff8e9hVmAFUXxyxl647Pk
Ps6fgZf6IFYVMV4RVJz70TDFgUyzb2P5X4YiWxveJjSvswqJdVql3kPuAkuQAPoDVj3Na6kDEMuF
w/0FimnWPA2S3uyeF+WunlR+8CPhlXj5bPh20mNM3Ee+In3hnKrldRqbSJZlgekRtTv6Y8Nz4Rr7
3ppNjIrOBiOmbwtxVem/oxvgLm1NFM/wA8mRKDIdDspUK2r2C9ntT7sBhQGHc3goNRU/ZbkrpeGs
AxIhCPyqW022/TZP79FyE929G59YYBPV2AetTQ2/GAnST9mHHsIcLZF8LQctJF7a5gZ6dVenn+1U
jmy4Zy/1Qit0t0dSeivTdtKxc0CKu/kWSTBVgFCzBdLZull3N+7h+js7+2Kn2+Fhc/K3IApTUXKc
9HA1fiRtp8BtJvfjy38WORrRRJdKRi3fMvD1cokhYbPKKOmEZklHF1EIeJlLneQpgzxS7tzqZW/N
lmWtfZszj1qB69t4PCh38uzSeZhq2Z8kELCf5TvzmC5cK9CfnhjyFAmUp6LOFzOsL3hNqzVSemBV
TqmVU4WBIW7AfTvi7PNUp2UC1UT5FBmaAT5di+hOPB2+wMaQLHzsfFgmaLoyru2Ye+cTyVzLW5bt
/tq750VTcfHFq6yJbxfFIF2ZzbXTGOHeudBqH+FcmqrzEPlLhmpQ/q01iGFCYtheMcP+T0lxwcDm
qIQ7RZZ4/wEZs/Aw0chnSxaBpee7tPupeQ7SPwoNXBcbW6K7/8qF76KwjjxjeC8C2WxI8oZP8tv6
VSR+IVvZ1Xwdcgp5BfvK8A/D/blqIe8Kn54rehsS3hvXSqDnzW8BJZDKhHzJ8X+8Dk36fqVh2J2j
VnGrZRiBxpOQkIBPKbJrHRXKYljjbiNTJczqSUXQKhqipAe5GfSSbuB26p67WzsHR/fJAGkxJPuw
o8gaA67IhZo7fbdxe02oGi9KBq9+ZjCDHDr0griuH/LjpxYac+7V8gS056hopSSL4L3V6bdpPYdO
MMWHVUQ/hQy/IwA3zNWxjhGjeQ4PU5UHLSPID/bAgDBlIq0hqKFbTKBBbpCEfdFnO9mIXCCZoh4D
i2+e02EKvtvdMVLGO0ScYyfGrGBV6zwdt1mAq+aHFPbIUPNuODfYQQH0w3QU1ZGffBtvg9xv+tHF
mI7rLwHNndPgXF3dAhBZuy90vtCJva43dW0GTsvMiAWKpEm+Nf1R8sLCrDvLFn8kKnZR7tTlrNQS
fp2nSfZYoZFQZeK9pzYelymhk84G4SRk5G4TOqOKWyys7nEEnqMFGziM8IdGGWWj8OWGAj3b9RDQ
qkfNNmzBvlrSQw1eD9JCHyZoYxbtaF1mg/gsQ71Lxa2BQr6IHq2Oq/YfTnf1yra2uOZ0bu1bVmSG
NoTc1iP/Jh+g3tzeC0fUStYQWrIFaUgi6vzKG65Gd3CbiC9zU20bZGjm6uBZf4czLzDjcVAUMN0L
Z24rmGObTude9EoFrlfO3eZEvYs/p8ELrJvuVFnNU5w3os7RXDduiTt4Lq6UYWsmTNYqq6OoHz9P
oYpSckczNlmsNhgihP0XAapuZTnKkkzGQq987sQEspzYbxpFBDkgnKipmeSEeiY9V+RHWUB3Sa/9
vj2VynFOq9q6qtwhjJSLam8kcd5YZDxg5cohzZykQI+Y1l6KU0CL06NlQxw3x58OBuAu/tXgqyD0
fsUdblET860+AqVsGVLph8VUbIDY9iOzR/yfrO2QTHoYwIfcl/zIDA9PelwKhqUj5Jpr9T3uRSll
XA4PcJDDw9MA5fhVbSIOuuDyi4oGn63fRQqpwUZ5+lDUzemNsQWobcctvd78TZNEe+RCPAILZMhv
f6pIn+C2ivGOhlIVZtneedNh/P15nRzPqbKMnceyQZP8UXNEKqOvltDPHjLom39ghTLLwBPIMG1w
OnFP1o9xjKp+Dx1JHraHDKqehfEwuHh6L79p1NcdIfJnTNti2eLHYcrMrmSprfryn1F/zL4pLqZc
ew8RHVSvlzHY7hn6yLIRwxpsppr3Z3y1J7qr7Qf6VkoFYuPLrSziDcB9tNeDn4OST+sjkhDhhnxu
TnkGSnhujUuHR+RuBElPCQ5bI4yqEJjashRQrkKZz2ImOniy4Bmc/5POHQDr19fNcM/JbvzNrSqJ
LJ2umXVJG436NT/0JwFe8nrVK2P77NNk5Vh7pNokmBRJ1Lv2xf+NTP/ZooVEH4e3MWqE+BqjRzDo
YFlCZEfN7pKal+KKPuC2+UJSHV1mkY10EpX9xDjftuEM+J/uCFWNwt7WFRx8doK9G1sA1rSfauZ8
FuzaCtaPQwTODMUGQE3qXwsxhroFSRdgN3k+cv0wQgIGO33ah3HX8InzblhzjOn+7eIMUnzm7r4I
8e7To3lik8ZfTTYwtVz7fq3icIePCxsYVKe3/yFueepQK8d6Zx3loPrIrIPGOawv3uGKFYH+5NLc
PIXXb0bWbCogPIA6pM1w/wjwlLK9xoZCAAWI1PuRC1WngX/yOAiZmZFaI8RTI2pUBwSaSs5D1e5y
jeepupuDuEjwr7mouWxzHqkiCw3nad2tTbdLx7pfLyiuOSROfEl5DogaKIsqUuf3YTyf1RSO+TIk
B/FVYknfOUyd2PsqqMf5fFx07DSCoFU3bTiZgcN722b74sOH6IVIvEx1x/nvH7hlp1gfId2GMBQ0
+0Ggul2vMzDu+kGYRXuQl3tGwF4GrdXWXZ4tUam7v6IaNQbOumA2sclNFDX9NQqT6BvOGIY2ZLuV
pJqq3loEIKlcTM1Pi0OFBclSy7axL69HhqPt0ylQtFR49A0ES7aHycQbwpBhg99GqS4tnOWPCZvb
0suudsXXDw+O/GWDS2yBxWIVxsu9BPX2pwPTL3RnXd9t5aCY90137Eeq/zX5/ZL58lUTfXp1gLIR
FzTscr+Djv+5F0XV4hCDSBPOLvSIcQ7xwgLrWha6ArTtfs9kCJYjE6dMJCJJu7FvqpozScMd+49+
kOSrPBmxgB9xoiQVeU/K+YYB9fJOQ1iQZZEAnUxkmD2XbuNJ8MGXAhUfcVMEeOLOBpnh3dv4pOZh
k5SsK8kaJTYDOIkIhfaouAebHOSS0tpAsGuuc+qhkhDhMdYiyvstJOeNML62RXqh5E6+IdIwNLj8
eGRQHlV7EgBH2mfWA/yUF/SO8nm6nGuw0fsGgjfzIifCgybgtHBUfl+wIXyA4Z/inRSFrcR2ay3F
TotRaasjCm+7HL3P6/lUzUzZfhioZvNh/Ih4LONVG6JKMKMiGQVcGYValVehVI0QNZ58VTIEa9Ko
G9MdeMHAyNi/UQxXXhLcaMiR91lePYvoG/645hKUZ4MUIMJeR3Xn1FX1m0rJo0B1qbrkPk8k/ZUO
jVEblJi4YUVQbHrAeixCZ/WqpQB8t5yIAiWJdJbjJ81YmqnCyYytdk3afrAznYSa2GSe6WhPZ+P3
7ccjxfKbFH706zI2hcnP38b/l/r7c1vgx/uJEr1seL5Jjk2FjNJ8knyrxo9ViefSXSjPD0+4uxjx
YZ9Tm75hH9QzfU/jXhS/NZ/3zjTAmnvq7cIpvmnhgUEU8timiKiQrUJqupQ1NP3mFKlLXPj8AsFd
Xesdd9JN3CazQfKLk9f3td/sec2A0L3/hhGt6GxCwYYpRLkGDcUZYWgyhoSZLcyODErIjIaeGl3w
vijQ+aFkP1G8mfigQrBmjUAOIanfWiRGtdBLHE1QLpGf3Eynsemng5iSHeBDNEYb2GZPCCjJK8kq
EBDH0RWbM72lTOOnqyGKsxhf1A42cis1VMYHyvvptJo4sea9jAb0DG3ewdEpNuctHFYqg6d40IfB
UvXvOCUz/ZyOgTdcvYf3Ihdx5QVq/yhq/w8seTzJo+wG85U8NrKy4+GxRQmyxKcbg79bAVCdRO6V
ckXwXCOhDWZ8ZIFCI/SmDMzC+2SvGf9pkaOJPDERDu2218qndQvAxxLsFKX20std6SL+cniEkrNl
neEeCgIbObg/zBXWVL/k2Dqw57/81uzZzNinjd3KjDMIbrGVzzneTjj+WqN1y5U2djUuIUXghTpp
Akte0g9oVRHecN7scT7ZChbXynvCSABBPxu2PSCmxNPFY8CPul16TIG6y0VtmiadIaL9X8zFf+k9
n4wJzN3duePIpTsp1xdauFzcLwOyRvYbzbWk3P9w/vyYalnJMOktG1y3z4wwtqGuk7WinTOMQyim
rArTq2J9f212RgcuRh7CYJN7EdAvzXHUZ5/Ht4Hc4hQO1sHJac35AmsQIt3iqzFcs0r1Kfqh74NS
8jMzYqXd16we7sPmU2j6Y/H/cdmFeN9OHtgZ9Ecq8ZVKxpwtFnSI1AvNctLKgA+qJ8AGBhTZSngm
t+TSjdvo2QmtGWhxwaQOlJ52l+hi2iSwUqdKlyYZcvJjQ0Mea+8/SDbyo337T0Eeww4Barx0Thy9
i1NcWbRmtEVZ7f3vxVi2UEw6oBV7J89bkiP9LFPfeTwBC2UxLl3DPCNCfgzF2IhZDsEapLdKzm6J
tSwVut4JfInwmhbzOfC5mmEjGaaQMPtznbsPKO040AbCh1HuBXYorL+kTMNv4UwlUcvN3gmiUMIO
gTpAyuH1cgYshZUibGKPq7n1szD87Mug9jiNA0MyNhQ/wDCUxJWG/jrK10HDPXmXTbmg3R+emLEp
CzL37aGw7PDwIS7XBZhDLV4W10r0xZD3bf4Eoc/scfrSdLzVmKgOQ++zbq49YpHjF2W7U7HhCsbM
Q6ZHiWxCu2LpBU9ON6OeITgq6/CkBOIYYhpcWprgpUAjJuTAMbZAL1hH1EXnyQk905ZRKRjQG8B+
fhxZDtB21D4FmmWatogW98PLtHmKGdP4HcjBB5vKMQW75AZX5uG7GwmXnthYlvybm5JkA9Lynvht
c48WQfCwm3cFc+l/DsAfoV7heyLt7gPD2Jn4BFrMrffDEquDUtoDqsgGnxUjmXc91IavrTTPR5k9
ZlaVI/CNtPJMeKXaar6JOT+3hNU01OVYbl04DAON7yyAJH32NKnL7PryzzU3Lslicf39TJh+fMeP
S0MMUKPJr8OsD78y3yFiWgVQJKpZof/17Qxl56xgkXhgKWg11KWhXdKl7uYHvCredC0/aW0c+6MG
NJZk/wA834pdiqO7s1Iy+VvVEbABcfZDecPEspzfkoY/g55CI6Be+kqwCc/JK10V68xhsycvNu+D
ubGUkPMCywtXy/HgIlARXFd8A9RoRuoeUpulibWMU3jcj6m5IyuNYPQsXNN5JrYcq7SajBVz0EeR
qN9gft72ekeJvsatHUehWxJgnpHV/vhamOnQ7L0m1QMKiA/Wkp1zXykCBHao2vWgZCLaD0uoDN7Y
QSrXO9W8+hvzJPrjGQ1E1XiKluJxec4xrHK8rKK3n7EAFHvvz+Ej17TICz1uBh+NvCWIcj4K4Zd8
4DTW+8I7PTL7wfUm7YHEEwBvmNkQS9dDFI4GUTzOzUPEsYwAz/HZ+WuGHon5soXo37CuPAv7rg72
JGiP87QyOR8sAlvrqX1IdMNzjgqMZ77iU9wmyHkjsd93PZoxdtju9ybmRn0cVWtNF8ij655q7Tnx
Z5eq2JmYOdmTrBlNDnnfZuoAC/4NGlmgQUuNNMVZ8XzwEEhFM6IkZhVTlrSnFUOscpa87jvdYeJU
0XIGQ/iDd5vyrVSGd0LjwLyTyJClnxpljqWJx3YR+kR1anaZzslH2CuyFY8oMUFJHzMz5LC/8bZw
VBAQuKIzhgMKsT5FWC9TS/VP3/z0WWWWkI0YFH0yH/azz57kJ9xf0w3N+K2HZtpiiU93nvgf7g9a
x9p+6Reo8Jm9h8ZP4XxoYwMfzL/PGj0UmRZL/91ivtuB5zpFJt2iSI5aZpux4SJouyRyGES1gf8+
SbYqrFBOFvzbD5cqr53Y8vSaIqaP3fMK14sgqEi72YM98sENrc2S3qAgDieWqkBl1QG41SjlH/2n
0Zz5XHwNyXYcatJY8sumCjiUkJpgZnUFN00et0bTlr4w+QqzkHknW55rH5IBftWunybdSAPItNj0
sjRXCiMnqnKKdexZq88oH0MQ4ZTBfK2VBpY3sBAVjqL0lbTc2ScNzzZ4VwILy2jL65zjC7s/iBdG
aOy9Mlx9uiKtMduDHFk9W/AcDBHVxXOlGMyKNlC8UlEoDa/QZYl+GH+ePg9W2pOTMVm8JXNnGaMV
Eem/HO7Z6vNiJ5Oldo40m9q3VNTAkwRFQT6nnpAl/QMjXEPUDumUchFXIQU4RNl2umHHZwYlQdR4
sj7044u580SK+/mV0/3lS247uQ674+kG5+POvWkmi+9u/H+OcSFRTKciCrt5TeGhwSI1RSg8kGXG
M56uOg/6iHp57SPoGkbCs2x07XejcCegLyBB2QiN136aGXtUtQFT2ie1UPgsTgZoDGZE4RZiBzVA
6Zg6Jo+37pbO1+jFQZr2gTXogP6g7h24M6kgm14ju3iBXivh/Fw0zH5MJPB40Ecz0DUUPKDZkNh5
R7E8p//DDZr7oeOpzQAwOyFxz8WkJSZWxfE++HPWQ3wuVPGOcUYWaifXotquHRsheIrZT3eTP8R+
u09s5Y+pqbSTXwJKtnwr0eOZjSuY+JqdHyqNKFAJ8Hv1Yn+TyVxEfXvYzKVtPee4FU6ePixw5GBR
IEdMBh/Ncxinj527TWskTdsLoAe/TJFCtwlGaq1DR7SLZLMk4hEpek+EqxT4uyOV4GjN+FbqLgFj
sQ25GBf6KmmDEDoUWmVT+dmEf4rjs1fMa8xCfdpGF2Pm9ZFe/BaOQTxrbVQe1SSm5pkdmXfIsJC7
rrhZ1gcgFrryuOJUKZpYvC1g6DfpUunQn6HtoYm3yj7/h3erAdsWmv90+hNTnfJk2K4dcTQb9FIN
1JEAh0Sx7kl5Ku8/XZ/Le2yZ8/+KTyTgE2KjbNs805coDWVsZ76+MVBN9xUNldyUsBvVJNtF4TtE
42G0J2/UWXFpwdwgLRgEEd0trvQAOZbTkJDTWjWrk8vvd1dSUCQltf1x+P+4zeCYkRjhJFahhRmH
ESaOaSEDHMVvpArPrX8Wf3PAuxyumf/iRU1XdrIW913GppwATngcIb2gwiSpKtXnJPeowDc5PnON
J3Uc/+fXE3Qom2TLooNfP0E3kyJrVdNg5FnSrV14FOcl+9U8gmN2A1uRZRZQQ+EaF5XmvOAIkJXV
DhMVNiqquLFzuxyrPSJAV+Aw45BSkvAZobsGMP61hGkyQGiwSoDoedPU0i1Vi1/y7PS4tx9DFalh
WCud/b9Yxv4RT2YOiwiTV2rU+j15wt9Vk+oRh7ffizBNDFrXvJXSC57m+NWjrekr9mLgruilagNp
OPbMPbcsNdv1pW3v2fXmTAW4ks01TuDAvK1ZGG5N/iULfuRiiUD0v02hzwVn+xnCDRiTt3nclFJ/
bbx0+pLMCF326/lX7yQGOG4Rx6+4OSway/J0CTWYAB5Vl8j5zeuE5lxDMXG1TWqY0wZAMIUr/Ag3
Vv2wSUsEBss9dwMzF2b1yC4iPNSQHz/nI3Bnw8m0M2fIjfVaTg9U9g7FSVFaX3UKxBCliwddT6zI
OqWHOJuh7e2AKQRaroUdJP8QgpcebDIcWA/MFjMNjSS1hdAbaX49Es3XzOft8NGn0cWMf81WSUkk
Wl9h5cbXbKCPVUMA2m7AcWiHjbiKCOn1+Jwjj1y33cAtJ/3hDm7eH6z0Gvb8J7kco8vspNODWQ/f
P2ZWVH5LbuLSzMxx6FbJqAdEnOnkQm2PgTUv8rDNxJPCZdsVD1jZl55vcMN7sPvXpH/vbDF6oE1m
dWXmZTtk8UoGTeAdQt5TZ+R/JZN1GYcEM3n1AJisG+nZ97r95dNQjCz5uqYjo8zu0rd4aT6mPj4Z
Q+RhK+33p3/7QuqJqGq/NQuw3U5yJ0gcKEwHeoER6H601UipeD4MO1TtYnLESPWuwn+c5R0/hI5v
yp7yLEL2ZRUlv30c1+LnRkbQaiOZE/uZOgRQt6OctcYX2+idXwFTpR9Yh6BaWl///COiKafRv6Y/
boJHi6a++APsEpNmZijEyoIbzGacRSu9nlnTplTm+0ZHtjM3E+xy9xNDWobaMC2loIplexRRtBAv
4QVex08w4uWRYjzxwrZOYISUdZ8+dVEwVFmASeP2IGungvMg1M2NjolzR1xNUkCpZIZ/iWapRmx4
re+ngjlGFOdy+Pm1zKBZzLfJuvcn1rRcaJX59ykE8N79tybPyXsExXcusTUZZte6fbKUXhx9LSFZ
RBmgGEboIIGTjF4TkScPYsERzrDul4DUYYMy5gUZ57X4H9dpg541b8oqdiohb+rCslG7UDQNF5B5
iu1jVbdpwEvNzzOGx/l2omdxF65lKmhlXJYddFqZHpbzxb7Om+C0kA5yaz2LRUzeIA/LRziyrMbk
/WmbimIFPgFPHlCBII8SorUI+pfEgcepUWffVRvjCyAXNXJoVLY0Xrx0QVeCX88hrVGha/t+T2y1
uDpyTMkMe+Qu4S7WXeXjNlWJFKyS0tx06kBz1E+awksTnCo9TnCKva6u3LdZoim1gsYNaKvOa8qX
hHvi03MV54cbU9AVhDocXb8f7C95BltAK4v52FN9yE0WDI9oKrVhe0nYQNXN+ijrYsUuk4mJH/wS
JnyuabHBKmIVxnWj47Atdek/6ITSMvo3gdG46CasTKHDLK7aQkdPyX6chPB0lWlLo6NLLNAjuLnQ
+a62TbDD+MlcwjKMTSsW5YmFP4TPvbr3y6FsmO7pHs9SnzHo1Dv8jUzK5ks2QIf6GL04wfin7lfZ
Ti3zO3M1CCpPbAX/oCuvKYDlfd3FF00agCz+RnXdgpP72d2Ej84BWecjqp6fR5yVfMcPyHv7KCrA
2Lsf68w/pr8lXoeiDCp1k4p6cjc6MvNTVPvWt0hxPnqHTRCJjalPC8Slo69eOboMih6dPSE3wLKq
+5QStfieLHe9abPpui96U1qAmfrrak4A5vimiVyXypWIPNkASqbJOtNN1X0556UHIH1ZbCynVP5C
2rCLoefeX3dItcCtQsCEvcEeaSpjMMFa+U7huggIgvwf9ho2qlvGHNpj72QVqa1kFiqXLSoyiebi
ZVYkoyjvqvh8zgmjv5Ww2BOYehL7dqE7S8Dgh0mso8YaEmGu+3jLCp5tYCCpF9Rva1kkt5kN5UzE
ZKVdrYZ+u987BurVZB2Jzb89J08qFmCliodoYSnJl6Mjdc1aSHy5TDGL2DUnG6dU5o6G6hfO9Iok
CFPDSqj8x1qtmPCyg6AHjX3+kF8OVLJeZOg89Q3G5JiKlSM3FKXxKwuxR2FK49sC/oYlwPd2HdoD
JCuXXpoIFTwIIQNq702mBKHi4N3Q/IUy8uab5g+ze2Al3rvOyrXoLzGjvS7h8z+rSzTSN+c95c4x
321dseVxlvLcc9xdRWYiSfnb9xlNufZdNbQxuECEUdsn7LW5BxGmaoTJh8j90csNYwQ1aTjsVhdK
4Pu8+U/oDew97GuxuJFXW/FmkBY2ddTRNq3NXch7Ijy0ZExQ2uOC9LhRJdcPABc7CbAFQznMZ8Sz
zWvnQQZVegGvh0sFif4x+rkZYf3DGy6VqC0eC7+vnKKGBjwO9AjwuQanysh9ogTuKvG7wTbeUsHM
emFZb7dODKSi4PxlECzNybr8K/XrvtCg7gyMIuxbXkVmJbpwVnKmDM+P652y8NH4HtLneK6Vijdc
6arV1FQMod/H1HBaM8H0YEgz/yBmv6X7E/X0N4ikSioMQtcljFsopuvy0xL+PeNm7w7rLW7S1Bq8
XoMZc6aZzMgyPy6Et3RiUwIQ9es12UlYwcFibD2jom2IFJ7dYNos+s6axmSkQleoZ7yf4o1nszIf
jwt1BsHsbv13sK3a0lv/9HgpbSU84FgXr6kAP8un1VjsDWPQQ+xrtbNXZ0gY9AJIzwWI+V7BQlOt
toda5t1EsIDs4YgyZlhKeowITMBZulaZrkaRj5DF/oHW+9tzoyR8lT8mGDVLA+lB1pZv4QrnYZ7R
iBTFTKDg4sNZ69TJ/1QhPZ8sEv+nKsM+l1FFrNkCKh0DIDYbwo/XDAYfSKvTOx8D30ZS/LE1ZD40
hAPaa4ehp1+kzzSRzVxDw1KWOCVGCr4vBlNQY3x8PEPGXPSbxsRBZzD2xUu70TlSpSzDzqxGJLBl
5hbnggrj2xhbQYwVm624UlFeBeoCHLGiR10f/Se7kw8lhgc9hu7Vejauw62kmsUqYGkp/0oHmDTV
Nm+50vVrFpvRDYoEzmcNr6Lt7SUIb3BPT60ySe3kysIA0BkUtXEDMclbU5IcyKLE1P1HZ7f5BWEH
6d5hjautum9aTHoMfuOCxurXBbjp5b6n0UCzhLNN1KjlMGla0G9vls4BB1nQS2LI0Br/kl0/0V/N
8YfQIXHPw1RQGI0+Tm3e+5Va+fG4i6DI+DySWgaWmD6pLsNuvRonjSL+M7OcZAcjaNSZgwYEerrw
0MUPLXOCA1Qi7Em5vVe8i6vqaBosXzHHrqIEyqTiJE6XuwKx+Mf5KjKmjYTFqSSSqoMDzXdbC3Vw
mP+kZ1P1O/Axh2nWbvEaG0kzH56p9Umry4Bz+MAFYmPqgUsFGsviB9JBqkG46BpNJKETp5QmXpZ3
kRw4EuPrc1krmHcdAbdTX+wBgx/Y9q+/wxC2d3BagVo27zNF6LXkMMLutmandBfMO1UxwP2oBTwi
RpJZb/oo9FOuRUD2CQl3hIhv3EQ+4yE9OPj29fImYhVrVyMVz1WBBZrKsXbHN9L4ND6Zx6u0nmB4
xNh7sHvlj8s9DrYvO0oqE5jkVpqdlMB4bL5aoVew04H63P3ddbhA4cptvbpCCKGpopyiQPM5NYLN
s3qbw6mQsOjphdSXI5XMPSfFQBXZfjBextg/v8HWg/AchtAgiJoVVT3IlWttPImtORYMOIuWuVyz
7QnO3CBkR5j/QTrlvxQv1sUKAjfGeYIW3J8Fs25qlLdpTPr0dwIW9KDH22X/do90RQyioMNLsB7c
5Vd5WiPUorm3tsG+3hckEqcaLtZSny0gcbDrV+qdb9KULv/k5lvuh5tc5qAAMeHhdZrxgYjsQCZQ
L2+XIosCgrLD8gt7YLgH11YcDaztuXjAidMcrfsvHVNrZLEoo0ehvxeGGQ2KTSFmc9VCXbxQbEno
hoMdQsIjwGcC/vim4+hDTnC+uKBq6uiOWeBDwiLYzI9MWoNk2VXqaaWNC78hJm9iQ+d04gI9iNIt
pZCGMelMETRvEpb+URX9wfH5XMFps5xhV7Yx2gbShizMwK1Gjd7uFivPF85t3EKZfgVii5y6mc7v
S2/BcptrPtKqGLD56b7ATjzMunU7NBUda8qRUTS8k3kT5T6PCx0ts/tOxtgiRfyaEXh3QBIU6nfX
uJgYKKROc1q054sJOjOhr6XO9KRMT+Lp/yl4egj55sjf1+el11joArkpqNz1sfD6BjzRjzUXDUM1
7GIYmJvZYSPbj4qpwm4jOzLMG5s74l7UXEta4OUOkPZsf1bbZF+H97pARndhCtNu70Gs2Hz3XTDb
Cpf9Gc3SBYPsjn5iRjk2ebZDLnBuHoE0uUVetQh9gZ3yTV95+xUkxappD+F/ZCoN9PfKTocA8Zax
fiOfyRNeL3KqI/jAl4888bNfi2a5GyeqdIYvmxRXRYPAEGFzNPB1E6Qw2T42pZ4qvQNBH23ZZWf0
ZVRZww+90dPyOmM/70XVG/UPvp5zU+ETSXJNFPC2T6gZKOdXezC9PBAB7YBSiUay1+wFoZb0J3vz
F4Y3R1jET52S6Az1je6THAMgiuJTjcs2XoFqhkhnFj70+tqsC/uPm0nmFVb4/sy1DZ5FBjIBtnrh
2bWcz278oyB8Jytg+UphkNkMuI+EL/Pxff+t6jlz+9HuG3Q/Wb1N7rifL5WUcxKWn+Qf27REcY4k
CczLFyyRlkLJvfl5y+UaiW91qaQHP1B3Hd9Kt08IETRvU3KvoczdWwjFlxav/geHCkXIyrSkU1MC
VxZKoHC4tmMNdcOLodabMWlZN5yU2EptK4oqGfH29ChCySR+F8mNHjqhO9H1uRgfH0mIYzwwAXgH
XcRA/zg2QTbHngsiHkVToUvtA5SyoR3Sea2zNHNQeEzjz187iLw5ZIqhGrL9aSJPbzP1zN7hCA94
8mEpl9suEx9VnlLo2f/G3QtT1fRlSm7KZXaeMowrX2VKZDOV3Tbl14QAlwCPfsP5900e84t5aaGe
ZPeOZEw4ubYjezYnz01renkWz8gGKDeZDGS9Ykl5/T8rq1iTrkyQF0kTQ1t9XrOpBMjNxDrJBPuL
mAwL/IldcB0qGZN0ZStHPdminWtnvP2Cy4PVPrIz7XvnQyP7DP9pktZCCDm3GtSf381anlyQO3rJ
aor8ep0Q1AQCU/hfkSIKaZ7k+gckmKBXVI3UUo9bK2pSHS1ylAYsi/D/u/v58ZZHtv73ORWbA3sE
EDkkEB2r7OyRwC8vCvrGx4vELfcG26Lavj6FoAgWMqcWuf5sjslvlLCkt6zjaMxEe0Bv/I0oXYsA
9v1/VdcbY1fKMv/LYp4E02LxPCWVjMv1JqLF8uXEUu4s/6UntpucMqwUs/QRId/aoyzbEqbwd8jG
oM+ug0/UksTGOZLG9Q0OHffLz54ufcyS7aB786Swh9WIZHWExLuvZSeHlLWqV/KS9t4VKkT+7NaQ
sDv6qlnIPglcGiBhdFB8HBVNJVTXe3yIyy1KPb5jaiB5xqQPouZpvKnT3b9syHF3qEBRUvFctS6q
N1mwXXW7UQUsmy/qVnczPXr2iS/Skjv9F73VCdeCCYhk+TSY0KlyKsGdLLdQ6vHbdyPUmvQ8Y2/i
W5zij8s1luDO66zDkymhxVM8ktHZ11QZeK41gkIaY20V+0Bg91GfgAHR471CkxSy/nAjYltiZABz
yinRkcb8P+FYk6sqmZN9oQf6tjybJDrf+mSVFoSVr8l4Q0eLAqymXyYHJdTCraRQdPnfTcK1oHkv
oFWreq7e8dzfezTv36jh0n5++zxOJKCH442x57ZZcqqNRlRPL7MKWSc9jOpG52WcbgiuDArs61fB
sLvhnCbc6s+EkJ0a2+h3JyIdy3KNJo70hHbHnwGv/v1drCbL2kN1QYBccjq/ZduAHW4tsYaqMaGF
XUBY3yCm9p+9j3ONzsEGhgQyIKHGSXLbYpitEvhYYwFk1Y/NfIvlZ82Dhe6r9wG1+29xZPA0nnDY
qCCsDeTmENdvRz1ONRapcJfb6NBJKVmttNSNij70SjD2Z2eEQSNiOSfC0sZY23zM9AgMFCqH4rzq
4BWt9yYkxE+Wha2B1wQRCWgh7YT8RY6N2nSBH92vJNSQBIAmYCfPLBXpR6wiJPUVbCjfd0XcJOTf
oiE1TJencrx2xOX6xdumUEmRdHeKsT7L0gCnOpIlwf1OMABzS6iKxpx1lGREYI2Ir3Qrk29dMi2D
CknQ/jRZB6RHEjUZDiiVgeq2LkVSc3bCM2+P4xSPC8SKUWckDwg+39KbLBJh0pQZ3x8IE94wxQ7w
tntR5orPTqF9eygHvvobGDxUroN+/Pw5uLZdP7OUxuN+bdTQsLEr9FMrE1B48HjKyWWryOPhEmL0
uoClHqFKO+vrr7Aq3EWL1tiwz0RaaX90q9+gK5+Ev5Hqy8AHZxKC0VUERuo3idFzqwcD8+YiC8cz
U6Ft1BsR8YTD/lNVlrac0S0d5heErS69zOnYYUiuwA9hMFlbslsZTacBVsDXD0CQDmlTVhuZZM3R
AcGDQr9c2oIIc1iJYLh9c8FOz38hr1DxwiG7KhRxdutSkCiu0NWpI6fTOKk2sF+Fu4Zt+YYx9/+a
PA4n2Q9tu+PJAKUxBLttJVKF1CiJYtI6Ud++WEMKCiupK4TCsQFPkTh18t42R1m4hUycJnm3+kW1
2NSA4mO49PRZ5ao8K9wRcYmjk/7E+bbzMfLZg47u+xKJbt39Y4x2delIWELHuGR0ja9yibkDVngS
DoNoVJxvDBX03uPYXkyqvaUJzcNAvS+gWp0gderHpsNGu5g+vx4uY1UGcVTWhEPviYabAiFJTObP
5mMsNRDYnZQZIOF8DfIKlM7Fsq0i9nNMfZVqcXHub1JUi3hu4GHH/8cjZCEsyckVyqk7hijNl5pf
OwewDlusqb/Z9DIgz3f00sFR/O84EgW5FTNqR+MT/ZYdPWCnXSax7G6qbdRW4gzJe92NHGcjtsxg
rqxzwtbIZ6nAyqyPA5TviGzDnrWmvg0fJJePNaoNFGZ7x0X+GV1J+3GhLUf13cmMqFVuQblLVsif
P0Vn0b50iN0Y8IcT4z/JrFH1Lzf2DUARUhnsrgtJipPgRr7iVTPqWVhfyP23t9mce47C3G5N2t80
hPj02qj790YRxpufOZv/RLOcSSPvsmR6zmE0lsIKNfBVznzBLLJ/k3DLpOeNuTJLY8RzprxPqTS8
u30pGB9B1Xd4nQfem6ZxUvuJFIgicHiVNgeIx/ef3v9bRKUvw5NobGEGtjgfOwNXPl1nVo17gQAz
9f37DIX/N71x2sEGLLcEWgmutB6OFr5Bf6otfb0p2DQZ3W5nJ1PGXeV1fcscnls5hhGoqx7+Vcu/
eQ+8ZdbkOo/j4hjv9C9yCNwhT95IuyrLXlZB0ELTIqNmiFPCAnBSTfLbBZ2XB7WmwOmVuHyR1Ztq
JWNHtszJ9mCDmzDZ8W9qbUiSsggqPAAwVhD3vulLExqJ8KcK0faGjtrsn/jao1KrgB70TbtksW1d
A4viV/q9FCp8TeQqLybV+OFTxH7ekhvxXtfJ0jfG6bi4n226JZRcuaX0etixB/hrwmVgtVp+dz/n
N2pvRdaepnR+Ddn04WGlK+5tw8tDcsuqlCpv3ZCqFbzr9m0MHi+X70NfQqlTSt8prrBWaFyOE+23
b3jwaNGnNcmW5fRk06fcMe0RmV8sAoBa2KFoU5fymtpZw9UpZi06K07i7A1TADgQJ38lBX+Ynxxr
ce/h8ciHs3Rr0uFB4zoYbwsnHBJ2jLYIplFfmKI5vVTBJLog+SG8dnFrwR6uB+7nrihIxQrdq1oZ
5cL3vKi6yIyK01l9x28gWAfFvqZPZMHqBj5sfA68tOhpzniNz8g41U+sizP5Nd/qwXtAwDhhIsEf
VbB1GT+Gs91FcyaTN8fJO3DqFWFxXU7tB15u2mgljZeGq2CVU/apyovX/zdiJXpUL5Pir22ZVcJX
6bHOEk1ScfFUhnK849hubHI/6KrVK5P53Z7QEJleTbHRog1nzXa2/4PIWteCJMbRyUiOw3tpugHq
Y6fwhVOwIDajjmcurVSCM+3a6kg6tcauBPc4Y8I8G66oZd5oV8aAaQ0MmjwfmuzNXPrO6aU3UO0E
wR5sZ0xGfR/oaFgT6v2TM6lR67ilu+xF7vYZelcIkFnPHdWMiqQEa+sP1bphVkGL+JoSchjg9Aiy
Eop7vX1z3cie0R5HYQennH4A9BovMf5sJp6qiL+Vgfq/D+8lT1qkQL4T3bol+Rmx4uokRHlzG4nl
afheS6ZhxV8FW2TjJSDiBzJ3kzr8+MmoskZzDCO6SDTPKE7nV8y7jI+pCZ7Oc0nBYNqSC/vMgb8C
mo8jxOhpFNOYaEjanXrHf3RF0fKigSFD1N4LtqNhrdz+H+PToQc/V96Z0Aq1qUyGjoamMLxrNZLM
tjryvkPSTKGYTbhlzxBfxKbfKp/Ighj8zfRWgxPhBUGQenDjDW9UJCYje7/2W/dzETxdtAJy2r2R
fp6V2V5V4eli5Oxq7Pb/NXuTASYv0VezK2TiodnMzfZ0omxPZIb49T25FgBcxo1aToxnUG5a1bPz
H5o6l+qIrZeJPYxvhxOgL8egpei6/NiP7Gc6ep906mMxEm72k1Hibav7GUCjFE/y2ENr330GVdo9
nzqK1DytOdhdip/ilbabL3cd0Ol0L8RSHaScS7SS+0O0h1NcPGkDNjpqSq+OS0HgJrzFCz+LrdT/
C1r817+89rKgm1QfQeUYyGAI1VSSlh5Ep4Uz8c5efgFW65F2zOOunXP2rQRS/q2FW5K4fzmZ5o26
GSVhMzLXVeShK2JXFpRG42HaHRq9vsH7FqlbpkEINmZvVEnLe2mjd+8bCJhzaLuUkEF38eO+mqx5
Tehd3W0O8ESiZh7IzSQYIqHj+qyoqKUfuNv71T80JDGUBEgcWXdGcAu7cLPFM9wul255tI2pOhol
6dViwaAdDo3ro3XrxscIZN7LU88g1Uc7zxIB7lx8CsKmgI/+y3VbPKi7P+apZBDnSgcGa24ttPhH
X7p9SPDAcIkizdnOa+z3FjfyV5oW700C6+MTQHGvvqnCyio4hpvl6wbvV4DcX9AVj6IaViXNg7ss
yfYR3F7fqAmvrrhHQXjsJt8rPcetuQ1rY5jdqzOtf3dZMklaQH0NskzORRRoqWYrycL4o4+aSrSp
VbkN9zOShtvdD4sesoxv8dO1Jk80KGmesyoeM0t4mc+dhj1hP7rEsHIjRX2k3WZWagzUb9erkd8y
sbOEOGK3RADeI7kzJ7ojQjHp/Wx4T4dAhTggqa0nGArVO5LNc3ATSWQP0An/AeLxcn6U1Nj7Hbwp
nZYnxF4hYmYPb4864hdQd8h9fK2PIUj69ueixx8smWXSIIo3F03+e1rE9sCxwqUNHZ98EyVQCFcx
STMNYqFGBEutjK8mzE0KOgb+jQLyzKlC9oIukimm6cP3dXRsQ32MUAd7+55BvukWEwnu0zEZHOTD
8UjYf+GTN4Y7cOTsn9rrt7ylVO6AuaqAfctNc82jPPCQu5n1JjqPqG2lfhX2SVXlcz5rMyQMx0GM
GIA0EmElwzcZe5PDHxLEf7gnfie9ZRiH8pD2GNX77WiX+KZHDpX39+BPLklgy/knk8IrvwXmMQn2
TzqVY3XgEduNw9Gq87QIb3KwXsi1vFJ8V1yPlLuaMAdhAOp9zZx68Oz+aLV77TWuRqI9bxqiv4tX
kcWQH4X7Cn8xN5R/Ku1C0siDYTIhfH0SkJQiiiY3lLEY6tPVt5uOK7wuuGlP5rLnfSMskg1u6Z7b
6JirNfTEp1OvCRERR7jdQ/q0Tri98MgaDfzxe99vfIz4UmNInUqnQTxcHmdeyHiBYagfvRW9nhbo
St6lluEvRdmso5Ir1vfMa6m0Nk8Iw6pRgG7rODTfOSeg/qWoser5sNaO3d9nncbbuleV6gcXqPLr
+ewW7AcWrHSFlvlttzo1GmtMnmJaS6hqOgwvw+3fyJTT9wGHhM593aiF7mGRlH/UcAifLUPRFcnW
4mW7LDQdQimHR88N2A7CEgrVR92sBhqmXpAsEuxh0oa2/ghMZCrAWtUVq/sg+C1dmAgxxXDC5cg6
2clHonL+DbBNZNBFhylAHgUvvuWQ4giuOdp6lsGWfkE4AlQCBjPdfdFYyBW+uO/GfgIjDsx515bd
z26Ys7QR6F4uQIXtO6GNKqS5kzH5i1lyvOJjrw4oZJJu2uT9bXQoXs5oCdc/yOVxMhwuwdBlvhg+
p6qbOXc+3HYSfDx4DkNZoukS93wQ6I/NIfQhoxgwvrOUSlkZPcHtokJ7vZFt5N3g0BeMM0sJRePY
4GNPlLdBq7VeisBSkSQCHl7sAvumI9brwGK19lHBQamjJu6B2VoY4S6G2jKD00l/LvAp6MELbw1K
GfUUGBMUCy5g+VxfzLD/yYAn24vjjiz1WUI+l4OSByhNigRoifhNQXXLankXItM+4EUKnvVz7/0s
82l6yRhhxYxzhSnc5PZVGw7HSfWZ+Z4KgnPYYtgtwVSS2kByQCjYiLKfzrdOsBKtbvnhf2B+geDh
RmjW5io/ppL2y3FPxz+zxpumxIWlBJ2OyW2m7ceSUyo33U8zwTQVDpf3QVCvyEs6P8FYsl/W9GWW
1WWzX3EeinAYv9rWartEF2w4+gqTw6zlsFkqMeBcoBOh6bFfKjR+XbqJLp4zYw0aJRc6YlpW/F3Z
fr0mZ7FxQa88sri1N5024KUxBdlk2cYq5rLHWwNIdjmUiZq1XjZxLAZl1mqHwPbd6LZswTUuB38y
0gzpsA5IuzatAjF3ugCLpzfrwEvZawIf/QP2Pj+tfcf+fLRzfXHfdIlOmhb1y6MRE4qyVXr9/jpu
YZej2cwsnd2i0lRAsQnvwsYiWFbKE2tZ8Z/iMbQX+ulPJ7eGWDUzqRRihl0c0FZd3NbWb5DwJWZm
y7g9lZMvWKDTp+X2gtiXGSVhTCrResz/+RG42VrQ9I2AXAmJBOXbEGGkxJ3/oPA+b9HIpf/RTDSK
Pscg7a4jq+TwPJHOi3X5SMIWrBF7dd04COaf22wN0qPXuO21uevhSF+047lRFKLqbah3OtQDm1g3
ygU29oPPIv3SK+fMNvpB6uVVcet7wbR3QEjSyy0e8C4DQfN+YsbLerScMIOUKOqFYUqjFE1Wz3zk
AZktKpHa51t80xoEGAgOm+Lr61nya9xkEUBc1XjFsgIi1Ng0HmMY/n1+0j60uEYRkLfPZcmrax5t
Bq7EfN1samO91qnwZmaCW38XAz2A1cZtecJin/6XFPSFxYn1udkT+cegkb4eJc6gilklMT5faiR+
STR+yS+qvWwV3ze7WZ3CAD6SfvDfKD3A6PwGWxS56hCwnk+J/U/vD17Knm0fstnqsBiH+1B8eA08
GbKllVoRmKjG0nsByMFl+xYTwnvjEgLVJo5zY+CnnPuf/40ivnJXbW9c4hbhycEfYsMgYaPBKScS
BUtQS4a+CyVOeSFLqMCMsIrM1jJYNKGcgsNF9FBK6VHchtLR6+8foSRQ9sOpErDNIULLemYzNHSY
qhPbv3CgNXts2yckJjiQu67oUD4qQzlBgLuQVCiDf8VR1qoGdV1m3ucD4EUu22eyXb4zn1oHyT6K
yIiwdzyjQuBewQfHwP7aaZqOyfrq3H5EFw1ShLJTEhMzJ1uzv2abrQ+NlrVwokJryWh4hKB446bT
29LtQ+1BU1/UaafONg6RoMJeGRQuQNcVU7cuyR5F155CXcTmalweYPuB1i7zEy1CSL5ac08o7lAn
ISLgeqOkMzlophlZ4p+o5vUHyUWkGamjtVInBx7fZExBMBw0MwwZZ0ypJnB5rfpJ2sqHWS2YoJUs
0KUy8vQTOaL7tSkyNwEyXyNaeryemRPEHI9N5H4k2oaffbJS9G6YX/sYT/lA9QZiPgsRAmPo+U9W
+YmpfjQ9pLqsvoyUnuiDg4A4lrs+1eJpmIFJgT0VSz4bAbuX/A4e+QFp9jycUOsiwGZZst2DL2po
wRA0FQ0Gw30XlHQIrALFhho6vaxtJbuqjU9ZsMnGodxacZW2sRFpZ6rMhwYTXdYpSMfihLGHAvpF
xemNCOBBddozL+7rCvG7YJUzeuO9ho5mHTiw8apJDoXkMCiHkX8IH8UPOOcXMjQ5bwhXxNRkC6Ha
7s3FrvqQBYV21achhCK7fe6OFxEsZrPFkqxj8DLtSsnUHPJQvQi84KMCFz4EcTFrmb4UX/DO2aE8
mDBIjX/uBvPAjf6X/N/eyFX+/TVJj0xq9dfLDb4edlUCY/0QWlaI0LEgMLy2f0SPeOQ5PxAvofsK
oeg256R5RDcuOswY/e/m66fNtMh0zSur1tNGUiNyh5UVPnNUbxOrOwGEHV4x4YWSRa+6Xsyu+pU+
0+4+BOZvbnsd1S0NYwVAaNcUSvNrxzUj86oxX/jx07FQHToxF5bUOVQrOE5QUOqXB7WcD80CUYou
OcC4Y1y3j4PR+o1jT4Ohowhc97Qgp7dEwDmoT7UNWT8iSUZ7YRmwZjZrM8450k0yqV/hNXOrwGK+
zP0GH74vRu0VTDg/fcq+isiATMka64ifmTkfB380khWSf+G/LZcSrdp1dtX6tXaH2Baz92UAGWY1
HjREZLKLUaHN0umtMtpRF7I1/421fOzTy5vyNNkCSdGzpIhu2IYgNjU2Xda7wDU8Uc+2OyndSKs8
6TCd+OEAyHChGdG1a+JrcaAGqZ5sLSZsO+bGi+xTvnO0P4MdYJQiU4A1YxF5HHSSOTXL5eYKM9GZ
FoJP4IseUhsteGZWpMJxsv/1OZq87a2b8Bv4FJjNz4c0RvhKJBhXAiwrMyNk6qbBzmQSO5b8EzXK
XsVGXRIUsur/xUS2uF9F9NTHEkTkpvnouvzgCN0QJoL5Nlf1KXrGOX8HSDJrxMGzRoWq/VLQKE1n
qoYDw1TN8y56xHujvLVYrm7Xov4hDgNRph6b4KpFVRK1ZXpQJskGCVxXqF7d0t8x2+U7MpyqByFU
Bc/mslzMAhKAIaWdVTOEXn8gkqgaCVSyOIadmgOb0VwICWkCFTgtm2AcqKzcQOJNXDADktjRk/cS
jc1nuJ3HbbxwvUwwFj9crbpr1JJHtAKFuWMuSQKZ8d2GTZ4MIjKDYhiYh5H51nxsD4mWjqeEOeBQ
ubZfR4T5LBCVK5gWohog2YvhPFYrcRo6Ihq1sLNaRzKXyotLehH1fn1LjRl8jCOhXwTqRPsK1kdR
l7l1MLNRZWIKog40V9oVjHN7nkdEd0IIKfXgtdzv8n/Za4/rXXo/b8WNfvww6k/gptssrBz0jyWR
ZERgI8J0eBZCrQ30qI4BcpxOQW7ReJ7V67b1grBREimyZLrctlzMM+yum65uoN6I3jgDwemedTp1
3FhytW6fLjL/JHBP8Juf4HbuchC81hJeQvYVfQ9EeCL+/J++11Jwu6WkmeTAVyNmhWY9lAC9EYdy
+xg3dNG+no0HzLBr3tCQ+n3ZFGgIdM8ECz2libKgwa2liXYgZa4+22SWspfuj33ljR2zK7i7itM8
UkHXFhK2eywinmsrG95UUeQ1eZAtQPImNiD7MyLpP7DxO5fXfMVPzqdqqthV4hfUroyhEFEk5rfS
eFNQ6sR19MO+t1REypmK1czdkY4x87+m/lUC8eyvPC19mywgQeSEmFSVVb3RRzb4H8EXLJCJgCf6
VnkkFHV33sOZyt31vJAjjl1vIesoM8srfOz+xPjiQ2pBjpbqdQmTsBWHq0wKFtf/ESKTTy1QWDKt
od79rRinvfQC0Jtd1I+uMLPvbTp7wWp7IV0K7fSuyegFONy4duGAdZn1P/rjV6JH/KAu439iwbUm
G0Qs+CaqAkB/+pGjBkLkpzBXupQCpspuEZklzBzzcTMUvzJLup4yuIfBU0qiPMa7lXqGhaPi3iWb
5O3CtvrZohg17DZqudCVfqKdRyITAQz3priBQ390U7DqN4SRuAXTxjX6OyWxl7DNNGUnT+vbHNRw
2a2uTxzXW2trHdF0I1S1fuSULAyb10F85OJrm3UAthQ1RaOSAcB/Tdv0QPo44VyR/AFFBuemI7PP
BdOQaXQHQvDkckTJuAnTtefzXteHOCRoCtWCZKBIoRfFuYMJiyYtu/G86oEPoP66B5OtQ70GsQAp
bNQhXCAxMNH6oIMIsuZ6kk9b1srLJ90z4ZiSb8+D3jKtTYpB82d/27PwSujwPDzFAE+9vQp9dnjL
tBuKpW+QMquJl4AewD3kzPzaagKJA+A/Qvl8UPjPE7kMcOE8j9GvZ+CbGPEdKXLM29Tt9IcQikkp
84QtJHgz2PPtSzFIDee5iDePADBDm0pfPnCn1faqS2QGG/NwfA5se4C+KXLZN9tEIchnvPWlGqZZ
chBaqwjpt+rdRk9lMPESCdqL4oJFk8eDyAwIic0364Qqe7/b8shhbg2RdU1CW2Emhi5QZxJOfsfA
6h37PS0F8h/l1eREzTL+Tq0XPMmf6dyULiyX7bbG2D3oa8bUBLTB+c8PAMWfWOaCwA5+mmNWouKP
nwzj7PJ8Kt5PCw9ASGZWOlYICoNf21qbqocqj8SIte6TbYTokigJeNYwJZBy+i72M2yxUqkphvX/
fo/O9i1UumNz22RuDElFiM1Vvra3cjmnYZbxH00WDRggj3fn7CQW8D7ER6FeoF5udZ+JHRCvPNSL
NgXLR2/ASr3vIRYWALEdq/u+iZdJc4dHqQUp99CBXtVQCNIpxeZoP54FySTE8SM10ehrMuE2xZDv
JY/v5htXM/nNKXjLCrVA+d1CnjqB64KqR26tVzeJMmc2zmYYl/IbToLEd4XdZLcgRKDCNj97UC1s
87CEnxbSloJF2SBarYOI0+yLeNN5wJ+itljPh/C29U6UCVndtCsE85G+il0IZ2SzRZ+U22zL/NEv
kf/rDuIM97p/Pk+c9zAY+BuoUE38Ma7haZ4r+8WmCldPg0ADbV20GJpVkbWVAlX0xXl1pwcqvozx
TcFVFCu4tu+N6AdDXZZlw2k+Y7k2ZNND2qYn5q1EdVraXsUOei7TWk+QxBDEiWeYlQZu+6WQStyE
XjczDHKkO97xoAip8D4pzHIZStsyJDhcQCaaxi+h+tqlPo2kW7aK2jzkVYLDzJ6vZjmatgLjucYR
linnbOSj9BbPT9jgDPRpnvoh4gsg3PQ/4qEPLk5FZA3VYZrwnHIVgJUQ12AH/gmM8kgtNe1t40uM
Gn3QxnNntFroSvgQ+EG/xSuYItKSDxqjDpVRs1liCrOjhr/3vNtt9BIuHFPvr3O12H4wWLe3aSE0
/Mz/2DZ29XsUiW7dmiqNf42+5tSb8ERC6S2VSl1wl4/CvulVSpSzSWJWng6bG3YlZ1lF4cPvgm26
P6sPiPpaMkpg9onTy8CrESUn9UK/MulcU/dBxsaVt0bDtFOIzgOqwEaBon+ScubR2oYV4+3iUSPd
fxo9D2PeEZ+Fz09r9YlNZkoGcvGjsc8mUbWycmKJQlbdQymrdUGKSiKXN6qn/QDfQ1yAyW14EL1e
hNrKJwxTQGBZDnzS69b/K4c+00QQI7OXeeWJeNHsyk7ZupKl2LdEpc4KRbFBZYgJzt7Bhad3+A+N
Fd0UXEgkZO585FyrRFVfx61Cpp0OvsXVloUw1egcwXkze13vpC3YILBHB1X8+Cenb9ZA31T6rMu4
C1d9KHdbvlcYGYFjWx9QsgHZwlmXBmy6CN/W+Ni/yuNg/W7KCXs1CJHoXjl3l+8mAaPjKL+P891G
HIE8ZW1iwxl3cownu2q+aO+L1LlRsMwnsjDPQnqwqnZsrTIX/OzhfLIBg81wUalGLliaXfo13gUQ
DMNNS7mwwQdMvXnQLzO6n6GXKfEB0mczqfp3Scfmp0HQwlSm/91q315DFsVGUH7pSHosTLTBvaGk
WW6lExmDIQCogrbvc9097y1BAOmvBiVVhjBhcwSfLXSQ9ocq+OQgzERd6w15zY3Pdoz9GdUkszjQ
bAy8lCqLoyOFBw2EJy3KQquT0KqRC64mcohqb2zFKDrb/5pvgwt/+sBQYQbAaIX1BF3BUCYB//0b
6oml+XfBy/Izryx8mITM9osN7Q7pVJpfZq/8Yj2CRO+qreml+aXdk4auAWd0qxfMosbibzhn/XUN
eA/rENLYrRv3KiNGuKxSHWltBK/9OZzIg649kDXR+v7e/JI71SJqhmVHVt+JIFXYU4tXDdIUXb0X
AG07ZfXz1u26hXU/gUFgx0UTKB+PkCxK7FP6qCV38Eh/q43EaX+2Jwl9zj8t6ZTbr+gC2Hl8OHbY
Dhzw70MwQVynPgGbixBBA8yUrCBXFy2xJdGpj4GRHLxUqEfqjKAiTMyI/j6yPN1k+zSEAZcqK51y
DRdHUmo/Pytlqcxt/j1FwHpqlJ0ckcZ/cowNcp80ST30hi0Vvk9Oq3bC/MbUa1d562NdP2v+oghZ
6DLpjX4eFHgZ0CmaZ8teRF2XESU3noUVom9eGGJlxKgbNDgKJgjUNFkQ7wPZDZwClt3sgyWtzbRH
AUpJHn6CRSNNdgrBlzMR9BCJXEzCxhUUEUJf76qtzlC0QXtC6EcRWpOVeO72sazlg6cdyJlIFtu5
HL3S7qtbd3OKkzoXncgbyEvk3Vzp/jzCl3a+HP0QzxU7E0SCAg/4x8+AeoEyvd7lj892+cdRxZTT
lgNX2oZmEmVsa+f3C7h2Z59J4y6ht6sEAsIaiQj+35wk7xHzA8mrcEquuRU+TX1PoTNwfYFtiDnx
vGRwAajpqPD5ZStHKVnhfakm87ww+eUQ52oXcXmTZgJP4GiNmWStoEEvfmdqfSsQCOYmt9jBDYF8
2GFmFKy1PJKNyF1TF6MGfrrsQq8/Q1BORAZBT+/eriNs357dhojNYD1itfDEvyfTQxbqxyy+ocM7
0MYbB3cIGx8VAx+uYSivTYDiOgDcKv4LLWxhkIzmf6V8litgKAgeAIzwO7O0W4zANpWKSHxLy5G+
PJkSQpe6Yuoxj+OFIyEAViX/aJY/2MT4O+daXKQA09/xqOHbd0KAJWSyHHyumN4zotSVs9l+pAFF
9ntiwrVWAIEfc7/6HZjicVZ0vVNTTEko0jZHzOgwGa2+eXz7k7KRGaEGDHC3HjaHWbXnNzNNlmOw
e+3f7LcBN6QI/l084Q4deiGTbSkzFfDe+hHyEntfc0XRG4W8MgKU1cLPNp+M+74s57VGdxLPlf+Z
8jSj9Uq1a9xu5hCEtQeSP+828CUHw4K/erInLO5OFSDCVl6Kb+bUyXU6tZeX7kLyOMN+3cS+LXjP
BY9e9R8AFi0GEiyNykXjSMOEmmko+d27nNQXTBAEnnFXJ6znHutqr8fKHHa6d7HykPvNgpw30k6S
LMtYPsAiHb551FtK4nAkE4lWAiPN0F+5MOXZAeacxhH2HLeANDIDSmPUn9nthDpF6SIVLHvlmvok
HV4PZfUQEBTkofqpD+m2kXww1unZAnv1ZFMS6TK49wKbpsGHlcEOznHfm145IrbjNdgpEcFzGagg
gv1/u66LeYFahu88ZSywXBM++5R0SKab1adShr0NirXLljEelBtvgW2Ox2YM2Z9+KO2dwMSv9h6Y
ZNovgaCsgCO3XXaR+yf2aePZk2lWduwTLwtAg5TalSKjlx5HcnwNfHh4qNpF5yUxqVTSNLgmPZEj
P+upNIOIxWvcjfc0iroEaxLVWI5Mx5sUl/fM63u/jXI+ntMczJQtdS1N8iwAQ0yjtRhmBP3cfcLC
hvFIw1F80qcbb1pBF9TP6H0Uv8h66hxRkDnf/nc3UPUER9o65jR1WfqDEvNCkzVQnLuUwTxP7vfV
2TH6LxutF3YsP+O3vSy1Q8durmmo1Eqmx1IYUlIZVF/EGV4vumk+BU2Za197SNRSlYY/S8I1qew0
c5BNjPcs/dxz4TB1X0hvHV6fN83fi7kT+xxlEjSWb0uXSl0Jcu2p/HLNfZcvghZzi0vmZfCgKzlD
InbevRV7tIZRQS3Gv/oVm+zN/XYGejM9lAsJ5OGqne+GbCNbQ4cSdy0fvblsMCcQ61/TvC26yglB
Gp45AhHDBOB+qeqAiyM0qhtRhltNUOXSJRsrgxytDaAy9yvAi8vkZqYQO8SvvEwB8dDRCWtEu8zm
BrTenssLmtsaZMqd1IJBWR4PDpOI2jFm6uREA85NdcqinUmEcLEmi0hNNqdfF2h5W9+efGiMuLQn
xVrlqnRd+hsX/WwU+qNjtKSXLuXG1WV7JTmrLSlC7W4LbBFrJTOyDHh+GBcyE9aCHDovpQbEq2qY
gXv25gyaKQPY/YNp7m+rFOKPr3Eb/qvP8NNFfkyvFqn5DWRu0RWKP8xm/Hr8zAJ8696McFeL3yMr
bz8ZXaL9bkyXoKjgiThIwQsQGuOZYxH2Q6cBba2PBaXU0B/i/T3iXq4YJYHLugk09x8o0bzcOFM/
ZMxBt4ZiZqRH+ei1nCxauRxcH/0x32eFnKbjhMs0U3G4MkZGpmUCb55aqL5WW7D4wdyhJpAl4k1I
Exyc4jqu+/ZRLT7it7YyoHHzFeNT/+iY30ruJARW8ggqkaJ6+LrfpLF/8IxqvdOyxwOD6NT17rRW
gqRe2Xmv7DZQwG3E4G+SK/69CV7oi8Sso2Q2PKNfnQSNJ5FpgwxgwBBTr9gWMOz8hvn2CtwA8URv
ybiG31LysN4CW3s6silTCcPk4FaD9GCn/RKKR6COu1I38IMhWACkz5XdwgTkSE4oL7mD3QCqbA3q
6Oxf+pzk9Y7lpwkBIxSLrnllepbDO2EcWd48quibfC+cYYqzXLW3qsieWGdMUnf9SW9mI8u4NYEa
48fjYoUhxny5/0IfNGO4EiGOtyIw/N0qLbTMxQA/5+v3XB5nrQqLlseBd5jNfHKfkFazEePNB2aD
goJAm6/t+F0Z8EXvmy9lDR/CPZrq3ql7PISzQV9Mg9CtBprO2ssFLIrZnqbM8/OeG+fim/jKjgZ2
HMiOF6B+MhgGKH8+OStYGPBPxsqJWHTuCPsts60K8WgDh+KkQUYcg518/geDdGOY7H5LQcmZ0mVr
eGUwWjyM+7CUy2Dov3/JED6gH/zKdQY3FiFDOYFPrvi3Vqm6ff74pjhaLZ6qMV0aMDvPJJic9fsr
YXS02YAWUOZ/Y8Bf+X4EekcEkX4nGsZAs1O4VviKQ20FVnoC1IoZLqPf4EIdUlVK6fh/hCf5dMG3
zOOk3tZZU6qeJMbbUOHKDWl6nLnNs9fM1Z+VR/2UCQoQ2SIsV6+rzgejuT27I+3GVjLW18mGL/Px
pjtytwMFtAMKCloZzjbQZrnlNDI0Ra+aebUS4c4EBy9I2vySzvCtR1/efwhzHnAu05ILsYJbMyEL
XbSSLX2Bi87Ffi+sSZFgLaWc22kFT91MwObin4DfGsRzfC5mZ2OZ7iGIZCyRObKVeGByvU3FKomB
9dmziGxv1ODGETIClusbTE0crYEpdd1Lc2fTHumNV+C4mGxT+eaRBCAAk0mmgV3fZdNvpm8lKpyQ
EfdksqT5n44t1V/0KS0vxp/Tg5o6PRGARYEpWqKoNJ9BIsP0HNvSyVoi6yNfWkUlgMGMW/S7AVzw
YpUMmbcm/anu0d9Y8z/CGYRkQB8QF98BLKQT/RAD6GyD2KDiyGirwRK/PT3e+yxRVRju8Ts3TSWP
sTJ9Q8hOYz2Q6kfI1mTJA7pbCC8Jj3rSp97V8iLKONU9lkVWxWIXxtjIX4UwMs2Ujx3lcc0ahfKT
4c/dYBXYj/H0iLWzDw6jz51U+7iFA1Hfqo08G+yNm6oKgl20oDzn+H0uQz0MXvtXRf3YckWFGPt+
JsbPI90xf04LcroweE9Jv5j2tTx5DRqiu2uao69CeUfPhSEEqsOcfcEhHDj1/zEr5vmytcOkMa+y
ARl68wHhZIm15gtm9mHuTRicImgWyUkFhCncJiBt47MNrWCAUc3eA1gK9k0lz9LZFoAV607RGzvT
vu4UG/t5H9huAghtrfjkMKU7gvp+NRlafspNFnklHm5xgS4jCBACrufQ2PFlywrkZYVR2DLnWu4O
FJ2eAk+L5EN2VjSHv84kWCPFCwmj7kZs1sTkjKl/B3WEl72dSSDRwOYWH4Ra43s71e0rxNq7GHzi
tJ9ZsVXwPkBuQRnasZcVKXVLWaMLpsAtWJILIY7CoZGU/yWANhlf1XsgSF/N5lYbvXANg2I+2xn3
MCqFGt+EbhZlsIPs+FXZI1SwYzoSVy8rqE1Mr17Epacmt3zMy8w6YPwv/VUzBKwI0BnNVMTxzAxu
NzA+PheyxwFT9Mllje9Ws1H8XqKB0xtYZBRK1HT8+7EOsYm74EJMi9LkuKqOsy5APMqviV3Fpr5P
0fnXMTZdmAMV9UxvgrVCaRyXkU1L8cgvU7BkA40G9+s7hHHfl+dvdMzHTtoNkFZ9hPiqF7wDVSL0
yLXZkm9Z9Osa0h7qIqWv1F/N/JMLyen2WnkjQaTNz61bWhwOVGn+e6ixuSL8UVDanP2oLce7VzO5
1tow4QWLq0yZeDd9E4P3e75bBdxccsJfYFX2CfyzAFDvCntZ6bph3/NT4uCBWWR+nru5yOFARoZf
WalZbX4/za6VrAv8WYorex+yGtdT7rlpfMWmBlH0Y9u8TpTX0H90jVr2L4mbevlchDeQF6koX7Fv
8/6gNcdlQt3B9Qa5w3PDw0mWu4y1ik0/1yVE74hjn6UOstoQGe5LXkrYnxaEITNtpFdxr4Xbx+5d
Zav3xv9uERExJJuClJ4/YqQvb+JdDH0zGKE283VVbSLhgXdFvFND0IG5EhJKBtLuhk5fcMxDJuaD
6I3GK3w+e929wGLmvZLnHhrENJlmmm0MbJ5dzonPXW7Qp7H2HiM5DKI9FerqzT8zEY4oKkYzwd1L
FssRNvBFdZ9CSzp6xxqmeDHTO8FDD7l6b10hTWQiLiehCCQPnLGYjETPhgGiFxUcO7BevUR36nRR
l03yA2EjrdPpA/I6hLeoWxtBuNasLNBIdaSsdVfuHE5axAl4a2KR7f2gpMh/8LxkxtKiYsVOB/tc
YE1TbbGvIRtj3oMAEenNwJHe2p2xpFA9XVP0w6c8NDjVXd+x2xy9s/pjRRvvIqOoEGhqPBIT5Z8c
2acr4vQEMpsIAiGGaZRWGjq6HQD/qsvFxoo8aKkBblNFylUe83XZAQgBbX0wj/hsgPqGmwDDwkYl
TTwggCoPdeg6RCwuOSDik49O9I8eNWMUHzEpC618xXWtvIvAFHIGLcKSfgLj94edGpcamfMcvMc9
YJlR0EIjFvjiQI1WFxruo+h1xk2vdZDmxr2IuN98aTCPxU2+PcWpJIbi3dGSc0xhPugvqMTCMtAd
Q0SHd7HQEdjGzmaqajqo+vei4J1PtxBHvvTUcYeCgoP2LZSmGWNVYixzzFluzUiBdJsIsEx7yRl2
X+PyIp3rdCXcPhOhZLLpbBcEZG428IuUzROA7JIZ/7f5S2udkcXqHA81+36mA83EoSU+yIoy1gAh
3nZzrdys19seSHlM8cZ4Sg/K0aC3BpdIA7j6s9f1TcN7ORyaUbA0kOBjjjVCr5+OWsBYQj4Mnbvo
SjK/HZk29TuY9zKlIjGtB3AWNzWXL7V2gsg32+bsHUYyS3ZtiKMsFXDYCnsZf71q5hlJoBc4lrTm
Jg5R0iRszASRfLuxWTRJllrfOE67w3A06YmW10RwpMDNnxYeTE2BbR4NiLYwJx7hTEOriBZtzocu
TVgEa/Zpy26BjjLQUxERakbn8U2S0siqUeM0IxXguSNLSfjWiIMlIME5qXboICpfdrd+goBw2Vak
ZhPDTuD3muXu+CvEvb8T6VoV3pgnjwCgETlZEaLB5UT9nSXm5Ap+VouZuL1f2w4DgMwcN/Zjd539
OzAf7J5tlCC6i+b6FTqFwx5OCwzMvQmhFKmukxachz179UoEXY+5xr8Mv6ph9Wv02wq8uZkZFJue
vDPvgFn4kFOeKD0oq7/34I6USwkOx3Xlzwafe6lABvrtUv9HZHV0+/SyQPdk/Pzfe721sCQMGpOa
2LNUHaHuTC88FFuJk7UarMC8iVgqVNKdEBtgKE8uNGw+2ZKGpOKbUJRlWGrjgdckJwGUMBwYwzm2
8K9fbLqKYq61BSFo9n4rbumb0L2jdHHUQNAJ9CCi47uxEEJY4qAgCPA8Fzb2G6jjGIcQPzycvhhP
Hdn7Nh4pFiEAYk87UOsC96IidAZYAMT4vFmfrDw1yxoA6UEn8EK5XF9b6AN2qZMtacUDciZiJIFh
MkXA7HkMyXbKn/vzJvWltT3gCKmNciXrWCZjWhZxK3x5ep09WbkGDZaj8Q3q3c5qjYkkVPSA7hQd
/bOkNTERVQm9hyckHHaUmCxM1pGW5oqy8z96ybVNDkDTo+ygYWAioFaFiYIMs8ALM8MWb/d84P2B
YHnkNdKXRrwkUKqZfOKQfY02utbDj3tnW5PoID8eXthTzNk5EKhq2ww3bRttfUyN8oA2cj7JjMcL
jzIPCH+QbHUqG2iCxRBiwx4DrTtZhubZ8QPfE54eb3Mg0yTcoQRpJEdtuC12uOvOM+RRPHzzXDGh
ggXlUOkDn1ncXLWYCDW8a8m7gQYnbVPtwNG7xMHjS9HdAxu/CE1UQx9Er2cXHg/Nq9y7o38osHrM
CrFuyH5UnMb7AwnlUsFXUDe2sanisw/LLSt9sOPofXxP2a5aRONhh6sICDA645tKXOuHAFsi84oj
kWYzhdfCO8w2U/vfBw5MOzdOV4KJbtbwBg8aDAuH2wxfDJWuMselY1YZGoUVTpZpNNR2xmiWEFI6
dn9xIeKBzAj2NRG5zhy6tcQbiyOmrg445dafbiVawdOlrUZ4gbv1kBMimpYcEZyEM4wVHOoCm782
MsBk+7bCRCWm/j7FnAdHsjqG/osljBWbJsKqT/3Vojiet79vcZXVfDr5LEHDAJ0QLAv3ahbrXxds
xX7jdw1lFqukrCWrpLwj+/uqdbCr7NHtinLtkMkh1ioqOqSJziR8o2eYjJhYWLZDuIAUoKZRsIQ+
dH5p2X90KuFF21UwLeHMvQ/hnfH7bfqK2t8nf8kyiQ3iQvHnr+cZuQ0V62gETTbmabT/PERjLNVV
QADVwXgDas8soDJzyEqkxdLZjBnyV4bTpU/pLq8CHIjcTTm5WAGAmIvIfOvj4edktgb7asyN5qgX
S+iT5d9Z8qJDf/ptX4Q0HT7IOdjeaDOzNPonZC+xgIEzMRbP0kjd1yLCzPiJlitgHcLswIHDw8Yq
0vjF6khumQnc1EmvdYZKtACM2iN4NV4fgNunzY2dXqh+738+Znnd7gIeAHV8zRO9mRh2vgZQ4KjW
I3HYRMPu1wu6jvG7gfL/jL/iUs0QkiyPDr28fz/z4WbICNf5/vKAAL0KRyEOQnwxcxRyE/9mtTDr
NmGdn/tZQ50mdM1Q8Y+lXWOAvq1kko0FxNfsPBuS4/2q/3ETKOzo8c5x8ogQ/uKcd0ewI1k00+AG
+yMCJKiBBkK1mjOdNmlm2Ei5Y7d3x92Ypqg7a7WlgREiE2vmu9vLigs73n42aCQfgSVGQ/+fx01s
OVVAnDvH60PIOtb5BC5+VKVujRHl2JTS5IOdTGpDGX9No6WCtJKXqaVfKSfls4eHBgeTYQUvfit3
2V6XcfEp1rMrKkHsNPcZbfrad1Uhd2J8udLJG7ySsLFBjzRXkcHzj4N1o1qAtiE8pE3F0kIgRH7x
1VxqHPamEOmCr/mfvuV/32Ezioeny5venCYmZqrkAt0nFHOGnOee9IOTPV95IrNNq5MSQZeR+MIe
bUIkOvNyb5in47oIoJDNCJg7LuHG8YhQvmFHoDNsr7ovB52dlFtrrMi1T72VfhFFCQ1gsXwoj44D
QVo1Uouzmu0MaFYhzQ8Yw+CG3rI0oEHPggYG0D4SAiHFtHBLN6XNP0LTrWziEEhePg13rG0vArCf
T3KYW5sAGny9ee0hctri2CQpq5579ZPuSiJNQdovogyFdQN/RdiYIfB5BRrGUW77mouyH8Nqtb0/
5RFzWJzLbx+uZ0fEPA/JrO+yR4hUWQ2wqfNqN9j5tw98tTMfoYxrSNZayH7cdvQxCa4U4a/9UoS+
cZBAkqWrcqNer1rhz4wkYfhWQDpiGlfsEA5cE2SIKg3fXDY1CKWIv4RSY4yyA0nzswXqMDG+2L6p
6UCKLpJhQY1AfHA/v8oSW6mRyUy5cgYjLOODWDsccpsHHKxwBDTQGjePboqQOscY9Rk+THgqS/6c
ou+nZbIil2PsEoLn5hKf1qTs0UEkeNVidZIAZ1RYb+Kc0TN3NWEH2nDxFx382wyuWNqurV5EW4YS
dxQEQoh8+p7GudGS08zG5kW7bhxEsSqPQ5JGJueKs9ZVpsLQ4RqdUKa5Gj0BmKBeri83He+ABiYM
zCyFG/FOGhhf4tjQB+hUyDWgwRgtW4rB//SwgBN63lsQJMvH1r3AitpFlCKLB7Vmn3Vmr9kKxBHf
m1SlBf8UsAjM2UHjOFuHahojRm6QrzlPZfO5xqBFzDU1fdcQkwKJ5n5n8dzRKFgnXcPCR0EnaFMR
XH2hOsLEUpUlyYWgEES8/lKlxFMyV1po79d9+U6mg7gCd1tcrcpzgQrPC5kKd1z0Ff9uiwaV5lnU
NO8kEJaMChCZCPGXLZTJDreaMfkxaKINj2stIMchh0AGXW7gwRfEKIgDB3zSiu1coUez/V0QBbTQ
rAQ/DJH3q9y1lbILlYFn6WHKmKb2MBWsbPuLkX2D0KaYSFoJJy7EKw5cWqENUREG6HhJ+OQZ86Gc
n7CPTHa0oKl3LMZxTfZiB/avcitJKK+caGV9ACW5EeJrqn/QCCL0kNvz4DwpYmchb1UsI2pwDj8H
zPKfXR5yLt1WBvwkvHsZvI3swovi4vru0fj4/f9RJ3y/IhcwYv6+D8WUZpFca8d3KcCu57G9s1Ou
36YwAth/Vkhw0f6v80sh3r26N/9DdFvllUGda8XsQFi3XwnCF9ouTI9DI7s6u8tN0jUEzbqAPzqM
evClr7lLsXbV1BMfMzalWNDM7hnXUD/c0McSUuLcquOQG+xsaA2/quuhXLwexdKKNnIRB7WkAVZA
WOXLn78jKdWJSSawkpl3BarZbC+D/7w4Qte/XZ161Xtz8ONokAgBX6ikD/CUzA7T7csJ81GNheKX
Tdj86sitmI8dpymLDUvzkp2oCpy85PsCWsfKYkthIxpFUQs+z3UYhxbOlnEqgzmBOJZRmuF58sVd
SVt/JxEcRJ82sv/KhKkGFRA6+A/TSUD1SgqNoC7izuujo8E4xDymqE1WZyL2di+6Ps9cetvU+JaT
k7a2bZlaK1wkoZZp7iv5E8x/a8O0/lw8DDdpWN2PxcN7UNejG125++SA7hFrr51ToPKZO4zozaNH
aqfZxdTNMfclkxIsWFkd9ZNz0JjkFYczj9i9NmyOwti/xJuf7XZmwb+nF6B8KZ9B2puVWPUxD6Ct
MD0ieTGmLdhgh1EmzGiknZPUMfzsuzQmXDio5Y7/VRQw0AZPiWrq9pNHi934bklJX01F0GBtYkJE
zetmOgr8L5Sf9q3bj9rXWLLr5deBB520CT/1TaLck1cStZX7/kXlEBSxQsP7dUMf+y4e2k5xtP44
yoWrIOC8oNGK6kVb6awcSY11uBLt3b1D9rmLiH8Jd+Ya63HJ2GFTpPIITbD9oZ8TGjgM+N1aoyfM
wQSJ47l3gFkBO+TG6OAYrCeLq/AjP8vsnoTvgAR+XKlEYewlvk3JM/8Ez8oRb/VFKuH6FNkzRpwZ
dJBauNNMEKtvQJDAotsQ3P0g2xvCn/R/ZnGYTY3oIbgk0NrKFTJDGoiC3D7c0oa3LMbNx4QmHboh
Fkj2xTSpft0+HNitmbkTjfKPiDVdZx+hELJ3tEwuC5K+IMSVgP6JDc4ND/dmC74Mi/qUUayGtJ4n
3rjKauYgAcYNPFShO9Fe/Wv1FqGvrw21PHCFYfwNPYqIP3cuxAWTn3J+lvcGvYKkvKI1FhiS2u3D
gBhFj7w6e1l9fZVJhd7X4RavJ+21LFLFSZsmdRMWKLuHbwX0J1yl2Xzc+UIGhbULYaOxng5Gf+Bd
59oBxlBwMB77lkOsZBWOYDVNR01F8537Dfjvc8QZEKYfR9P4ZWQlhkAdZMIXWftPstHjhNis4DSx
zvmTxBxYv/2pUU//Hsm5GcR+D7YIUAApxpFlQ3wOyWECssf3YOWLOrPPCge+6Ks2e9dPGvcEtlWz
DAROxP1ASsWPrLBG2qGHBF/JhB1Tu4eHp0dqYtiArkEdrwsYQIyXTz2N3Hy1q32oqjqry6nbtzL3
CNiAbICd4ITg7izvvMpaL0ctKiJd1j5OxFOpCjX8g8KFUP2hNm9SlyFSdNjeABs8wGJhotVAXemY
yMYrg8SVQRUzluoIB/ULfApTHJ4M4imMSz1QJeJhD7oNSLbBmJ8YyevV505zLGIO4B+B0WHJrWIn
gKGdaiVShXYtBYWlWlXFZqkIWCEmFHQxm7ym2I01WKFGNcGeNyayjitz9lwE3jVcQv6Tko0mSOsp
bPUADyE1ebUPl6uuuL53u0LkTOMPjcbkKQ8ZuWXd3ffDHSkAwqLnrv5a387NfKXGywJpaUst0dCU
rDYA1UkXJVnGaeVTSqRCOzZgKbOt9AIaEJ3C6oU7g8gar4iNkpdKG+PCuYAMbNM6c7SI6woF3RIo
po9pHHQAxSxB4FIhsqJO4hS7S0TwLcYprdpnhnhnXeBnlOhjUHpPVdTZ4kmCeLVpVgQmYy1Ad4pV
yjhDxi88oTtFWJAmzThW5tIFSgw3MIbvkJNWB4/XYS7LelLvqDkVX5sRYFCEWyAzoEHBe5mPikAY
6AJnSB/I3cCPK0+Z+l5q7swW5BrXz1+smhYrravu3I96kx6aYmhBiqzVDMR6Vz37BMt66MszorEL
80jn9mM0jNgDfbC9dIKfmA7GbPqtrdJE6CYxfptfQKdrs11GPCZ99/ELpYmzmIJznExmPHlS5/bf
kLjujp0yArOkxmF857esUQgavsZL48L9gkSrLhwnYbXJKnRwUJaHM7k6tCS0Gg8boCWZznZXCIva
k2jJxIH/LfFw1y2RzSGLapj5fBZ93xq6by4rtT2KjeRDowvtNZX/QCMsdS4XU79Ah2JsJDAE3/8W
tfdasc1dmONQIgm2ilsm8OiNWONGmxcamnz2jDdZmbVtfl+uoCE4ItaIhWAmuIlrc2TNhRWTlqYN
9hX1/uvh7+a2mTKp2ZZeX2v0OWAyGWLEqVm+XinGA0STh5ehgwBUDAVEsg2iMx8biHzS2c0XzLkt
4JALZDv/nA4QcdO6t79uk7ERqSusTJi6Viaaa6RwYeY6wu2GXBY39JhI2iy3Frn9RUJcandtZtWC
wSw4mnMs3K85G/X244ec8JRt8kBs0fjY7bSOuOhLRiNfvwS78JfLZ8XPrs+1Oap+9QRpQJTBjM99
HubV2HuhvOBH4xOekdgA1MfFcOysWO1MwgkEyxJtMaq0seeuVE2qJCmqSvx0JjFkGsYgxFPezzWl
9+ahBLU+WwGBd9KW0FeIvnb2Zlue/NiXsjH3Qe4JzOHC4JFsALhX9yedOnd9rlFIBaNeHHvXrv4K
ZzwCuHYIkR+4BzmNVaXTonhKwdRbhMB2nMOQ7aWMgSQ/VSQM/7MMNljPQzhQv3xqU5fNhm8kiorL
cEv4rY/VBiBWGmIQ5yA05A9/k4C8smvh78gHevndFGvrEX6vf0GPikWJca8JxlZtqbgXW9kIXdg1
Lu2yos6vbhIFq96XArKUrQ5xZYqzIse92zEkSTpA7ViolQdlYtBgvZMO5FaKC1mGlSvpVH8Emcv/
BkA64MKB9FBCYm5/TDDXIZCZQBsvUDmlrAhpVW+vJS0vHKJXOci/tGPlfq8gaBRMMxpJwGqAmdws
k8PT6o5uMZW1c2SvoW/p5RA2wWoPAzQIqalhrDqJ51rdtYPTqntsXF59vnB9hiHtcof/BU7xWFPM
JFyKwngnh+jhA+UevRnOQpB5qAVgnxkLJyF5eMIYlSlAs9ZXNq1LygTUjrqJqY570aNIUDUcQKD6
zCSeGFVibjFKz67l47f1b+BFjKgDrqt1CKmhNls1ZhVq2TBNsxGhDUAZpWzlXNb5AsULlmN25XTI
GDd401f0kTFXUYC7uvQT+biCgWIrsHg6nVoTn9wC+je9YCsOuLUFymyRcXfrWBSLDalJZ2pIzkIk
Wvl0LRA74waOa1fmWosGcJox+dYfxKjwxUQB/MI6URTLvSOnURw3qiAr3J1Y4AsCLZ2xAtUtFfzX
31iTQuObmPQJVNVahBeNGj1oiA3UyAZzGifo5AGBreHR1hPXplpJwu2Jyo0D9GYDScGWSHcg82Y+
q+baJzV+4XVVUSTYTe6uz/hvAE34bNly+x5TVF4Cpgn1GlamrjWQnUc6amrygNy4nOTnnRrbbX4E
B4w5f482o0XqB3yZUDx7aafLEilHQ3xXRsrCE9jld2gOI4QtXgp4rFBSn7anANolR1MHGSXGD1FY
oGMDrse1aBaKWnFNdGozrWGYytSrJfO0ScglYlnt1Xd1qGJQv557b936ZL4NxyMar/fguvl/Ruii
UUBFA+c0higUiA7qdfwMNP3MMj6ZfPqrXO1d7ioahLEH36Ry1k67juHDDqNZKDHrsaxwz0cBVTY2
ULTnJ/4ZaeJUCgXhpX+5EqDzmjYGiIrK0bx0R/LOhWn331E59Gj5H2dbMT6m/wUHvqT4qaDkXqUO
ELykqHnnlmPNY9ANaYqvz+YIg6Bqrx7CqDJL54fGKRVMTnwMO69s2ftEESll7AdSelJNu1Tf4UWT
FkmFL1Kl4GH5DXLviHu2IjMSV/BSS9JHV4Nq4eTuF1/ADj97lXLHo0HKmui97AED+2TvGHHj0qg0
azh9sQwjuXLE1D056RJyb1UzTNfMyPgA7OCoCj9q1TRT2R4me9dfvsH+USTclubisD/sweQ0X50+
ysa9DnSN+SEXVn/YG7fLYzfvvyn9K/3nL2MmpvAz2IL05uQkd+MZQ/pdxwutHi8jLwX7SHwoVsP2
Eiql/urRojrmBUmMejgE0ZBLXOkG8QBlZVna35GPQsFIRywoiSDPMRjuGKUkjgl6FPR53Fqlm9Gc
IjMhBKgPDAJfrf0Lc4hAyG9rIMp8izNvtTipVwsr1GCeyvWyAJ00u9KJIADpah4ILVxey4umIhyk
3A22Zgw0dxIf9cK3XvKoEBt+NntFohjfFq0RWOotH9fe98SEmbowAHeECthZdFdfbIa2m2z2k0o4
c3HnEf8/RPWWWlWGrmnUWcY0hz0k/6b4r+Xh72yw31/MlEG+OYyhchjqmf6kQu3egGQky9ehi6E3
w8es//k5jIBsIjBYrO8w/gJZSnyth+jeQuJAZR+eI4qJDbU3ThgAUeP9ELG0CKE57ezP0IhMHpNN
rUQzzSfR7IQFUOOkr8JzffIBM/KLSrs4d8of6V5/F+5KqV29gS/DUPfXEPlgMILi5AO0+faT8Mln
G4GxbsI+ltLtButlu6kFteQ+Nx5zxe4ga903q+GIRRauawzB7FQj78Q7eGvVjl6nVPg/+dPbVXmD
7/csd81nbCxjEcdze8fBta7+uU9ywom7pIUn8+EzjeHJwH5XmPUT4GcS9LMOih/v5gDU2UGOzHVU
zwrwyEKXl1AYAiFP+u+iBFtT5miilwzjjg3i1nrdKe3zOG53JdbRjl1TNmoZnYwKJ5QKhI3QWV37
tWWYibgtId2PlIuvXuUXnWhdrRj04EmcT3QwtnKZwLtjrw/7qvz4gizL3UffQKobWxO1fpJpXjO9
Cj/apEephnF6ywYJyTtdQl3KO3bOdjd0wYoHcLfGuciYg7g0G76wJzJFJWZliRHNHz3nij9zqyaZ
D+d7Z+G0Wb4fzISpozaOIrcJfEtKIURdHtoN3YF2gpHxleECjB7dbxMDBPSvAaZxp92yVoG6CaHR
syIu8fg1tewE4OjOsm9FBgXwpMhOYCVO9Y2ZYVzd6cE45S5aFRhOBjOzdOiMv7kDja/l0WqgbuF6
FXh+4B+wkdj49WDL8L6LFsJ62nl+b1uBrN1vdcaTHVruQh+REYESBDw/+Risug9bDvAjqAQBMLca
RXipO1azap1MzpJRLa5K8aAUW197YzK6lN8ks7U/hb2ojDmUL0KrRM/AB6SQdlMsZRqLacC57ji1
kZIziTzkLzZ41+ZuJVzacemz4KPXFA+H2rQrfJhlexWmFSuwyTFIPrwWJvo3vQPMO6A7aBE4VkE4
SrNgWEC2TqQp8MHSawX77obY3R39xz7o9/JlvBQej4qSbehw7SpWhvw2KK5XHh6zadtQZbqZy/19
antpu3vFmlSyKJOkI5GYiX/lumQzmt3EPTzJZMfzX1PC5/jc/Eij8bQ9J49vqCdwap8w+F7GupZm
E3XfaTcdZD3bf2GfQBAQ3xQn6VK/q1xPTvNYS10yCVuapQVCs1wcg2E6V+Tre3BaC2590AJAQKiy
OlitMXzDxTldG0HaUH+PK3VcE9r+SOtI4LP0XhLrRQNgpjvs4eLssNNkc132N/qHTPK5nXdt61rK
x36+4r5KhqOlbusYIn5Brb+kKVmNxPg+oWFHeQo5ceU/qqQtx6QHa9A/UgjEXbx9D9jKd7u3TViA
8MMTUzjkcCEXbYCWW5lQJEL5ST714v3Q4FdXjDePODPwyAHNfqbxOKxzeB3PRRpMuseyF3n0w6hq
LbMLO3u3Gu1uPsGiOY53lx8NFniU2hWXomJBUWjb/g+LX4EgdRBBgGAdXcWuqMrBwqIO/Zcxx5zh
LtwnJNervhaHTbXzumog3N5l6LVOvuKuOXsWGiKCB4KPNGhZFFpmsbzhsTB21C6gmNOByA79slT9
zaOg+WG5S4Fy2I6wXaJtv8Stx89ngirAkJ6Q4Hyp8W5moapaEhj6Qmi1MPWq76WNSCV09+WAZ5Ie
Qh1hyMQ/A7xOrmwIlaaXweI3InSE2lIR98eeaWQQF2waHYt/QhxASmjiQ8y5YGD8FsFcByI1eHCt
enPI3n8TB8lm9FNcT9lckNDArLWia1lCe77wyPeigZWpB5BDtCGSVXK9I27fx12Hx6xSnJgATORL
ekwpNX806gpSMva6RhPl3BQm2LjgkJbFOgpzWBlLnDwORB06bQCwRX01YnNpicrfiM77weEebQMn
1rmiuME9zQzKozcmTS/sgxZkw1hC9tpCmg3uMpq4Qlf7+9k3dPgQOknenbCMYLaFu0syYDj1pw8I
yTx6gSrmSUr5Fq+9awjwWZ3Z9LXlT8N10NPt7gKQ9ELzqha/B9CL/Ng5YRoDhkWJbO0CYH6aTff7
SLueQeeH52SqOpbcy9aketGHguavPmqibb/nTV2jKJVm/xf3kvtPHh+fqBDbbzlOnxnXSIAgoFhJ
YX3/0YHUUK+dsgTXFVYhZ3iwICVmTdTFLNna5YhjU2p8yRXxlnac6O2tcxqLCVng2rY7xE4uBniJ
gzdkxvyj5kZqPsVFgtQXauxUvvgdeUN+lkeTuJIRenNyvxJB/hkDfduVjUSlPzQfITMgxZ1NnlN6
e+Vk9v0HI+vjSYI2Ggx/mqQHFeufmX66ie7LTxRN+Kv+q3GPqP87RTBZKSOAJE9UR/4Asu18vTft
XqQE0zndP5+2ZZPjdMigl/FwhDcYlJ40CbZRZ6va2NPtVgkyDFhUJ3bCa2/5r+pQCmjXPb0WV54N
aYva/9470b46d2aitTnnhclnz/1RmilMkrT18oo9ewfCxC5iSlQ8q6/jIlg6xq1A1mcoyrdroAF+
nO52WGUuCJ/eTIJnnOSOhb9rsjS0yfy1+TOtdUgtzlog9HpAqxHSeQKiGGxs3Zt/Hxp6lrhP4X2h
xdafFxIjkBrjM3rnHq/1UGWqFK5CWV1l2P0BBBzuwAZap0w71f7o+Mq5+KMajqHl+VnPLkUqTD0E
R34TCYBgU9R16ahARPay9pPvVqGsIjbL0RVo820/lnDCVEiFVq2/fsivjXauwl9oOoOn6M664ghj
bJuFbahajNSejZrELvNzj8ZmrWOQgq5NO4wWpHDH7FYnkivVMjg/UpOlFLHqlA43fwJuVQ9NfSSN
OFcIdu2TbHuwsozSwlJZKoe0om6dtWp+AlN2Jij+69zxqyESK1aKXcfmnOmR3x2mg5zJBMPGFgss
cQbIjTp2bA+er5Z33mqD6hGq24WOdRTTrI5HnMKdkAHNOuuD/x3IeXjUVsPQLn67w+V0aTG452RK
4ubu/PLI8b+kvir2575CEceLwZlxLOulxwdCSkck5IqKOM5/QOEvLYWFxGDASyAaqAvyRjPps69K
6BGjkr0eUsmPQf+/PoQsZcJmTtKxp+KD5ZaoeqN823TdO9Wv3luED2aS9IACEdrvr9xcjTzK36we
/cs3uhspG3LWvpVGbRJPoiMepdR+wzLkvJnUDKgx/QuAaO2NkVuVPs5uj4EEzrOu0UjqnBEEVwmG
lFRBk4Q9oqdJYqJfWMtSNajDseZ3dfWRhK29Wa9Ch0acTySo5kAeymjr8OY5GCdVtIEySxTy84ML
FuiLTgPSrp6d7QM7SFBIMMDgubk5Nxf9aDYhKFODmLLKmp9wrH/f1uZGfruyDatFZ4k2IOnWviox
5m6gXXBDzxEYPYQ5Uk8+Fr05bR9IXlSN7Li3QNxKzH6RY15PrNDIF4nx9g9VOwrECHvsV/94TCFZ
HrN21Eujz3iQhO9dqwPk2CdUG0Wv2uDlXmhthrk1LomT/KUbtExVYJVMt4WkTF4+mmNwicmOvXba
xsmwRRbZhSD/6NWxh5Ze+ZU+RBPJF2eIvP+B480SSh6FJ97o/f7UdF2EFyBnMy6AB2XT8Cc8a/1l
IRLp2qfG2zZPl/Kaf1pkZytqKI3nVKHtlbqX1ihKsHDvHbNdF4negyla6JlXflPXuGasnFbeK1Sd
uk+Us6QJHeF5DgTqejdZyvzRbag3xjCLSGhxZqa4Yz3PGbt012lq0rBeCFbQQRPwkJCIulvwO4R/
IY2kwUfj3WpGu/6NfxU5kn8ixG5PTYtj8Ktq8/3QITA9H81byxk7CI5CjNJeHO110N6MjsS6Lorh
/irMph9r83MGOd3gB6Vece3zFAwXUHRuGhhohQleXdA1c+Qq5NssGoI7TLQtOIKS8yJiAbxKicGh
93s9m/nMUdEu2eHJ2QmfLNle1I/7u8C+x82+i+v7ooWGnbGO516KAY6AVb2+bpcI+JJPtEFHrbCg
rBsDiKKFQ+jiccpphG5ddmOWBXqEMih5IxTwvsk/rRe4GeEBOh5LJ9IeB4KWiJkKNF4yrvTO4svu
QqnO9lei0ve0zwEPq//bpYeaWbp/MoFp94ShgHKm4ALWUmK376D22f3IM21SoNRj0GjeRITJ1DcM
LTkiFDnMiHvU0XZRO6kLnV7jJuY/SMDBfW1SCvGzPgNwRWLrSyi/xniVhKwe5jROfATsklDxR8S/
3yoRtR+J3TAGYABacGTHj0uML28wZ/GABoVqoqF9NsTq1H7thbU+Tp2EAQkSxknT4drszNTH23Fp
O8KQo46XQEtHwyOGTTi2eOqcvWSftUbWlsS9bTL6S7UUJ3h1dLDxxTuN8UdTBoLrddUTQ0+3Q0v8
CbjOVZT7Cf2M9kEDgxDweDwUL2E3EHBtsMbGZhM2t4FMgKTD9mmwp663Inw9EGOgql7PsFfSQa+3
m2O7JuMQcZFrjab8a85RpTSE6NxjIrUAK7CkCt9+zqg2KtA/ipHDO/4apewPZDY+YzF03eSfjtmr
fQblos/H6aNmvZazjg8k+trQKUT407qYnmsn53xYbYtY6mS3amZtIaErbDHc4dsWoiB03MVjuNVN
rLLrFGuDQP+oP6LHUFNHBpoQeWhgENfDTa50aZ5zNFGSPpZzKTm+KpOoOYYPED6LUh/MA+JVOY77
8xVsE5UxBDIzsj4+uOB1PtVxjx1NRiKwvav56AinYUv2si6OSmFyxJDKPdPx/0QFRsLAE5tY7cba
65gtk6WtH82fiw69cfkBfmZttrzhgiaRrlDxrEexW6XLnXMSBaqQaNOsUkHvDN/roLBBEAD/Rdry
DTqnf/3YJb9coKqVivpShfrLeVSkP7Nozb1PcZCyrj99niHcNyTt0rV80cyvcuzKkke1lZj/ELjl
5QfbLBs91fyi9AS1YqBxyNyZbSAneErJ9lFC4W2EQa6Qd64LR2L/9pA/xPfGtcAJxF5gN2zOia2i
RXJjxGfKhW1PLe7K0dbSrXrkbrbaGD9VInNHeNOWw9vTM+CNT5RYtTTM8KCbSCzEaFOw62WW/TTs
QU8MpHe0h6dBfnjAeslNpccoLiyqZj5K/5ivTgYmz2eF8ks4X7FPvwVYdeep6GcudR473U9lTDwI
kIChtNcV7/xuvSUhB1KFsam/fJcNauEOpeQNQzWiWc8kJIUYvOcDwYinD+Pxyypfg76yauFzxoSH
ePH1HmQn7YZk0/0rLgYLx5AIVxpx1cwK1XzbJjzwWcFjrfaYTX3STz54Ub65z8jg1F077M37IzoK
2hK36uJ+0uRoPqKsUnkEhP4fiDqiAkOzsGYrndDPKCeS5goeqHv8aPk/rWaFXuf4pNl3ZrB5qlTM
4B1gZ0dfCgXblZHqaVsR93s7fwEe/+ABZ53AucCQS2vhWuJmEd3Qv/eErXlKeDTUWmkocCrXHLWt
yWyxX99jheRx7EN+CbUZ0zdzZyLWhyuOgDSIUPQC+HRsfsszJFHSLw0PNHa4MraoHc5HHGJ46Q/M
qReeAM+EdSzjZadGNx3hW4Oal9TLtfoTFzDE1NDYqPGW7dqac7poJHrKkmX2SCdqZ4BjVYU7J9FC
JLI/sT3wjGA6yvY0Sj3xim2aLsIWDK6izjguL7U9HBjEoSITNIjKKW8MgsD6ewVvIjPDJ5ePdOAQ
tSLeva2vzgkiHE1H2Mi1NvjrV8OoSBKmIniQI4fw36W0r4amhxs6ZgJu6h+y2VarMnsqCc7zx7A2
7wTnSRC/kv1r6dnDXNNDXoRmFWaZUHuopdMh0LRNTD+K5AXoD0Qbox3HHwmgqVXDtSgS744E4l9X
U2fi/5UOecxN7mkjBMuV8WqYk1gUl+qTbI/TY/iFsLbsouSEfH/X6MN45D4/HUZN3bqEiMbFMmj2
dm6sO9Tb7mOVSjE8wMtCdYOmjwGitoDTb2/dnZ52gi4Fa1uSU02U5hEKh7RSFOg7tw3qveocvAqr
OfluOO/yoMleKl/N6SCFmg0KadicwgtpePTpQybW7SdO1VyH0rWncZmQIpMBfW4/fN6HISApGQ38
ENylPMFDMx5fHMS1lg4QtfU4MiQlOz3N9HsGyAI1Ac+WIuf6p/6qRD25HocScnU/dTQgU918vdJp
koBpd3tLE4+/NGGSYmVIO+6CO2mc6tgSX82WvZ3vnobRhOj+6xnpl42jWHIJrWB6G6dX1Cl7ZVYB
497F5H6hAE8qStVxDqfqiv8oDbngx2JAxmPiS48MzvP3Jf7/zqqshl+SP46diFYFezKUFX3Qg3LM
QxP69FePaXQN/GMEz+GYbeq9sLqaLEMfVG9adTxFgMHwFAMPCCuXHss/ONKyKN/Mdp4zFIG6AWlf
ynLxqhtX33oPDwEbjkP6WyAbA2i4gbnkJBJylo9Fa2knjdgEpGhbu7IzFZxvU6QMZ4h4Eui9ZTCF
YaKB2+BkNW8sNdOdrZM4y+38Uunndsn2KHPDnVrS+E98JO6bG61ZSz5ROT74+kz4D4viM/Cumvdr
5o2sWmXS8+gKVubf76ktRo3qdOKUgdQGQNL/WP1ZibxzLCHblpqHB5hsvFtk4pcb0rTCMWUDfge2
NhCAXOh29U7dawQa6mlUMD3ASD+XJJnBbNYybkCYzeZwONWNHg2qXP4kj/SKcbkEunsOf+oYmj0E
9CFNwV6/A9l8NS/1KR9R9l27hRhADA+Jmreo4jBPlU1gcbIWfbpJ8KfN+ODo3JY94cD5r8gJ67h1
yzhuEa4OIBHpMQwGXLGzYFdFJqmPfna/FTXpEUBn5gktq5D1WDIKcNrT/xUXY+KNlNKNVzMU2w4V
0KPZbYtayIufuOW5+Z7l3JT7v3l+0h6YFeo7mCgmrtFOq4w4iyjouCdPH7ZH//NWbI7+TX74YVNT
zGRBKepNpAtJif52AMHb/RmIp8Q40K8+AicM9RAa1bcFqCwnykmQ0DBpCDU/Jtns/Xerf7il5f5K
iKiQuzwy00A7fhKWUDUJNtxtEHGSNhHSFm4BDAdpV/fqGEJOTkNZ8LM7TxjovkjZ/0XyKktHPKm9
EcJ78ENGRg5CRj3a4NGE89g2YUOyWbJuZ6Evj2fiqePjTj7/K9slL1ElAb1F6f1JtaW/RZPspPzB
LMLrK0ymPcEikY3/TN+7LNoI3CeXFETCluy1MPAF2fGxpHkPmCyiXSZkmTc4/Ulp8WmyYJVDqQ3j
D2zIkZlkUtd9QCnGABIqy6Kk2v7B4kYf0jF1oudRtxS/gd66d+is2S1YmAmFHJReQbrK4Gpmbe8B
fim5DXJ+uD57f4ZzJxD+yhlWENte8nXp5ovK1Z0LxcaWtHXgnqCn1QZSAQHn5q9C3UggqU7xq/5F
sI4aUrK3UE4XD6zgQWabndhLwDr4vjGsPBDTIjDbKiRlxBgpkWAP6k7ciSBB2ovdWmqRLeN6Y/Eo
CA5vVPSQQAVfopdF4Bclu6ebM20YB6K8hyapNzNgvCtpvnx0tV6Oat0AXulmrL4qsieNcinRhNl/
9k1JCNlIgMLWPS5Becf9EI4F3aGOt+l2T/Vwpr56drRP8EDLUzfpZPvRClr+Ba1/jKuoiOU4K4V0
wPkX+UDxh4NmjNFyB4GdjkkK4HmyYunr7k+CUf5h2hwHRmJ5DDa0uooMyDROs2RZ1et1cx02megQ
RKHH6S6SEHLPk0n/l0vBa1H/9npwxmuuNVyNIjNuCA7uXpNImTZtSGzsFD9/eRF4bwGLjQNOIhyz
j6vwi1FlslVxPJPc/ps8hCVQUZUsw+82OKQpXV5/bEgf7fxvNGMr20XwNOL9SCGOFzesxZdwYjcO
rLyZKsH+AuvZoEK8Fq2rHbGN5hcFKTYfbUapoVkO77/sEM6IE/2DaVmtWVOanUxAESUBRM5J0Zt4
CIJ4iujtkk5YlybTgtNdenQEi6bWwBKs/PKyh9nhEhmKzHuCF11D6TLKNtPlp83fK34//Cn4NR3Z
cJ16cn8//9+TTrylwOzUYzcfRSgcyuomZnH+GIyLp5OLihpwXrUr4cdNgVH9cPr83Iw/+S0Jw7WP
YmrMGarWbhennSELgkfV8eRN9LFr3ZjGVhwqSLqDh+pr8GQc67MuozP1ekXKBv1LenHIKt/M2gp2
TEpwTR7FEUDwpvtLD1XaVZ0ihM7+YUiYrbRwZkHFpHPpejO6wsMQXcsfkx36WzMWxpaqcKf+Xpgk
5mwy9t7CS0gXcs1yVtMbbo0o7kkgqwo7TGE0+o1NZclQ/Bwhx1tNLABLTHV1kwLvXSuKe7Nzz1sY
CQNJSh9bGsccCcNPQdxkzGArnDITG4RTd8GqFKc3N/E4nWCndHPmQWU23t0Wfk2A3oMYKUs9hTva
0eGAORSmiD8EUmnsUQwVMjIvGuzvQ/MS46eF+RKC5zJU663wItbZFnZ5p8Z2ZOvO2eAtBO/c59je
oDe61hFqY+PNug0trp1abVGTsMHBQJoKWKJ6PyxFv9HKWFklXl8FuxRrAXR2z5ED75LGPHWkHYJ4
4w+kTY9uNfPQmsTU9KcCne9Yq9LeHIOWxWOlP8NRONhilr75oQWpuyejXpEonfoYq7N06+D1+JDo
ljiZQmItt1CqxuSyNnJ2uKdWZP1NgczsGuTn10OzWQEQr5QxVqs5vDqM6wEsMgDyaWYPbMP2dTOK
Zva+y9ZWgTHNynpnb1cIhZVSbFhEVLaja+2Wl6OzsdfPYADydP+0MLTtsBh81LuZbZ8o2u9zUHph
DYgstex/fGzDIZjuR/C5qHitxf9sg0ktwUN7R8ZwYot7Ls9swUvmpqHY4Q+soqfitbtaO55abPqS
Db7wFlmm7lGgSQUKXho26oTe93Y/nsT0bIAI+iSTxrwzEfer6wuYcG3ngyYDilhxstfMFE+Tjr/m
xZadYa+spIkE3mG7WZG78iAxmpwNQXV4jN3F+VD/DjqJ61QCgOn7MzCdpNEjefcE8ZpnPZaWQgtB
E8KFUrd1ferLY1LWBago3ZxgObK37TEj3iNBhE0RN0JUDhsXG2Ih8bklRtDZNEzF098s+sVbBJ75
SwpGKUx3q1PHt+MMECQLjQS0kg9hp5xqAjVHZ0rUqBI4Q16snBga6cVNRalBqC4WyEWzkgY8wu2U
A0DyBhky5AqkLSZKELpkMWQDvn79c5TTjNxkbNTLUj/N4bm/p1IrNLBoaKdHj4o2e+QpFPybPbHg
bpOdxHUM1a5hYn9tnzAKrnBpfTKxTGw7orqpgQt+lPeyRD/6xnfWlofrksSzrbbfZRtUFCSBRfpT
9DT9ocmmWHnC6do1xeFNF3Rf2mS48wdK0Z7okrNbUs0EHiY8SWXhESYKflyGyI7PuMeo1j3FTyWc
fQCo8nNUDOh1F3dUugiDIN39OfWbqZsFE4iqC7h53BjHtGFOY4Kx2P1SGDlWRKwaVLDewN5iU6QQ
KR9UEoGZSO/G8ABA3TEna/nVxizlyvWULWq4zJthTy8xCzq2wDNpeGEOoU5Uh3/JTGcgiRsjyAmA
8fZbw0QdHjzaEzW4rw10N43PWKGN/azrjeJibN/3C5h4XosnsOyJbW+I1uAqZlO2MvEV4tahHbeh
PagtCiwBDspMMIkNzrQoaLePj990K8PVHRJ15kGlFZYg9jbY69+mcAozIMDbQUUt7MkTt37cXNk1
sjeB5dmB75hiWGuBOcsJift6mJqSZEGldSQ2bsSCMvvHwO0KkpsFTq0rCZLzQM7au/FbcoNWEVXH
OUg8B3xCbf7UEJ7NlLe7qASNs4Zd/99Qz5vKzklTqukpaZlmL1YVNCoRI34mQZHQlJn6MQFaX64w
YuALLJBWjYlJ9mFTC88BjpAnxO1LMM+MQohFQ5AfHH+8BlCZzIv0HcKQB8A4oHsRJV1l4aQ9IZTc
iFbuRekJFuACmQmPHvdLOtTZ8il2hDkeCMZsuhmceXcSNcnoaVpYorSEXTLtTnRvoIbl2l7fILli
wpeeayRbf+vQn3/CScYzOaVcv9w84b5oVQIjindndTD1YgheYyfjUwbmPfYSSDQjW4kc+FAEZZ7S
OVTZwfUQgJr19fri9DfkZBxPymkY3oAQKNz1VAeGEb2pWjhPzcH74+Gr0jrmeCl9N/ONJwa72Obm
GHcoueMsJi7vLSPHfguT1miX2kGORKuGrGgDc8Y0BPd9d2rkPCBqiM8Um2W3TdvINIpWRaXWjog7
sOCWD1QlSRK5VkrbCngOrOCsg0z8nfK9407VMFv4joUrUTrFBMsq4vOCv4FcTIFZU9DEOC0gfdRv
9WnrvwCeZgApM5oTbNPNEDOJIhcJEJ5ap4bnNNo0Ai87fC77AMosSQfUfUm7KgCKdstgrgdXbcHD
oyTLmpMy4Hl4Ockf/9Ii+WksUj9i4FdGhKEAda8kePQs+iVH3B4nkEvXbw7FdUi1ZuJpoi/1n26O
VITRQFzjkp8j0HLOKQcK7Omz7jAEAD68/sUvNb3kV0QGW+WK90QLYFwiArS4djqEeONSJBqyi2Qg
tNv3yan+LoRj9blnAA9znJjtROjlHAzK1xI7L0UMlZHQz37hgGyMT4RfIpqazZ+6JkABBUU6NcYT
uI6eqdkmK3Y2GZOAJJRtZUft7+k+67PscVIyXRj+vgjCdatUzyGnbuu0Y405tsdZqqecytS2t+Gr
tZmbRCrWU6tehIqxyERtqVPiiZqvaaye2ge1rZbBqxsgUd27h6X4BM+o5T/gpJAjMAKMwbLdTJsI
CWq3KlQBKM9C8dLo99WLy4hOoKgkRdM8Obf2PiY6wLVx04Dgai9nC5sLM2D9D3sz+LtfW44/gCV8
8IyL5hM8nCpiMJXRgJZTLor2u0LGO1tMYey1Fw3UKKIwpj4Q4UX+vK/QtzLXJSrCPxBs9dPFWEQo
bVfJMxZ3o3NMghG/P1+9Pj8HbMNehDnLoaEvBG5m95OKgMRga0TeyZihJtKSPKQzYBy+C+cpeTQe
P+jg7YeWu6GLJaD7hr3G3I8rB1axaFRXj4OCzVdEvCsEkzwcf9uZzxn0snWh5KGCtZ0WbnMMUd4a
WXnq5HMT1/vu6M1uJMh4Q0QGMsg6ReagICXTcDRaid9pPOeZapEfhyUDOWLPvhrMzl09GeTXIE/7
ieP67LlmiuSHzp6p5vCytgZTle7nWs/lWr5YtNxmoLUiEZ8RE9gu7Yh6d1Zx+1lq06TYaYZh5yiZ
fU7GCb2oeJu5sNEi39U+goFm9KR/6BfFsR0DgnqS1Ysnp4yy2/ffP2fiDXIvh+lUeGLBp6yc44jp
jBQaiUc0vDIJghBb1G82gd8EpEM9gRgYu627QVG/Ynnp7Y6yE+IbTy5HzqMOVfdOibWHWqmq/q/r
QW2YkvOC6WafFJ0+HbSL09pgF80L0MPHDyUyqEfYKS5Ob9PJGEifOyj3DFOyHvzZIuYoCUXrrmhC
kuykRFVPE//VW66LX5r3KWq6J5/V0BFlTmfFIuf/4Cbk22NbQM8IkapMNt5kWuxaL0c6tUJjvadj
uX3Sxg//TlwqyZouUW+ZZY8T1GL66TvMY+uxYFqD4zVoT6DOkPyNV1Mva5gxlbpgZACOt9NqQ58v
sBCqfPkqEmI/pRvxHEDaO/XUSye+DAw0zqaXhYz/rkZJWcOzfpYfva6OoHUX+Mb5XmQd7ejFCgPO
Yw42zhOJ2XQu8vFkiUcUEwWzznrMtqCbBTzY22VQMUFJp0muCbPFjReCIyKP3S6jwkI31rHUVGEU
L1PgX6VD4dCzW/h9O/UX5rgIM+bqdN25JWTz1jbT82MHgomVpTugOnJyyFuAlgfqkjKEwUp8/NaO
6sYYfuUHUtP5LiGJ/wo2R4Cu0grU1NS0wkbs+d7J40JzWiALIKRAweFcLFWzczogxUT3XIKruxrb
u61qXWghonYT3+1LT+Hf61xgFVXAhn/LsMyy+h/R1YasTtKntPZTWzEp3ttwDcn9uFjF+tU44iSe
LE1D+mGNrPGvZZwGBHaqeguJT7EP8UlD1qgEG+Dq4uinv72064ZyrGdskL/vn7hIVS5cBW0efwbf
Z5w3h3jnAaEDs/PF7zjVwJNl4l1+u953t1y/sNJQ+d9/zjRbZu9mMkNK0C9+gRQiobNieAsR6Ged
0nqx2Z+0uaZYSZ6CMWmkUhQRfJdIWADqKzDEDRQkF6WZY8weudgQXhMpTPQXpMWJnvs1GBkkGKNu
qAAYIqIKlKUidZkyKF8uRUng/fz8rGqBxPJmXDFG5T2OSXPZ3xC3qY3rZxmaplbbShGYrHUYP8ao
ukr12nP8zL8q1Nn7KdmPUEgpnzYCde56qiJEgE3YomPGNwwKbfvWTfdrid7jlpYoa5ZDt2ImhBew
EcAy+PboqWLU8lbVFtb7gbhu2+yqtkItVBzlvB9U/f9sVhosWjIA///yiV0axN8YoE9uegYIkIpP
Onfzz4NAUgGDAPkwoWM9b/Hg3qKhlLFuitvJhYGLcbaYNs5WjjYJe3zh/P1ImHKM6bRU+XQ9m5/8
s907mvyhG7E5IEvC94g7+6ibMNCG05bivE84JzLb62xVrtfLnffxWi80YOnVMj15XJK2Dl9+FHlD
mn0+Q+noS0Nm9P/fP7MgcyRhH7pupLkPA8C6gjNPA+cv9ce3Vv0r4eFnAyM8g41VbSmB57CISrlz
VGvQCeoX5I2BVlCEewHk8SstyTdjTJguaSusegFHt6sJMG90pp9NkxcMCpSzi8dfBwFJ1+lXn1/V
+9XhUAsBIQJq/F3SxqL8QAE+ZttypBtdJx6eQJnp94N+mM4gF0VoaEALAhhsHU3FMkFJKdiAemip
uhTbXVfDS0HlzXidrpEKfrS5ZtWde76Ll09FoWib+Qh/yOOmdwt0Ym4hN9BL3kn+1N5cA8IEp4q4
RSiK9mVLwviB9KONVOxVMH4fMX5TW0AHfh651ha3Pt4pm8n5vmE22hJ0k1o0mtxx7cSvCtV1jUZB
HkpeU/uj1y/o2XHnxrvkKukTGyOtw807HQ36cBqTwnnHxAdo7VebaJVbur9VPFhwZBFywZ9gVrd2
dJe4nAciWIkio6QItu3J84y+k4dnJwpOvmwaiBn5Rl6Hz/T5ZQzv/lkI1kHrxFb85rQhLDIU4fK9
UFbMNBXV6Ee3v0UGuSnv4GNJ6g5wDu1tx8neQqbYG87ZlCI2Tr7NL0kkn01j+LgQtTlzWe5XlZ+N
Syy75gfJvInewU1e2oN0HyOtXTFnz5aYT00PgPlcUAwucyl56FEhYvZAmmTD90IdDcMK3w3uk4/3
GEgpMkO3GIzafUn4TF2mSZTWm41oHR6JlQRcGqoim6n+T9Er5TZk0drwFmOWh0AGzNxeP2yOf86W
iYErkmmHZVhfoXBYezAvawUh3g1Ts5WWijYM7Bq4P1Ty15ZO+rsWiLIrbVVTMdzEHANSgXV0j8S0
A4xffVl057T4HQlYG5AiTbbaDAvJUgtOeTFTulm1ajrklfQwDw6OzrKCkWr4ugy1LQd2KvWGPDLh
snXNbdHydXlu+Vc8QhJ4UrC1Iuwz34+7oFWziklI4pxxA8j9xTdOkPlzApDm0H59cvIsVPESCYnc
d1C1gQ9fynObbgE7bC7bju83WfrdeHbImjou3nSnTJ4FcneCuoc9W7caX0J2eHAzGgXNgBYOOIXH
nWqA3sYScT4LMjTbmYaAiKTNTUWYavgrFmi/eNgThUr4YltAn+nF4bxdGbfD8SXdQQ/mRfGc+ezA
2b+eA99ILrvqBFVUMth383iIuEx3B2SgCxBOb6/Y5hSDyv6o50IxUXpioxU5SOrqgwLDSK8hzlt5
N3oKCon+zUvQ1M9rgW1J6/ZNmD2/BZFAhM/yjGmFCtu2PrFOuiI894ZSSBZNfisRlE0JNmJjLWpn
hzwXq0dxgCxUWQuBCruh8KdDg0jbNGAE3p5nckaojLPO/Ww0Wa/GB/vzHTf7zjoThYOQpVKFuNYI
aznUqpBaqdmbqlNe8vQ6dA8tI9h0eYy54RqhLqPAYNvs4PpNkAaNIvDF4z0LkcgFi6h4WV9Y0mbx
xbH9fzBq0vBRB74RJajp1mT03RIu2yYa/4KKFQup5EY3njOEI7hwQoGG3qBETrumGLS/aH83mY2M
UEDGSdnb4L7/wVyKb5MFLtIs9RntqjtPUyUemyq2p5si+sNsK7pc56FQ8oZYprdpJ6u4erYkCVJX
iSBnnupNh00afQWOsvFb4FGIvXWuQCpbeVbhp4BU1zaAd57BVwJLHWVhU8lCEKtTynWDvTcAI3YA
/7SYq8vJKmprDW8XkwK8Gw6oFQQ4lf0cTmINbeHN5NHYxoy7F5ePgqiRREx3JP+Yq54a31pORIrz
1o8PgPdp1gXz4qqbnKooCuihcVg5XLh09y+URDoKIUJkSs+5XeadspUO9siEUfXgtV6Meecp5pHp
bpra4lytVZXDLwWUsNx0wPJ+DJa6/EunayUc03FhTKtOce/obfxlJzb0/+q1NbPQyjwrXPfrZWZU
tPmQ32aJyA+ebAizIKeeEm2VdEsT5+7SZ5S5brVgJoFdPUF4ZvH3PQ+PrbYpI7q17sQHYbk0wqI/
8AjVd1wyDp7HbVO2V3BhCl6aGW9gAKvIdj/s1kIb0DwxhloOd4K9j4lEOLQD+/4G/5HjQf3jvZVP
ls58JGOLWoFIvCKwp5N5U8YQhJDwrPFbXZmFGjYyBHoeCp77YfWxI4C+LQ83Gv8xgM7cFLQUouKl
XeqXXkDreyVrn/P2SDAkouyFy3evO5OVk5T8EQCFtESG5W0OQPv6HYp8hu50UbQIy99PnzBFcCCU
iFFl616r7x4C1RTx+Ia2XIKtZyxaQomPJ8VL2/SzDRHbHY4PkKf3C3At85+zs17RfpB/fg4r/xer
B/JIJ0fvEulvJmYgEphB8XCy+cBfqKuVgCZSYVT4SpALZmf4jSo06DbEhkhDgvSnF9QFZJzKM16+
b/GNZ/ijE8Ddm28M3SVs5Es+ZPcdEmS0bAi3R3prpGDuoq1QawbsAHC3ZSzwj9uTlI3BaQQu8w+A
j9TNNpx7vt6vYTyh39fcddDFLb7OEdSVeVX4Uss3heZkH3EXrDLNIZV74GKcnOdrEGp1gyArSg2y
s4HvRqI5g5Y5BKHubzP+qn9gCcQUH3+I25HpBHbwjmuCFt8DZqJs3RInVhatjimmGr3ORkGyYPgr
i3VifwqvwQN7K4UvJQaGehuN0We3ewfPLhyjQY59x0tx8p0amp/FFvtHLaOGVXznqwyiuIOHD/La
QyMGE1m1dnRWTVUeUE8cvmOX+B3ffGWZEDUnFY2okxVjI3vlfSPq1kdmAzihBokGreR/aApbD4Z5
EsuGi8+gFgKynHN2JPV8GnK+FC18cPBPj85STcidMs6kVD3UP8ULYIJ4aEZhXUkpLHH0P71fzFVV
mnGpVbrciCAYdpN2nYeG1ThW9uGRSuAJ2NpUX1NzxVkG6spoMwndkCZgo3G9qLzkmnUzKCX90Y4D
VmWFuV1/dWyeiUrNEhvqGvXaLtvaimEvBAOsJYBnTLdmvPe4pI7IlBe/oy35nqZqj/S1VHI4hvZ2
7GJ1D1MCm7/xmfW1fODUkhZGnHVA5SWieqo2m3+foFJuwqnNYtJYYXBg4J7rb3sEc+RqP1xbmsZI
9MbnQb5wA9AUfaLdZ7jR5W5cKCvTWOK9pCtT8vBNdenvsqXrNpAvfd9fg52dpqp11HRtFCVt1gGM
hpTVvt9WDM/jB7Udd/kQEfarOeuuAIP6Z7SlSYUBdDJuS/tBXplZF5oyQuhabeQ2aWieGY1DNMzD
j367yc0KlPp79fRlRR5qIMUEtP73ZBfjqFHFTCs0qohtu+rb1wzE1fE9kq1NpvxO3iFMk5xT/ULe
XpegOh5cy9pUHTkN6ajbQkioB1et9MDShj9C+kflAS6+x9pCDbzZLGGWJaZw32wtcdtdDfYtD22j
E5M+pIv1cdjHSmi9WJgZlBrEt+5VmSWQ6Q4pjxzISbt7pdYfdKK+ed3NJjVVnmt8kQepG6IwGsT4
HmFbq+N3QLKqkzexL1ldMh3qnNfE26aF3JXmmoF3MkgvREiJKnQGyrdVhlS6APLfuA/L2GzV+Mag
tU6Ev7QNmXgXg3mzAnE4x6Jgu/mJDv8LimKuZvkSy1+q3wq+z8qePGsKfRS26dD/nhxRorDTLQkx
y2h+UFY5fQNx2Z1aJ8ZMDxsAZ245g4/7HhGyIwOSoB9YMdJfopyxK6q0OU5RvUw+o6CBvjFpwLHn
Y/pzOSvqAY62oxyzbqct3HqvPuz/XKRstGBwcUXKajc1saUCNG2s3XcY94Zm/OnQ8d/IgWG+hWr0
hRYD7wnsSrltZbGoizh1/OmVg1q+KTPJE/ySeCSV7yBOB1aYaV4S+2oQgHuFJuAgMH5LDKWyyJ2S
mWpUdAI01Nk5OcrUxUNLCQbNFPZUDRFSXPEAnurEp1ckrFr1Z+JfrsjDgoNQkKBH/wDLnQdF8tmP
8CauoykmKlaay9IgkFh88lBWVZpQ0u5im6jgpfRq2QzZEaOxCNEU8tpvHnyFPM6WFBabRxeXk0Ab
6ErupAcUX8ZkDnazRe5OHZH3X97XyL+HgcaHd7QQAblue/RYfw1GPbW2Onw0L/2uE/1g7RFZRM66
ntebW2Rq7DvZ6c+FACCmlL+R60Dd5VYIRdale8JXckqki2UVY3NDfz+iFiiED9/nND8TYVK0ODkz
XprSRj1YwheeBqrWpSASgftWwIXitdlBrIgzi0eHFFx0+5evsMi/rkwsdnb1aSJDGUfYIU7EZJpI
/3oZjTvS9e2HJmqXiEmOSTVMkL5mv3L+eodyg6mBgnmCyX521flFhgl53OR2xwMAMAfk19AW0j6y
rSZAgKgMpXWq5qA2WqC+yFOuW+s7wF7zhID2srro6WtqdtF2tQciJc3MhyPBaBzyNSquGkdv352b
OvvPi2K3QYqqfbCvs337kMNkJj/jOKq6kM0LHREg+IMxuXZ5xdv5nE+E/tD0g1yy5gFDsoGC2rD5
AH/Nmt2IUWRbcm1jhQ5QaR8ywKUIHBk92dE9kN2AvRAGEx9nWGKW/lKTiA8A86Ngzy6qwlJGT4vW
ZAe5/oGNsUX+XsKfv8iOXJLqcSvWNo6lLuO6GO4HnlazgZRXjFr/Vmr9RV3PCqnJy0NTbO4ClJF8
QkjV/w7yIZeuJbxuiXMsEZldn4kAJGYDDb45Rq4T/0eSMxSdMbVRFhu60bbcHtqDlpNjHbXLECTx
oHUwBokcIPT7TVSMhwZSPLA68xTYjFSQesoGcm1Nf9R7vTPsun61f9nxALxhQt1gDhJLAFtvK11B
1AjnVjoQYYgYq9yxpwDJ9o/hbsR/wgIe2jHgiwikmL0rWnbiMRjp4jGpji08T1BL8wrHPynbZlGA
7j98seYtedLzAJNtnoDOZBTKjkyaTpd54Eh5wy1oFslVBcTYnCW70PAAQG74mZ2pmlVlTml6byKA
iWCbfFCySlVk2c64xCbeZmygQc9ZXXvyHVcIU2xU+WPfAgVOWEQpeOMHHHyomNqN6m1cvSKReEhW
XjOZMssKe6hEuUa7yOORM+84FI3Akz6F+7GoJCWQV+AlPgfM8jsueEnj+VTf+7DnqUnSLNkAtbaK
FApV1/TF44QPRMzWtVqTNCXEjLtNjMeqGCCdRX6ISRu4xsOGu9MVbfoHa0Ajb0YJTLNRl4WRBctI
8hvu+Xu5Wodw4EPXhdPJJmoFydgrJwk3kNKMsycjhBQXcWoC6jF2tLF2/tDegm7MtCaSuL1pRk9h
a1hFAD8JTI6koLFo+DqixnjkfEopan+vchxWSiKiVXmmYZxHP/ptSWev0MRAE4GkJubkPnwRJeTw
aVUaoirgxf1197TL4HU9Db5trQNB85edoOsk8t5h9ddeY/WSYFZfSa94Z1lzAps1b5PKHi7w22Ll
qcg7d8GMTfKDdSK1giSa8eQ55JpLNN5tOWeOzxE2OiU40SSQlZJGe26znicr0T/TZQf4PKNZG4nN
yDUOXOW9RIn7VU7Gli5U1/8dMV1pF2ZqYr9at3xJUngUnYbC22H+Veh3i4xBDeNnXxnB7wx4/cEk
ebUNNcvpC+HcIsPB31NX85edsCNRxwYHJqUok1nnQxifkZPgUcY1l8KuaOZOACF8dS2MRX5OJTuF
22POS8Dh/1RIpn90nKFvW/YVuFUDsz+Wn/GHLWT0TyaYzXNY/+txk3SKnFeWJqH0vWSlBOC7GCb5
m9+iudCaQsHEZ6g/Kv/s2auHqUZhpaDpM/CJ0UDY/rA7Gu3Xdvgj3DeIZyc2a8N9zDK5QZLIleLw
SrbqcKQIzFI9NYKzj3VHUX4+mLt0A9xqtG4I83z8w/1Vl1UhIkv9bB8VTSSPvk3VdLCyHWedNk4h
9dvHKMgmKBOmOEr7zAXgLkQcS/Hwvh82dmJjlSrt9e4A3kRVtbtpPRnU8gMIJrifO51To1Ivtq5p
LcLrtsnLxhbeAUqHKLXbrxmkSdpTGR/W4UepsJGX+JjbVyK8ggXmpNs6Bsl8hehHstExe9ZTOA7o
McY6xOiLBH91IYV344K3se98JPkgve2jUYoX84cNeFTiNsqet2d3Teg7A+jYMkt1C6IxjXQibVCl
nQFBDNrXBBh356/IDlovX2iNfnvS3jfIOXAQhQMvCsPjJEN+/ybopjfowi/cliIasZK5W/DQjUWr
iGQ8qeSEXcGmSGuPp9gHfh9iwYWpbbOb9pz2KvmkFYCGEoFrsKaiE56hCWUkfPCiqz+4AxgLtH3i
w9P8BMKhEiyzi1sMp77Us4ekuO5gtRXFgmgtVWtjFZSyg/JL5UonRaKWhMwwklXAtaKJiPeCol3q
nHjlpNu5l9LzFKAfcgYYfWkY+ZU9ZSNmJt+iIoFIZQzvcr9kz88wMnCn3bAyJ/GwTo/ptaQ1i5C1
o1UrvNx5LPE/W0I5XYov2ryavC9fF1g5Nd4iC7XqjfZuyX6kC3be/RitBqRJTDcba0w3NOnH84vZ
T9jTCZRcUhrCtJO37YokdIWMG1j3Tv5gCsRTCY3swdezBx7NF5smDDPIgEMuRTlv5GtL6eVHHKR4
A1x5rPg8Ikq0byrPYJfBYgyS5t4nuCvNUApi/tqEkgoqELjo8U75nkkprvn94Ey6yHtgr5JKGJMm
JMrVEKvOC7279OBa0MEBKX0e3/l32pwj5PtbNlyPi05/bDerWNeKJwLq4pWpYs7TUypmnkSMGiII
PyRHtvstADpXo2yTychLragQ+zfT3OXESEEHgm40E3IXF2xjSgyXCxeKndV5qEeJQkGmj8bBfHpW
lwA4lGwpadeECWpmNiXvrPznBgDTGCp19hc8fgIjVcIUlCPfTvwBpkFqY+25NNZm9nWxPh4XH2dO
IO/D5ov37L4z530+lH5LgALobzmvM8evgZeAP1R1J0/kvArPXUXsB6CtLa+SOLJQyoeDrZ0m6Fm+
yZHnO0FaqvqfLZNTrBt1eLKa+9Cq168a+NL7IJEHgkQ6gWLZApq4F0iV97YgsLDbbKZjpwjC80qQ
TXeV7ExvBfJg0Z8pyDOGNWGVCi5202UQMat+9NSf6dO5MeVwFcKkx2pttbVN6rAyCLpJ5lGWFNPR
Js56PHemvasyF6PLWdPZe2brjDikwGDUtmderWv3iAtgP75QJPBPfYhL7kVaj5YQyJU/GoJRMsee
1XmJ+AawT2ezjo6qLKMJxCz2BK7UStt5dh/VFH5UYMHKZqod0NQR2oK6d5KChbh+RkX0ltdEf9y0
rlzINLeOJO4++shVYeL17y3jRWnFkVO5ujdnLp0wIOgGS0iG2/+JNOg7EJfAfJuCTzYbZwwBE51z
Q83DLx9NHFIGFVNVlrmBisuMc6LpTZryRMQkber4wN2qVSBtGdtR/r+fyci0xgqYgKnru5UfpO5S
P/SjFHRqiqXhXf4S5QHWN2ZEsbKJV0Tc+T3vn7hNxzIq1QjcNiW+pgFdP+l+Xa6p42tuNJ1BUJwt
QTsJaNDAvJI2NstAosw7duyP923LarN3RzXa2Ebk6TbjyUPBA4yALUafJjXRCr9eq/QiTNzskqqH
cJOd0PL26MD5oPpiOL8feLmdzbFIQGnanPq9Q7UpBEAbnaNygoleHator5Vs3zlLnxOhV+iUn2G1
hAgyjt/s9xmLpc4qFn+KFVvQLd6wCUIoCosyHI8mhaB7fiSTZaXsszoUrsJ5RNO7XuxIVDjARypr
ZEc2WIrTNxqM6OD+zasEMVMaspblhSAA9m+Ip1CiQpdR7l1P8AsmbvkFMMKHg8wUPvZUkLfIKAJY
XZjE2KaBQ+m/pjZPbl3Ghc+pNyib0ft0Ve656gzzA2+1kvm45+sjtguJ9+TAHl52CikBTNUXR2Ky
hMGA0vqi8cvm9zEVYwO4veMFcK53J1+8YnZVBGbLXhnFp58X+sq1R9awxwH3wAcro8nRLi7iSRTd
puDTXyhbp/AW1Aqh8hxNE6SFoP6uZBe3Bw2r1x7wZXUfji5wB81m+eYM1jD+KHKaE7gsIWaLYiDy
hiczyhkDXwuz4XUFNIR4nDcCohPNgOY+7oy6p8Hm9sOvKSXJWJg/6GL3dBBFB20bTz4zoUli1o+R
MXNJTyL22XmIuRjSqhFp47N0yK5cCPdwRMOkAjT7aS5kmtR1KqAuUVUPfRyX5MMjJ+fxlezIyaD6
VtF20kNBCFqBKiUS06jUvJEDCHN5Jn8XFS16kS7N2ybqYhTE2tZSflXsq2xiDakAyU01CN5DZycR
lPZ/G2/oYYgWCH+QRcLECLmhc4uLJZ2J9avibLE9m3A4W08wblSi5w1V6OEXwTF2VPs5/dwjT34D
sWIHCxymZ71PVlysSQLMSHX91UNywFxkltD/CQDEcKUZK20Pfdcps/+am0+Sk5bgQBlZGeIme17j
zseInic9ZWp59gogJzPBoh02RixyLJqrw27bROp1ahEwnTngLtcxlJYCWiHQn2YyTCNoDx9wk3fN
n8dfCOH0DAICs4pQWgWcMpVx1+3envwxKEpnQ/nioB0DchtDV8caO4nLCZ42asQXVzj/dR7hN5Th
JnCoxt7fVhZWhPdtrstlYycB3UCTtSrW19BkNS/cnWHWTdDwzR4uk8eqwNphCJDw5a8VAMahS+9U
wPwut/4JuyUDsqB72CjJRKvOOR8oRSQhytykqgZmSGKvj99zSr6U2sQYhSbm8h272AViJ50+OtL3
2b4et3XPNBb05NhxaVG84zWN83IqxIA4fMnkId9Fk37G8GaYHNeBkS4Fza8AKV4zpXsBS+rG2lUY
fsDTNEesiuscaXQb3u3l9Q9mgAQbWebot4RrE8FmF+df3OaJJ/jFTu7UEd4aV2sfzVYTDSBvc4bk
1HiFNwNRn9UaYwN50rCb12jR1fWX35OloE2RuImsGxhHYUmMWB9XalDb/JCtAqOZfGnuycti5ckQ
f2c8ejR8n2HPMmYZbLpO3wqS+qGueypEMNqErpa3wIXKOVQ1WGh2HcK4KWaCYfb8IZpkBwVyMbRT
Jgdqp5GQxqS3V/SK8y+oaiEjGPzABejonZ9ZFPRqxyA8SMFaQHnor+o9DfLvwuYWrE8lZcG4yYHZ
zAUjAkCb9RH7EmtIbtXcRncos/fwfGs7V0NnT5+w8UPRzeuciMBxYc/Osez/EdqfFxaWMvcr/35v
0jposDJtXYKyvoW/6RI4KwZMTjTN5/DQ+pp213c0f0aQf7rPf3cRZs/JBxl5Yfoe4wXjl48jV0SH
tTsrUQ4CDBBuDovEbLBfSSVIO74mrmI0cbtNW1Nbb6NQg7x1/NRlm4Fnen2huNkzqEG6+kpKwMTD
KM61YOY6POexEBE0DG1SV7USrgDDNa/ZJ5SZ6/68dpl0n2ueXWoJGYv+HL/J7RGCDIKbBpXd6kxx
gQdlBRhIaswNr1+ADDEwFhG8CpYmy2zAfgw6EMyZ1pyHIprqmbL1P5fEOHzLU7FAaiAQYw1HJNyU
H2qMkySbdJ0qOxwIwyvtZq9JNlOWRtZVq4c/uE3B0DbNCTr4N/Ei3b5hUd7yTEE/61GoFe/4lm+9
pPpU3vns0BpO0JQEaA1fh3w+r9oM2u/PT698tkxyK53qXi6o4Cgk4uJSprAyqM8JG8UPQxN9/+/b
vF5NIYomzqylwpFnAjWTCkEL+BH2Rw9kCX34sPlevJgtnFhw3onzUWFpvthdO4vm0HelDHj04XCW
mGsj9K5ja8icUz6LwK1LnoejhR8bwQtNqBKWjtD2VyT1QwmxLrf207jeMLb1a71hh4bLOMrZWeA5
S6BYnStuUXwDFa8f2yytJHxJIPCYgQXMHPrxfSFghVQPz7ottz6PfP/CleBnqfbhJynNTaSO0ZfX
nVDQR3NfmjCF+hFDaTu/kckiSLfY5qmzOKCcfhi+luEuMYRZ6kBTNHor/uACZdXFp7mer4WlJPlA
oRDIpnM1958UUfSyJt/L9cDNTPx5AzqcTADdoeCGLQ+PSYeOJHS0IDJISkLInYlF8A5wkfkY4dw8
FThGZGM8NoceXXvEN2aLireu0edEST84bzkWyLnjkwxYgI2SLrq6wIylbkQhFkv7wA5/lCdPwSdq
z0z+gqvjfdZyRWyhOMfBq2K9XONoG+DTx4Qu+fWEPqkd3PFs6jKwYB6XZN0sMeU7ng1JYkL13T6G
ZQgT+/8+SXCjpgg+zuA0zef/khTIROONT2tU1BqJdFRmLIp7QE9yS/UCI/MgsTA7QVns1qoGgKlI
FmTquoaUH5EX7m87thesGtvK7tL48pdyprPMFn9kkZgl9WN5qqWeg1AMQdGayGhMXFyV3fZf+mVH
HqJoc/rlyq1QNwMUJCJBCkPC7ZMCd79uNOhxPjjNReCBlOcy7hi2pI16TOuy6H+DyDT9222IYlCP
lPVuOyF1BLy9IvsiyjVoMbxUalhk0BCjPVQ5w+bG1ThnUhQ2KCus+gl2RA/L0gQQZe2p0g+pFqz+
CDApq4o2xkYmizIfgZHnLHbOs993DU7Mj7fWg+6WB+9bqKbdG+PXvf+cF6KxdHrcalFdKBM9+wo5
/2CucZQNs+HFXqlXfDMiAuISg1qyxGHq1y9g3boTGzqROJ+jRcBsfrSN+diK1FColI7rq5lp5LDs
GQho1bPY68+CW9SXnXvgBEk2T2y/AwbxZ62hFvw0t2nsQFlEWj44d2dEwNYn499Ejs1WtjOE2Pvb
SRc4XqOWO9MNOjPtQOB7SoP/myIlSRi68i5dSZsjj9u6TDI7nSucCaGXo64ep62jtxJIZ83RNdPP
CXxz0Q1fSQ1y/7ljM92BUaUJVa7I06MlcuGnaPs9rDfRkAqHKDEnyWPIXc4P9F44WH0/JTzGeAWT
Ka7gY4ILgKGmUdNB6eYEjWStrqLj0djdDp2TPoaArMjgtwJHWKARX97g/JQvA+o2s6tSBbGCcwMA
Zu/0CYvZYcuMu0UBstqg42pJ4+bX3PYvxU54yflrFmgEoyRbECIZiY2GsdOV/D3s5Cwi1TxQd/4E
sr3X9WlFlQVsf/f0pgeSLg14akqhfkfT55MPTjEXQ4UfV9Zo7WjW7P2fw84pJ+gh1TK5j8xNiCrA
fPjGhAMadoSKnIjj1O16Yfv2DNkN7gcakTJ6FjhWJFudSJ8axvRBbcmGrAERFcyFlOjUlRvZJFTg
xROq+glR08i6/AZOiypAZsbjSg/YpX0SeU4eIODZeM9bFqJ5w7lNjfjSKzLy7RTSxbpsi/ArQqBM
2CLNegR834C3cMM/7XxWnA5DIDoQu4cMJO5Xlj2fXMpHDowAGASJtsD7Bt49rfCtNUwEDA5AG4A3
az+7viJLhM8xdvM/KpzlWlyaaFqpQIiLLmd3uVQPT8UcH1NEtDaB3rVJcsQDG7FrxzJhN41W55sp
EQb1rmMUJXe5G7/7dJoUnbIL9zgLlOoMf2VmfkO2ewD+5Ds4a+2zp3xQV6vMyBjBPALJ++yissnk
Uk0i9moD11oXA/jM/25hEbuywBLkw60YeeSBOvi4iFEk6bxL1TUFD+aIQvJqSirDSkx6TXfbnRwM
jYlHZjn7gzW+UmRYXjDBAcWcr5pvZHcT8b8F3kIodJIePQZunH9gBmvgLP2Lhe3+LdMYm04znJc8
57AK5jYuqF/S1ZzCRJLdZk4xPgQOSSRPDdHMpzVv2clQb79e/7VN5e3FHJzMi2lEIPJ2zP1skK5Q
XqU8sm5CX2hFjFEsHXfFt16LtQNsaCIkFwUpkyfSeFit6B+bY/fipo3AsezpPXx6+9J/2DTYiK/z
+4BfcMPUETJJMn9AfwakszoyAQbI5LE6fATwU7p0cG2+uY0Uecqt4u+kssP7n2lRvMFS5xq9gRlB
t/XvjgUD5Wzv2Auhw7b2gGhShKS5Lh0m4An5h4EJbGyt1MW5aWp1hczJVVoS7bX1juHDhryXaufN
Fa7Z0ngJNRZ75C0pDe7BHyRaMfeE0Mr+NQdCZNK2MAAprhAenYaTqSsEKmLBcTUZxcsFAahl6L1/
T6Y1oNdrgs+29QJI4d2U42mSKUvKiYLND6yCm6pyiPQ9VQvNkVwt+vYnJp5+TTKLJQGe6+LE5aHu
ISLodZSojEE0LAQS0hGZyvOJcZOa+EBUY+K41KbOSpwjIm1zW914LH8wj6N97L9sFkHTKNG2Z1CN
Jdkl+USxaXkasndX/UAG/R8UV0d5d3weOroqJmMd2xupZGfnuWcm7wNnOdWe9AJA/1ZIPpgNv0MC
zbjFv6PPAgoSdL7UEBUZraQMALNCbOZ3AJaTq8mAR6QEX+mu/SaL9U7NgMjbnchqKOnnhKpr0/FB
lKAWt+8hWlE1Sb6kVxDGqxmfqZfcSzMi4fhS0+3FvMZRL07SBFiWNbmWVB+ODSfNXNy6zo2lfGxV
oQ/nGrZjsvDpCgHsAYXaCBJls/DLFstome5LkMKP1+Shz6VAF86r7J+oHRVQiaKIJIlvGYWK66Lu
qaPoEthKAeIKblymaiMjvhQIAamGggSCTDC1RLB0hQGHdYCttkv74KmuT4Qz3cTVCEz/6REbX2tq
oM2wFwINUegFBiwwfrf02Vjdflobz+FudYZrzhMlq9x6IQ9m6eWeXQyhw5E+t/AMCJ5JMe+mHpbx
xV7Dte2QX1Jx3SySU08bUF0xjZkKXAIN2y4USN2aC9KbqRgJs+zzrHlaxw5u2XqPp7w+ix+Cnt4T
gRaW/+Zypsh9zXGkRmhwuGZqWDUCrpz0QbFDxy7bQZVDuQjkhfReW9oE7X69pT9ehxp/ApRU4Y+a
qHDGM7ubnlmFc67AH81vSj12yy/wGHvyIMykyWW1K5Ux612VcrMKlfpHeTm1tiBrxqOxSybsQ6uI
G/ZTzMI+aPEXt7HyoMK95obp8QP6AELvkI0AALjovda34we28Oc/67TNZ1cUAnuNmlEGizd4XeXR
FJJDE+reEftvLRFDWRmNARbxN7lR5sNKEsdOkts9wqyMC/MkQX5wQBBMWMK3ZAgYXY+5M1nzwby6
Nt7KzN1YBCJLbSWACLbfE67I3heQJ3/hbnrYXhJrAJ33z73bFd0g8cYAx6VSrwGMoTVKrTwckmKd
plcuVDeAHOEeGeM6PuR5RUIQMlsU1qD+8H9FbimjsYEklVVIlXzB8QoNml9linPqCSYHjmslU/S+
/o4mqjhPq3o0Iqom3gd0/++VkN9we3Yn+4K4um+9jGzjCHI7TNKhI9n/JSnKBBTU3f+n3BhyHUYU
+g7OJHLpMZAxQ9rDjA4UI/To+VvlerzGRkNXHuw7gMDQsKZ0N0odYGcd/HrkqXiDo5eBE4vcDhRb
JF1Oiht+qBOL9L1QJIq/ZhP0RcD8ObX1ZpDbktb5sGRG5oOFtS9dBqFpLNA9xrHTLXB6C3aabLhW
yAMeJ19iKFG7wfnFxxXahYx3SXIepgpY8coWwXgl9btiZjZ96Gdns0P5K1Yl33eEb9loh8RWGZ5l
xUzkl9TqWj7fsYNHv9YaZQrsJqYtQ4CVOuUTh8PCdD5ctonyf8rKxfwKhLnpG9vgTSdrlvBdD67L
QnUONxxVs4M6E/sngcrJISs5kQRwRS+Y0Jlekts63kVC45wcb9fogG1oc+mZ8yzNby7L0ax4srQk
fO7GNErbrtw8hR+McbViWLOm70CWd35sxeRK8z4YwVeEvhL6Zdx4Ht/5Ca2WH59inwkes60s8eaB
MSzyRz7v27vRw81PYBbSzqLgVZIYGw5Ks86zPP1fECzUvDu6fLfPGE/Q3EBFTWdjAsQSGUNh3xvs
P5NzjjsVaWh2wiVjJN1131HGVI/ySUTpUEnTjOanR5RIyC+vlCQs2TM6C/x44B3ISsU4CR7xTKiv
4prS9ub0aKjF1V3wqvzkLUfBEBfH9jF1+jKdQOuNcY7svbJydkVY+fkwp3pmXwdjo5/yvooGLmeT
IytWu2FizMOB5J6DqhOnt3gWJPYdID3EpC/WXLigX1ykXoJdGKI6so1pXRe6qzB0sBx701EObIuL
w/ocBjmRVlHdUpfoJJGWmEVd1vUMBZHuTmGNBcEI8A5XfGpeqfnBkRmnnDID2+h49fW9KvFFYxap
Y1T9c64fLwOlxKOvN5ujk4W0WPHPeiMn//LkzgmRP7PoRlkXfOJBRvCpA+omSlOt0qc1iinNAK1M
2kbbmAB07xScaCBJ7oSUDLOldPa/+aVSZsvcmJXZu3+WdZ3H5SP0yDyqn2vH+ZRO7fZcdkn2MZdF
YE7nSbKwVSetPaTJFddRUrmk27XShASv+9affwe+CANKYCyL3Cf7xPIfVxMyAoobkNGsUVpYYiwv
NO5vjVkzblDY48R8GrkOdZeFXAhDtzch0VYB2girjmc5U3hO3xyARcDitzYGGZpzFJUXqk4Xd9oB
3w+yYdpiCh20qFZEAsbGzaXgo7eNERQDb265bs8UbiWAyJo2XuZnuMh+hGL0IUVa3+T1A6/ZeH5X
KAsmprZObp+DbuTiiBPcXChQ8NvGPcPom6ZoTFV8dl78EtuUwuAp9muic/LF8QeGaRK0JrBBqzrz
TUdAb67z4FjgX4frz+DQL1vMVRhwG0+LERxgTv3BxOcJDmQh1FWaWfOfTNQ8Z67gc5XKKtdagoT/
hDoNdkq7+Ke4P8NdBAQHntAOS6+oRy5qkZPXO4CXIeFDitJfpBx1OHfDHHazcq9HlXxbQ9IA/hgM
VhyB2HRLeWgD/daOrEHAAt2z81vvFEdo3BXP+Y3qsmY3fhdUeApmXpCod9koYP93p/MrMXktQbyO
UobK0y2VwFgWSVqo4IvqWWi2AAsmsB3hIHxnSpsTwKltF5EnKpGNDDur1hMFQruS0RUNfKA1jT78
1XKX510AcnLMpG/GIh4KrXkmaCaiL+FMOccdMU900LlxVnfwNIARPvo7ogYMEu/hVSuycYvfTx+g
DXBe/apLgdvhBunCY98RfLA9Y+ft0R+5PBSLCaUyAj2q00dHAWujeajrYhK6uCYVS+bBMSe3hMb+
/i2HLrHSbOzu5OW+4e3mGm5bUbvEq6RrVM7QPnjbVPrN/9anzo2J6xhgcsY/gqvC/LcglF3L4mDJ
qdit4u69tqeEUhFZb99q2dDeYl6vaiFNG9EkRhH6n8TxSvWJ+0QwoSkKlnJ2Qu7h91Gi6PNxsiEA
lsUQs9nfJMhiP2wu4WEYDlfmbO3YTTpgksCYhtAGVCYT+wvO+iSFR2g5ORBjgmofUddahgx9X1Hu
LlnRVzCxzNX1jxm7iSUWQd/c3wQt7kX4Dvrfm7OUBfxejzJGj9Zp0emh7Yxfvzy0WZncHprR4nuw
k2S6WFDxkpV/uwdc/2Y5WVUVoiQbJkHWwTv3zXWyCLDL2BDWSz2oejtfLYIG9yb918uhA+k2LYGM
0+wn7t7sCLXtWOLgjBZAL1engM7/B/J9C7ZGXFEAIOEmJAFNJgTI3qYkLq8UgRojc/VLEwEa7w4z
BW+7m94tQ01866i7Q+Oxyqois3LYQijd5MvPa/kHOqa4jFe1+S5X6V+kJIqnQuClYcDw7PJQW3Rx
b1kP9EAyz3xzBj8SLxtyXqbHqNXQ7nFgJ/caoMy9UhfAtatImpf2rOJQgWdEZptdjHL91e0Ni22k
nt/R5+4AhleKX6LhGfsSidBoriTJ1PeyXFUE9/yb51RwMoQg2fiE1ajZ2VgBX72i8xBuXo4cOYhD
o3ljyO2AQYWej15wcLxqI8kAfjlKhxulTnzhzvWcxQGs/odYSpHVPP2/1NfRyLnPscMy7R+iSOc3
nkJNBppyoPABgA97pWoTd6oAidLCzFMzvXCWsKxcBhx01VV+XckXdJfezdoBID3NLCrmdRjIsU+B
rU5U6bFFE1B3XN0xXBrdT6yENE2U+JfIwRXZ/sk5VPs1e23c7b06zwos+XawRBfvxkoEfU2LrLc+
Y3KRGsRCNfLzcBg0Yx5j4Ve+LS/clMsI8qwo5QiIP4F2Q6lqQ1YMXHlighYXMnhjdb0ke0eijXqk
JxOPkylt1zUO90iDk6KUctLEx9Pbi47ZkzBFdfUkJ+WwRwnyQzqBqW1aCJFbcGgzF7BqYnnYqXS+
ghyaiPzEdKgDgkJ4bxE4q0TZdwxU7wGcCrUOWQCp3SW5S8ojnPsICAEaUCYVfwSKkC0N0Qy9oiKI
TeqwHx05Nd8P/YBM7VmSvcwjzgfJrD/YaUQPneO8Jjw9AAoJZKTpSp/jMgQHYdabY6a6/2Mn6zGu
YqxtYryHpwdB/t8XpKu2b+m1UlgOMagj5sVYVKPTXf4NFDEoOwupRAbVddAMaOofIscBUshC5ppX
Bv0AyCGVDdsBA81A+iOs3M9pOcxnn8FhGi2VXfoc1uIwhvCFj3208Spqg6BQhZtpJUvYMMD7l/kE
rsviPFvU9vTf9ZMoqvBkmkw1TQbP7kqDiP202b5jdu6xPYfEohQpU8W/tPRSJQnum/lnFGyIROKy
O99lwF1ZX8CKbqvfiZwORBnQyKYq4CCI0JqkmDp51NsPTeiQ8MJC7mfmd6M58o6MPrHcNeCiA+/K
LBp6bpnObdQkotUF+84ZGYIpgdPmkDDn8p17O+1uQRwoVtpqpkkuxXzsYlJAUYa/uqwS3uTN4SyG
vYMGfAhXeCWcfUrJ7wBjpmzjBRpLxlDKf/EaXWVzMGBODIRA66Jpdvb2JEAR+H4D7QwBKvCkax4R
yRd9xJm/HFMLcA5RmNwA0iHH7sEPoHvw2W08x8gnt55vOA3yWZAAY91wns9eHBJTsu8SSWoJjAzT
H0zT3G6khDdZrlnJkLkbJZM00R+iD+gjqMCthMpE/DD7/ntEiGkByxE65e4XuTxOM8fRjmlQCc9Z
uyKj56gydol759g2wRQCIQf/aWCW+wrb0To27pevLMEX8t2u6YPQUIgNnhhFpZzzoIkzFtVlPXR+
kS3QLv9WkAkZsT0DTEeVfbx/zUQv2AZhujqDBmkM30rsREVIyn/8ZeOCNiBqc7juY8VXnjHiJaI3
41Q5+frf31SC2aBWo3rh/tJGaVLfKLBMnUrygBp1DsG9UAPBVI5ty689ZKN2ffKDtdXdOHgJsvGY
Z+mDA/MsKBi3mA7mMAIrpVP26c0HjbcyWbm5TwOI+tj0jG0xTGeMAIUedDUnBEjjyeuIKu+B/Xqq
V4Gtx/iYkI4EnRP/v2oy15AbZeaSbFZDFn2YsGqUE8boJ14Z7igsdmZ3g72kAP5UErmAGLa1w60W
qclnubD1wbVypJIHXw8yM8XcSjMqrU+c7/d1Mb/LZOmwdHlkZj0HLjXLA2l8KgnHH6nP2qpSKFQS
nK0N7LlqzlJswQh0fjllk10ZwCxojq1z+HPv3KcvAvnwFtiuMF2MH9QcaZdnNtY3SHzNHbxE/GCO
r72Ev0pa77xzcjnbKRmymjI+4UwlHq0lqh5Lf60/J2Xx+bn5RAoF8ClOKhlUczH60FV2JdQ7g1x+
4wqa2kd2TtWj9MnQP8pBd6xTWoU/hExXkDE9+BpMwJ5HJfkSdkp2rC39I2iJk9CNNAkgf1sdEEg5
OUslT5iGfENsWIHLyRun4bd3PqpXagocdqApJkiIuz8X5xCo5v62oyOwYeekLLMI3bL2zGWjFGi5
pxHhJ/WpjP9Ywq517aYhhEidhK1zfQ7QJUQRe41VfaK2RDa9goP2ZBrOY3cXGO/8cIQy+9y5okoT
axjkHIvzMcc0F2inYUrDaRxkycZiJ3ILi/U34o22M4186SCDj9yiYPnWcRksDt1e0oNdXIstbXxt
PyNuQQRr8Ikuq4TkHB+gAgV5ZHtvR8arKWoljNoDKL4t815e0FkRrtOseUBaJa683VfderFe18ep
PjxaTD2nVNa2V1VANv5mMAQt/chbzgewjHfIZUePH34sSA8m7dG52vMg8LLDIt1HzCt90HG7KyLl
6yZhSKi8uenM/bz0sJvcAyTV3a9gEFj7UrGtcI/tGFzUZVWfVo02sy/c/8I4vD4KYKKxVZ4sbcTA
48vs9RNm3FYoiHqWfhWxEE0NgxE7t2hsmYjBCCIKhHjV8ys3MNtZa4klAzA7a3914veiU0qty2Nh
jEv1fnwLREFvC2s4hnq6yOJG+FpfqzWyeyrnMQLZO5RKqdxQ61ifDAtmBj4IQ0I4hR2aS4mhLmBz
1rDCjhjsNTG//4hheK2RNZsfufgUOzdiToEh3myEJKipQpKbLi+fLwvN+c8YRgFz5w4EPKpO2DUH
jUF2/bSWC7VtWfIENs38MIt7/NvTVdXbLuk7zXiXDEUv2m9/7svzIaco+VJ0p8cHAJEO8rqcp3xN
BmtmmbE4Z2SK/Hw4U/S7AIg6sGKk8FchwQvrOO0lgLc3uafcwMFR8muYyuWgDw/7gFtUKpfmlWCu
fByU09T1eMPMBHYvDQHNQxFV4LBLKteQ5PL2HP++Cvwbql5On15hrC7zxZTeMxnT5Bke3LkUdIVN
vOZr0KcN2N7+t3SvzHMjPd4n+4ZPHRHvMvPi9OD/TFyGe8nZyyfU3SSNEzbUjFfvrTJVi4iRpOWA
SfLPjm/A40xy9dLIVmcdOYyds4jx9KHT7hFrMjXCshQsS16s5K4UgqnPyjiEmAwDpBTJ4/5e5Z7E
iAgYxjLDuMcqcdSHbobjJilANBGgtLJXANZ5H1ioOfbl9UcjKso4I8qZGx2j5F+ug+gG75naQUkJ
dPSWm9Q0O2k3sRcJU5kvgh6HSg14sVTjgfjhyNKxhThOb38JlpryYPEIEdiaKk+1Mns8znv8WA0G
8EgU5BlHxAyqW9rEQP06NfTx9OESm7vFjiFGL5kHPxWSukjfSichUK+32yszUZdTrlWx9WiJxPt5
xZ/Io/NrXFEBFL3n8IZmbgqH9UiV/gEClQXXgK1m6tWZtAG4/+7vuaGUdph+yC+97RLey5vGohPp
g9ufoRKq0nUbJ07PciuKZ9dgzN4JGjqN2Ze7Ly4MCfsahLsKqauuDzmYUNc/qtCA5jjDJlznVWLB
ZPXyIsAvY5+ui5dNbWvF++ymNHOHx/wkRYRi2pXCwMStwFEr4HloFCkeuxZqi4Cv+/a13Zk5ep7Q
LKiidYxj2FZf9L9PBh+3SCmdUobtb1rxvk9ls6o5VSUVTWmi/dAB8kUMdy4aXllzXoEvyX+Xk5Xb
NWI9WNl2bn9gNg1iRl+fXwQAGRlpNMb/5Ntb68uASTGXcde3ajgEID+ksixBRYcVNhjr9WxEETR8
5tlF++R8xxRPd+ELSUIrE8uRgBCLhLg/GLoSLt75d546Hosohw0fKB/YSLibvHR4abxynCA0J0XI
ydGvC/fYOq69kNmSa/nGvQ67g8LcA72Puz9QFO9+bFDF2FbSq1KSogibsoxcnQaS5beAtcE9SjBk
Ks39vRnxWpX5AyENaYvzz/ZMXxk75k1m8TlP191oKjLsa/WngXuEp4OUoZHgc/KiJG1WQDOho9/m
iKFeA2g4cJC0AluIT2RDG/MTsg2MAaWjAQ8SLhTmhyKBPG1LFBIwKgmoLTcBSwzbgbPqWx4J3eZp
o7+A/UDEFuP40CeoLt9gnbvadVb14Btdg9xm2LNrcgKVSTkfJzoZfv2vtH85W7eFT+h/DMe91y7n
9p6UEL4uWfKUMyTex6KWHyE8XEfxxzpZB1AyByf4eqvhr7pcdE3EIOtMEFdsQS/SzLzU7z+zYY8m
vl25nL5igYeBEZDhUYSf9Jy1bZxP9Adz0A672Rz8AvU/P4OsnqUx10EDd7/W0It1qyTKMhPBoLoy
WE8HY+Cz/2ie5nyUdpFf46MoRLZoiPjB6ef9zUvUI+5+EeyUuRd9b+2ovZFaClX+yDaWwAwtxCBE
G5/vIgR+7zVydypi7wZl9malr38WJgM55vzGWZWU4uZ345qu8hkzD/coLi7ZX1mQ9tHIh5+d+bnx
y3VjNnecdnv5qp1RENtMYnn6OIep1ZDL+MAWAGA0JNQXjYwgJGyrDSfseDs3zYXQu3PlmTHq/R21
j0kKnyt7+KS4Bp3xS8Y2sHZnGlQM2QT2hwtVN30B1Bh1Z4EcasHPSeo3OOQiN6B2hMK++Fei7zRm
Ye6Ry2y8vzaCu1WHVOKRuYs8ZShwDzlq/ZL0H3dzSaPmwG0qxcKtFd0NgaMZEmDSsPdEzmXgTKj+
pf3ErKPeMemaFy607SC6jz6vieV/qoEcaXChn4mHbMwi/7g2G7g3rP817WB6Ja98aOIgIuRBfctD
1ObDDHz57O3JwwFB72/gBQPiagBAiMZgvFHFdEr7YWs4PRMWqN1IDgKG/uf9wFc9x8/HQxMJkrAi
1zXQIsNRrLqwMn9CDHhYVrhEUe1HrbNqU84UDT2J4/icYbrxGQ4bCWrRaW0mfsXJ2rx5Lxcb299u
1VrKMdgU+0JZXtq6lfO4vkYALXNTzBJTUHrNDv3B/elZx7/NX26etRA2lohs3KutuCY7iRbeke0d
keSdLZZRsAau0mWaFDOMpAHZO2o3T1nbVHuNWbXktOfrRSEn4RKMX3u2r7vHL86GRYShxdqKWLl8
DSVA2DveyIoTqEGDYWjsxsv26HsME2s/RzW+mD1zpulMiy5kDyoysKBYZ4ZqoLkOrdUA9qimKeJl
ciJTOxkVhY5t57PmKFFxuRjNZE6A7MUdoNWhi38HaSzQLshAnJH00gJGl5zbI0nBxjW28RH9Pnu2
dYxVW096zwd1lZG2P10OiEp511pwTN3WnWIRsxCCm1fNl8z63mRO+/VtAhyRNKqhGlMm1JKfWd4O
1xmW6WgCxbn516Car84omJwAxiZ7Hc9gbCDgcqhivqGeI4XAwxc/5uWE4dvhoeh/gyl3Syp0kCsl
a9gZtisVARwop3rT8Hp27ZIvif3xraPtPtYgezQufpDWY5C6DU2EFo+Lt0A9EDsZy1pkWV34ag5A
MYcnz4BiXbHQohhL4gbN0r4zVI5mjhA/5UCW00CoCSfg6xewCNEj+RCZC1g85LsVH+2sP6GIdn7H
CV1XM8pRewzMkJlAwn+kjJZ4nXDGvEq5UwT6LzEtXSAD234xNai783Au4M/OSQSAHBPQV0QLKicH
Y8tvKlImwKq+ZgONfZ4LG5zDRNhPAZSQWcWjloKwbFU61TtZYMgdBhSCWlQNJ2d7Zoawbml7HF1t
HcrpJ+6XPVY3q30CEs9IGOENHe08SOKw9iYGUMgXls1qycwbnFR3v4K3xj4ev6IwriIQ8GM1OxY8
09JYbi9UPJKu1r0uL3X6qRTx1IG71ZtTANTuyHttDsvXlQYMcPnlvsoWVHI4v8cfuJSY95xenRkR
1r8J+Q9L31O83piiVZ8GCg/Uoo326bKtuXmLR2U5QBNQK78A+WP1xqZjiP35IFn6H753SmJu7Xe6
ac0ps48mD5dJPI64jaVlfe90DaanxA8i5uc2/Jc299Dj9/46UZnwDWNQy0UoJIhxJbsUbOEdiM2x
aEqtvxh8zIBAfDPcT1NGz2+7Qqbx98SbX2Hj6HItfR2UotVAQk9AjpHmmyaq7sXDELJ+ZvkKw4k1
FjCHBEBaCUcPlxZR2IpNA0xdOakLkyrsZjhC9cyXOymV1pYjmzehuEXMw0NP4k5JK30ThKx3jWjz
qPNI13XcyQXR5Sye+eGVDamyw2FqEr4tYjK1+8XOTFouUhi3SDxDBTqguAkonMmMJC33Q6SY/+oJ
qYNUestUAuMEg4ry8p3BZHg+5w/7QuMYKzVQej8Sw5ReEiVvNJcJfDz+2JGx4mwIRiN7WS/a89RQ
D2obQQ7083nrZu6yboQsJeHlyEf55WVwp6VxMKM/qIFYQulgUGjtQ9e3C8Os16syGn9VSty/v09+
gBwdBR6d32SG9P2BN+ebG4WrmT0ZGSRr+33obmJDV3tguLuh4LdxPa4OWvQr49SLVSPvW29X97Ms
Xt+TCTjnZsBvRa0WinmwBICwYDwGX2c6PyNfGVfUU3uuAO3wOijDy6p5YhChZTEhpqb3EslfGq4g
yn93bCPaj2oR7jhWFyAk+TafI4yocGU+bKUhRwUXlk/t7QP1b0o7ErY3BOx9Dm1qZx44owNU2ays
vkDICxnfaHRlfpD5qMDT/7dstlR+CWIWK99R842Zze5lqYb/OHl5xUWFSf7z5cubXY37nD+GMWhI
DWIWgKB1qbXl5bKt8IiLZGEx1miNxqTJhOHp0td9CxUPRFhA1Uk/Sn9HWMrBPcFDXN/MWgue8237
rf811AVGxlyC506+WEgAXj6OiNuj8jUd2GuuB7xWrhIdImje/g5wmdowu23BoYD+nDYtvtLR1voT
nUMpWXdZBEsm6tl4kCmQ/UldkIcQfz/0AYsy6+Y9b2i2Xpj7CnQUEMObSFuaJXZr5i7gmnZnk0mg
qhBZMt528hzQkN8xQ+KvFdcfsgfS6BkRWeO8suCG/ZWquWUWJjp4vmC0mYNSR1OMj3iPy631x8wD
2HL89Kj5oawdF2TJmN4kAGXDILPBiM5kjLLbuhpBC17eMvDkSfWeNtbu2n+d4cxgP1akBrNHyePN
0jY1SXzrp/gmtNJVgKpZqYFjsMqc2gqhqGr819vWX215uLOYcQ2SU0kijv3I5M9QLRZLuioR76qb
U955VzP4oiHW/6UMZO+Y8aEEhAbP5g5kLO+KPrXfGfq/B99WLIW8AJrx3rtWQhvN0x37/f4QgQAt
JvvaR+sNwlQutEF7woEUP+oWxJG1evlOEciGPBbU2sXAiJjr3V1JEfTm2mqTgDdGIfmxx0+7TLxg
zCwjIEI92qFtgTfp8uH3vtnYDTY+JqFuX2kQZkH3tFl7pzR8Vw9o7Q3WQ0L9f3ewD6gvff8W+HIE
l3p8Piv1BzGXzsdUgnbo+ynkCMuDGtqBUpWyG37eSLCkzHbPmgtvlnHKNfGdRLfFqk3SM84Ql67F
1equ0mHboVa4UYFzZ7QwJZumN4472HUN+6uV7Ou7JeM+ASSiyKsiumJa79CnMZEWlyXmuNjtFBHb
/BpfF7eTkBlt82pqtHBeg+szS+VhziYCWgdVuJvj9iaUWxoC2F+OJopk770xFcLoWaz1Z3Kbt6/r
4shtqTjOGbj146KgqUJokj0TG67nUvyiq35sUXz0H5CEZaB26CSJd5Q3o3QPyu3DwV9dtwFu48dR
z4CeoESgIyAtcBID6EP1XajA5RgZZu4OpUQDjMYGHNQ1pJ5g19YD6lvW0xR8EdgKDAo9/xsCLaIJ
LTeesyQB/jGoKf8R8cKRfDtQ4u5xf+GChFC0AQFmXSe7TOW8emC0DHbPSWH4CftppbbGwqQr1Por
fkYhrnS3Prn8+VUF1Rh7WrS5Sl74aYzIemQ/Uq+S9ERnqm6e8Oxp6Rl4A11JA8MlmQAX4aAcAqqE
BogEMEOrT+qPbWKZHybiwjDoloQ4blZtuGR2FJXtJ4pmugtYwg7jmSyVIQj4isGRDSGHH+IQp+KS
Nk+RdF6tBIBrjLsvZjwCCtRZ4HJQzwxL0z8Yvy4WNB8nNI69gv6YiGTVkXvlsPyhnuCOl8joo2k9
pKNzzcTxHxdqqOibjenzfYdLU5tbrVikQYqu5Tg/s/s2dSl20088oaKXQVUe6u575dspSRYvz0La
9IK/q+xyYBh8KhcfESmEE4vOCNxrdFWXPsEnk8navLXdPfl65lDH9ZWtHSVAqHR2i5e3PFCcRsCb
jnaYgZOwocqxNg4cwhLn7sMiJJA3kp7SjxnFajDG9k1Y89YhQorf7NROtYbjmzW6LU3EljnAovLi
Cujnl5lNCl0/g/+dUvtuZbWqyIhX1lYvh8+sh4Vxj3NfU3/BzkPbyoU9yGsU6IPDIKpbvU/sVVEp
zgmUv/CE97+Zd4WEB5bAnDm1wcBe9gaLMVOqhD1QowsH/ODRxYQjtbfsQ/uKAecFxB8pPdlklov1
Ep10cKuP48wxNutxfE/QUv4G6+V6oi9kNCjI50pyTSF7eVTLQ28pnq9WgK+R1HQRX6E3dvfagutt
+A+7yKHVK0ABpgIJYsuVVb0rLyA0KP1wBE86/Z+oake8ZavFROe+xHrRY8zE9rtS9X9DufU+BLiI
KNPTDgCFBhPlpQ0gE0cDNTnc1StLBbury+fmfsS2I5QVmHST5fkS4CtDZAHC87M28XnzFmR8eSaW
W/HSu8mdW2SMqraLgAcV4wsABAtFWFdMJp709U0OBbyM4lVya8DaF9UUlOWnCMDTdtMj4hMnv3eV
tVkkIuyJSzIv941U22C3WBXgD2rxSeO4DNzMkQicJ0NOIekwY16Bl8SjrJ99e1+6DxT0jdKDL1KT
FtYAk1+ez8gH00EwQ+tCADqRNmRk8r4+sQGZSFvBZDjzC3YyrMFFvMqdcbnmITl4oCB3gnNFiEke
ywDJh+eOOMB5DUylN48P0LlDu+6FkBxiE6m+fRS32r8va/eQO2A7UkLxCxTSmvtWcXjHOj1sTzA6
Y4MOxXfXHbzyzfC12FqjAUJly8+lxx46h+yOxiIe3TeQA7g8xY32aBb7qglgkwGRJwvcXAYEuy/R
T6EiWPqpHaP5kcqUGN5yAwW/PsXfgzwKAFQVkfDzl/ZL9ZdKL5jJ4WWNRE4YxBLEMuvgoTfoGubC
h8x3dE7s2nYorM5I1SGFXOboHMLWKYrPWbYn//4G8Qqql2BcMjcrZyUhS5wSdwoeulnSfTngFe30
y1Denx3kdzVTPoj7q/cqiTIhwf6ayarNZoSKX+VPCn9qr72PrwKKaNWqdbR4TP8CTVIhk4zXfq/l
9cmf8lG3NWtnM1zUUcHoAdTQpeSzrGMHClSG3M2znPzHqjf2wav+U54shs4fKSLVULSIB13+Dytl
YrBpzXRA+0uziZS0DiQ5qGN+QTR7rNy0MhkJfEMDOKCZIgs3QeK0lJGtYgIkkzRyI7xUigfgLVsl
3mpuFJ6E4ShxZ8P5X4vn1haN/7qzTFnmQ5SoSZgqc+xX3bAAO/jcMXUKs+aQK2JgbfQBknAe7s5s
nmrjzB+g3csrmnKcE+2BhybIMeleBaI0g8TJtCQqEWRFu2gMThnyRV2CwHsN2GfFEsczH30g53w9
ydR8jVc9f566R5q384gOjJckqMKjueDXKBCXhWTz4UYHpSdcCHb1akYMN0QYR5nGyXgpI/1EEk+o
cS/AwbSHxyUfwkpUTDPJFdrlgznlqKVPjPxfGlJ+UZoNbZSi+l1fGWnpwsGKNoEcVtM0l8V7huRd
QoYr7q0v2xhzygS4/0U++5FRTVg/ZjUaGSV2kgJfqfBwdwfRmu6bB6qLwUxDQKBG1CF5lemCdtc5
KE5ue38gMb1GJBgtbJjyTlE0s/MFNJbMrmZYbSD6eG7LFvOgM9if3U/Kh4u2hiv0JA1/g+JySdrQ
qHKDAxWVQCYiC3mne1oicyvhBsvqZbnMtZVUK5wJDlOR2l6+GEFa1UBfuWd1YJmjNFw+ltDyXxEM
XxNnL29zqd+A/bpkx/PB6a7huw1PM9vX4qQcj9PvYhbW582XO5GgFp+7x5Y5zy964Lbmi/Nr6Yw7
rdwSn3T6+s25iC0lfSJTBfjAdem6WEl7ek3wKbR6+ALTPndbBKhFTBi8YWj1hK+nCh+7VY/KQbLu
47p5v5P+vGbIiaVh9fgLPhYjGx0ga1NEVh5ad3q1ehYIIHsaFU5xv2YSPihSkeO/0OAfHy1nQP4+
rcgtXXGmGs+ZcpOdcKYUrdsG/cajJfKLCQUiFlX+6ZNuXXwlV0cBxjUHKLYcK34eLAofLUVXVCev
rBHD81qJQzKZLjJT2koiZNCuCa/0PjR2SxY2LndtACGSPltGnS4V2UmvNbsSNexoKsFeNMZbfIM2
bzo/Uo3ee8N+NdtQsyWZghANE/+AGj0oSuhyTbCQgPE/cIH2Ulbv8X47jSiGWoDL8XY+NYB6oNg4
vmnIsUPYCSk3ys0tofDmLuSe8nut6DVpksN2KJ11bEjrt4jCPV++2f6WZNF/8POLE5/wCQTEBsMg
wrGBqO5aZFI5zTcVmjc+A8u40Q02R2xBXSRFXPWCYO6UmY9SEJOLWIeCu6qzQ5mZ+yo0xjPL9X3Z
fvWmMTOQ7hmOuCovcuVwzU8AIWWAqXTBgyR75zPD9dcw3pVJEOfO3Es8wZXTTZi076fmdj1S2QZb
mQ+XjuL9BReROJX8rE97E+5YiAr97UbeauuQVwpWqZ0pF++usuy02kkHGT+Ff4yZm0zhfg/ktgzj
ZfFfiS6p20lf3v2pIjF7tMZF8+7zakJO0+oyLVO3n5SK3TdICZOhtlwBYz6sY3fE/fMCeM0s/WHj
WGWAADwgJaZUx30sbJlDjmwAwtRQPldo1AdrGJlfwyYL+TaAq6w8FYDvvwAbushYJfovQglgXyHf
GPUoqHkRxT7LXsQOdxHYfnB/GjwaVFT1vXEpL53Fpp0o7zf9wUdyDMBbwgjggg/HMmhY8c97sfC/
7Rr7CYtZzyIj5uAUwVt/Fr+Uxz13t3MReUkCisz6Hq5i1yiyN40ptjUB2eGHmVAFtO6TS+lWBsQi
q+Dy1GmHo4kcDhc0czi7uJ0u/Gn39PA7bnPwLbBnIwMH6MjX7xTfegddjQtHz55G3rfAN4ZCZNvg
pSW0F+4BE8QpwrhawFXSsz16J/uCgRgR76OwN/J1g+HMYogIz0DFlYpKm+p5Ov54XRoszt9gokSD
YBUGP+gMLn1opwO4vj68kclk9y7ILIH3IfAvpsGztTSjvtjcXglGO9ySnJr3NxBe7ZyNJ6TE6nLS
Xni7XaUFzp6nPM+uemrAhJBNBUcOHUGBqVuEwXQIYBb1chbbOHkUsHeQKiQeLdB67r5UARVDc0fm
oglFUw95mrfYv7AsWAVOvZtTLOjCKN0x10R2rVWV1NOJmutexK1EyNnH55w+qe1UyDQbxCnOosaj
Qa+UaGGvrWBHsYFfx0x+FRUDmYVhl8yysTk8qYHseHvPI3rpRUaVizsbUHP5h70b7v1T3e8DhyYH
OkQRm44lfLiqxXaYMgH+0yKKuZ1YPYvGioMlevejAdAHrMSF506fazsjCAzNpe1Y0tDdPMVpD+1S
eoH8QxHunabKgy8Wt53jqLyKr/xaiZZRsyWfno8gv07ir09JUuu9xpVXHkxdKwZUFPYP8BdWN1j8
+jxZeyZelHgW4wARjYE6D/NaNguoV0n1qCgpfg1bGnwOoej/X0vFqP/3KRTdHzSoQDsOkk7y8uDI
k45oK7t73J2e8oE0S4gFQn1VCaVhVN6Nz+Gq57ZnbLBOXmtsYznB8YZwF8PjtJiiHGMCU+tTEGBb
10vo9AbITadf5mIA+TIZelbtz9K6HuFI8scG2xzLMU/huWzTDYu5KpWPgIAKmwis/w9OrAIvRvHk
q920cR/pLUaqyoFppDPyphYtc4C7cczfa21pm+oWvj06Xamf9t+1SaQ6rDVU5vCPPSUvUrbbfvrF
gvA3K4uxrCrdDX8UU85fo+CJ07qcBYrv18eJ/09loabzyjrSKpkAexEk9VxswSL8vemzr5IsXwq1
72ImoAC6ejSyan4oZ67MMIvoem1kNGKfJ8CwRIqfs+Un6Ura1+wMH7s95fvHlVSA0ERo3XMRNmK1
Qj+xeHwBLxsf7ItpRQGX/kHhCl5QZAQKROC6FNno2NMSHOnQZFyNtIhm2RujGJAFVkxIqfEcl0s/
xMTjoKEu8mL3JA8CnQhgotg5anFKQqrgFeYkouOBQOz82WnKXFCWWPqG/WmYQCXSFerZraFh8TQL
smANfHn47Hw2cSQ89HAcZqVm+nZg9dQN0V8067um82EcTNCDp6G5QnKjp0s/pzNGQ0cPomOvZlD3
DDXrdEEuXDDSNgKxDfLeU/EZ5auT93L/KLJa2ZgLndP/hNXjcYD+BCmbc7JCTH27QIvhSXBlP1LN
Xw4g8VilIAWuoSzvjuO6WL6zK8tWaomTMvl/re07saqg+u1vo2mXH8aDJINJHcS6IrTmGzKnyRl1
6x6AXQfiU/v2fBmWb11RusSqYFhuL1866lNr9c85M3Tx5dSQeei4EWg99SyzLINao1WzZEzYjcfh
2Q0LuAVrRCavcPKrnwsWOamoSS2JH3kaHhHPt/xG3MnSXtJKbNsZoHGtHLOaVNT3gxaq0BLYjCqV
ZShdkWrBa8eI8F3ghNqDZtlnEecdQc0yqpMClPMgzmTmEzS408fe5GUkbPho6IUCP+b6CDKNHZMg
T09CEcCTWEjpSVzbGomJOk0eV5YGczdHsWLzawdUekHylxk3JAyrKyw3Fm7pFhOTTAva+5F9Y5cB
ACj7ulTk9SMzAm7eDfzRABbcbjFxgCr2y3B7B6cdjvJHhjE965OJDeZMaq0WkDKyb+Cx2XEZpPXo
6IK27sfP2IP7+HTx7oI9PTBrUZPULiWD0xeHjfiPXQOJSKfLmCwsQwLSSJAMNtYz4PPX0hbJDzVt
EWmDzrveXWA+c2T1vkK32oerzACqiOcPHzJN3QB3gehR+Ky3EDGmlYs/hIFhJXOPkU5+P9vXsiiW
hx/QcjpC/VGnk884ktyXwQT5NTqRNFahp7uEs0dWzqEGIFPZXqSuXOtJdRm77BKgOHzQ7sSY6+7M
0DBNa9HAK2m4H+G4hXmL9hCnJmrSo0nAV6HFgE1BwUI2d4dKmHdPL4Ia3ykTmKZ9PZ9WhGKmAv1r
g+AKHeAyn72D/E8E7LvIAqi7TLXriR9z94SdCGBg1SIDPH1Znn2GvxhIfnI8/OwwCkF7Th3Aq9FK
rFfijMIBkiBh6rtYzg6WYAvwyKNDm7DJM/eaihI5fyzEDTNYjR0pXWz1VJ67kiXkEEkeI4Ip9Rdt
ED5FhtB19Nyb8YiI3svwGrPxW0gbGBxaOG/g0E9MZ3QL90uyl/w/BWmtJ1heZEjuG4tbkKWl0it9
SRy5CleiV4OgtmSp1ts9m0cEkMkbKcRZLHq8aeKEBV/Pv2aaemXhHeYHEyBrSNKIZN/WlxjDOtPL
Ihq5zT6efkO815xXJ1ZwB8hjVcpr2QVNAeHwiDg79P2Sg6KhuDv3iKtwl2GbxKx9MK4/aVYYe8t3
LsGwubA+V/w2d1TJu2Y9itm6U1yg+pZ42elBOe+fArQvpb6mGzw0iXteFJIIJmguQf81Ax2vHTNS
WyMSNWH8Vw/lpCrXJSFk+2Cf9H0kfxh2ZVSM2/qcu1oECxRzdT2Y6hDv2Ayc3d9MJW92Tx+xWjms
0olDywq+boowo/sviqA5ThZ7pQsihO8WKkyI6qheXrt/vHR7oUdjSjHdUZ0UCKCaHLToDr4d1Lqd
hbeEYI0UtudtDmcaof6Y4gt0BJZev8BGN3FiRkKEV02qBRNbDbNQ7tqe5Rqa7pM6liLcX9qAR9et
QPhzh3m43x+KwtAp87cvd8jKh6M7k0ayV/SP+vNFzN3Het6ozMQggPNYl343IM/De1amLI/OcqEO
eTpUNWtiRRnPNHwXZ72lDXl7PQ26g73IRfQHKegUUdbG5TRhgzpckyMzpLO/qbTYt2U/aSXVcvTV
YoxmcRKkZ5JMsRQw2rFviZwmPE6bdesPoS7A2T+PvMTW4uUG98sbrbzE34zPadIZCZd/nyRVuWlM
MMH8alRKzxO4wamehnI+rjcpl+OyZHI936M/bvUiiTGIkxlVj3Ufu1B0kzJRmdHWO4mTPzs7ARfv
A6Rq7WM2KtjO05IZY3uKRaU/x+S19W/vvxH+T7Zx47seSVfSKQ3PWl4ucpqHoEl7o4hYKnBE+wYQ
eFQeSEQIQkiMbA02Y0B0REDeX4zgYPoRDh0utHc1PdEDcsApTwyPk8DBJnX19eFnn+9PbAdEPUi1
I72uMDfLqSIj12XFKFABVW7W6fZu1H6cFrrsdUDqxvZyuIaIxPh7dLBGbJsUbRovhKABRKuFE63F
nFzy2Ro+dhSPQ8X9HbQEemrtYmEn+LDE+Mo1N2kxll3hbqvppdSsmUC3eBbAHuh8EliH/hO6vQv1
u/tROh/yjMMof29v/fqBu/RTyyMYfZTXkobKz0c8Ydmpj0GzI3W7acnVmahfhGm++IsZtntoR+Qh
/s2SIUeEmuHzkPJXwNh00fFHO2uRUpRuH1BRFxTazlAs8Zuuqq1q6j7eWVqwQLEN0lRNzuKNdXAT
eASIbIIr2WJs0Thl9JAd1iosufIfkYLx0JbYF36bKcP7coAYT/wEGKOM3OyhV6hPMbwxz6S6kos2
uhukL4IaILhFBjci+cR009Hddbqul8rMCuZcukeX8TgWK1nZVYwUud7w+W3ojVGEdoTbqPh6pIn8
VAJb9Mx8J7MvGQaxITP8HIgZyd3XKivlM9u5txbASLUURhhxA0tSwztpGit/U2N4YcgiuNrD3gu3
WE9T+rdYEcM4HjREceeP42lcLyj/Spzkb0F3ku7cByZ99lhX1Oh9Bc4Toji2Q6GoGnNi8PDv90sZ
fFoHkkUMV+UPXoWgcSTv2X+m8Raiy6Zjp0Nsz30pC6zAGmYC1u4wrgyx/livuvj6sTxp0mHIhqm4
1XG9Uv8QgF5ihttp4pxPZhyYf2lICX93w/9yMbMvHaoWty/gdL81nDhx28FBLjeS0VupkWYiala2
8lqnYF86N9YP3ZF0glTikTwjjoIRGyZER6XhMYlmTs1vCqEKjbPNiC88MnF9mS11/8WHJBtYvwu9
feQ4xzjAX7Jm13VxLYWeL/BspGTbSxxwfa6lmJjOMXlrDF3UUmmEWzb63BswbXwlm7cdKz1HsMeA
7JTvRaJZ+0obArYaxHkySsB5IeoLbiTO37RiC8kBD4K5SJpMJFQRFwJL3FQ+p1lLlGw8e9gmY6fD
PKl2H6hdusH8fBCXOfdvvxQnm1UwgfNgTsDC8MYxTR+zV6xRcYgMQhFrRo2XmEtFm0NgvSK5hO+Z
fspfUDfuHRgo/L+F+SFkxqlyfolInAUcnJY4z2J/QgASwVDArf+GhKOVzhuOAJyN0xS2zs8QTfsm
Qz5J0zQrF8BLNpYmZIIoKFAHHSg6MkhYscIk9seTeqYMO/UhiqGseBjTIJPTZ3st91uEXodoeCuf
QvyFaS0zjiRxM8JlCiB4ShhPb/ax55+gLJN+cSSRTWhatpF+PzR3Vj8Q5Dg9MKg4g+L+efn+wZ3E
9wTWPMVXwxSqfsNRnczjZkIaBQQYGSggKIYWpTbABq5qTRAlWzsF32HfOZHoWwXTWgbjrRPVyNbH
VnV6Y4ksYCqTxnOVkcJi4B2A3PzgsBKcTOg1zKlkQJCC6VznW5K+jH5kropIgV7/6SToWAgs4+EL
GG4UM3imorTPtNoWpIuOgBX1qNRq6QJEHYa0gz7KOK54mUKs3mJ52ThQJD/2+r8Kg5HgSjolhKDw
hucly5IKQc3b5jN7/zRhOyTo7uhgMqQfT5XkjZJHt8srICUKgLJYvk7FsOf+0IamlAinuZln5WkH
F9aFPIuQvv362uvSLSp+uPnI7yOPVYyIFsEZg3Ev9xpbuFifL99yh4bI0dZ4qeRRoYCbJCd3z99z
bogHRDtMnSPUFnNIGbp443glAIHcxPsQ3Z5UWvM82+QEqR5c9ij36gOhjKgyjFYDi7TEE6evOvzR
IM/DVMecpSkNsHug3JfOHu1fKwE6aE5kmx25uQkhrLBZeAYAf3UD/7E5r5h1uXZykcCqhqZt9Hbj
zd2GWyJBECM4MhcBXPHBof/olXqeyIXzRdW4yQYOxB+i3wZFaMy15yksBaWD4qJURJbm/U3l0Nke
/OF/JKtUnkYgCPouJjoVw0ELRnoRkvLnoH3nUR5OC+Ju7kNXOsboNFbSzy1Cws+G96/Bs5LYX85n
lV34zFzsD6FrCEU0XOvyVoKvvyePjJKXz2udFumyulm4ZcZStwMZy8ukL3LC268NepVnScDcCF6K
d8SSPgLS2VDyKQOco4Thw8AhK70kKJfILF8KQvTDLx7zRHzokjVD7tdQFQ5VYwRZX9Ktzr1Tly+y
8+xnBKggaA32nfzAKW3ZYn0sCn534gdPyPx2DALC3e8+eoO1kxdVOyyImkICw/O8H0SwEMMY4iVG
mvBTnbev+JqLxtVu4W6suKkj2BTtXYdzdmfCnvwKKtkZpPMupYyh7mMCXb8hUpMr012k4NIyjzPQ
3SJ1ryBCe81AN2ieYJOhYL2pfhEsEuEtcIaJ01bfn/cMBRJwPoKw2xTUBYqoJjCpxEazHdmObeuP
ALG53mKMUkjDjyVxsGa0WgaXUzgxTiJ/uLRF0R87CTOGmAK6RfyzsQ0uwOUrU68wyUS8Df9iwhO+
alMWOerLzOjH0X1BtFIo28qSoDCMpKPbKbRdccVENfTawvyq1Ae8B+qlwuJPJhr5eyzXpp+LFXH9
aaI3RZSPv2rYcJGXJc3FrFnRQv0KcoqEyTU7x1pfoWpLnvHz43jcsuppby5LUauXlvqAWQMPk2L0
+X8+/pQUtSvAN9wXbARgGAT1FXeI/aWPdDkLUnUBeO29wLTKn7cr9Vd2f56HUveRKmeRVcm4nUW2
Bs802OHnNhtQDdlThdAZBSDqqTTYJ8Ts/Pck982K8i4k+clOkRHU7gVBftRgfepq0JpObThZElyR
A2BsrsQR4BgiaqRw/3/KmTwTMvCGkqJ3ArkFkOJz9wVHhFrlckmk/6M+1U2YaQhBd6n7ROXGcFy9
fmAfh+SR326y5xkFs4SNwaUkudHlY1583r05gmPmwLUTcntrg+UJMmld+UortsIY1ddmHAc3Akrn
wX/SCwe3SAEceXUrfthUyagw7A3E7L5JgAKw+bIln8nyoEcWjMFLwL4Iuy8hFTgGG/ZkwvPc1n2h
0ei5D9myqy4pPh2S7baxOS5N5Ks6If47Bc8V+y926e9lV8m/HdEZPa9KHsSMBo3ByeLtrspjtRlJ
+sJTyZPru51YeOlGBIU1H8jiHqUz6oXdsfht//NwpxuiHuzTvEO/uCHAWyfDmKr3a7xgxZZ2BE5R
AjdsUGCR2GQHCXOo6awv0oXMBKLnckfnniac6AAP6nd8EMSiODHbdJJa70TuJvpkKYWLQaX+/QCI
fWS7NZ3XwPhJsPJ7092Yr/PFjYYQn7vT74WEQaeN6SrOw+uOwOm0k9pFr3vNV6jxHAXa0grbohu8
BG7xd1jri9pwpoGiiG6AogIZD7UhlXp2mNoGe6LrkOUqE9hEM0QplY/IoDxNbU7grEQLIB3Ef3QX
zD++zN2kCioLkLKv7mmaYwaP9b5m47SphXy+szZsIxasKX20vU/HhhtHX6ngDn2Mui73sKf5if10
y//k3EjhkpE3Cuwla/ZcpqbXl/jGke8VXYHFCZqTd/qie/D0UmMIaGarGcjV5J8JyjLcyHdWJfUK
MUcQx90mleoF8RwK04j3PIcfu3DQ8Iji/lfVAKZmrmd4SaFXlezqq+v8qTjD3CQPSlNzkghv8FrX
F2Xp3XmhnFbyeErzQ4SLYwr8LnQHWnHj7srTe5UbhgfReGIalfXVXd32OUf7B0pOveHBBrmr6GBA
x5n3qDJUjI69sb4cMajiT7vNuNKKbEsIOQXnJ6hbrnULIEtWggue1oux2czZsv1+B4hZXCyodwmM
BgfBnmw5e6/4sNkAig8BNM+rUzm/uN4AYyvX9h7NJ4XT6Zmpw7wDYD4ZMAdxtESCSiUgv6oezar8
Na7WnGmEAiUyOLUO4iaNabkx5mPwPQoEEFrx/QWIQwVlax5B5tWARKi39edgnbec4A4m/IxFQU2d
hw8a2BmrtVizwsK2vcjOV8YNMF5JuxZAhUsxR98YrIjde0xrSubqHxQNIBEosqKYuboHtGWUDown
JiV3UqC25PX5JcHT8DgR81WSrbvDnUlt2/rXoWcIEA7b6VhRr6WX2p0SvuTroF9ubmRWEkuKvYDu
FXGgQuhK9DJneG58DrA2eCGXE53u/UM0m25QcLbgZwhYuS93CW0yY9HpajRBdFx6qVRMmY8qd0Vj
mUSU5Fjybg45ymPDjBiemszYuaeGyjTNbQFDe3RyYjLFxi3+kbIQaDtRIghHqPauTeiCjA4khS41
mZ0uPHX14oMgV2JMccYUvUIlQmJOuokhI5aNrWk/At3eMrZDUOC9dc6u4lxEHHPGh/Q4bJXWYwCB
lLoHqfcGji9tV1YDum7LVrlM8ga2AueM+oMx556hINgMyRDIYKpdF5jPVrvsatBYVy5qUaprip/h
zb+aSLF3L3f5OLgpEBIxRdrKBMaTM1jKvWAvvPH6SsQS09zCxHrfy7wlRhEwySKqP51SAbxlSf22
3/pqlm4JhmKWqQhG6e+COCGYwMJVBmWO62z5n3w/WR+9Fcxil/Vifw2wDhffydiLEwF4qBZ0nQaf
YGT1hVwjyEqKMse2+28WOGRU6LAVukhYfYhNU/Q9AzcRcsOS3qYygLdEeE2QxupXy29vLOBA7HuF
5YM2hvMlEV3u09OJWheSE4kjD8wJrDQlEZ5nClMM51mxIRtDR0RRs1Z2r0kBTIWpsYGzUNfQO95E
gCOBa+50dcGyFAHvT8MqEhW4mzguj7Vwf6d7RaHD6Zb6fspg6YrSFJs9Pl21JL5dBplf1/UlOghW
9C8Gc/r2ZBLMnGwroXRGKABiJC1qptOfz67UcdITygYVEQm1OKrfvYLqZO+7/fjUtWm0TPHwF6g/
Csfvx2WJ9Sv5SLZwMOrdATiOQQmxaASNMkuIOa85DgoXqHxUd2v5DiwODcYrheM78Is5L0HfFLQ7
sseHjQG8GkhabV1FOVQmm04D9IKrtnw237LJptfJPsjEJ8QEltA8OZKiVp0H/vQaqyICK0y9W5nM
o43Uw5fpU9nCQj584ECwtxKVcbf55VyrtNWsb5ZMPx6UIZctKEhUSMo4bUaxoBszDzdciwEo61ZR
ibQVTs53//h/7xfWYMTHorbJaQIseiUvvbQkTKOt+/FKeiLEgZD2oiYEJRT0v2jnRPddY49XcsOS
8Gyg8JuMk6iM/JzTu/jEtbekiLUFG7UOiWcjq2+Ng2W7WYsDDqhpQNCWho0YIeU+FdAyUPTjpxcq
Rqgv6MG7tDbtCvLlHMF/YftoGYL0OGkOXW/j+kfRFzCda9j68bh+9vd+TjCw1mKlHPiQsCnlb99x
wZCeaNoPbdFz7S7WTZQvig8iunqO/+GF87wE8m4UZmigBVYmlvcgxcNQv3i9c+srTtTW29wCo2fL
GfSvExSkIhz8mnBEYrk85SrQ6XjADWC3vhu9wJv5afQNQNY919tnIq96CAPQ06uZ54R48FY+1Y2C
uJCPHU8xlLmSi1n4SRA0o0Y1wKLWHWL0Kd7QiBP8JZ+y8x4Xn+p2lLFlmA5LKKr1K7i420x0RHXA
Mg9I7hM4oXlllmVkW933Gnu+bR2VbkAap6AoSOCVO/dJy2cWuCu9AP1y750Us3jgcpXe4HrPWmED
UytLhyJpO9uAA9VnInyU8a2aS0gQECXpukm4dV0L8z5fRqs2pBHTWZQcobXX5AAV0NwoAjeT2X3U
yiyRlpzehVtkKMTSLojM/a5lJ8IYd5ZOdMWYUzCexwsTPADrSh+GabKYpJyinZ7vliF/zOK7SBTf
QcW4ahNwi/G2ckzxrcVaRAjUUpVXYk48ODWR3hs2VGQTbF3XimtuPVL+iADtyCdF6PPqabM9/w2N
LzIiWlPIyiBvQNJ0PYc2BqRdLEl3d4blDX9/RVKdUdaXSoOkCyY9bVMNXbrdIxxS/oNjW/qRNJmV
uqs5dUIw010tzvPjhZxgMpxAzLYw25MRyyQeMyYcrVIPmnh9GuuXc4ZzgUtMkUQzULD7Ws7ey2Cg
xZflYK/eK7wBMez2aqEQEDHrvvWxWRZFsiepm9oTcORyaWOZUf5LMLBdRMCMs9bsMI9sbvYiIlH0
DWLKImHbpwKMxaoo2F7UVy43XOLSRsvpaiMwI1HKCxYQtZLt+j/3NFleUoWlCUXMRlpRlrJgAZ+n
B6aTrDCiNmPPkhYQ8lO3ECN4iRGTdjS0T0iEUyzW/oZ4pyszFLoOm39/15+5G8LIj1EMdtqZ6n55
+keHi0inyCRqm0x581XJPhax25wBTeQ7sePL5F+NAhNjyNmzA14lS4mtzy2O/8xM/7kO2LHuH+Fw
+q16Cpt5S6FaA6UTIQqjynAG9EGnq0OPO3WmEjUxv0cZzmSEXylS8WSqrPTuJK2wFm0YUABCjtSR
WDzYohNe0GTwMBqYoeoawQrTnVMWWV0f2gHvrVfbC1XaQxgg5Y/q07vY4Z//45Sxdb1l+SFp2Qzp
GAzp18xC85PcsG4w47VBpV8i306unRou1Ha7vEiWh2MUf+GZSn1wETBliHFrQNFHIr4OAr/qmwxS
o5WQANEpXvQ3bq4uC6/0eQ2pMBpGEWu8SJ9CwU3vcHaJP9Uu7sLaY9vt4bchZMRVuQm5O+NZRo+n
dY6rkZSguoJO08tVcLQcoGaAElu5z4CVTlKkZuuCPcQPi9eQozK8TrRSk8bMUDzt3n9edCItyIVn
CnY06IxqAFY1VLACTEgD34Y0kKmACiwXla6MC2aCXqRX3r05Gi9SjbiSnss6mkQ/2qJGlGBRmM53
4JWuLv1aff+UTr6971vCDPaPNqVgyUhoGnkU5J8iMRskAAFkr71IYAGU6yhGVB0q5pIwGXdx0qqb
Hf0KgUocLkqX+a7OoVMKHiwEk118NIAeXjOb668gBylSutELbxUL04FFhkj440hC2kvxbzsm5Iw3
ifSlnc6Rps8onuusek6X86Lpagy5ZyntHR0s9KJftDxRig0wY0e9F8IbPHhz4l2/AiOaxDezg222
jt9Sv4i7UvISXv1yCva9ELig9PiSu5tTRwBE2vlYmsTbckOsJDHjcoI5qHNHNKn1q2l+Ul6vLbrG
jYWfhg6KOgvyJtPg9elTdKPP5+vOZkpzfTjCF0gCY83CgynCDh2hh2U62CXmsL2pPf6BYH1xvChb
op9LRHuZgJACaoApPAdbukwuP/xF016G0Hu3mDKJ6AgEzDbPI+v29trb5qoIquNM0wfIDPiAPNs+
W+DscxpAHZDXn0QpTMo5KpqNWxTA1rpT5O1+KGc15RnQepcegpq4qSBNCPVceH2PXU9S3hrJ8m0F
tbUh1TxLpD2hSbzLGxFuMw7LEYbOX0g8ep5GQB4BnYM8jILRoNwCOJP5wLBdJBfykWNlHxHXGem6
RfnEPXOn4GfmTNmrKN5kTGKY90Iqhn67HdrA60CSp7+7PB3uNbgu45/jORFWeUXilR4ra7/riUbC
0Vv0q1g9MVKjGj7oSDGjiyjRbscHGO39IIot/dgd956YdAfOgjCVow6FsHn93xzSXQjRle8ZvZIp
LCP53xx3HwyXIXjt6WfYqDYn/f+vmULqqZ/40787ZuuM0vCdAPkS0zbZMRnfiVx8Nh7+FYtWZoZ9
1lXO10gCUIpMmIVmo2dkzSjQ3Y/Xb59GOt6L4QEfmZnqiOehpQDJJ2AgeY81gz7PWuhNhfU1A9Nt
6nRvySmITWmpmblCYemMHqgNcA+7YGyVANzevYv/E37Vt6GWH76pkD5POXMRdxbl6vDqN2osjaVx
pWZr8Vgip4dtwqPKWQfpFIAGfSCB182hy9Gv2VswJPhhR+zj54P+qLSy1hv90j9u8W3OvmV4ah07
3P5Fc1L4vAZDxgJLZGIeog3HXCXwWjAmVgspGAwgJsZopasyh2gY2u4SISdWW9LdWiqmvDj94o7B
//Fs0TS2Y8X67nO5P66z3eNIpU1W4p1Qln+hg1VpKUbx85z6Q2dtwRv4SYsztvC4gEVYMqou/Rlb
VpHjw1bNSF6O0D9FT3y6ZFDqOGjf2FmT9tetxTHLeJSoFQXcvQMg0cqSKk/nqNmiGZ55AUFv1Ecj
SfnW+y9wsLFCc/E4EuL6VlGQuxhYmofILPz5qH5m7zU00rNHLm6X3jhwg83KiwqMYOLIq2w5zOUJ
JiKfd4gBqX/6k0JWYtF+DtPWMc/SG75XfaC35cSC4ZEBulUCEtn04MQzrJtZEAEVaKMcA4yrdrEE
NmHFLO9OVIKlnGjRze9oExWjMy5LYwDqGFmeUnGNQtQ2cQbqKdIKfWhG1ThXW9juf/NfqmJMN961
FXTMU1XXeJdifFEglLFq+INUsSVooOvP0x5saqGwW9UZOyx/oefKscDJCDDiPpalGv1MehGa3NOm
RSSa/fTR71oQC6GSboKkyVUylmdLqdVWdUp4W8ZXojlXgtQIPFAzjA5zX+tPnB4GC8knwZmlPuWA
mCAfpYB80DqnpZGdAX1mBT8vpi8WfJggaLB8ZJ/niwe6lFpoojJF0mlk0iFNCciVw+dGyhqtJRHt
tpnnDfSqIQ4Qs8/sphnDBo+gTAtGk5A/tqmWAgTzwtbVb0k45d20mHtcOKpTJg3NcMdF/gtG8Jng
go+OTDIQe+hKXSFemZW0WBzA8q3GnyDaUZBK90PTWvi4qHgsJNv1KUHYdSFLaEuy1rgQl/m1rAQC
M53j7bhVDjBdF0wPSvv9F72CzExaqR0gpDQxI9fbUqWAzwOeh0+z1atMUdNV6pp0EfFdqxp3HtJr
+0gUJC0JH+rcXiIIxHE/+HmK+pAyhxd1yrjkqSg2dWVjIexzQ92iDRJ+tQWAhouRvKwl3AGmPsIm
JHbM7PPYtM+5V+IQW1WePSnHKHLW431kODSDdgDPADR7W7SRKq0u3rDlIrJaCmTuTTukaWbRVQZP
iEOA4mWdPCZRKAEyfOc6CcGm2OkboWIp/ZAG1N2ZDE1uWnOUnDjLiOFAk3gGcjhbasahRoWHNjYu
lQgA/DblBladXBvk61Z6CywRDKK0SDEpUV3K59SodcvTIlDVCLvhz5KdmT9Y3qOi8doL9xdiXniY
r1k8OOMRk7hr2vNUvnMXg139qBzRLj22LDIXtFN9xVsrFAwftbFa+lABtWeI6AAbuQa5FAnRcXfs
XT9WsL+LfyBVnxTw0pdkaWGdNrRXyMR8PpHdy9JSYXYvnfR1dArc4VCq8QupfMIGbtwA8iyu71jx
YTisVFO+YRpAndmF9wLi0Wr0y3jZIFwMElogcIku+HjQMUOihfv+yrNkcVKBC6o+RnDgkVALq0KR
XDpokg/JwtJYUyWT5ustoVqWOEWDC3yVZSphRAKQ57Rlw50dcdCWv/TUh4Xqv0OthpGzuFyiS1EJ
l3VMf9GvjAHGdn3bfpWIT86TvGd5Bdn9joHXkuQZETf3Fyw3PBWS8VesxcIVY9cfDIMfWrpYuqqi
25w+7uS0B9fqx15RNseG23ZnPpDiwiClKLNTcIkiErHo6V1KzqbO/SZls6OQf6enUR8BYLVj9dFA
SP/sjmP1lrRAfcRm+WzNRg0uQWxMGA/1dawtCmEVJg+kTMOqYsjdxITsx44+tUE2ruisWmLmWPUQ
YElx1mxe/rhrt4UnejE2naqsoTiG07+LndsWt88pXM5GYTb1BIvljC1jjK3elh6SULnhb8WtHcSs
cQqUgk0U6pIOIWrjhPHa4ffbUFGflEIWHEtNba4OVdB+BjMb3nJj+Jnl/0reBSBC+Ny9dpAAmlWA
h27GUAvnAvC6sG764bd4V5vStDI7gK0FzDw1PjR0Xybr1Iu2S/p//Zac+QsuWl55vPcU+QUTBzVA
2sy75Cl6K8kHE5hZXXDOHDF8JeKA6+4LqpfcxSnftaU6/ZrfrrsJTwMWLIFTqKXblMylTIatwL5B
bzsnS3EU6a0mu/c6Que9ZxGWqF4yOGMspYXI197g6AUv/78GsbtK3PBB9x6dpGcaFRFmKLg2hv3g
8VBt/XEd93wSeiIhVt59YLcdXO8Ry5sItRjGjs5Hcsdt3vkNbtR+ZbvnGqxAJ3tee4E7kqmgBEap
O67SzuneWY/jwOkdkUXqlz7Vmj+2TQBIVjOJTmMcl6xpxzs0Wpquqbci0NNl8Xc31L+l9H94SG5V
gja2pD6eqW9ROo2xUGxbjvWdUcAoVOA8lCzhdkGGuguFGl1lp25sgxGdP61yXJGXM94tTPebHXFk
zAU3ovZ/p/xywCbb8G/6vX37940+JsHkpGGdmEU/8rTumIigR7KtMyVpgYovMaglaiojZBVCB8jP
2HAz7JxTKeTldexkqgbxriuj3SwC4JizZKA28yEroLlFQiBhLkuETwUGJVQPD+jQKN4qbn2ZBOqV
Mcfb65vDvpRJON8Sg2hndsHRADYUZtScMNgy1EOlk8cXo8xU3krC+q6ReDcbRbt7/NDpT/6IRs6q
IDWsl8S+MhYP1DBwmUzQ4/KO5VyysQ1+u+i02lySQm0RAvD83ClieoHsKc/Utn/JZfzoMpj+F51+
L3VgMuzeAvuU6rm4pgDNYIxs3CcPB9a2QxtzOofSoIqTiN8AzzDbb15kESdN1QKN3z2O2bsuQrmq
OVsj5M3bvqL5BRPAfqFd9tBs0wTniKR93pEIKgC9QZx+nCHhD7A11SO+l8Td830TPbm6aBV7kB8i
BmJSoNw9K5d3ruuYRgxEz6A0SgkgbHUQB0Lg7A6ICbyD0y6D7mkuKTul9jQGjuR20gP4zC5Yh3Ub
M1bcHu5CrPqW90gxI8GWJ8vCXoAzP0twzQEx+oUNiF5FUlxW99Tvuz+c4Ok/BtcxmrHO0py8AWVO
4j/A56Q8M+ATYLCrQ4lk3MIrriC6xbTYB/smQh+QFf+MCa2AA9HkAK7K2to2T2PsI97hFHRFBFnQ
+FApTas2xHlGFNPSiffsgkEM2efsG+E1DsWHSwfzkjkQoJZTT0TzZ0+JsQOj/Wv9TE5QzZbWu2WJ
0qDKi1H0Lx/kFoGvrWRNLpv9aP0yMPzYi7PtHhw3QfTTPrbfX59k4m3QULtWjou+hNgSYTQ5Xomo
uYTVuukGxB8+qv0BNsCudDaPygyQsD6OZsupqYUnotRLVFKTg0gWpGz6XA/cBFQoOk+O33tiuG+D
hwEPtLb1jP2g4Y6ss3rBTd3JkrrcQNdzAo5t40Nd5T18rJlqeZ56/YIUcEs2fW8q1evud3MFMdot
EToJf17QffFlSYoT1DiImxqwfY3wZPnKbl8AhRdFGgpI3djDBL+t3RhOKr9dqejOrRXKzkO8V22S
3gEe6GAeyzhEoEY/qKbWYuXjpIVAk0tg32LsGecrSuCsPM8fEV37X249dbDSf8pxqU9+CZU+xhLg
knb2XJHLr5uMEBJx7+bjVn9c3kPrlH+b7eFPr/yEFi3n1iDSLHHovBxN7PYh++QxhQlNgWD560Ii
+F6cNzfwhpL7ATT5v27/cbL6Ag64Kh0yKB0Ou/EQT5+VL1z1citHPtQ3GqW+30szb9VOMj62c53T
T10Ij2HLyO91GRdQB7ITtZa8vgH9rFUj9vWAvH5O6Vo4bK2dZ/nZNqT+WouIJfAZkCh9QCoH765N
haNAlg/vE4mz8OASlfaIfNqFX5dC4WecbTB+t7hpM957moBkgts/rKdgBDDPFadcxkfD287ug8lb
Ti5MVenERDnEP577oilKlsieuRxfxxDq1rmERvRxrmVvcGTBMpzBXXBwbonBgRMCJhFn46NL/5MF
SxGv7HaZRBG3y69n+53pkcq2fhfsGmDcib3cCYanRg1/zYkYVkiEs0Ab3UlK5lFbTH10vd4uCQpH
F/A0OqBIgKl/IqzjoJFyF672SBQUxONLu4ytf6Q8eWQHV/r1sFKje2flD56cKfRNmRdezrfMXxzn
ZSEdA9XTJtzCSYCyi7RxxYqP71XefzrbC/HipInVTA6YOPQZ5cl9lOroryUmDiHWlE+zQlCtTxqx
/lTjj6Yjc2A5qfvjlLFE9ok+a5wl5hS5nEF5lPxm9+slHYD3temN+MUhsf5IriEGAjKFlpOFbluE
xfKVpdDJCvWPprG4klZqdxt+RbY/kXSf1ivWPfBbbwGSFsfPOF6lIFU77qSYMDk0U4wAb1MO+h7M
0j9TnRi/CXIANDRdmm8iyXoRpHBVmXxSIg3+DWT/bUH3Ni3KVxL8BvtTLwOGgGb9ZVP/vEydZ9PQ
Dp4+Elbe+toUA35Z1ejUTcW1lmFtWv6pFrUlQECJTS/WK2QAJloMS6xHkWT5k9UJiwtIKTc0+ueO
zAh+ETtihr1rsyKMS4M/Bda1/cawy0/005OlvIRR67USDtOuJID8PMmA0WYo+PE30KqFJ8SlfuBb
/ffyhKpF/Cran3OUz59H5fjZSmsALLQVqZrRNCwj1lkgR5Avr+AQr1oVwmevtSUC9yz6fsas3KEk
cJXlBEmjsRzJYFOfbBSIvqGMRP6Xpm6jXQGK1wfcTOeYspKv2RJrh3X8BulGz/qtaUvvlQis3nr2
ggdo+UYdsTKlmyBkkrA615SWNfl+vPWTCvhuFiC3IgMI/BBI3QCwonKN9szoshmTwv2YEJPyDxDV
WLE0Dr6z5XLZ1F++JrMcbLNSk5TxEI3s/xq/MCzwzTOM2lKQlJJ6+vxOQCIyJCp3yM85BBzm2PY8
0Wc5Jjt3Rcjz1JhBl3vMmhPBA5PA48TeYH3GqMsEoI7b9wcjjCY2dsGXmvqhVbllfnAdrPRcwHPk
TZi9HK+jdNcNPCalVoSADn8fkGhon1CR2S99YKUsUe3nL/YvAdOp7oRkAhyP2qdaFDQAL1AQ4QDD
lQiQVNuWdQoXOK7w0t2Q22jKbQmXp8xJy+c0kufX9SpOgBvuOgqy0h/SG+tTWjcgPVnJAKtt2Uvk
op0ahKEg+89r+9d0RMBMTvf/1IQ9oGS5T84SUMrQ3kaBIvylT8BZuZncZ/GxRJNqjMgEwTyR91uM
3X6yGVRTQTM5dpvRbZpOggwVhdcIyBWRuLPsf8PiGyPW3oiIbA/4+8xi3YCCOrk4JfwKTzKGhwRZ
8gO9NjVMsbkQ7dSlBwrPOf7+XkQlq89DztyPPGVBhqYjtFsZyltahcuxhBAJClw5CRQHaZxBP3Zt
PIBj/pwCkBiuzuColJ0uFm40pIjRJ2632TDCToyXRxWZA9TkbLMVulqTvvCUIIeNrgJ9/TUMc569
LZJAf3BLLMLWtS6K12beZ+wAKzBp+JBNE6VxjZlzQ6j6JwWU20eb60o9mfmI7sP1/3uKPxT7Z6xT
bW2gPwEE/WdK/RewWv7o19cKLeaBvGzzeqbe588AinhtUuAfnQflXG3MKaCE9zh5EeK/JThCduwc
iQ9QIpO3OMEfCaaIq90dmghhQc9/8o/Q+hoYdh1tCBlu3/K0iyqmmwDXEVqzAguCkIArMBHcot9a
FlqUxuiiXBCsfYtTmddvASHhL1Zi5QGDVZzD8Y/f9wKoD2TRrb8vOMFexWIvu6f27Hudrvlm+a8z
V+9zV1YH64IpnTBQIL5Qy0GbTpR8hms4T8rMq6B96zE9tbaataKkDYbiWRTmD4SOD6YPZwja2AL+
A8PIIpwcuRQ+gw3HScj6Z1dabFvZI1c0E+5vdkxcY4blqBkbTiS5VtRyuwZHTD5gbruE+l1gOvWx
wGz7nlyVseRejJFR0zNyETvJ6sOwcuCVOBq4f8cMED4fMZa/4kY2mhAxa5kO+MfGDj2yD3AnVOsg
HULIIJrLY6f+FOn/kf95/WYIvHxYnz72rqUw318RAsQvPIKkxOAQGcRTWdfPOuGQPzoXw1GdMm2E
TIrgICw0YLnxlwjssfB/bqbqd1u8M1TX1at0igmKob7uM8l66XwaiWFlavD8mTDUrWxBmKWDhgFO
+BpxyKc9RWiJ4Z5KqOikU5G7+5kfz7q7HjErxmrRJqT9+taU6SI/Ev4NFVLmt4TsTsqrTbLCdMrQ
ZP/pFJU+ZA7+5WLzNynqcBktgPYe0d8xkbg1putex6ziOTlzYxLEkzAHgcOjrXHY3s0dimhY+VCu
vJB99H/j6yQMDtxq+7ILfKv4EvtWYKBb2bPP3u2yzlWjY9eeWjVKyJE/GLsE98SY8ZNWV95Y4wM8
uGVkwqVB/iVXnhBSyAMfzPLefIJG0863F9LHhSUJbwv3hCu7B/Cdl4I52AfZVkfubsNJccCHzdYB
Ad6k9Sieu8j9XNNs6Ozl289YhE6eNL8SyieO0vECO/HilBp6BqJTNC7FjM0qO0xldQ6Omcm+XImg
rlLBEJ7qqZOY7/e3tPv7OxCnKw0i3mzJcPY6l/2qyVPRUjfTU+uUkolOiq/4uyQkzArnflKNkUip
v+tWB9tyy3Wx+wnxLhXdYe7Dk0dvklJCnff/0bTDTVZ/WXiX1oIfSjrFKUL/fknPynLVwmF55l5w
B3pr5CEWW1q6Ac2loCxRRwmfm2BBwsjNmCff70RpM/q/R78QNYi3gsde62ULT7bUl2mtVB91SiPu
L2RoDk5q659HFMEGZIP0fOZou/KS21Xw/7A87NRavTRN7SsfN4VAz4NgqkfR50PftfvZ12D0lHT1
dElsJRzvU6x5aCWuOXYe3G4KTwlkVk4nHuG89zoVArh38X7uaiT6+rwNnWFiMeJzm80prCvf27Lf
3Huot7ymIngtu4nhhBAwlwVQ6PpJeCSZlAFeqo2vrAs/u2O8qJ9qfR9df78JjW/duJJZLm00n49z
VldrVj7JRvciN9y1G9OmQznxO+tGSB+uBF/WzZKxWCfFVIEbTQwkB2tqnQHOb5epUQ4T03LrbjL9
rdI+yAXLmoQwqwwU8V7P1ri4ivW529uAm6LL3ve3IIvMH55oah9+bcW45Aunmyrwo+a9ADw3y6Px
jxZJw0SY9GQ/8+dPuZtC2rrEuu9OMhDvmCUjtfm8anLiI9TVpoO1EMZneuJCJhV/+iSqcImY68w3
0saRdRr27HlB7mVbpdmwA2VhNDLBMZT9161Asamf7m8IOfU3A3ksI7J+ZQWDVyaDJd2BeUTjweh0
ZzfHBEGRrLtZIisKh9iUrO+1/l3hmh8r48IeyMov/jrkpHaF+ybejvZj4Xy64MwuQnyQwzrHH9cM
OZUaKheh1Pb9TElLcBsYxsNajUywiyro4tY9H+x42SlBj77ogGugg0DkLD3/AiYy4eO7qppc2eix
U4OjXoXI9nimLzzJFA2O1csoGz8mbmwVbHL35pJwaXyjT4f9svGq9G39Sbl+ldt4qRPPTWvCpOeQ
2Ad+KWKJ8xkAha3rRwTVLeJnweB0Ea/vwScd1UKLOFhEBAQiwSimFw6JkQS3uiv8SA1+37Dk5IzH
3twdwBkrsglC2nAEum2FQNNl74h45yqK/o1UtsH8w2UMJWWnfovLaLdL+JoZF40Zs47zGKXtkje2
xEU1eS/o5nvT3OwUwXcOKm/UbgjP0Vd+cMSXhWmxxTGOILdzKptJvpflk4UCCz3MEzaEnB7rACHp
GbAiNISUJJdaJrWGt1l8Kr/fswANMCVZbdQ5otORWREz0ny31kBX8ac/5EYKLc+58XUdz4J4W+mj
8cYk7DzxQrzdqwVs97dwveitT1JlJkum9wG3vJpxlfQLJzijsKgEgDE4PT2a/boI1+VXiQCky66y
qvCJ9jR7OQH3SUX6Om43xiaFJS0EiuZlCx+WAJqQ6aO4UhA5BRgSLPKYV3nZTE3KQcRQGugL5FJU
hyfwxM4gRIYXfJ7xshgQkLXU4UL/jrFVRWVyf61RdfKIZ4EJivYRv/342Z8S468jkwbj070m/dGI
I/5ZZJJxpa5tXfXpxFa8DbUbyHnjiv2cuYesQOHbT78dbf2/xDbuoHX7VFRPk6tO2IBAMMBGTrWb
gYXrTjfEsMOEjcktGP+KD7l8wJVsx9qhnXM4LVT/2xBhDNJOJ5jSC5DS08mLyxPJ88+MRF9AxAdl
kvUFCwMNAOkRh9JU0hE3CkMgxfXh8X17AvpXVDnP5TiIhAjkcnGoME+DO97QLm+CZDhpMbcr7ewW
4SQ28iyX5rk5TKlmJuYXoILPNy5UYvY84wIcEjFZeFftH61z4k0jXSYPQFa6XZpifdqRkCWBTMaG
uVlkTGjmo1usftP04J2sVzq7YkS0H7sIJhnoy1rtJdoW1xqTnXLM9ge08baQXQB7tTv8pdxtoPRM
Vw4jC4rp76fLaY+qlbjMwP2a+ifCjrFyJmPKEAnabrHX76leE6nc/je4HwoAekTsWlb1oqAQQIlf
cppBhWiOnhGwMJhK6yTwkCOHT7txHx89NkO2baaXXCKJ+ErUfGOVu7m9n6H6ycsUDQ3xA32uKrAG
npk7LLKu9JyCO7x+IMzz6xzqHT6I6KNgHfkSyOl44fkWJaKtNCh24qNcYlYCNhKZP46XCXTD1UFO
lDpmH4SURc3WFnlHRVx9G294iVZlcxGjJwZiupuh4V7SNfJ1Brda/IS3aUKmzskNDejDXUqun5/s
QUhaFAzJibS47xOqvEak4E3Pc5NWFT3MBSMEpaDBoX/sknD/EEn6LsWI5kBV2i/5eP9wSjtx3dv9
VEIB3b2kwIUE6xNjWgA7M3IEibdZXlHvyir54ssoIZH28g79sl2PcjuSuGXLvSiR+oj/rpeZxjNS
8dPqEZO6Cvi+A3A5QsBZ6/6V2LDJvz95gZRs344fsz2U+b7uL6FPsVHz9mKIgQluyS0mpL+rkyH7
hgqBvJtQEIHOzXmq9T361ue75uxSWtGeel3uG2W0IEVW5BpnRaLdNKW0Mb2JqZgDWzajPh9n3GJE
djFqOJyK2SrrXp7BhHeOipCMmdfNkRPivhfkYcJYc5bBTT1hIMogHfbh97PphzhTDfazkV7CD85f
PxCnD6GnVVXOcFzv3lnay/3g+CQGpIQKXx8jaRe4dKjYmDD4GonXXlThh1nG6SIhUtnyoq36gzAM
iVGj8KSxJwYhVrB6nX0JoFH0PtQVByKjbvYVjNEYqdnmhHuRgmqDz+6ajVwAsds9VcbhlA2qzNnO
obqX6qNj/tnTJGTNiGesOAALKScCIvSHEEYjhm6IXZSQOOzCzcVOBVbrvsyPjBGGqslajB7+5ksI
Zr64/eVE05tALQ3KbqBZ50s8k/nVB34mFBfqIeNCouLHJDiE4EOZS+bInwCh4kNPzmLN87L3ZjeV
QxFefiFYxbJ25NgXMgNgIyqhNsATWKNrY+cQmBDKiUT+XQlYe+sc+0HiYsVusMwUb4Z0vT38mWHS
6Snd3M6VSFL0VAW4DdxgjcyNL9v7V7FWk71oGMPZy/Jf2yaiIaDH4A0QIP/7bJ/uH9cHtek17M7D
eA/m+Pa/vo59uLHwm7oietwvxuOJM+0vhvxGWXQaZL4tRjIWz7vlmwd3rBLEf3ugf731mMGr8RRp
qv3N+S0/qs0JyUK36B7xUdtOhKNOricxbmqsfBBOW5v4MUhzB/h+I5uVgFTE+Ri9KeeayZiIqrzD
UTZI9TwGZ2okEjNnxxyvUtxHDMVh8YMQImpkU5T2Rfqvlh/rC8ydsCURIVDevbK2lsKlVVKJFq03
YyXByuBKe3H7ERqw9/hOvwzIWcYazzZsBNqkL7iX0vIDZbEDaj+/678aTlYbs2UOdVprbbXnvh8x
1DxoY35F0gAQ4aAcM/xH5EFFWi5A7E2tq/JoM947Ax1hPT4bqaZTRBAbQJJqrrflOnzyAjtr7Q6H
8jaTpEiC/tbh8zB/k9Zji5mFi1g/xgl6wQ4CbwhXKr3tGKhJGpAq5grUY7SRS+UrVedjODlX03bk
efHY7pfH2yfcHd+ICJicWO2ktdwh8A/U1RIAdUR8yMdaermXJV9vOpolc7E+Ybcg5LNJUTXv9B5q
nw563mll2Ohjg/JAHngEhMhxBy2OT+vjWroAz1uexxaIvjIPM5qxt3JyEDT3NHQS/QjQtdNAb6fB
LGKTWM/TI5vL7rlchz0N82we46aEVufh/hntky/AQETLshy949k+kCnK/T+xiWfHneznPaYAxMZc
USRU/MMpxddqoCY3oL5f3Lhk35Qr4rB+mqDGmOz+PcQgZ94R9d+HqORxevyY9CfRWQGHxWegwuhg
OQhSpT2eeBjacicP7X2Xzp1KQJSNbytG1lBYJHFwEHLlNkj5n8oAha1fliw+TYjWCmLt15xjf+55
nUGQtLHuKO+t4jXJUASkBl+QNf54Ss5mnmPp723RTi8ZTwAeiymcdVi5xo2ERHhxcKoP+iJmVP97
AJzPcCote4Ph7+J6+CIeQrTeW1xbQrlg9L+I3BMJpY+OJJet0XFcIcXF+Cxlfywjz32z1toYJ4f0
pTKD8siWn4FB2GAyzOBFoa1HTzHAhiy3fW8dVyAgc8B/yEGGZnJmwGy4fVqaGsD1YGCWcM5J1QEZ
XeuWGDUmYg0V2HQcSObzsqrNdCNuVo8tpwo6ZkpVY2SnYtA70GI1oW88yu4XazIrtol/QBPLppat
p9uw5uIfVETsl6x+44QwDMLi3PiZnpFoVbO4hFz7xpxzBDg8MROsjaAQYoVdH+ZN+ICjqFTaMV6h
rCr9h8UAZIFqeVpqTuAIORlGrahd+0Avnm0rE1fT/lo5+QB8JvMLl9+EzUamfQMprwX1xLuzgGxi
dl3G9YyB2ZM0YGS0ZxplJ9Lchjc2+ZbeoSexy+fFsEib5tJgkiVAgltGxp8YhoQmSP5MHEBcdn2g
B6Xosy1MdUh21Z08hQRUT9hPxjf4MbehVSEgS1saZpxoXse3YGnwtZ6HFw5qfDXVvXQSiIuDUxcE
Q9bTQyLyjCfJg34Muj/OV/Pw0lj07ew0AhnQx8txIxvVhCv1xKu3GqF+ADkwbwhiF3DSV8JpJCX2
a0xmaZ4+ci2/EOBbFaf/84vBQQ9bsZ6fJ9xtEwzW4MRvY6AeJ2KqI4tnl1rj7Rg34LGkqTat1SGv
UMLq2aKL5vPK07CENPOIOdQJIEb+5E18I2ZiTIFQnfXukf9YNLyf5x9wIZ6rY04sh+wtQ9JBOF7v
G+nTUyM1UR3ulDaA8OfrC4KWtgmyAuQ3/rctZjorjhn9HTX83Ve1f2jVeGG6Oo5KMOSAdrjrCfX4
GpnsYUKbbm2SxIEPoFAoB1SpYHONAdDNcdMntQi9/+qEpldCqvyRkPBhVqaU/NkQXBoKk6zVURD6
+acQP7DrB4nJNXXhEpLPaU1cR4nNe+KgzBgiATtCqTPwawYwSctfCxIPpSZ9DJnq8IsmPo4LMLz+
VjGX61yjgyrrU48WNMjTt97w+EPtaeSqEEjiibLooPrXg9QmJHVlk+SwcjA1qmFI/6xGo95NnC8m
8A5q4iNHkQAqZCMlxhCgCY1+M0LYuNGF7Ccy8R+toJgeISxZWuK1HnfKLEBR/bwI06BgG/yklCoA
1eHy0T1YX6MakpTT2lmK5QpyowYE4BsC5zQEu0OH2/dDI/6aTorTmE3o2pwmwLn2a+qe+JsNxr/h
F25ZUXyaif+XIDkC0By1jIHptnq6LkGk359aQCpNM8RG2zjUZxpnQexFqiOkG4LWf61LsrEIzkuz
YWnNYSE9jy/WBm0jT4LKghBpvDqjX1P5qiBb+vx/WiqksiP08kw3uPBYs435fEAkeho/OuDIRgEo
IR3GMILuHAkwKfU6W6CrnviZ31RVQA7CoCCiY0UJgKF4O+jrC2/vrnbc+iV0FmF7OmmS3hVkDRL3
R2SBgdQuUYpyXqNBX4G6u19GlQWcBUYCJsWthZEOTJ24Sil/fEihIgg20wxSSAOZOFzCIzdLNeWu
UQeuwwdtzQHkMFfgD7BTMyGdgMryrbBRAEfEsmM9siHlXADyntY7y26bA1z1GljJhCatRWQ+joM3
vVT/O67NtwnlK38iCKRlJf7VWuUtpmW4yBfT9qnVrReFZW4lwakS+8VPN5ajI6/zaihkIvpsOG2d
iByIIgdPOEz4EkDzNdDSurazbMmrJUjQuCzhmftOfbISFxnU0nRn0zuHvTOkHZ/TLWYgjAHlZEmX
TVi2QdD4Y9mlFGagBu0PYR2i/2qybplM0YA/jEQzCbK9p1yP7Z+VXR+EdAEbjW2GJSqj+vGUDx9W
jNnOR7BJ/uHfYKtIRjI6oX1qi2GiXuPhZ+jHnmdCHi8TXI3HQYgwEsulVnAXM9NduosxqXbPgcua
BNKvAnebba0u2aP0eUp6ycIFnXzLuQlxZM82ylUkrzcO29+I0oHB7oLyUAioqeSodwVY0nb7U5xN
iwb4UORQV+UYCs8gsKhgbr+WGzzvcziVmIvkLnpAn4u/yLM+Zoyq6XxAaq82ziM3ghU2vTCwAKLn
LJVXKxggjkYvcQ0fhzhA7kUm1jRdE/O4XSkl2LwptLo7F5nQ4wFiUoRu2O8QOOW2V3aTfyskRaqv
VxwqTuJK3lJczhQoRMPZpk4RmhUXHT5usyDF/cOii6U+0vv6REwnwww5buS9TpSRQxaaXmnjfe/8
3g4kLIZRhLK5qFGC1k8Cjy9lx6FDOEaOaUofW4/Jwoo90atmLeH+W/0mLZElKopwIggXnzB6UFCy
hOAduPNBlJyX/hile+qPMo5KGDmBLrFhY0XJOCM7VMQuaazBLDFjQygQODiXL/YDLMgUdHjL2Ooa
JPn40YTx1WjqxL30QYwWRjRpTkdibqJJ1EfHHVUqUBgTKhwEIII4wd3ThOlVheEu+TMW9aqkz1rJ
28gVrXKjAmHEvUk2BfoogBeRrEtcj2AlsnCmtx/ZELHgnaCxR6Uv4KnHw29VyV+OVkPj4Fi4HhSj
GUcawRtXONMshuMKE4jB393n0soLnqGXfWYBrGgoOBRuzuMMOkfGEygXjECgwsqAdQu47fDS1r3/
QYEzP7D3TeFgQ8s7YNDvgrvzBZxQMbyKz5XNO5L26NXMJcBleOGEfVWcUjistwsCoPv+4Vl0Actz
LuDa+4UT5YSCrMmIC+cQgc+uAR0O6bKhJtOCFGtPhkasSdpD6RG2MwsI62gUBrmIhi7pBlJJX1VJ
UNPwvt6RAOZac12qmUcKP94qR7Cs/eXs5alA32qrB+CV2aHvvkXtH/iBH4jSJizayPDM1F8/yxkb
RhIGYGVl+r5HlCr9/+T2xPCvpkmBaPUeMEQ/TUm20CJi+e3tc+MTNxaBK09GBd4Vk9G/iFEVgt5D
CfWlb6mZ5t388UUiaRlKoUSNZflYwpAFZcEFNadJh5Oh6EqYHGLGbrFow9ykSEbu2gp5HX2y3nk3
tKgCVIodtHJTxuiqH/lsNN6luva8q0oUFjnPRi5nDRMwbKHzlcv4pzmOTZyc6NNCwcNpQxW5y+RF
xVuVeI+eTRV9sGajKJCpcwBCTm9O99X1xB30Kc3mzdsPddZgKLrGmZ9W9f4Pvg/Eq6LDn/qmkr88
ehHInGXV6E+rHnoHKq7R7ePv5ipCdIrcfx+9VVC4rbNvmDN6nJ6MillnOfiQEvb9uLb7SNUAGIDi
+6efvXTDPzcpJdtLVFlFEaz7jJQseJdqpEDJeO5Qg2KEP70FXaZL4qD6jgWVzWkqbrRZu2JkECxC
Q6pX2MU4bfuiCw8d6oipNhNUP1wTcg+eYW93o8O6ByxZEBr7/t7+WpNGicisavUOJvJxZ1/XZpG0
Ag5/goRLAvYGyRXYZJGvYDUDIySlgeElOehsm/wv70wuDFGz7WQ+x/LGYiVQnXKXuyVshp+GxsKM
Fh6VBC9tp5LIJdsJr2UYQHbr26V6LkHsF9o+bQ3n/JWfU6NNvo8/GZY8G0RAcyX1woAMASLU/2R8
aEK1Kqnkc2qQp4NLmaTXnakHJnD01dD4GBR1o76sg9V8Tm65rD+mfVrp2j9vf7J97wyUuC2BtVnL
MAWUBZAu1esTwRGLS4Nfx1FvkDeYuQrkoFOMtGZya9hhRztBz1SFmo3BXxiFqpHvm4QL91HRY+Dd
kvrj/68YuHkohTq77Gp716OzozhQhpV8DHn+6F/t3Zm6dn6/a5xsGrmkiQgtGJqypLpQcZuBURTV
ukWZOWigkGMmrPLrtLTuFyxD4ptXMO8727P9d3eEpL09Ewwh+QEB8w9QbXoNDEcYMiBrtdyztd4Q
7JAg1VrK7azDV3yBqrTUXdHZe1+qBikiTKUU9iOMFxnjoQ3vV4gjC6Ml2yJvnWPkIoKsyOLeo9hr
A3R1dmwKqdeBSbGaQB8kZaSEy4ihaUwdD0nU97nGFrHglHqeuSU5A1JrNwL5HLAKXsdV9cxXOWkO
1hNyVm70XmGGW/GaIqdL9OcNxLNZMQwcc+vn6r4pAC0uQ+2CWvvG22zt1b3FH5gNsvo34uvB8Vpu
lxJXsHYg4VawxgvyJ9HEYE6qwfrKv4H0tJUcp9xn+SVO1jOKhhcypWKk4/cyP1hBCr+j3kMV08wa
WtavAAyGzwPl4KUkWdp+9gAcARidPKhDfopwOhYi7yIJurDYj5NRvZyNpUStel12MD5fUNVugvF1
JYvyJBJ+T1KEhMBR9V+XbTQhdusEEs9aKIzf0dWXO/THUtj99MNRXqnGln6rX7JTVzveqyF+xLgD
Iq0RG9Ir0B0uEEN/I9sy0WUlAQdvEIjIAACgPSlxQujdIeoMgPJ5J7NBzSsHU1SMbxPK7ZrHHe5X
/buc312Ges4kyrnF1n8rvicL8Budg4dJUk5q9xsuIxq0ymaKNJ2mtU3ZHdS0N7yqQAGt5mx3bpRW
qQnB4UMP+TnMmgBy25oFSBhHEStcnJ0Z1DWulJiArK1PTFCpnLtR/PUzlGDVmxcZC7RqZXuZEFlD
dX0bqFV0gEYdOG3/ZKNrvVWG0enKIU+A16rKfoiJVUPQ2TJ8p6rEbKD8MFvTbkoQQgdK2llOX92O
lb49M6k0sRTPv2vssNouBUdsVoLyKOyn0IXe4GXYsUGWsx4Ni2+6RF3uu7jVmHVXBp5HI2NdwTvt
zTarE7oRzI1+AwkT1hu2Aqp8cxU3rJIbyLxk6cY487nNGow9CNX6IOmDHPgnZ9g0gTmjSpBM3x0C
tiKQj+Bnr//yJngn34rb3t1pizKHSaDRtzEArrtgkEyOf7kFIcTRmtcV63xmbm31Yo4EeOwTdKGV
tNEdTntcWzLyWPjSaOmhzlOGKQ0GsIjKoX2hECgs+o/ZcMR2j0Tdwo+wkpFEnNkWu3kYdWZDEqjz
oMARNIrZXhuKomL2vX71SrLN9778QX93KsZQML1wPuhxlXe/W7QlJGSP9IPPWNAXXRLkcx3Erbnz
NHa8S1YqNMjFCOwNHI7L8B6pFBwTnWd47Buazj/iHRKWI15SGxDrAdzDiXoWWJjFEHT+A2gJ4TTp
KtBtdks5b5UrE5WRHyHPhr7NkdcdZ6wwH1JH4w1s8fgTD8+VEKnAeHYbYjl6Z7xxoR+ep7TAgi/r
8n3qRlKutyyE/Zk19KPYceaQFxmcGkwLK6KiOS3M4dpjSOpQnlF3g2zBHdQQfJEbFNZjOJTLKUsc
AO5FvQ+2GudXoMq5BDhMRqpJdPXowvUQrD3QLdpjpsmcoIcq+FbPZBGEXLA038P1BHnnwKLd7OD8
iiTUwiZ4C9z5fotFPS1R8kxhPheFFWbMombk+f9/oV5yzVOrf3JlSfpOzAhjBuVqwSfOaxOiPAWT
u9wIirJHIqQYrudm8Eqwj3gBEy7+wxqe+GTNWLAD4q1CLXkM5sBvkPDbQ96+gwx1e9N9/d9wK4TY
RLpP6gI8gFmMd1Yj4Bg37L9B6T0JNcQXUuq3POedSrQMGcx7mJr7nwOPNoqXSNEnVn6e7GdIct1X
rqO5BMfuLe6W6OFWKK2MqRdvgBVbwtELlAsMas0wfueIYEoz+giQ9+o6647GJ2M6fdwWKfdS3EcQ
7Z6aIe0EGVk9iRQHWR9qGsxOB1X9xeNolXf/yUcBvwjYBOy0eYWNqtzrj39pcAg22QOSG+X/LZ0b
eXSUSxcfz6iZbQjverArTHtdb8Y6jhlpAWPMWLZI6h/4TwQ++24+ZvAgCIQfEfOaITg7dneYL0ie
Moixir7XUzQsXcmGF3qvjKmF2kiYQI4q5nT74u2fYDebzcgafcZjcDleaMpEYfs3GpsigQzuL36y
bvDCQLl/m/KfFn82oxhlabXmfbcfN9u4PBSwR+eD9rgFJQcuRyvsP3J7LOYBtpf+n/SMgCsvTebK
MYLXxwnlwDoSaxQiGjJWJFC/Cxiorq8124E7MhYgltQbzbmGM41sDO2YinPQu0dXDEPXadCWCsad
pl4hg5oMwxkIFLfj85McvzTTmm/kpt6X8/Evf+sgYWcWMByZohFZbRBGdQ4fR85Woy+GcHB6kZTd
ogNgrLq6oCcYN133/8g6RB8zHIve8vb9SOBBBwXX6DNtgJ8M2Z8CfiC0RaJ2Kj2ypICc43ViJpvN
h+6f0utud9bIowH/kE1wG8W8BEM5TMV4tJlgJflDT+sPQBv9qm+KKL7CwB2mIK9QtocLPLjeyL7e
TvHqg8Ddo+M3o9UOp3wAWWJ1wsb+P3QvhcXH9JllTZL9xRGYa7WK9heabKtuh/qHWvInnCj06Hu5
5bXPwC/MNNq+yzABXgmzJ14TJ4s3e55O80mVpJOoK+iopePOQC8Yqh5sk9T0eBWWBVD1wzEZMM1I
hbnshDe77eoolX7CNT4zSC4cLCcfWtkQXcVJ/uXmTG+mTGUnbDQHuQqmW/sx0wUq9FrQL1f8k/Lg
L8MqJDYfkMmJpVe2/hueHHcoAFVq0MtXmDRH6DHINLg8nySz5zynhia23+3Giv5TAcRECMrfojcV
yMVTCd7JQIb6TBCCDmwPF0j373FyTnLHVI0YZ1cJZUfyDnyqz6rbb7CKaIVTrRGWg/qcYANJK+pU
my75xPyYSzipeh0fLLKYCiLaYPpWfpWWu8GLWOWVWNHW/euNBg1hm52hFpREnKAR4RqMiDObnUpo
u6IsNP8OeJH1Ao9td4hDdsA1bv0gQ2Ess2yrnRTtqBDBRRhXMfoX9H08cmmotwMaJdS6gckbwfzR
3Vsbe1S8CAFoFJYeJ9oJHbvsQAKNL+68peoTmMWZw3GBMNZ2BLSBNI3q1+gT7qFNwX+femn13bqb
HgYQJEGHQmLmFDufbJBjJPbUOiaE5DORUCtzkdj6lXlUOh+ZuKwY6XJs5MNxgjqPr+jkfQXBfJwE
8ZGnM22BTQMwIPfwBOrHLGTjure46ZJdLijOg/glPg9rxZqF4P/krm/HfJAb+L22NnyFO5qYMh4I
jFdpeNM1T6Jq1MDLJhXZZD2YfpCa6OyE+5Q/1ucQGzMw0xfQB5GFmU32ZMLucTNtMtzPpoLuYZdw
QVNRa8DFVLJAqOB6VZ5umj75UpNF/Q+bYBOVJjRoG+UD6wCVR137Cinawc6b1mojcqPsQn/efGTv
WF5R1n8kMn9vsOJNvPZnY4GjKPt+y6U64l6vv1QwTrWDj9r5dz9O2/7EYK/4FurU8/cRfPyCqMMg
aWsOEH1uxcCbzOIf4EHDnqFXFlOK2/ODxKVgbeUuso0XzF4p4LB0G3T5dIozgxIsE0kJ50CORJzq
ToQ3zyrtPtp9y3fu/IedEU62SfO3AbrrtDIfollpQ1Y/QS6Liv1g5p9H++ukgkA1l746VH0lO0db
zcMLPzjscuU/oz7bKW4VRKx0Mubhf0Ir5pKHyg6REOsyv+bqxPV9bUIC8sZdpTSEB2E+7QJBJV8z
2mlBf4hQEcR95yxNdalV1LIM70h8Pg4Wiji5EWrqamjld77XXTGgtHCHdBX7IafRiE6t3f4hzsUU
PqsKUUO+sltlIy6hAI6NIqK0yPqQ6qoa36eA7Uwb7ooUudy3M/XWd5J16HkNVc1bfAzxWSmguzSP
kYTvlZCd9EUPo8qN1ArActKVqdD2K9IVuStKOq+i+4X19EU7U3Uo2rJnxr0Wm49kY/wzXyd6IwMT
Kb7SMWk3LiSSv31S9BZkK45nIKXF4beOHPnwM0RpJl4WcHLdCc2A1hgTGE4F8uFz7B5VbcjD5aPg
grFCFNQJY4JkdoZ5q9CGY1tafnxn0tUms1TZxRrOcNGPh2Pr3Lmc/NtQi+EPEp9i7DlsvzEHpr1S
zBddcM8KrWpuXi9NoLfLG6TSiNLbh+jm20quaVUwrKAEWBxD3ueZeP8ybPT/UVhR3mMk5KMvtIy3
9cR7WZmeBjiic8u4F/6VPhbGVN+tVbu/j77Xs6URMF6Rr8nTqe3TGsmGxm2+ncUn+df438/OnfU/
MBih6Yzg9Q5Wfp+ENOfuNG9niCScRRQwtP28C99BeuhILeX4AV2UyxY4mqxJe0dMnNxvHQlatwRL
eSArVCNaMenwxDS75Ayg449/IEJdroLkk7z5ne9wTBzrG13dHcWrNYzs+Ksdeixs1le+AX6/Llaf
aCsk9wLR7yX10pyZyhK/OpbRDH/X46E4JZ/callCUtimTHn/sH+p/MY98P+TU6zO/OzKeVAiT0ph
zKrnk73NvkAzDbjO/t9j0I1JB2wWxgtkQCTgClxRVQEFdIgeL/TQPGRSgNl3VeznDs7qpm309XvM
4YrmuiFkWRIG9JZ2lLfvHlNdPNpXii3WDJPsaEWaihMRoTbLix1b4Toicp4QRawwziw3I7Du0M70
y3zA74Fyt/K+eiUnGeIQaf7Wx09LqQFRmZJxTw0ivo2JSrC8hQgCYzUsRe3nyUzmhOhRyZpclFQ+
a39/SvwwHY982i3kkf2TH/bUksjkoYdyDdstYjyprqcTAZElnHs0r7leAv/v3YnA7IBPrF1uZdIB
6PQ5Ot/jBp0dYsmwnlXIP1pU28ibPwwVlEaHUJxe7vwFX5TwmjuLMW2Xdt3bLN1n9beF+MJ4yVCT
FF395CjOZBK+7vp0OAXrkpwJzIuJxjzX/8lyH90staT8F/4VaTyDrZb2/sJE9o20FyNCUp6tgLhH
HzOYruDAw7VXsrbpCpufakOGnucosZ7kk9C3Rd8zpuymh/GsW5d/d1P3ZSUASsARUySgrYn5Bjwv
oz1j6BbbmQVbEdEYNpSMvJ5El2oUtu75ED46/oqCqnk0KLSTQpYHZHiy4R3EvdL9npTOpWECw7Kl
+Vb6CshaQiVVeAPtY81RQs04cySA4kP4UaFCyC3qWXmz7JOJXQ2bNxB6N81S8ApT0lwGMjq+puRL
FF9wl4S4iB7wzAve60+1TKUln/hQuHFD3vBCllJT+mSqdoxuck+9p/gafcBJuie9KWAbBh4CiFOn
0z1OK7UBUeI+OGXz6wXUIr74T9r/D69a9kQqW7uLn4zChI133foE4zG6zaCBp9emtYD9r/xFtMqT
PWk7YfUDWhOx42NsBWz0z5fkroYFvc3aqMFKq3VGUoA/h3wBaKG60EwEnnB2JuDki313LRfqaxw6
r3ZAjmUU0LquVS98t0nvhh7+/u5DvG/wSLwOwiS6inam2YLVg2wqTA1doMvVVI0MolecxfBO9xGC
mjPiD5w8gUvkeOHWwl9LzavfrSzWQnu5bq6ufUH+YvyuzsusSE7MZPCUfrS3a9XttLl3r56k/ZqR
rc576ANAW4rhBhavNui3xQ9tE3tcsEdfJD4hTSDXmD0ig2AVQiCF6bkqAMrruPOL08VPBsAo05FF
YkcXmsw81/jzLJJCB9ApH19Ji8cS/ntq0NT7FAmQefhPNqTHOwT2HTVf4ll3zWrecWrLvKlS3/ce
yUDzUFb9W+gHDruM1A7wvzPrbAJqjIMBbfM8CmCco2cfDwqhK7DMAEyGnEEujtE5J5nue0H670dV
rKDulE5v/AoUV7hIh/vKstTdP9jHRvGXIEU6bNntxGlJzyRCho1c7cmv0n1o9XVwvkqTGsJwIBLM
bygVeAzFNHaygCvmjT+YN37NEGY+DKu3jSYNx1jYrsR2CuaRdtIE/RE7kms2Zlau/ToN5Asjsj37
dRIpGgIsHCbGvRXjjRMQOYh0imvN4ZVzKUaHPmISKX99FX8mK7XoMqsn0edVy4JVWomDg4Cq6+6Z
L/TxaGhFiPTSLIYbxDQ/RAcldwICy7KaQrmtCCa5JigiKk6HvJzl+ANLgEPw+f7I2jAn/Wh/QMHs
2372wlaaZHJnZanYQr/iBzZbir12zxjLBKJE1w6boGBqYf8YQwuqlJZYMH+KduR3Ox9vSgXN8ST9
9JWVlC6l3hAE7x8qsYCLjQzDtmDF4YP0DdDitL4EkqGLDiLOB/aJ58gMcdkHgakQCMcdbrgyRmbp
GN2OeLgDiZIfe+IPR9hwlJjmsLqYX4JOX0fRsunkemGF2T5Ws2lzDPWUU6D97tqwLA5iwCIguMAA
IbRsh87/UpxUYfYa9PSLN5vhydPXxWmafs5z5xvscqbquJU1M+L5Nfw8rIyoUUz49bh0GdnwkxW5
X/m905B1M2d7wrSkQiJH8jdYIbu8ViOum8KL5R4lmS506RsQdk8hHYPbkm/ZV219eYokEqaLiL2w
jIYHY7xVL5DfV4GLaLg1PGBgRz4rqfqZ/a4eeisqai9hK+Ch1xuku+hRKrCgDQpUyBlp8qleIeTH
jBn3QPl/HFtzWn9C8HEj52F8xhZN8AWmUbVbTePdTKKcf1fqbTnLcVYxcEoXLh6VqYIqF8i7l3fH
Vq5bavnDfWSasxkpT08XlhlB2b872a+TmZQO4d123BBY6d4XLcwvO4lV0FBp2bUHBdqP9x/oEuO0
s0so/9VlQBPO8mWI70sM6gh5KtRWwPiwF4CA96F4YL9umKuS8cx9MkmRNryTL+KwBHtl/gajWtTF
X92WFuDn1NaC8JR3T8iT7I4rkg6P6ud2DeQBuZ5MntEoedqcXh9SYGLH9oIl4ko8GPJjLGb2BMKi
qQOjSp+Z3uiuyuKsPfoOoyWdFCVvpsN3HqExtK/sAD/R5RQZaSY6JPYo+v6rzEpmtimkpBW6ip+G
WJP4CDM9y8vvtwCLmXb8sNKBsM93HNHSv7Gem2IdLZAMC6m9VzTfAXMtfLRIzcbfKAw9XJGytP5N
tiQT/6Jtq2Fn/ooOKE9oEjd0e0BSRsT8JceGx3gnqU6VrEgfUwy7kGjrg0PWVnuyPsNYQt8Zu7aD
Ca//qUrV8J2dgS9PMaK4QegToZ/Hf43ZHV5fyCQ/1Sd46FSpKGhPTnDjWnIf+3BK2ty+0i/d4I2L
XT0LyfLzjqXvSi61KY4IvNIY2CnkdL0KigtRjwfgebmag0D9tZDK7clSALI6edLk5sFYt7tZGw26
bktg/RhuOXcc4EJ4Wr9v6iHXRbMN7EEHTHn/0nJcCI1spfKIR/e9kSfyS2ECr9E8vPrIcqveGxy3
cT5hmGyxRRIVkr70Aap3vFrSja8QZS9Njp3h356FPnSZaSVfQ0bEaleu0vKIIW0IKLCX+ptWTEY2
ODdtDuuI6UTbj5GkFQ+yK79ZexYvTdjVRVnFfXtOZAlokipFqltp0ZAp28nU3hiR6MVNqqkGgiw4
hnXuMH1ZHwSx123noOgElUycLHdQvuCHZbuWcOvo8LRHjjJkRA3xznAOJoaMO0on59nI/m9HmHxd
GfR5Z+pymOYqumQW//k1u09dHZZeCk7q8VjELNo3DS+vT1WKolDJKTILXnAIl7jM0/Xpr4ypn8Ng
9uz3GdXT5HOtyhZmavxa/eQuugbWm2o9sohzBihu4lw9FfHtgNVs0FiOLU/HPHb9VLsq8VZQH1P6
iRBszyKOv45SDqXpq3rMsB7sToRzESprIk/MNt02R7NZ3LaDfiAN9gEs/QQVNwQsiSvOTOPP4rov
pQifbfW5+JL4xVeU6inOo1dHBpiPm83V9+7qAugsJvQjq9D6LzjSwB9zR9YTYXOGDx6yZFMP5e/E
XoVZHZygE9vOsNcy19z/XsMysUdF5wS79nWhXgQHCWy3kapUaxsz0WlB1bYk2NVOWOCpFj3VP8/2
ofid3IV9Ah7wU6h0aL3wb5sLNNgBA6HCP2gP8Men4OxRnQsO2cT9LmLdlMTFWki8BZWVNeRVRYBd
xOGkhfAuX1THUc+LEgRbAZbMBlgJ7Iqa0vIF4laLgJf6WcgRILIFrcc7Me4CJjnmSQ9c6mdP+XWx
rYzbDm8cvCnaknW6y6PLsm8WyhmTO5QFFUlx7qtWn5ogQjPt9MhsxwzIVzodTmoUes6WGEmWUUH5
iPPQeQsYUMEmWcGPHK69XLVN2HpmexsLSbA9LLwySpcp/d3SuSfZZ9kuzfYZ7gW1bY++OlxqyHbN
qXdkgqtdNnp7zVlHnKDKYaP4wCGu2ASLDbUIA/7piwqRzbFY5Q81bHxd9mvxZpTzdiCvDa9x2C/e
EvmKPMhDbNaPIJw9EpKseKE8vOa0R6em2cmEcRhzjn6kSTk5GmpRTNENzDIYQ8Dg/u39Cfjx5guI
bhUUcAXTmSAtqnDtNUb9gcIJWQAfHuSLIehpAusilz1qzcCmsULaHJiwEbMRQrGdDNac0M3wI72a
RQGZ2kEnljTro3hv3rCnZRiqyfr/pDtNj/o+t+eyz6S8fxOYak5gW49MNO4dcHrzvRNVMrxaPQhn
w5ApJvc8t8PPsZQi8XDfoR+UAfFKqDCJ2vHpXRNkY5iypNSD0K6QvOmfvUkrta4VPD2xTYzFk8IM
sQg1XqjbuJ499V+INkssI2IRLSFbCxmU3NDBjhlKn5rSxTe6YMX485tNJA8kfjV+qLFsQyyM+xGI
s8PvAMEpc4CaSPJ/rv4AD1v0BgveT+f5Pch4m6WMctiTu615FKzULtdAAexa3wQnqT+JQEVJEdKD
m8Bk5hH9cc0kXTYfDmHKN1T0ww5szMmZMe/H9c6zMP6Q/Qw6Sqmm3r1c6fuRoaMc13WSmJYB6aBQ
aJvgBGkRP1lvxPlIv4Up0z1wyPPf4Bi5667uxZ5wunPokJFpTRNs6s4vdTPe5X1ouS0LtOb6NDQV
rxnFIk16EcAvm6OdepIWAsO6Ik8fSs8jaGiEWo9oeUwaBWaCrZ5AoZMXsGKqpneulhhesPajXGhh
ji/jmHFpjVtBi9yzpOyZI5pfMOBuG6vq0FkUnS6O4ZzzOZt4ht3hXPnoIuh2m0D+9k9XKEWPMNfg
2heYXgOvMrbu4rPy93hGXw5X70ouYjwDDGo9jF5T7MhaejGIHqc+a9pU12UFYEVSt5H9sm/jBekF
i8MWZMjbr2KflTrIm//TcrK+kFviIagPoSmF+JIphiqp3JzoBt8d/mQYZbtv1fBgq64UG7QVaij/
rj6k1aoau32bfl4kbYwyN/GIvDE3t7ikWHogl+SQl5K//v4rKtFzOV0L7Tuis3CIYN35mN3N+vVR
/VrLS92Ga3tUzoiq6Yz2y0YOYKs8gF0s43Nd0rSXruGwqi/yjAZCIGQZ/rHMG7C9XxPK3Es2EdPk
V3RHLkTLVnYZ5K3J66SolOVNMkHqNHXzgseVbsdtPw70pirvJhjNS37GBxY3zvwKO3PXbkf0l1w+
5kLy6BvH0DcAu//37ISkUCtBIZZxjPdkTNCYErWfvV7TKXhhVkLTk7wBBQIzKso/u0a92XzdClSb
8RkGourRx0R4s0GNWBafGfv2uBnoCCfG7emqDU5wXOhUA3mw6xetVcf2fm9BXiSwxFUVo3Ru3AD5
i+Vn3p7q9n3BM+O70RYAZ9dNQqnAN4LNF6GtllpbJJhRclf4KnrxJICcwzJ8z48UnCmNKetPfJPi
VjcdCy8VWFXor/NExTue+BCC4ymp2e8+iNh2GDVbWnS+EQN5RiHRw+DKeSWbfA5t+M/FUDCVGxLH
bI+wMLTmobr/Zv66KLdEMygc755xDU43VNMT04fyXkR67Ua4B8C+xaOULnYueJxAWVWFJFP3OJ5N
3Db2c9I8/pH7NTym8B5klzwqWzUh2l6e/3Nth+f+uMxlThOl8RAwvaUCPffej4XsM7FwUXZjhjmY
L1NHpS83m4xEIe68UW7L7crskPmMA4by3ngzeHV5tEUWTAGcURPJb6Z2+TAfE1dRAiv9SUHYuMj4
Ba5bfAuvMOJYxVQBNYinqlZFb+hObwPFDqXBmn3RpKPkX92NHNQAbPRxdszY6Q/k388/Ee1Aac9F
rArBoRFpve7pSA344iJ+QfB8jHJHQ9Q53cfqDEZg/MRq5vekuql8y76GrEZ706mu0uXm2x5B6YML
VflNJr6RWmSURHv43LHZHH0vgtVmcI0Q2sPQFPXYZupjUkZ0Vm/ajD5Rh64E1X8fhJ5h0j8cGq8d
j59qwKC3waOajJ0LyC6kxyQzLRgrD4DUe91MwSrWREQYQaHL1rf3ew5wa9RIIndInyCjsgP9YP5u
Vy9vG/aQ4d5uDXma5ldSDh8jP5+vweEkVrQdUDQQq6AWwFWm+YUvP7/BKMesBAfS/vSk0y7tUtUy
i4Kvv6Tk5DauTJrO2dOjG2RxTzh+QVMJzfl/tQdPbcLG6efN9toLkdAICDEmzQaaFg4sAkO+UeWr
va6p1SpBbjLfCrzZdhv0g/ggSnWY0KHxnlDfjKNcXJyaxL0Hcqorro3zhLTb+A/NV9jBvtykWOsa
Q9ZKIlkg4V9F400RFxR08sJbSD+9zCvZdirjgeNW/ZQDXQOxw1ghvkt6SstokuI2I+8X1I7hlArY
MMJfjtWSpbgILtbgnpS3555Xy6ldI1gqHN/IAZDhA2vr5wIosktDmoioz2brWAQZ3cM7sOTPW6YH
IAms+KmrnrNif+KqZwe3q2DEhGRR4bPcALzp7dcyFK/Zm0BOY9bpCP3R2mkSxBO7anNsAuH7+TQd
EvyMML8lTyE19uV6/EIlk9rhkd7i66cbB0GFh5Ahpag6+t00WG8uebzXDWIfn+6/VYDpiNNILDtI
ptkYf31qChltlypQVCXk29IauT3pqmAaAQYti8YaFgosDbNsf/hWseXO4+jCsQiGwWySvL1aiO7C
vU/Mue6q27zPiPWNsJzXoSn200zYzHg+fKBufugQvUbu0VW2Uk8AhYazHDch83mENPHt240I6Huz
18SH95KBiqk2AAKhU2V7RK4oZgobZ6Kft8gd5wj8ZJMxSR9Bvctgbfd4ehjPNU5fHMxQZKf6M20Y
dr2oNthJwOG7eCd1uUcIsipcsSw4rkc52mTOV2wAWnY1K/TyInpBV8jHDMFT08NfD0edd3LZbQc9
HrMDg4YsS9XRsBmpte+QIOjx2I1TFDSdtg8jQPZL17hSw/I4qA19aswV53amdTLTydVCWK3v+T+A
CDcz8MwaQYizghjr+4rgWablPL43Wuf0BxqF1ZbVvo5U6Gt3YERvR6pj1Op8+kt5JiSplkBUuSqK
+W+SSAOJJGtnuFJWrCZdXrEZoCFnCpcxdOMY6Zqv4OLNiZuPjPgo/YgDzFtji/GPxgteKyR3LX2R
ELyOv+GTRCbevbypmThYVYDrnh1cZkfvJ4LXU7SZ1CcOqBXODKEklaM6fd5uxUlwujy0Apb3KHfH
Y0nvbTVzn/y9WKrTlAZoz42ilqB2S9qQGhd/F/26b/Z+fIpHY85pkcRm4uf8OjkAuUEysolWwHSM
tcas/Xdj0OASdYnhXabXyrz1incrrmpuSy0h4EePqV4EeNMEESZvn5qg7QjqH+DQJbNAdP952yWt
zcPSfAA6EFUcXVGx/56dLgxw/TpjmK07nIfQyl5tXzYJYG1YUUvnawXs5B1MRh3gD/aZx+uU/7tY
0kffx3mV/FaJ58A7HrOuJ42TJSHtOS2pNj81HHvvKHCsz19NvVveVX4zkkN7K/xcrm6iZ4sV8pyv
cHq4yDyjNwN0/EpUj/a4n3WAFpxe6jr0QCOo1eN2zCpApP++WRkL+RCeTdVr+JpmqV0U9q9ZUh2n
Cyi4u/UcIaNEg2XxRtkxlJRla2XbXAiAilazUcx+DtI7mgZSEsxrHc2F+P9syms5gyNCtjWhswK4
7ZV9adsDvHUdk1kScs+0Zyb+gHPofyNkfCIDpBF3uG5/hm6uG0yghWgSIgQ91lyCnQByPIM0bjbf
D92v+Uhmh9ZNC8lOPTnryRj/vpjNgae14hYFvgvTwtvGSUoKmbATYBNXlK0yF8VNR2m/sYQqF7wX
EYg4X7fvsDPGAU3Jl/6HlMUZxEz7khAG8tt8kh9R0J0gtTN6MNoJ7JxlhTZE9luNAAMyJPudrAbh
AUPPUrg3fP9jJ9XCrtt+JYXX62CBtGs9LG/4sd5os1JzjYBnyfRuU5WL2v4hM6JTVaIznoEEzi3P
Qz61yJYYl8cYFAXqgHVSKjCNcqbafcH33h9ZNKwh5PbabbSrg8S5pMNiymm9+2scwXgMaHd69kOk
h9bNbKQgu0Y43pZ45UTJiNup8n83qR2gHu2wBWpPRWpfRUBMMLTZd3F9r+ZFZkD+k4pRm1VshvOU
jbFeItqCxT8iakEdOUIOvJi6VW9CJeKH/JAH2K0fm5uw9ekan0wD2wtmFDd9C3Sy8ugyDrqkMw1G
0lgJbvmw0ZXNPm3qwg/drB9uRmsRy4hGX+EIRPyylRdWlHtxqjpFOmBypmgeFgTaGGfyL95jjllg
A4YOEuQKSWawq3XnYV7yJE1snQ4w1pXWi29717ET0WM07C9RaEDd7+C2shE/eUBF/S1T2cc0CV5m
YDsJYsCxU+FV5pmU1ENRnQ6v9WXHcbA0V2S4Z6CPWYFd/lb0Pzl1ya6sb8Nkw9uep7UidgejxRWQ
S832WVF4nVlGh38Vh+r++7c0GexL++dmfW5up6oiJ7/nleAJ3sZCZJQLvpUmQdYbtSZX/3r9tpiP
LhBFhRXvQzkCo9r7yU5zHGd5hpb/SbA7oNaGpj+od+0Sh9JfOPm00N7dwUhinaQiqnJh3kss2fUB
Tqkxb+M6iLITRrFeKj2JCN/oBOgYgWoJsEWLaaPG4lJxjErWYjhFdJ0WVi+9hMya/EQ3XazAdAnn
A8xVxw4f/vqJnT/tTNUWe0M6/O7ISFr+bCNxOKEwByUI42B3T2x1lKqAalyHaarrs/9hq0QHKTXw
vH2EkDnUfnRDHFGB+/dwJ0FRrnv4hx0bIHc5QYIbppTnFlWt9ZZgm5KjiLsJuYMbIxazwgntSCCu
ccVFF1yH9f91i//SShfPo2hGdWleeZQaj6Bwgznqxh+yYxpWDz5tawsP8IbA9YHT1kNt6iAw8Da7
lxVwzm/9DaMRnW3SGmpKNYylJQW4N/D+mKfrQ109aL0xvnfOgEs1dtXIqiQuU7IQ267EoQ8rkjy3
7FY/ko+UL5jPzD32fOvdaG0iu566HyjZ9+aZudMBpKGBEMNkovy8hH5YpP2dT5tJ8o7QIPYLfx86
w/PYgeyZZDodWQ91qvo71/c0ITJxGjyx/w5zvHbo7RbFdwJ50guzRPiGHH+VNOWi9aUhlStqEF+L
Y+HNDgEuGo7KepPGRn9MSdwDGrEcPprfnDGilmEDQ9fPzkD1cG9d7AvVG/hjZdz9lXyiYlpurk19
TrDWAGg4lpZyYdrb/0Q/gX0OHvBLj+BCnFNw3RB8/OgImkNiRE3PK/V8mcmS1SjylS4UKCulKI5F
HAcPURAXlPQVNc6AY1LFkYmtLQL4hAPOuWpBsCzUFkCSwzbIROLELnUXE6O5DuAZ72OEPh7TVUNh
1MMAGxACPti9txVTF7ZJdJ+ERVNvpARZiA0I6zCC9BL3UiU3488TPrvweTsKfxpD9l/K+K7Q0JOg
55Nqih391X+JpSn4JkGPHr3myujQ1eRXSlluj8AEMU712VqTq7o+xfsEsy3RxaenMBsSS3GmH1zz
gZ7Odr8ig4uYS5mz9L0cUR383JNxrJhYnhaQsQwhOud8eaB+ysRoilyVT42rPFWqQFDzMZYTZ8f0
tDm0QXS1cv0Q/rpkoWMe6PIAUCReYBiKAT6NRvlrSN5TyvpT1/l+najgxciRXpoo7W/2v0lmuwT2
sOylateKMSlIWML9hoSoasKZZS6GrdPZUliFUOMh1m6RWoZpxdcHmcyhsm7S4dQImZb3FDGOwaK+
cJC7LKlfVBjhKKYLyr0lbLrlgvQdALYJIe6KPCFfMaSpBHP2QETxDL63v4dV4cv6dJba+LgrUkSV
7EkCGtu83RhcTYWtHGIcCKVSyQpf3o6iSIWEjyu6YZ17WPlnC6DzcqqD9VZg/y68mI/FR6RiaXzf
nNeKP+HUIaWMwUAO0QOj0Gg2RxP8j5O6d23hOZFT6vkwz2EHdRXJ/WO52sUYq78Ia+Y+7uSBhOwK
epus+yi7Z2ejU+KI1I3c3FokYUtvjpTwplA8WoqIcv5CCcFuJa5L9hi1qUnJPuq8JEmbsvt4lQaZ
CelFvSUt5WokQ19Zdp4OhV/S8ofhPfHa4DMd4YN7jMSMPRU6S+ymKXDonPApFv1ep1u8+vyV8t8Y
8QNcIstNDPxUKz+6HT49NrR78OzYXt0jx0PwcwVyDUFMXFUbtjCxCxGqMNBQ6Qn8kJcNRjSruZ26
TOofkmkF4ZBLT9wc+auhcmzAOnbNtjatLeV05RAr5461pRUb+vLYx7qpmSaT2VaPun+WGNIhNzfg
ygMDT80IdCFAT+8gqrIOiN/kT2e8vOn1DAE3s41lNFTmAH7cC7xtVSI+CV5NrL0jJI0bmRXcY7dj
YRVb56W39YTOdxN5W6kYeG7zIkkyB6tpWxR1aw4H5gmcp6gteQ1b8PRasI4OTUxUbAALNMC9OjOt
kMJ2IgBj5DqCtN6m9P0ur6mLP3NpPdMWqLVePPXufY3NBBTceGGtsgw5ri8LrZS+Gfkk5MrXjv4z
7xvOLnO+lmBAl+tz3sZuGdu4qq4QBSZAH7aR/615q1jwMmjSLP+5eC5Q+qC30soVqU6CPHvE/+IL
PTQpO8BBjW4W5W+lDPHgZpSf/Uu/GJEGJ9rgm74YQoM932OZ1dCIrgZYv+9uIQsLhtrhg6375nyp
OdKOUa6fR1/FiBTpUfaYUj2VgEZZV8MxVmnLMlDs2E1P3xjcpPWqNTX4rxFWrfhzz0Vs9xnTLdSz
Tr7UZmw8sVP9lrE8ImQvVvWqzvyQjiS5oSw8sIGVIAZKt/zyw06xWlD+5/uiGxIn7YdWeKzdwiq0
a71TL4NptZzpTje71A5qegH8QZcoMn51OkT3qK/mrkBHNiDYFHAMrve14r9nc6Za+qlfXeFVgspU
XZ18LCZAHq/8xH6LW/iuaFuvtHxg/A1BWK4AIYwcoXHzTUKIH0AMRVlc6W6mI8EN5zrz06nmhgLe
fyBMP+A56GFs5oofex3ZqHydmyhZ88rc+GhizxZhP6T+J8hULXfpZi7eO0k2sX097VQCIs8wpUFT
EFIJxDqcV/Yu+iPNZaZYDhxJFXaou4Zyy9uqziBcK5unSL7/4D/96furlqo1DfCdm76lOcW8aL6S
3BOd/sotQ27DF2OWe/R2rj31nYhbapSJA2O5MBKQB/WXD0n8MpypuV/4fickPUowNG6kz1DzmG30
NGty1zURH/F1JIUV1aQIgpNigTWg9UHiF8jOcmC4+qVJe2nBQmlWupCr9VVDxsFkxCdTZjBryxIR
d00ttYGoTNkkKLgIRb1t2Uyui1WdIm5JgJzAOAjZD1j3E57YNNIJ/7Xp9V4FiBVGx1DVWmnY2n/V
PEs238aDoWzXydO76zuNg2OnRaZoo0ltT5kTkubDNcQGj5UPggnlzbEmDUjKPSJsDUDXWaEcpebr
UMN/TH7FAeQW0if9U5boXLZVOjgiIxh6H4+0r9biTm1z6HNpEHsxj1ABW6iCxU2TFP13grzgfacN
BRK6bwiqbq/OAZSx6jjRi8XfE3DQnTEIuTOFarYBBMKWa+Ef0yrInj/BSapQKVMzX0SwGO3bkigy
XPTrppnSqPlD6s94JnDp670oYFqBlpWcRUOOXuMJhVcdih6JDySew/dS1B3xgBbxyVjcDXCfWPBj
IwLexMuhdSHSbQM1RyNr8GcPgZEQmVttX2iqfRBAVM7iZxOUySxHAIL0Kig0Lyhxh53nOVLp/K6L
eIWny3p2HuEWhr9DX2hf9nmiIh1ZbKNs0F9d19Hkuozz9caE1l4pUPx3huvzcKw83Ku7hpcTJoAL
bqOkvQemoqfBtX3AP10zcHtE4LtlAVg+HWBBwry0TZn1frJYL20B76x197x4M++NqTzOBqdP+dEd
Czk02DqdVCodp5Z4NIJO5Hw5vVjCB4oKRxo/3E350xcgeBdl4mPYXV0ZZdZ7Q9OQHhX1rgw1SKJf
VTk9DCVcvVpfrvzw/gSsP3om7arztHh7RgXbN0VfrISC/BMBvbLFyYj/38lO9zgh2opsPA0CXwm1
DFVPdRFcObD8WMLjfwOEVCuM7E7anUwh5jo+UwjFdPdx7YuUQ3xbbzfeH2OwTZGM6hd/6UFuYsrJ
eH00/m2UyBXFRGnF0fJ4ApuRW4sW1REkD3MPq6uUH9J7tqqXbTeoj/i/eU3dN/qpdFnaNvkEZZmj
dg3lXghnTXVTF/zGZNySlPC8JIwkysy47XbpkIGWC7QzfQ7xm7W8EuaeN4tJ1+8z7fg6z2ozqv/J
+VJBRCbZr2uprYAjZdg4pXzzLApy10BLfq5aNDYMil2EFh1NToHGiVQsOwGiVuNQEw04bEW4sUyC
HzO2hKpMmxC0gTqouwkSdNH5z2ACVU5gZ1SAGwwMjUa0ZqtpUDhCgizrP4o1b+xGsYlU+Z19+oQL
gTKdddO3s5YwcmDN+KjNq7iMlpXD0ix5KGfZR8mMFvPrwgcbDfXSj6T5deZBI+Es7F6t97MlVU+H
jFXWildjppMs9uLrYdLbAS2BpctaE9NY6bvWBV7u7iY0qeeHhkIES2eEZWS18zmiQsZzl0wpBTFV
R58mMFSAooOWbyIYeu/j9vDHIglqqCuCY37q03zuQXzLfBHCQrTot4PbcEX0mcLPEVciDDakh9Eh
MY5EdBLUwopQ23bBDAfui8T84yH8NTeZsy5DiL5aVTVvc0smPw3vXbo0Fqq3uu7tvAmjv/9qjN7O
J02gyXQV490vcA1pDL2rMUgGyXIGPFWoUwh+A/stEBfrhBJwRxhiF/VqTxEqk/59hga8RFVzJeRV
gy+nGVsVtMKPAWYwSYfeFjS/EMVlx7T8Z2tWkKbp1QcaH8T41zYSuvNd5cWOTpsgqd6iOXxFtpL9
r4NK7bF9XTrwUTYBXeznX19nwJWXVBjix92GCn7UIvLMs1C6Pgg09MmUB/XkQcyMFYL2GDsAmDna
lr4hnBp+Vslm3Ld9eJp5fujXkXO5xftN5xppZNJO/Hfu5ks6dKKpV6k72hQnIqCx59XHE1gQ0qpn
nkq5KR9X2mcFG4/1ZRMKNCqA3LmviCNi8mCOb/vKFOBFJ0W14k+86B1UO1EfpFBsrWOuXhDrQRUP
8dyBSoWzJE55lPuxQSZuY0+0oheEyGBeDvtwdn2jgFFSjiX1RvFck9Rs+1/B5afCj93gtkw5HyJm
Rl3evWLQ527AV8s3sztuJec4jISTw04mz/OPcM8n0BY480oNDMy5UmdbrdARDEk49R6ZiI/zg1Pu
PuTScEYB2ro7YTJL5qNgYMO5tiKRuaDEy6nUV3KEBc3+kORfQ4A54Z75kE3kKjeEfgjWaPWvFbMe
LEBdU4Y+rgtnvdvR4gElz9cPFoFxE3jOrYxhhHrMfeEPu4u8ANSYgFG6EWMX82X6gTbFgA+2qfjQ
eXm81VWiNlgp2ZcfEEohrK1IzGVCCK9IXokUDj9ec4IN85OMTDoBat/eN231pxaVn4kwCAjEMJdM
aN3jFqefYNfcEnFgzGUCJuMxsR91qUoba5tnH+ouycGuORSOBKEGiCJYWxECmE+hMZg/9bP7IpOz
/+y7FN574o5P3qtGWiU5vovSJkPSAt61Bva3q5G3+WCISJfTlY2Qabb7PdKAelA+KX5Jdq35koPX
5T9mb1jbOY00KdBgfvlsWPyeIpo/1aUXnfMGrfFVe4UB60WHLoXB5VpPuaNf0AasjdTvyx4+Lsp1
Uzb+8RD9h/y0fjcy8aRc+zNsMyC5cKe+fbH2XaEAs2MFFDsRQIf/y3zVm709lk1tE8ePxpZaLYu7
MSWtERU7XHWWsofWGSZAySodojGRC1JS0v3o45bVBkaoQSjlnII2y0Uax718MIr6W2NRgfZ255T1
33i3GjiVJXPd/ngB86/wyhwS+1HFM3HP7J3fs/yMuqgKqeSYXBVlF6WtSJxoTK65YIJfAUiWSgza
b053EhdigKa5WsL7cNXLSe6nOttge9Fw1uylUb6G4M5ZcuyCEghmt80IKTilFMeAlk4fIFs4fPie
YcrE7Ua88AoMIgjA/oGtxSqQwnXUiOuJyacZxkI51KmNvIGkKVVKMFSRXtNUO6LOilXJOmAHhCnd
JIIns/U+gQe1BqZmyM8gJfpSaj7rkPxX1gwy0R8305hbvLPyiHJPCSaHs1OhaTfAGR7vRaZUjIE4
trBGpbhPtCSBDT3CJ1jnFOX+n4+vpFvO5Cr3lONYkvj9k1IcAZNJJIdeSIzkg2wkC/cjlFNPtXOH
szsSP/XICHxy4mYWkB3dWdZMbX2nOp9Ua6bZtbLF6AXn5WmPLE7JuXr6ncycvztsXE/xTdgOVa2J
muWIhtUtO4HwRyk6/gqXKb2YOZQMlSQ4tKbhHEi+zdYxSCYFRT12R5+yHLrNLF5n4RULkJ4nU+Xq
xcgqSFMsA5OVvJaYPyZRS2NbUhIZdu+pKFCX21rwbb+D6ryvHZlDdxipSCPZG65SJ/4s2D6sx41Y
/3Be1J2NezVj4EJRrjsH5sR4MioioICpFbkqi/+Ug8O66JdbADQcbBORA88AyUJl+SRmKHVL+QEP
jxvk4BZDbmDkEz74WX06cy5zIozxT/6PyAHD2M++8V48/VbmDreJj44Ndzz9/69ANQFXDM7JuUx3
RM9FLKbIrlBcra60mA3cTe6SHI2ArmzKyTgYFgvKn3N+6UAdS5eZ1savG9oO9m0qWTpxkjB5/mVn
I+lMUASj83jIO+LCHLpDCEnPLgeMaTLh4eNt9MO4MRSf+OkpgkRA33QBu1BRUiKRFU1ZilFpip9d
AbD5IO+3NE3C8jXof6i5UlNW1ewygMrN6Ei1CHv29INAez0FwhA/FJXQvPBv8geSviu+Pktu5Pu/
nsnWIhUaHc9xwthetH9yyqejrG+PYJT134Vd6R3QanFXmTGvZhlUjQILAMIEboEsn3WAf5nvdFvc
P18hxcwelmpMqNOaOAvmcO2boUR5hjxVRYefNkjB0vDdWOFyAKjq4bWx8VBZHtMqrfbGH133NaSq
jhUyciPMInjNkcfyQkM2Z2IgqS9JOMJiwzD6b7FdajMMWJ3MSFRoFv+Uyz8PNJcAXGvB/KEExRvL
PlDQAzfU38vCo5fjrjJaa5Yh5nd59CCfXiON1fnlw1F4mSfAq8+tBFZjN9dl4JFdAJ/Sr/IFGHTH
nH3/45+EtO7hHl9pJs14sC6TIhApiiQ5xTAPJCy0iaBDzbLOE+RRTLpBTf2lixH/iPTSY6BHc8Kd
gO9eJkB/oRwDXXy9QGdE/OOt1TGziMCrC/Cgt5EhTByqT1pVCISW53oOG6OLVoRCB7A2QU61OnWA
T2J4pTf27CGL2KDQx7LsV3FXKgMQKLyYmLWtnUNFSDaIqB2AavfJAeKADJW+66tXT5S6ro/g3L3D
q99fL2J7FhV576+BueQRmkDrzgA0AaeVBcLGXwkc3uicjubmPwg+5s1bkpxpgbpGJ909JHgKGbFt
vVQ1V68ThiLuxjrdtlSd5qJoS3wkVmXhCnWWjFoPfqtCbwx7oWgdEnfQdxBwhaNRnIhwUgZwCJaq
YffTf5I8ptKm6uPJHweGb1WnPEcxFJfssoArStodyCa93iwlTClgri1UiCOGM8E2jH8yd+DlPUYv
1S65PRQyc/W7UvV2uu7FOTNFWwWhuoSGKxmfF0V03fuMyVZvQwDxhaGFCwgoOCiKUgkL/sSLAWeD
HEW5bJrBF3z5VRbi9ee7J5p1u3DlwswdakpDlRZI9Tnzb/RIQvmQWQRU6iVtg9NDWgEEL+f/tlGG
7R+n+Flw2qFmbxBn4R7uZwYQpbiG8Nt7+KFl0nLlRTmSOWWS8SgHTt7DhI6cNScV3u7g4Wi3YnlA
yH1Nkj+L92Syg/Ku6zOu12MaYN+CCNPaUtbl2t9IzbX1NaptC1g68ZoXgPpSg3CfEKZ/diAD3O7X
T1LRuVawxkRTDCa3gt0moD/qpRkqJmycDvO4G2i8w8NRS0W7BWDjMPC0oH8F94yzpjvJmEDNHbHQ
VXjckv1TTrMKdN5OaQlNJrz6kN/fkjeHkAImhsXR+29o9WLcEbiF949yeP9hBXsb4BN1Y4jcP/XJ
/p9p2LA4yRGtsaUeCT0U1HaH15WYSJTFERV+WlwljSA4ErAscB6SB3Ql1YRoyOCDC2hSxJM32PSi
KJRcaToEi7/Z2WkBUjucR+EiJI04ELY0DxnezxQD75s5uF42J3C0PSBkEjnDXyTnBGOiKESHGBtd
uOQNaZtTf2lZYL+JNsVnGYJJSTYdyN9lXEuNFqB0Tlx0AQ7ycmNlBa1CDiIDvUAFnLg5r7UTlrYK
kgseafY4vniyZ84uzRLvcqRjqMTVswULbmFy/xaauvACgK40AN68uOpqBXyBzPrV6KUO3N9fRt1A
VUZGLu90VN+qCokuQQK5/6bk5GjotXH551GQiUj5oTMngxygx8DZuMTry/1IxKOUmqCmsKudbyrG
86iBH8X76jpHoM9I6XwJybfHvzM0KtEJDLxGmqw94Ykuv2LMXdTB+/163Abj85TGRGh4dR9O+SbO
NZIIRUWhNYyQg3HdFvOCpmbOIMDnzMuYJH+31C5GT1Eddq03U4hKYxPeilGmjouZ8Y8cqtaqkYYz
8xwxHUGPQALAoBdranocMSTnLh7BG/8DY/sqNz05LQ+K1TO+P4HM9M8FbBdXleByf9dkimIFMQuA
OK3dgB+98D1fSclCj08NBrwfyib4DFD0x0iLTFAsumJnKVatObdHanyFkvEWIATp2Rjv/dAEp2gn
i/43ErywzzlVSizE/znjhdKRmtDvYadF6m+Jqxh3TGM7CrF/8zcPRlQdOrTuOyVDtebp9xOdIHE1
FFD8yt+c51CtvG/7hh4cb1G3xTJzG532Df71+qV0sgRIPSW/o5v47M2kcmOubMXzF+6uUYQ/Vv4D
CW5j+SvBI2AMRYyuEpqffXHTcnc+lghdcfaDFxy/yB/c0GRwQFbVFM7pOxpx3hedWVhjrEx1tiPZ
N3ZSAxvn1AkpZI1TuS7EFhxAF6s3GIV5njvpRGoAcZhoVZ2Pc9J7dQ37eQmL5h1wgC07Kjik4caV
KAi0daH2gjjXHOs0y5l06DpFei4PueQ/OYg1uoYzTfKuwwKCGMGp4w69tcpM7/9yq9maYiysDWe7
wzFD6eGsijDf3wxie18LpC1mK6F1uqDQBJmlbmAFJcz+HeURdfk+/lPOUaUrLEK2P8u1OuuEC5+d
PSbNWg33PNe0hos3pHTYCKLI3l1spp3GwfHEs55cy7UEDV0QqwgX7wksgkzc/aJ7Kjzcwd0BtKzU
lXVfncxHXeUEUVcJHRH9SByUxVcswNaU5UBeo5Axew/ejrxFeNF/GjIt70X4uqEduHJmDKs+fBzt
cz93E/xsKr1yAnBD731YwvicyTdxTG82T6N5+GoLYZh8b02ZGi9Wi+FhswFi90vP5EmyALMGTkQ7
hxJgnBi7IrQbPqwpyf+qTKsB2clJwfHSUN1eUDIo0im5GZiB44LR8usI0fXD6hUfQRu7BJiHUZSn
/D4Jdv5BL73o4ek7fZ1JvxDHcy/FpgtYbA5EdaaDq+/s+PMrgxRnW4EaEMry3yx5o6KVCRrEyAZf
GNHFP1uIIMb8A9ZFnTFTgZD79OPX/lf0DXTPpwYmGas9p2gB4k10SqsJ5+aQTsH5wNjv29wY1+T1
MMFtT/k+Bo/rYwC8O2dkH6UnlSe28fJMk5oMR/OIEe9N72DxqkEDVU53tI/sDxXMYLW416dD0ezh
uspDHXW9KorwKhqld5FyYGmTIgApMEZB1KJ2QYvYGY7WI/5E41i/csiFvuCKIkj3H3N4ly0G4eRu
+2ifes+GSEQNjBC9wcUnKiZ9oSZB7PqSrsI2bHRrQywjMQ0uoOPn0eIuxy9hXw5FJ27ct1ez5i99
HucgGa3FhUBdrqfEGCS4kOkgOGK/eLLrklEG2kQomuwG5y1u+d+YpZBzMotvwVB1dP2DqeJ9TH0x
25uNK5PIjjCP0bVKKGf3LxF0jCv16m2cVD34kU2/oPKILztPpt/V+wLlB3oiT+NZqdk+MfVKGBOL
1jL34TnvXiXgzcdifdZSexIdprdNqxaDIna50UjfOA2AQUD/UZKO7rIDQdhXhV66dviVcjTc2F4o
DCx1kVv7GKPRf7MsbtN6/eX+0rvGHzEYvkr0pM4uzvOmq0emHU/l2nfg31qvxBWVigf3Mc98nAPr
qxTvebbtvv25IImQqfsbngmZM/oGldY2Cz85+di4WVx/vgPWjD72AxP65+YyBQOU5+ypS+KGFfGn
ccDniXdc9/n/6i0kXMV72Kd8xvSBS1ikZC+c0xVDOQMUUZ8CvV7mR15PIIyHflWLmtJH/B6g+F3z
Id+mQRxc5dU+v35mPBA1tdULPKWbYuXpIfnvZjWbTCp8ILnwBs9yrH6W0TngEFc7Ra5S1jfW9JRZ
smUD1891hTBx0pNHL+Kl9H0POiiyKsjA0CGhlPdsKt5bmKQr3xwAHr8AXrUvZ8cuu2jZYhSDLM7V
qBmtEvRkS/YxnQHcJmWOgm4ikRoRJRat27YNs4kKSt9nYT/0iwJX7qmgdtzID+Aa1pp8lyq0TQKT
zpdDgA2Fd0n7yim7DVm4NKRJYRG6Js0MiCMnqSFZl5Z1JgwQidj7yM9R78bcVtzPnKQ87JXdcElb
p5b7A6dUJ2ixzQuS2mGERJMHFRNiLp9zM2TP53C28MOog5ATm843xJfVLfoxYxy7qzTs4t2KlqKc
jYZKWvXgmu2lBALna9K2maJlJ4+L6WjliWoaiTgANm0tiff9ycm3u1JzzcpX7SuThi+R9iFUWRNP
RAY6VYU5u3jRNBaf0K0VOr0rstj/bWIbZUchibKMTLQG3eWPQs8ubNnIPp/Rw4+kCuaaGTrihisg
qcogUxAh5k5P6UuIKssiBAhwqW85qQtblQeFPXyPp4wrbUU8aqwG724NqAFp6GzHVHBlDV6w94uw
LIZ9QESK1vH59rx2YYOxiO0jajHnaT8CPuC/VG5eG8kXNg55RpnhRzUk7CbazqrnEgbMyt84POuL
dYP+YOOTGtWTGHLdEnK2AZLftVJB+NpRGwK9z+wfvCGWgdTma21OxBpQINnveWHCOzOdrg8KYj5B
L5uzr6nBa34F9Jvy2dnH1H8G14e1snFjGPZBUEIIvBSD2XWZiKnsQLxzKHn0Slz3U8PNvL18anPQ
xlbIT3CuaVLs0pJ9wW9evJD/ROjt5DzO5YbHaovGH0VHm7BJh25iMWCibpYySL4sQyDfJJK5E3IV
a7kPyRctoE1UCebaSRonaVr5obNS7gkEtJ8hTzGo8Y1C/nNJ7aTFCXRy8b1/zgp+sbxSEhvsS8rc
sdMAq4nONFzEr5jXoIi+E7DS0/BAvc3+Wm9hUJN+2lvwCMUgg+9g6/6S9frXn/F4XpvktVoKaTkH
uhO9Rn0nQZ4puJe8bssnbWUoKEGqwIIChpePYuuufF3hG7Je2n7aPrjjaq74Q3xHdNi1+8d9fuRK
JtaAbOrxpwgrTz0k4finHSzMqcGPzYs/fxY1t9ds0yazXwwlUgPDsdOE77gARJZYE9RqRwZUBmQp
TUHW4F06Dh6KQN5+6PjYq5U5BvJde3gmvgg6jOnwx3ePlXaT8rcb0mCPa/7yv4FH52LY8vrd5qHT
ZSa4GAgdfze8LsypaeQF3xt9fNlgWLtxzk9oMbPr7qnRehqdoMwmNbOrBE1J8Vj3K28+XlvZWFfP
HZ+9bO9PsK5F1WEyrlCFm39o8m8BdQCDBfnRIETZLXOD0J1+PFmKx5X8Z20/6yDBhMHCMBqVpLRv
jA4GcgE4uzQlF78q/9bhPfsjqI8NQihdTVi13FPguqNVJdpwbbT9dj8s/yCbKsFDMg/ZuFw6ekIs
8nX7CxukEycXNnBLAYowcRaYHhCcI7yhIiLq2VxlMwZiC/iq89uZLMEvFDD+oENxqjWDSuWEtL/7
7/rUkSlj4rT4MyfMTuPiLGJN2c6zz7Ic2cB46JXalpBySsX0DRDJ8r4jrLzU+jYW17+IsAXq0i13
uQslctLNiYaVp/AtoQ0pNTbu5ZDozQ7sbYLC1fvTKmaoC1L3zR1n5O5jilXrmTj29J1kngr1/vj+
wbVCLJOQJcgSNpsWNUnkIR4sNMqhjsHmzwM8Awcge+BElrFhwa47bVkwug4w3eyhPfSmxFuk8R9s
yPLuNp8fquw2sEes/wunI8lHoBen1tQPBWsAFX4wpt/kEr2/zCFtAJhRlD7XiOfMU3RP75KxNKLz
YaZvMz5FanM+XFGp+bfHHo4dI6yPbxE02ZI6XjuhoQeS3EIeg4cteYEmodztjbKXsgvuJo6D1rDs
Uxa7OHuax8fp9i47x5UQG2GBKeW1hEwRDlJa4eYmeVoqq7sz6PlNJPribDJBmQrY6GEa5RUdX0FB
/HigzueKwOCfgBn4c8abwljMpirLVKkXkCziTRzk16G1piXL6lBlToX8qRohFO551wL0mzXyhy+Z
qp/RjPxssWkNcD9P6MIGVH0TtD48eYANbA5WEA/+AZqH6tl+kFOKGfuyjZss2Gas1y88HKfTl2z5
p1JJF+FC1o4pVR/rV8/dl9gTm0tWMrHGkkGdSy7BDL2Kcvu8B4HZRVUvLM+jS1KVDZZTWXtZUoZV
S2WG+CLmcEvcqtWjR+BoMSdI5ht49vymdP6QYkNbO8f4maePa2GtH983Rp+F9koeB65ktgvHE6CX
iDYNVgBB38k1ieYrYFTiS+cC3aYLVEzYvMOfeRVAcKMlZdZ7eVnlpMr/mT6qVt97mNH3RZ1lQHqz
iieVfrBtrUbnICoiMUUoIhvANEGDAhWhv1iIKG55oY09JXsMJqMxNaKJlXGp/GfC5uFM+pkbyAbP
8o4X23riSco6wWPdhED31+Ry1hB2e03lO6jeIt130CoVoZPawqytTbIQidVcUH9G/70Csju1iMSM
pW5PkyOdkDpa720KtzIOZrdKsZ2n1xMYB38Hq1/VmVSWZSmbaohSNzZndTVukWOxFAKWMzMG+bDK
eNvtjYET17D9uQmLoniO6cPAOeC1Ha6tTWdDWI/MZ74AOQnGaPeEinkVhRgX/m7WmJQK4/ub4S1D
JQP+2ibLUI5PJqzzc+/FLuToXt1SscsUgT7V/j2IaQ/LbA1CU3lyRf03K9cyIwwBd5W7CJ1vlSyi
UlhjX+7sjloFZJzsSQ0suUnhSlwKiz+DjvrkuYrtJkE7DgQMBcka6VRu0B7IPoNSzEkMsgG0dpYr
wmKWfsegV7nGKVtQneYT9KNNBi6VZw0zJ70ErXt4R4yoA6pRxRZuehKAE6sC1Hl07UBSwLVXZKrl
lz6BJlMigRncY4KYll54B2SP8EheIB6Sae9zNF5bQyzZwAF9Drr2AJ3POjxdaCM4kyp3deLBr834
R1iUZmM2PKlezE6ti9UaDCwca5fEq3W85Mbjhwx3UG8TNKwSV2LngA7X3Wm7OmJ6iYiONbIozZFr
0RE+0S1AM7Ls86p9gy10l2FCCx4DAyCe0ubHxJgKyvQOgOE8jJg7DBFznF4tJj95sxJ2BWv0tzHi
S8H4RDV+XAf4lZACoZLZKmQe0UzBOgRTjxP4iOqD0ufYLg7ey/LxKZaWH1FLbYe4uS4doCfu39jt
VZ00gHQz9+QoXCoabb9xGTUKjAfrsBsvayPu9zL+biPvaIp36XwU4KHqgcHBiGf9VcFWqDY8zNzr
Z8lfUjKfzeg1zNSDFj+w3QkPSkPfx6QxPf0ZBCJ0xZ7vEIvgyyC8/hkizw4yA45xinaqgaXiZgmG
gqOxNio9xzI1wuYsh3jXh06MOfAjNY0HEoX00zacaanwcXVwaJFKAJQkly/av+ft6ZUrmHE3e6pd
G5lJqawlXyT1Pa66SOomDPD8JoaM1oVlujEbIY23+p6AjKTpU8GMLOcSD/rtD98nxYkxOeNNJv2i
wTYIfmHa2u4pAR+RfWNDhA1FYsZBArQ+TQKUAQPNQNc29D36ciKRr4EWS1WZpCAs+t5I29w1CUlj
4N5ZRWLmlaHCcBwHgXk/HFIABk8Dy4RN/LeBBATo1wQCF5t1jA/F1sEp1vDnSX6KqX58D63gYQ3c
qMZwkLzZQF7fYjWTYlCHrP4k0cvcjDnKeqTAHVoryVeMkhqPWBIMdIEg31aT0HwwSLquQ+LWVubw
q5aUBVcJMl6LbHTQ1f09GbT3rdI077EB2RnnMJB5Mz5bsXpos4ITwnwycaNkyXQV9CBXwJ2jB74U
O9UywAfK7QjpJzbbkQ1HCvJFLmbb/+YIZLh3yYo+vEF/mz72a7lNb5F5WsAS573fnYNYZdfCet+q
sOFE20QydHWUH2vhvBdLrlLoSRO4/ZDFETrwFL/RRchUJQNZNzglAYxDaX89hQJ8FzdS9Qo9ng3y
69ypqoHyAPq62EN/Yh45699Ynwh8btIZl40Rx6FRTWYIi4zrVnKz80Xkz9oVAdtSP1pnuT2TsHCw
sGQ+2IBVK4+w9YCNBbmpdhD7PLMiMrhropp0JHSxGJVT9//w9eBUbTNjy0raWTUbc+9FwE1/KmZm
onE4bsJReR3cdJ2fQiVC/H5+hmVlLIhTcBnQYuPu1Lj/cVvaWCfu3IRF/oYz8GH6SXUEEOG34p3t
Eht0SsRguShelk9fznyH12mddHSyLF9LPW6ZUu5bZ67FucQCk+yT2JkRDqnvbtLDEhVjH+obrbb7
bPLXXuJn/FbiOEeFQBq5f9HQSSLTBBC2rdwRPUZR4CG2s12QTZrEgjoY7IdrSHDXk6vgm9Cu91FT
hGfhZBSab819d1JnbXwyOEcoIvWEr7bcWLF8j9v1hlx+VK4oFGZqiK54F3htIaG6EX+4vG/3CcUg
BI7rhJy0ozppBcUeJboi/v7C43WdIavcyYH5sreInkDjqQWAWVSrcB1MiwW0UYK+MTRv5KeMV34L
hFnBu7/2Sbf41t0LTVDPFnurjlDVJIAY8sBqBv8YDZQl105Nh3h7+oyZxg8q95slLk2Z8TrmGyIW
MGG1Yxs5MhM0j9esz/wNYGKCoQDD8I749n4S/wTNyPTNLqSPY6nV3irRzjPHV5bZf0Z2zQLuoxUu
bqivYFPfIhuIO5lceS88uqF/8wadrlNzizuXb8dLgxHb30zLOaFyX2AXYQDAPLy0dtDKI86ZByT3
gE/Y16gQjHD1PYCIwIqwahp6+rg4C9/UvR58GhE2eFE0lNHrwTPBivnOum2sLsCTS2kjx91HuzOW
tmBPm8LYVnSfc4cONl3YAp9KKNA6BLBAcPKiOtyUF8fdKY+tb9vpAQ/TyT7ITaOQGepwD1u99anr
ftk0Fe7uR8QCQ2Qbs/p/7/uX3n3pKbObGB5iTQQfJGElgwOxhOIFoSVOHf4S8922ZhnvKMmlA4zC
S5SNIBqDQxABX+6xeNoItbZzYyC/ovwj4FueG0qGSkEniqwPiwtj/O+nLJixYPn96ljiGFsPwX2E
exyNbH7Kgqoozsn88azCJJCpsTxbiNWrnFe4fKwKrQgB23K2h7w/33m+e9dETQA897hVnQEeCnst
sMEyWYplxwZAMn1QOQ327rlAVV7HnXB31jXtcdg4Pud7IyUQsymTILOdpSOJLF3h2xugIr8CUkju
x4I//wJeTKUmvJd9FtYerxP//JgSCi7MMeCzhW5d2YE9POLx1ingx6y8qljJU23xmYz3njb8xHgj
WurEANdVYigHzMvE7n1nTYgfFfl72J+Uzt+u2S/y9oYoW4vFPASU6lOCmmEehE3410lzE+MBXuS3
BJ95tPLqWXtf5D4aPXM3fr/d3zN0zCv+HPUMtqrNCJ73DfOFp8DsE6XWpPJRrT9CUSykWm4/7uyq
YMPad31rzBZhajRPnNEhqtUZErmo088BAYuYKea4f7GjnsxDIsLPjy7xkYrEqFSmeEbZeahEmqZx
O62XKCiN7qB3p99SV82F5I3viu1t4jujNTglwkslRBel9xOjfF+PRgoy1BM52QVL6S7R0LC7QSk2
oJlhL8Q2D8bpqv7yUuZWVAbKAI8GX7vnMez/LHNJ7tZixxpp+VG+wkaZdNIUxyvf4J6wF1A8KO5v
MYgbBbeADFJbZg8JIxBB8Sq3BGReep9FpQdjriaVgf5lysm5ohijPXfbunbq7Q97yk/sDjC6VAYK
9xXSDda9j+TaqX4n5boWK+Rh547G2zNwniRQbe6qZ7IjILbauPSrrGSQvilT0sJrNbkVBqV/rt+l
QLWq1wtduSb9xld1X1O5ESG4eJBNIQ2jbv4GsdgMiiHMNrErfoYeRIkGvLQvfG5W1T9uI8n1/J9L
TkEnA5SqDDScflF7YK5/QEc1pMAiG0qn9MYRuU5tGYjw7U/EAMD6K4JT2Y/MrCwSMFjDI2OrRu8G
q/2gc1Fc8SsXdAPPDWWo26MGTzIkiQZqdtmd9f5r+0eVYKrmQtJXxZDHGpxSMXwND5N17epY/EAW
PSHsMTDtJ2kk3aSzLjK/UTmZ6aY7Y0KdJas12S6WYpk0FtLXcRXCNVPE988OWGm70Vt6HYP0WIiA
2mK0IV573g/31+mH4XBljGx8IWysQV/KPkLCvbs927W/ePUcfphkwJ1h7zlP/H6OVQfq8WmnxeTZ
22oR+73sqYLbvGWno2rZKK0CoZs9nUWO2qL80d5XFPACvSNhoJYXlUjIBDd+mLRcpY9BhmFNM/Wi
9xV3Hyxrk0nN8CmBL5owBeiXylzb3rXYN07Ny0M3lIFy8e2DsGyQ8dStfWDZ4VKK/LOmCVC21sE7
Ich83fPaqUM3+i9KbrImIKvcqHdeguwZBqTo4dRIirgzsXBsWYTLLOaiTl6PK61IiJK9Meu00Prb
rJuQBfJ7rRLBg4Ke2OMdXyXerhFZFKhr3o7LTWz/AC+0Yi8+Bg+TP9Nt2gcZXe5T0BVwpddmP6ZW
8hzyqS2clbjiAUUkvKBZ/FbG8cRP2ZYpyczjvDAf8/Zg9HsX6+0isJNeP1NE7AfjIV+0W+AXLV7x
MSwJmix8hihyMnc2TWU8M3CfDEmOX+xrD/OWwdC9/MLbOzIv1GwxH+vK/V1uh7RtEsp9kdjkGTFv
3zzPod1aVCkZvwcUnfxc4ty37V+v32VFfrKRWGrrVMhuiwHTJTUCbTmyd+7qnEy0jjXWbrS1/awy
qi632HGkFYbLgpPx0fQSsVv7DqLEOZGQNW/47bpyKfWGOxd72ZWgZjGfz6WAfO5V4MQ40gI2C7vS
gQD8zO+PKGljK+Qina53CKbbtWLW92loSI8vNdxclo4dG+EhMDk3AKTp+DSUAqxmLCogwDjCaTcR
0Cb9sATUvnVrXPjkiV99D5gt0t/4ktkiQQD5FtJlSqHdiwTe+HzZYCQngqW4rks6fBlxk4Z8f2VD
gZOj0J3K7xvdxuYNOcebiVYncd6d1GUXZTtN093i0zwOWBZQ6zziWi9c8ZMpgY9gzxwTsId5r9Wj
iW3A3I/Mbrd0zIEd5EqSdSuyETXVUthkcOK2xSYM3nVMF0AHTKaYqGvF6srIVbxU2+ALxzOpq5Ba
TiH3F5OAwUyxsNXaPacHFIAnYhdMaJ1Wvix6eyoZE1RdISBSQRzWlNPn/uAuLyalg0JryY1/Leo3
r/8X2cEeAnsfTDmEXhm8DqfgBgrtvff3IP/CoRmyBrXgnoq1lmVr06FCvZWGT/XJHLhBY/cM5lUk
TJiIxCOPk2kQCZvkioV5CEyhu5lIoRiPy4IRahHfJI1slEKaBoOIpw88SXTEIdOGYjq4dko3Ksnl
4vMXoV7DQJ7IsABkMs7r/i1+jkQuASi43eIG8hdQAmKF6NNux+H25kZOnx6RHLTOolwDSm10Hnzs
BnFCZvijYIKwEHCSexqwiMbYSjb2wMtpG9r4KMey2gML9OVEhcPDkaJUKM+6N4oWrfB10DNPnrOp
BH3PH9IqXXeUx5FKH31Bv5biHw1nO2wrwcQY8Cfol4RU+f1wzHsGOSghKZ/ER7nP0pPe8ZNU5wzm
I7tAPNLsVeTd9WJgQ+OXAF+QhRj5y7u7RjGcofr6nd86pRiHgwTCiynds8oSpviyKfcyvV2ZGWoc
M7y1CwZ5sukyWukGdEjKZktMGMx2hXVDzumZNVKTRRkDqkt7YRi8iWgMzYC3SQ9V29cC5q8oRNFO
EtJsdkTlM3Bir9cSPBFq5JRh/ZRkc9ZafMIpCGP+o22ualk4y831Y3LXssNLUtEQtqeEquA9P2xZ
N+QnKS8Rx56uG8+nEByt0MmGYo88qHDUtgsZfUfcUOJ4LlU0Z+lYAV4ktigzs5Od3X0OnTgzemOp
JtxUy8si7dOBg1SNxTKNqD8ikR7iVKjh7I/kfmVhTb1iWq93nSmEQmawPQ00zP3vO/FbuhReaU42
52ccV532/E3V3mi++mBaiZ4pJNdi4D0PgxzeNUFdb/BlfqmXxCTvxXEspNnomJMv+7JlBEl15Zkr
UtsGEwo+sLlrjSFDX2plRc1PQPHiLovRM5rUyZPUM3G9KWPmXytB7pfowcd8LZd97XF0CuVCr4Sv
gkU69YV3M4U95FbggbpqupD7j6jy4xFWjDb2dPxFMXpKrzOWyJ30Oje8Se4Rn7/PXdFWta4UEhNp
kiG/hBUUTzWtenPG48SKAymGAVRkeXnQmUULXAlvPzSUdrrfwMsvXclYt0wcK0dTR1fbiXVW8Yuv
rMHL2deHwgrrvf/3sH/kgF2fufQE4wQ2E3qUN/t1WW/svt38bZhr4B+HX3u2KHhoRLlcoOMW/vO2
Er9C4ZaUiQZaBv3fcnmGkHIYWVl2BNlmqf1rlCKXQKe9d2PMjHrz9ZEKcwEIgx+Iarwg1Z16Hizt
AaC1gp/VJp/DRT/4MIEvwbDIrSHIndbLxP4I+F2v048hNc6vULKMRNf7O3rH0TZj6pAEk7ILm308
OXjSSi7yIsyBOXyOy08qaOWPOuWb6Yhm3TmWWD/Ljo7tjgdUO+PrIvLOri6fDTB4rQXM+wIDkoZM
37YTnlfsiN8CQoCzvM7ugfVP+5QEUtGeHYbZ5J5y6Mx3nTmwmwOZ3ZbCffs47S5FUUMT1KcDDr5O
Wdu0ODuOcIuqzueCJPXKtFQdDyQh9UmO+1sMwqTxcoIVFxCh8aBN9wW5ff7b35vT2Snbrij4ZuTL
wsseDZL74k64m81XVyFGWQ7T1uOpLKfD1orC/wgU/TNzSk37GyCn/hVu6lpUPO36xGwbjy4lYlUA
YouOaOgM+TjuPDX/zJ5jbPeAJs73OQYQ9aXOZHx77Uz3kJdejyJuewAnjo5uwvBBBGO+hCgPhh8C
55gUhvY3rnRwTi5+hhseOqKWfbkibMZl+xINQxGzF74l05yCXdwdfcdPfBIaieIYuvhkYO+nx/sC
WAjQRFBYrpIkKYwSFhwY7vYXionzJCu6GTw45IvTSW2UreUhkVY/mV2FitVrTUD8HnoM/FH4Fxtj
PB6VpMexxX1IqTGf2gIR73Q3jamIcxp/YmQJKL+XDR2hZntMb00IV0VALFApW4YRDDN6PTg7bpVj
i0MMJBhdnYdcgiyF5PyiS+M6AsmtcbrvDyedMzsuR8GE9MP9ooj1iQtHhf59DQcdWXaiM/drsUXQ
RoCN1RrN3ZaJiR4d3fMBvyOcg8dpK6rkRGdAJKCm9vwKS9JvOMfAAAca44l86C7tEGkHT5GbTp7l
1fobdp12WgAT6sFlr6BlknBvytPeWcQ2Cvcj8998lH4N1K3kF5t+eKEye/P6qTh6xaaZLJIeVPU7
K6HjszxSPEt9E/lic+7qm6E33rHrLwXNRNkq6/aFkLSk0ApajiXc+bDmJYaxP3G+kHmoT9U8UxJR
8W/5fDF4Kwd4wccFWunHz96s72vN7+RgMJ1rILGo+Sg1fjKlr5f3ymqoaUGLZplZrh8DHwb7MsC/
hnUS7tweDXl/iS04rBwEEC4Ju6rCP4uZPI7XeMTZtRh/p4lsDMYcDqga8Z5HEnZ5Iu/vh9DsnIQk
9pZ0MS0I4mSHDC+kN2q4YfbYRrJJSh8cqnLCZbr190W2iMNdszxLKYvV9VsEcHwK6gsLbrICqYSx
y0zJApdIY5Jzs1Nuf4U/B4eJF3gW7McWrAzTiaNx9QSJ105QQmG2sKjU5GAG7REaucE+1A0v3ViI
FY4Zua+Vt4cwl3kk7g4UW0QO48XkZOyoAT0Ha7hWczHznhHysxJyC1eUgCVrrvAJpCjNVoYDXrps
pCCOj6/fepo83VqZY1RNR5WGTy3GC8M1RB4r8WERIEODzWyP5/XBcEWeHHlN9YjYtN4uaR7M5KCC
w1MC9T8QZRejbBuefwdvF1K2zqa9SWsFIVF16sLidGgKmTV5jT4Zhi7ySzkPDYbYABuLuspkdGWZ
3hx5oHoG/2ZhigO2LEHdoCsAyd4gfrY9Euh9dJxdcGT2naBk5fr+VJGoNmBUo5z9Qa52zbwEjUf/
xH2SiWYFoefKXg3e/zHw29YINGNSoWt28cDcdzuRtQ03aKLW/F1lDDEqcnkLEccWoO0G+b28BROR
XCBeuw8dwwVCENKkQqDjGZ89+ltpKtSuCw4BX45n2kljTkoDnsGeU4RtlhhZXuooQJbHzB+fUqQC
WZNq03WN1OuSOaVDvB81nHSpaOoJ5C5GZmb55eLAfOTf4hy0miqr7Ai7mI2DMJAPmMdUPWH0bm/1
OQJr6qK/PM1Eup/WvhWTM7TfEhzKghLnn/eomhA7xR6uSBOanOJxH0whGYbGZhRurKCahb9HRRAw
VyEuHxAqFsZ5alBYf/3yY87Z6J+Kdoo1k5JqdVMjhkUgzvbGOoCYF+4OgerjO3eoCi3QMRa3flEi
GAElLBm6a0yQrEZjBYy4u/oGBUkZdE1DXxNFqCMwwoo7rs1F+rnve/LU3VyefSkPoXTiq/m5Qxxm
N8Pk0uYjsxvUdOP/oNMLPZUENAPTAosHLMuypNYJJnGnYpU5tR2s7F9Z4USLeFfCDH5SjvgfO4WB
PhHsQPrDoQDOvX6Zgrkg8hRwYwDFJf1MZd/gWORvCqAuppKvt3YT9kiPEQ6m3dXIqQZCJDqFAXk7
wbf4Mlf9/4zuDzfWoBAdX3RbBHWHAi/ZaeZLM750xULkk0cky+wwjFwF2PWKs7aU7QVChdA3o5DG
Id5b6IAdr4R6LunJkeuMUNUr5tZIpI7aVBzYXAjNWTWxtgPgCivnqfCSU/++n8FSYAwko8AlMpuo
DJx1R5AGhJ62dsOEicCJQWUrlslVOAZoNftt/MvXnXmXIQx5KknngTN9rj4sQ+iCsGXRYQ8UPFLz
g98RlQ1J7gV+fO5JpwE4iZplZ0wEVSOuJn5oRj8p2M1aUezKJoiU31/lbGJYsWfIl1eB3iREBWhB
c1J9yhxRYuWckjq3Qxvg26wQLxhnF846p7s0MbtPknso7jB/5btYftBvvLd2n6YHhOXjOctiD1kp
ZSDF1sYwf+/zC9P+jp/E35fjmWs76Nfcowpw6sCOFWrF2/Ob2Fotr/G+0uvvbsvN/r4ck3EzMY0b
1Q/iX7mQbySrJjtI0lfBPgjvVRn1Dv7pckbbL79hXYloWkPF7uE64T4W5RY0AqbbB7dD5XF4MK2p
QOmhP9jdpeKVgzV3/yiigfSJvFvfl9ofg49qxUHhPEWeAUcqkcztUY2GTm3BrUqzMpM415kYp0cV
14jP5BGq9maXD7l5Hv9reRkRmcass/zO02YV/QqVrOq2x2CH0JnQSfzE8O4cCkyGDTs5PXLgN5Yl
jSr42Vpb/OeiS4BUTcG7DUKJ+/qf0LygrcjOZ8tn/k6Ca5Vw0uHXBz7jyurW7P/3djPj+ftFRQhu
APo/p/t/lf+qLA6ycuJsFIasIkGUce3AADyOjWtu5tS7QdgdZiLTDXRnuZPn4tbSs47KwxCwRbGF
oI38N8YjaFexx5SDOwNztFFkmhRN3f621fG6DSXJWW4aN5U52Fe43wvS7GzgfryIFM0Ek5TtOg0F
xf1FR7wZ93jAedzIYijccwXxqjXslXrZvRKS/dRJMElYMW79TBUHjNVqGCef0qUcSA4/q8zY5tUr
JzR4Y/u0IqR6BH+wblEy2pG0TvNrsdc0WDfhV/p7HQFwYyzt4GtDp9xcGf5wnjwtfsRFCaDDsweO
a0o8349qTck8VzkyMYxcUG9vf80UP2g38IJHrpAfzKlis80Rn51WFw5BL5TdoiNONurpGk1Ud/8g
+ZZdCriR8QVCWdf8IUCAYfHhw97Fo1JLtZJ0qZOSgXHNdG9nv3tcHuEc5ACstlw57+0+81fFjClB
5Fel5fLfa2qxcJMkF+3cS7Tyt2r8jVAV+zeL3ZFPnUTtdDrZPJUcJq8nL3SmxVDFhEah5G30c48r
42Fd0N2Kq2PCP0qovIKgkinmBm029WPU5kHs52Rcad7bPsAzL0lAaWR4EAzPWerJdcQpaX61G/48
5o1wl+pTx3ErATV3Xl7nBmnsa+ayhQ/+IEH9WDTRLm3n1ND9U6JVWcGygLPpNTB2tooGf8gYU8bQ
JJHK9mU6bcvSlQ/qCX+MQHZA8x+1XiSN/wdzaS25cTqFTja1N5lphpa8pzj/7a1C5PvAaaf7r4KF
w4dHx3MVR4E1ynbzexlcpfJ9gSMGmgZk8lRGpAP23Z3ANN7zzkN2Jw34+AQ/gMrajJ1Tz+NfqYAb
cC7A6nu+mU/F8qlXOwuCZIW28Y0yiDPPDOHOJ6Qigkg8ucEaPjVJZLB++A8TRr+vviN/zXUr0BI1
JBaCgYm53HKZzauNbBaaOIAYiPQKRbZGZYLaj6kvxRHzMNdyU9OIfTtopUZcCbM7Fb4UD5Febuhv
ot8Ky3cnlnADgXqwwarlOCZdX6SUUELr93Y0OplPueLEw9S/hRt7BJBX/3Z1oJgVPuhXly9VDW1Y
mTPR2CeHlzxvC8JoW1Xc6qYASP34IRzWjLQEu54DJg2r/hINubiS1bdGZYXGAVrLfg5ey9fSlAo0
i1JgDj+/oihDpmcQaHSfUBVME5AByT3eRI9OTm1xqssz0JOqJaC0drGYjIkQV2Tjel7ta//3fGKs
WHGulqMjyYguB/BXKfYQYZQ+QgFfRoXYyOWHHJDTyel/yNRIGZcwcnpmVgWuCNfLnu1/XkJmCUYc
sGK9jmnnUiGP85L3DjNVOfs5aRZGvayxQcENNGrJIQFPPpWA0s8hdw6/GMJOebAhYoIJkoUaTbb6
F6UrTHQVOS4Ac8lT17TrOnRCGZAd/e69cIyccNQOAwvZz/vDVsiyh9f3eOpiAHPLyV3jcDLKz+NG
1qxNdlpOkCMw5xtWgjZ0lu56nN37pke7IJJrCfL0M0W6avkYtszb1FhFu38XbeKXAm2/BrwTW4Ce
V9OwBbzVUIyuu5dX19XkfrI/78qZ8E7ZRGbWMNLPEgI3GMZi2kDG9wnRZx3RTvOItO8X5NMmWBpO
JPffF2w9Rcx0oP7FM1asDvRgyRMrIdinnvsZFvOm7aGhGalrBsdzvJhHSCsZnt0Ks5O8wrni3qUH
LmndLIf5Z+XrVkbHS4F2nbQ7+2UW2yIaP0wkRgG1kA+aCt3T92FuYhObp/DV4JfzAiioc2ArWLLm
nUlDAtzt4nAuuSleuEXzP3xnq88yT5Ra0MV4y9zN5yiXxVRHltUlxMQeyR8UxKE42iMZ2JMmCe/l
2KC5FLR9EguVPDe9KN6LD1IYAfs20c7qdrKABM08IB6naTWFz1cLKpgPf9RgOs0KFdBSaZLUynH3
hXX13oxoogd1eRWASSvpm6CBaTo55jHNW+T8WOAmaVvlUPjZh/tdRGjAKNxSSktlej2UhnsPh0kw
Rn9Jx19iPHrlSTXzczOQhAo9XD1a/I+SKhpYTD2HDTz4EWrVYRL1n//BYpPxPLReUFYlYa/0PP1Z
iZiZai9pM6CKP0zzGH+rr9baiC8ahZSOeePL1Pv1Zdys8WD1FMv6XU8/fh0DTY0Cx8pFkmR+fTQS
5fhka7qgXhPKhtAjBkY5O/u8wy69H7evSKcsecpD8EC4tw8qWiJQgdlN98yc3GLQkRWs3JKXouSB
jMuBzVCgP1H+UKoySj09ffm6auoqkgSuUll4Vz4USTXjbVUzkxxY+ovUuONf22tHtNhIANYZQqTk
2a9a2m7gIJNHd2mCY5QfNYJQ4FJYblavCdQf/6O8SLTZGIVIyqjCQGDhrbuJlqQ9IaW3oq7dRLK8
SIjsdh7kA2M14MSd1BSqZ84l4t3NomiEIu5AckWHGqep/zOthzklqXYhcstRKVGyz64OpigV/vDc
G4AYHDmHmm53+p711QXquYolAtG2H/g/sfbHz7DxBPWsRGaP6vr4IxiunCFMKYA+u0NS+CTk4hp1
cpeX72t5HM4boTHXeyImonM7g1QH9rLRFVGZu9G917cTnXyGMrnIt/DrsnGjPqWLeAaqwArtQg5C
u9TM1REKiSUm4+APqx+a6/qBA51ypT94ZNiRyrymGjhYrTk7+5/i+zvglyomt/M2weaItF1x+T+E
idEHipZj2aK0vPBiSnk2sPdIDKE0h4vgwk51HA9ypn4dU6b36V14DK0ulm4nXH35iYPXrlM+71Rz
PwyjiHTPYEDC+ypiMrqRSdrxM6X19GGbQuDU32NcW4vJbf3ThND/ZsK8FXh9rg8GRUNPQQXHpSWY
91hQpSXFlAm3+cU6rzJ1kXbRiZD9Y7hMqyri5TYHCFfAKHWtXD62bHE8FFmoFtcfdLdDZlTxW5dn
/LxP9BztpH1l3tzzLYrF89uCikyjUi5cJg18K9pXY29idmimciFr3wNFSyseC8lYdfUZAXKpHxjC
j1vG5C1UUGjgR3EfvZdzsCYmzBCbJ8YEM7RLFstiz6a+RfRRaPoYXv1Dr/Y/4136+Ke7lR3WiUk6
nq9M4ZKCVpV20ihi7YwIC2ivnsTkIDs7+w4H+R6gprapJRMy+QGBgmLJCyL5oba8rX5o625wy68Q
XKHYlyI6ALfbAOsELwmWHfA178saeilGobx/K39/WSe6iyYbFIKAFVVJYvrk8TR5KlTNQeRh1qdo
3uKWYJsam+m4F8vuA5e75BneQkFrDBRE64nIHxa3k9HcI1kdSO9OFIk/55DOzR5ckK7j/gYA2Sc4
NOC+gk/UPWW4YPbD8BqQtEe1CGu9eIdVuBM3SteXU83rM4c9/lx4OUsrWebpig4N4peQUauB4Tsz
lSGyAsDViXFPzVBkgN0Tp8EDe7XFDrJaTbhPE+LLAqnn5CdJTqN2NJhSokmxLO390fcM28xfLYh3
9aOhpgkZuBQn1jnnEqbHAwPUg1dbj5IBBnORsNNwEhhZAeOR6gVkjFSizvQAz7OusSkAciLGg1G0
mW35VpBlUUALQS/TUprcM1CradwCxJS6X8hE2ItNPA/LSdBUKnJ85uW5821jVF6ZXtBF6F4czxcl
BNs8ZKJcUiCuGsC3ntI2AzKw19OVo/RyvPUJKBMINEqSj2F/F7imzEvNqCvUq9P+bHNMCNqlR4pK
CP637Kt4gqTXSxrYxy+jRA6viU3Ej4mz0mjQeHZCYSB5EZavPKLCOM2TlFopoSdYA3kfBJzYg9yn
3kcWPY7eWoaIBEANJ3rsS4Tn120xeEYORWEa2M+Eeu8bVaAbJAnHQepArjyZmfho7xqEO57JyIaL
jUJCELiSn6pPuRdAILJO2wAC9h0szXW9ID2oWqVkN60jskyBwYZjSoYdiB2xY32qIvoeDNy0Y57u
UuEux32v2UxxtJH2Cws+uks5xkDHkRuymUDCNNoX5iKTillzM3pAILjlrCbybs41Bx/Y8FJo1pKq
hTM2F3f3ngMCZqfljkG7o0agxvdXaCeaDTVFhlROElSG2XfO7kCHb2kbLlRhHiYXDLL77PpRLh/D
ugoTOD+RQDk3XeY6kWIupu9lJqKi1B2bjE0Fw/To3StHuWvrSMflbcyPUMJ9jsqI6lGjkow/x/ag
94iD03QF52KDj494CLRO93MP0ewStqdsj3+18ZuJ7kR+v+ER3+6J9R7/WiEFrU3yC3ojZP6oOhP6
PERdwSiEsH54IfGT/P1OCV85NJNE8BiOZHojhhbSifCgSwBHXOu08uXC8j3GpgzjbZSRO7KgXj8w
0Dh755jsAK1xgml7zT0iKx9sLJZeGdtgIL9Jf3F0h7yW/UmygpWWJilbN1xBhMWtnJDbpNno9W+g
fD75nZ0g009FnDt4lnd1v+DCCg8gmRifs3M4HmpfdABD4i4Ghn7Hf/LFuJ5fn5VYSejMAT7f5OwV
BtFWgyt+TtBzBIQKgUrjBg0oJ8IxhLRm9D+WpNh/X/9HcDfmYoZa/LUjpOsJwithZL2TfpoPSGYk
YCzfkokmlHSNKRRF4rsDVJoKl9NRK1CpGGAni+BZDccc/h5ZHI0KGsaK1lfWEyG3WX9YYH4trgLM
xUEb6zJJMAElWcVpmzOkSv2HXYZO+8upsHZj1G6K2lPdcd+cQKGPonYqKYVL5Gf9a4pGgor6y1by
eTwDJlqjoMFvTy/v2BbujDD6K8ZVJh6bI4YtWFQbTEbtq12Lg7pvXo2OKQXfmTHwrPuXJJiwP5Cy
Y4wjLPxuNf8btSJER4UkGai5CBZ0HbHoN5Q1+ssDQCpQUSyTyzOyy8pgVNbqtHSb3Kx2WrYGwvW8
dMtoygFBmKDUAcpaLEuxx7h6cGXeWISf55v3vP5Aeda/zgZZNzYapz3lqBM+rGGVx2v0bvujo2xw
1ol29+ifeGYdJJ+tVvdzF9heSrMAClkUDkrB3RMzOXG8FmYPqMkH29oBTZpeRgKBtkEvjHovCH9q
gYHFZqFNRGLRB7PyNXskUK+bJwIhbtzT41Q5RlqDI8Jwq3x5I0dlBBJZZIcmhDArGYyQKuCNUuGm
0cYVJeQ7bvYF1uLZRWZD+UT9ae6cMZh4nFjpVsInxUi8ykj+TmfQSz9pGdFTT0WS63FcX9CBonn3
PMMv6UBC3Ff2PZ0gM6cmxfIvBZaKhLp7g9uOBlDqpFxDZAUaWpZtOkNDqvaEEBR4gBTdI9a1qUcj
SkxKbHBG+BKzm4x96tY5+TYyDtZBWMG2ron4hg2y0LAWJ1BWIgzy4K3OgUFOGTKy/3q5azRZ0gJZ
+VyoDVz5D/XGyuXwE2BxiLlQPi4EYy6cXu5TuhjXR5hfxKErwgAr/3mT7QHdyWEO2miU9F4zOuuf
5Q2jad3f1WGrwoEH/d6PMnI6s2voAM3P+rZDNphCNjdyz/doQldMtBKORgIYSJTaeSq21oLnGUgb
PHadjCTy9muVXNKgf6u1KAS0Ea6y62G2UUJQP+26q//bV5i9hnMFzL8oJjmuZKu3gZuXUUwUCpQx
nzI6bWdAPjSNXBmIxN8gsSRT0hofJOa2lf+svNTSD09iWDl2v91tJcxtTACVsrr560RCwGV4kuy0
Lqr/oNoZW8rZzvOkc0P4wRn8ZAPiq+pV8lbr9GuHOvASJkbqg2U6ICjuCKFzyu7kvFzn4DL9acXW
lP4TXf2/RPPtvsVVcVYNZTPcVVYwumZnYQIGM9F5YVpk7NHxNfiHmj+twA9UuzY88yRBsdALYWcp
Ab/sF6SvIkkDEV2NGNdAx4Bl7gbYIxi2taMmB5YdgyxpyvF8zgtJt7A5gZ4cSyRm/J7qMyyMDjdr
h+PwkQy78W6FC68f8ysPMghiBZDp8I5dhn+YFWV33siAUQGrq0Hf726Xs+Tr96WlGMTmX7D++Gvd
vqisPasAh+csuiYAuOkCkuyYEmFnfJSp8/rxssDJa9ZT9dMmQlAsCGyCqUdw3CrrsgmWHFmX3BUv
R7Mdw+Ztj/peiwkL1HuUqv0bGVtB0AzaefQAL8kJiD3gVw5aVNs4pI5j9F46dd7xyYLApgpDEiSU
sxxDd0UDxBVgdT9NE6ssgNbyEx08NySmIszRbmyZB1VQYra6dxWWk9ROuy2hrAETWaE4+jLeDZsO
EVacODpNNWZ0KNtCKRHWpr2X+bTFdhRy29XbaOHHOpQoVmM1KU5MErO2/nlcegVz6aon1cp8mUn8
6si/V930ujfbVjDLQqwTRwOcO2w+Ms1D928xPeJodiKF9K/PEqWgqaboipiTOtKevnuLMk86QNmW
Vcvfcu4XKwqtyVfu0U5KWnGdy+4ai8FPlE6TSj8vkn+ME6Y9u9FxHyryCcvIRlaLfduoJ4efYLCj
EDTrqXQG2XdOVHpcO9FXzoINziPEGLyxa4SobMYXAh94R3zJmMXy5W/t7zgk4j26R7bUdrd0zT9F
yN+oHBHh5QC593SJYdheV5F6hQHQhlzScxBppdNPu/vsCm7wlf0L9f2SkuFjkihXbdqjlpuR5iJn
nt7w0oCvyfKofVr/GmWqmB8ewd0/FUtu5r1AqGwO4f+n2cjQ9z85JetL2RJtC0ODGGAVrOeS3IUh
OC+mXOIlpBDc2+Y3DEU6hfjKexVumOWjwVUeKVw7ASm+4aQEMgkELfD8KNOGJSkoYnxTmJe9106o
Z4cy7E6TO0rEVckzVM3X6BsBzv2NemeiyDTKiosWRvo2RVFzCyg8gTHYxtZOB/mr1LpGgAPxJkNk
H5Pp0nVMQKU/QaTB3jTwmpqjCv8MiAbsjqTVNunDYqlv6xTNbMeFU6GhRtupenO5V92OXi62TJlx
W2AMW8dcT+BMphJJCgjFajT1zU5zgbl+WxJcYrK86B4+QMQZti6pMrLien6NfrwCf04A5DD06jA0
kvIO5nKByjkqTc2SygO3BemuSIbfJtvKDEbO/w5jaP/rP1zJQYzWdXmBanTZDW9xDHCImyrV45Go
MJQmu99iHjN+5RckHnn1D5HYvH7DBd1Rho0Kdi6uXsN2VPyx5vYEAgAyQqZEehLKoJ/AvoBWswdC
PKOs6CUvD58DCEnIGkzjk6apDcKHnj8xZRq8jKG11eC7TQFU/N3KOZE5OwmHXrFnkY0WZebcoAX/
wk2zIbzKOWnA+8qrOQ0HzGpOtBKg8+cc095UjWoZpi4KgSWQ1NsazXoLD7/ggqWKWo98JiFShdej
en7PtbXFkVX8cvCz0LA5NaaMJ2c+QVznMKhYkrQ24hxa/or51qS5YfBIhPfmGfDtSDi83oHuT9Uf
SB/aEcoNKKZ5TAHc/ki8icGYeg5oux35rHNVXXs5la7sBWTIKk/jGN67HYHRwrBNz3ZvY1lXJSdF
Fp0RYzSxKXUPzwD9J6tgMdmpYCZIgk6PBPB6KQxYW3N24L1hALZrAzc+SROAYOadIB2vE9oDl2Xf
OOf6tDWWmBox+JqK1B7dTDYPR0DvQBaL6idpdT1tNfKbPEaLh2I35vF/tVvT7e28sEve8Vl+bZc2
vI5jxOy5knvqRj1gpp2FKE2LVvYn4or2nENhwrHDHJg60XRYbDyfA887HZXacK7EXIAkRBg+h8HJ
C2CLdvPhyNKRzLT2Rs1lnu713kU3skEXmrFaVsq8vWjgi5mrHcsNgSmZwXjuDu0LcH8A2NQ3g+2v
rIDxjXfQF7Pec0QrOFob3MvWomHlfzq4fmGYPFmNvQFLNNTHCY87192X+vBwsnNMMdi3alcEv+Hn
FgdCo/XFFQzcCw2JTrt2DtVPaQzFt9UC1DXrWgG86K+Ab3t1uKnP/mBHYVwTdkTmMQTdYFjVGALh
mvyRw0sRdRMxwPFqgZS6G30nbcBu2awbpfJmKXnbInZZXer63y8VkJUFt9mVy9E2KnQuN+It4vDf
a19iY9th8aHz6QJ/VjDOAOO3I8TnUAxsECEEVtUqxgQQlMLCcBaTWNxOQPZ2iKC7B8G4qZsr/3/q
KDgK5ZfOnitVpUs5Yn0DnTdbLsDBbV4eRtGRP/hsXc6XCG5xq+93fht5oRzfZlqraBaKdYB3u6Re
D8fs54nup7fCW3RHD0Kzsn7/jaoBazD5S6phjgqEeYIQKwDydRqt/xKr0BDWJDg+JRgcwFLGXXsm
7HD0kHkG38+8YWCcZQBcjFcg3jBjqW7TS1Kr4ZibQleWqQ0N/wq0oRDT4RuPSfRXXEv1gQgO/Jc3
w93QALfSPdo75TsJLqZ0sN79POUX+Fk92HLiYlOP+9xbuZ3bqMJ2Uf2z/SkPaNwMESzSA4YYwfya
r6i98Aeri6IRm+NQ1bNWirgA4HoTa2uIhYEKF/mbyhKFpeZwhO4B0ZUtA4gLY4R7VqTLwYdE5K9T
XdP3zs433i92jEU9E2b2gTuIpuccRabmw0g4iao/A3ieOE1KmDZvskJKa0TTjO258A2l3YWEFlim
/0HRGZkvHjVCSzG/UTApci38TPJycjj+4nEcvzSpSeqX0TeBM5oCZh0JyBC94otBFDMdZkrqBrfc
9VJIFvkPPmnWzDKJZKdJOoqxosDO2UeZwuMFaeCW9tMDPpoHlensq2/tKOefLyyfqCK10XOvcuhV
Gz8KnKd3ZvRUkOrYL7DwXKcb1l0VIGiC/4kj802/G/LXTJ4mVOU698hPF5H8ltoRV4slYSZ1lpSD
tYJWmDTMKAUMKAnd19bflEScBKneGcHYzGRJJaozCnKNVLSFUb4l1PVofyYGlPdTlM9BCLAiQFYx
5dP6d18BCY0GS5UPwz03C2i+7C8ZYHkreX0CoO6pdQciWCVhafMlz7eziup7PBhlekmISZnkRzGq
svOMLXFD/IKVq+DS/ZsfgsXQ/KwIG1PsM7IOYDE+WAjMcDfXrWCVuAiE535NKpDsM9djISIgPMEG
2BnEEm8OLxVsxgjHec8SgRzbwmho9KZ4/5jZd/u2GxE4IwYfG6yt4ExpFwZFXDfaaDghoVL3/G8Y
wloYEAHyYVQSOB00F64dV+hIJAjPTKROhQB5fX7fivbN6YFW5xzzuGpxAtVu8ANABWUUrBwU8XnN
3r8Sa1Wqa4BpFROlPa6Pc0NnVrIzTmRP2LGzNCojcv9q6fBIgufQiflJQtHpmLLtdV59FCIWdV4R
NVbo+d5EZjKmTT9oINoQXR6bvnRgfY2SlUcAMDvATtR4j6FVfoN3GcBZs8d/PzXgShvSiXJmwam5
kVKgO1tOli5b+3KH224FGXtgHdPYhVKKzSNKFATlY35ZxxthNEeWrUEUlHraLXjnqhF4Z09ahbNC
9qCsLXkLgBgFU5DzqFVTh/Mo8OcQL4Fvhw0SCMeFsyFteedmlz6uRU17isEgopcrko/aB87RViiz
wnQFZgqy6bb6iYEICJrF4mTHqCHBO7uQqCC2EUX7QCGakL+nY0j1eGfVtjo+m4BKYrOhcvLF2AgG
oxaqC3GmqrB35IpZoTQIxE/P6YJ0UGJJd5Eg+uF2fbW1d4Ckdm/6+Wo8PHunhVEfV7BZpY4K1kpP
tyiqvGb/wvtSzbDUVrC01OZGj9Gva0F1dx2OfbSVAvulFZGhhRQBdKdjaBJjT/1cfh71WWIDRKBP
tK2RYnvC6iyqVUA5QFv2MdAkg+CprmjB179Bq0aDw2dqSmzGhgvMq8vgHp+stRmc44WtACJIvijU
zMoq47aNXPCTefB6Zl47zfRgixG9fD0+fWe82UTUfDKNvfo6iKj4kP7OlbP+kCPBXn8kPv0t4QaA
jOGQzMt9B4Ov/LY1fGHreOEFwjFaNbez7Yq+ZoSpVWlQaEyt/0IhCUvFMTt9QEaEjGPBft3r1UM4
GjyXu15XiUuqsOb5IGIKJUegcDb3gpkHzZdoGQn5rhZNTzKYFRC/xKTlRh+hiekz8B0Ug6jl/sog
AztSR1LtUJSq/8Atc5/Gkx+3RhHWeFOOOSN2xmEPsQJqt0WikeHTDf31Us+eGS3Xca4+gFtMOvNb
UAuMAdTKPtXV5LS/VyCQYrNr8A8zV48mUm5ye/AKwb3J8pNJvqxCpnW2ZlISdgGEfh5Nd902kdMV
W7W3iXo+kBsWrvXrQR3cD5q0SUqzJ1Pb12r/oUHnkubYYIvY0VRLKybBQuMoZNTAagXM4EBgf/Sy
WNTavPFqy+/Q3efYWK+1CtKC917shQhMRFqV3Vgm55ebK37pykiPOYKCgUFk6+Vp/ve79xO17Oqn
fNMOCA7ChStauUZ1qVa3cM05G6O8BUlGGQu1vzN+P6xegGOty7uAtMl7xxExVI2ZOGgMOVHXFYop
61UIqlQh8nscihVYRuWW/uGH9M0HNsHV1ZQzLtwV99Q88gRjTrz5QiTKOk62Mg1izcRiwv2Z/uw7
Ey1zM8i8Nzd6x93VelkCz8/7XZdy+t4Pwip1fgxtjCYLcKjnTDOEE5uulaiN4i2uEs8dw0bz33Q7
pfr92FKUbFzP1YBWyTsKinP69+MevdJzex2a6AQ+3U5AFbXRLM7nvQI2dIANyyopY/XtX9O0N1fz
Z+NcZgp7Feaef0/CaxK1xOCctbULcsxpwoofuBjrGbWDkaK9xCYsT8cjgD1A1ZCuCi8h1N3dw+oh
7P0rBp78HUDSguSKZRmep/OQcy4Ic6OSHAZFZWlTbZaC/U0XDcsaC1IeU1yT89Kj0EGTuB7sVv0J
O0rEsr7UEwauv4qcoAvptGrrJBKTqtmewxltXGvA16bEPHDFdNZFwKYFVztJuxotBqwPeUq9qLFJ
x+7L1yxwFNWfI60hDTktSNc3ScmocTaNWwX6HK9I7zOtNDUwOwq4VBs/VB3degcc62EbF447PGVt
ASnvjQa746MKh+qrNl+DS6fXPu6FlilK6FcNLTLj8Dtk/CqvekmE58/6A+zVMkJEhQuFgjZmLGEb
FCO6p8tDvAdkhMW1++pD+1tH3Wvc25a6oRdAMzjZ9bBtERo0NV26dI9YSuaHrgJSqAUKOy3WUvDq
2AxfUAJ76ugfvZfleZFfhcpgV5pdXCXPNaiiDzlwX4OKMHjVnVhZBpSNrOEMSgTsOgfJzmvyBWil
xYGwx4g/Z4GFrNvNHzJxUg1HzeoSuRtHsAc2HaYOuYH+NZ6NFPvpSFv0NU4fxEuWi/N8/DcmexzI
9KHdm01QmBdXqq3/ebFcAf+Ox2WveBRUhdbmfi1r0P3e7uWAqfebKiopN1mHLsFnu1V6WIAS4hCn
NkgR7eZOJTFM1I5Z/Fy8rsWzZV0a8QEHNrceLWrd18naFZN159sDG46iUZgm0gyF+EB+6ObztdXJ
Zf4ibHnM4CfXYYGyKTfCl6lfN2ggEPlYoIchey6wBBCq6kZpv1sx53E917ivsAbO+W0ZeZWZzxC4
de/SBiKoQcNQMNvJLHqucLFn/Lfa4iDCqjyPtevy3e0nI3T8IHqobPBNUWWdA28fMMwizgQu7Xvi
FLLd7nFL5ywkMoQ4p12ECEFWn/3RapkTTrZ/vaYCQtHwQ/IJXymfatHyE1BvAgGqYl+lmHhCwua2
vkI3MPystsZYBRA4xJJoOiACEfFeqZzUdwbX1Xtv1IpAe5LLqKKK2824WAB/t1IsXjNUdK7olndf
XQ65rJNc6IvS79CsuIhdFiF+YB4nQwxi/CLwSY2M4iBle8GNHLmXmSxt9zQ8SwMhoIQaMQZuUc9g
1eBrrpt2aUXiWZ1v/PN4ZZ2wHI8SfNFmV+JiJaEs5EdJGcpzTiLH3nbg0G+rNteNz5RLTSq7VMLR
p+kbkcXmAa0F34KJ3uG/ICWFxBMlvgU/4QNebN//O78M1D3+ljWg2CsxpGuOanuzJGWwOGbFTC7b
+xwhDvntCxft5BTJvB90LyB2r64i3QjnHzG6JxCpH0MPjBd+qw9O+WFQevXTB9xz0DE0ylYYMiSa
Ny7v2xbGtNg/JyNCjPeweCd9iXTbNwSkMQA7QU/VbGUUI3UNl3FmzGXKrDMJgcUuoDP0IMfp8gZ8
sY89Nu1plWJutv2AMcs4ebv0L16M+XqpzBxlRKyc58lxAoAPAxKgU4+XDcLATAIsXVZVla4q1+NL
YD1gxQl36QiFBXqha8GdeDKLO1PVUfS6VUG6bJS9zXFRntuUT0HSy2Ss8Y2wGxs6Ob742UlX/DcB
/yO6h+62+E9A9C8kDvdrGaI3NMvGzS8QYM5i6xxXp7b2N1Jafp6J3zwo9VayWW9Za43hDsoZHpfq
qGsX8tkyNxt+abz34tz78dVguUkBSkAhufETfJepaA8vK0eRDhDRjsqcbJMzlQCb1rXQezsgzUEE
P1q1WYaXLLCWqUqlJ8R1wzyQ+jx7AtW3n11WYag51erqd0xZGP9xedbZhKqL6FsMQODdXFOS8Cxm
PMvBdm5MFXWnITCbyAMsAbylJkNkFy7XJdP0IhCdysWT/Lf6Ls9y2T4Rq+DH7zRJv/QdHEZztknI
Gd9POhZr1yHb1Ym66mihyjP6jQPT7Uu1TIa+VkfNm/nsxMuQ5gzGFUPUOgFrne1EDfTkZxZ3VRS8
mR062wuyFqMip4I5g0N0FEvKKatKpAO6zbd9EbpXRNB4NWebQote+DaCZicYohQ2ASOuWQBR+LBM
ri9aGzKT1tMOSALjLEe3HBLOjZG0eBdMvFuRM2hP1t8vV8PN2Y2po7/5sUqf9bW+pFAvKKlNcJPD
J1MGCxJAUuGP2ITWlb7ym7CTy3/ctv8lYmslvxT5Bd6WSHL4pH2A0KoQKLs4uVyOky+nN+b9Jd7d
6DsT04oDwPJzoDLE34YJIjszFK9quGlqU5oAtiOTfM/23Esy6Y8UWugB/b4BKcdV9u+A30W80d52
VieVIPOgQgXIkuZTeupJcbc+mu1iItlPX432DJzCHYNYfie5XY64FPE5omR2vJTh9knAx3sIiUrx
G8ePtLEynRZYHkp7dxNHmXTeJ//ykzKA/6BviYS8mds4yyld903yuqHPywcbmnfUThIobc0WL1/g
U0HMWbjRtQYW/DMy7/4v2i0YT5rtrYLGmzUUlkqy3owXpfQiQhpqAMdqenr6mxI8wROe048mr5wu
zU0xVa6wV7tZV6ioBxCrh+gTwj8NVgKPwt5KbC1R7IgKCLxDOWJx8n3Bol4tvb06sgjdIA/0UeCa
f4Q+X40VPk8nhtgVOtAvi/62E2UOR6AD0XIPZ5w/cE98YCE/E/XCKqtyEUAYs//0ggUJEf+dulpD
SJzDG4LSuthKY46PwfxL+4dpCiO4ZT/7ToH2qJmyy2yt682JJypcSdwSeBRJOUOcMm+SCtytBtqc
toOTkP8Q83ymb5oxKkkcAy3OBaZw85NS7LV9Yy51+eSqhHYEVdYjhH3LML+xWwSePQ6Dw8muHlnw
O2vx8/QosEqhM7zR+43gB0rMuZa0OSQ3WmyrILy8zIfyKrH9g8yc3SOdsP0SN/a/HFDNi+LVHhMf
/gEYQqBPiNLl8t16oH5olUvRzPzD/BgWCslOidDErPhhLr3jtme56Xi4YzKsk4+AtvzPIePHuxTE
/djsN4kwb1lLjqtsqrQSftY4UoA2eSsmCoGQN+nus2fxfqlxkA96IdNhIE0lk6cPtwf15VDT/9yn
8QpShBbfG7PHaA0cXatWnBypkfs0BTP/L59BLKHtqvipbnttC11Vnx6lhs/bRlNPZeHxy906hqnE
5PQx8C8BdPa7o1N/2E4G2TsYS1doWv6GRy39l9hE+fL4lrDctOISRxifo+2pJ4n5XFJ/zvt5BAIa
BJB9Ulk5HHGt9Bq5iYfQVkzLerJvKQpBW4gKIjDlDfS+vV9h9sCVcE21IdF45gYpGtunhIWXWW9o
Vk2Uk1zMSZNXMrXSEBXnrXRGkTEN6UnLy9EDn53KRyEzPLKgYqYSzjhAbyXt0PmSsZKdagaDdBHx
Tk6w+7mz5Is/VktN1CcKyrriFZPbow5fUigH7/1t2U1OnkR+o+VPnA4qzBJzv1gXukD/qjyFJOCJ
0N6I/p1zDGXWOmZYUb27faQFH1HqQm8D4SSuRORwQaWNJE8vMVun1KgzRpfUuj0XPdvFYU7pFpCf
1/27n+nNx9h6VEL9AxXMHUcFaf6sGmFQpTI3UnuUTKBEavSHGdID9aVO+t3qnjcCFRRFFj1XLJF/
MwgrTTGF01g/pi94iADea01mpRGuSK03WNriwQLrj39SxPyoanvnRgf3G9D7Lpqq6dZMyJ0Pe4+A
ftfDcp0wtAGfrrUJ9tP05pJr9wPRH8xgjaMclU2/UV/2X8LPjGj6kC9DzmsHKzCL6TNYa7NgFHtK
FvlmInQsYlL6/emhOznfEbi2v8aGes8nDYqGWSi0IeHMRl7hHPrpxyEJ70zjcUt06KfCleazOJ9U
XghXitm6i6YLWANZsBcvCb6s0r/pv88H3bjTw5fbG/LdMd9tsFgiQxz6dDUWCEt2BqHKv56Nict3
o4EglsvQncMxsKamAx6mxfq56zi1oLbiuOKVsgsbgANcYAW/oEgwJrs3Cfn/QomA2eRzN+SZ8JBR
tpi4fHdj3VDOd8CkqjGIevYd/uGXgYYqfADQjvGTdhXZWxW9duT8YNA3GebVPjYkicjKigTXjw89
U87Gq/tQ9oHbRXOXl7s4S48gjfk4kvokixQyIC6luhanQgHunsLRd1Jxu3qZszr2D8AlCJVbaqOs
RPXbq4rTgSvudpRa2lsRMnA1mrf1i5Bn+gDGym088OHq3OIg9t7cSQfUsNGNmP957QOjSZxgE9x4
2viorG4z7V5VbShatNBZp8mhZ/QIFgzg5vcf9mMLIia0/wiomXnsCM6UNEjadECPRTTMzxA8jh+F
rrB9QSQZwSCtprPVpAZ+Bpmbp1CO8ZhEPFbnzi0dzGFb4UvWjSXoADw3NAbP6DlEElgbB/V4Np+A
vL5aOikD9gWyF/wFzqRVRv/uVFomRKm7pGVC6rWPFkMO7KIOaIJgN/ut3WrefU6Wb3pw4DzmwOOa
HzSp1fXbqIN6oFay+fBNzhqDxIz6oWGL5lqNcG3EKbxA0ASRDNu2+cGrw1nEiiOeSfbRjXLU6n+C
IlcHcdizdlocQGqdeeKJc7xZFoT73PtAukRT8HNFMz4b170v+QtpgnPF1Fxrrbwk9imNUAOM7hf1
Fk0HtXEeE7HEmL3yfv9KQGn27TrYiv8hTkWDqPx3soAFKjJ2kQ05AhsxZ7LeswKCnZxzau1XyyAZ
zR4oXUIG1atuIYn5Vb/lsW0gq95nkkxGx8rUmGA1TsCU9tlruBHEGff481GscjXdk4mEbl9pFk/h
S0C1Wa3mG4LmUMhW5fGgNPW6WxerLIH9LcYtfwsewcqTnk+/OiTyjq2cTvzOpLigRmGjPmcNq6d1
1gGXAFRzQ3qWyB+XBq7nvAEP+LKWZcgNqHUxiO2Bd6k8QMsOka/Bn6fYJze+JHt4do289KPBMNKq
Flie376xJd0ro5G8NGMFenNLAz+Mc9MdLeJGGr8uLyyGBYx4yTC7yZSUhpwmSs3MmRkXnsGOk4M7
oiqDgw2Ehcp4zHkluv2ytqZxwGRecE29yruh849hN5DSO0Ol9DRuRdsMgmN5gr9KDrJMYiQ2cJMj
UkLSv8XE+chk2uZmH9bd02BLmWXmjLL3TBuus1LxTLSocEOufSE5Kd8PnqbuB5dkvz4zWguXoFks
hP25TcLhk8CINMUlcvycsu4PFao5Uo1EZKLpfs8AeDN1AuuHQaGo1em1ZPMKFC3eJlQm5nRoGklX
sGfgDshOstb+Ic98UoioaMg7PG3rk+vHS1ALWyAsEYu5MPWxQsfiRf9svdnM8KXIBd9h4PHzISlQ
jjELxzfgwG0Z+hGF5BJaslTGpVbwT4XZ0lZ5rLd832b+et0CIPGU9vBP4bM3Rj8AFw/SgLUHneGd
8DQ8oykRCymMFFohqmKZmxxvSO0INPSwD2XNCOy4AIL5Bz/tget99zXJJ2O48orv4roJoY1Y5IJl
phxCkWuYDb/rPgF+NNWJtBKyEUO1tYR5jFW1eegkB/KKgOYJrijTvFnrqeLVmtF6W7U5IhLIZjZq
5UmKZY4w+jpOe6QP/yyHvkE32G7KRDPBf1uSU7+4NQV+layDaFufYMwe+xpTkpBxtT/okAxHoKJr
hkF/pQ3vFg/cf81YTuFUYf7RHKYE3dqgTVmLdVpaH2dgvynq3YI8N4vTzbxt7geQsyJEOsOfNgge
e/XcNswNmJznl3HeNrHi4VUp6vptQyHvuAmbZfVU87zMjsRuywj9nceoNK8Lp6os8U6wXo3NAmSe
bmIOS8de5MlMUlA875bnMrdenbllWFoio/EzYay0Q81uOE7XY1BVh6ZPq74k1CC5oIgm6evAm3LV
FjS4TCynz1gNNB6BqpaWViUbZUymIZ3v1/u1l3seLfuSKQnFwhd7yux//VpHtAbOyTLZ6Vx8QtaC
UFNxFEMWK58YWx3/Bg1yhGX05Uvea0rn4IKf0ZP/K/tuVsOisJJqcWDaJUWDCcsWkLhz26gz50FU
gR91KTGILs5DmZD9cztoe12T8tEH11pVUrf+VI6Ux8HSz1B15jvMZITNErS8EoI21nJsf3fOcbhw
y6N1h52Bwk9L+EIeBWmskL/8Iyv7/QNnMtQ7ZQOH0m2laHE08FCIkSHclvVzy/7xnxBSmYUYhdv5
sA1fY1oGt/0gOXnhYiRhIgPTHfjcTLzIATCHgppCzAa5WHoKBG9FNJPC0DxcDi3Hd2CRDEzyDoPz
4ldE39h1r7pbuJWe1S0xg9tEauheH4AL60J5yONpETobqwNBXBcKSsVAHcca9VpmmbLBeJGZ08ds
XBj7vGDuI3IJwOP4RV+U2hZRC5GsmsTcJSUej06DREEA0MQu9wTIbymkFXem0AI3i1qv0qH6BZzB
LM9DTvkeCgjrgwoq0N+WnkBBbToVBTlObbTjEkIlVBo2hlfFCjtvPyhHnL11QvOtC2P+zeNlhBdY
Xoe3ol/C74lfUSvBBTDgMhhwRSq95d4S/fbcs9qEysi7g6BUxpblC2RGYpjgPAe8VtoOEcfiIn/3
QElfcv0s+6EjKsLiXjieT0wFfGYJ16DbIIyWCyOrEJlkiVVP4C+T4KZZrTv8ey+Vw7pMi6rNoEy6
XlteY67AB1cTqaBd4m9FyPm069fvUMw3V5eQ9Glb5+2l5kDKSmj0C0zqCSxgVvck0QZiHq7Iq4Id
7pXdpb3RtL07ba2/KUAp4jWqIJaxDwQWoak22YjVzJwePaxq4tGsVjapH9JJS8u2LGHqc4W5Blrj
zTYJ5xK4zJOj1U5EA+a+6+pg6rEjL4Y89RqWSetjllPZh796Zd+Dy/7uPZITZPygW5rHykFR2xeb
49/WeCNBxXdpZdUIH6+FY0QSR7ZkZOLOzSjeGzLI0erskT33B8J+R+EA5UY4GMkihH5zw5CQlDD0
0IaclrgO+l3C1KktqQ65xfny3enrjQz6FPjCwVYwC4GXBI+u2O7yfaNMDzowIVXLbN823i8E2h1I
XsFD1sRXc6ztLDxdcgs5Cw2n3u91JqkxLKW4hDO9T27N77zqk4AaSyA8LbWJyJExTGhB6Tt6mWVv
iiQ76AzZihkfAao+4Plz3+v9QHcY91e6AMOXCP/iftLcinBkJs5OrXOSOpKKIRvh2LFXMGYVX8W8
auMQPsbkr1DBayCefhl1Koo4tYD1N11+U0jUUOeDgQRA/1/abb7dtQmVqtwUxzzI20RgIqesTI5x
TB76FSYSLU3qvXVjdI+iDFgPZXbtZidG0FajHugiKOuRCgjDNoFG5OuJcDY2/o3+z+NRccNwZhJY
ZZVlcDkTR1/eWddapmouWzZ1ics0e12IMFYPkLkyq2xIVxh0l8poIYg47icDyZ9CYH3B83qgXfhY
ajFJMJqPOyZcqp5hJC7UeknPhSSSItqJShAghYud32UNMkrqA9WAFDiliTVCLTl5ZDRMXp6LDJFK
GCMB9nW2edgmNpDDAoHcZceMMediG+7uQG0ZU3k0mzX4uyXmhXoGHlQfJUNCekqUHHjElmltqn7w
C4xeMnGQupdC71PEs84JIH8haHOKlXeDUv5W1/F591pUb4sAK+ENrBY2J9WN3x0gdzBYgcAnuP0c
IDM10Di6+ipDGfgcCExSoRECauvNzEqN06jBZYnDR5xq87dN+hfcAJNgze8/1sjQc9L6N8Hf06aB
KAFAn2fz8ao13FhCXk7Z5T9FZzZID0vRbDJGSS2F2Duhu6eHRIWWbuLiRVukifAmcnouFrptCYth
UsSqGCV1kNDefBYah2InkRCSExSDsrpNhcCtmBWK7GM/ICE9zPEnJ8nCBKBx+9eIJ6wGhzwGtp+8
TUWpW2NJc7Zry/0OMiT8tBb1F4JOa2HyKNcEwqThtMwWut1wa5Ady+8MR5YRKZX/ykzcjF/F05Hr
JblV5HhkWeVDbLxk3T6eokuJPlxkPTqblLHiaooeQLzJ3o3JOB3AZ2UB35xIU+yD4bVez12c7bdR
cZorDm+CPCBG+vIBCdcan+TsIVGivhKBguDKZowkCMS0pNzO80CL9U1j061jTmJfaDw8qtgZHXrR
yHp0wKDnulWvKmSNPm1dhiQRqEa5l3i0eqbt6zIxO7L/g31UG6S7o/WfRiPiVQp3u0Fg41Q5Xl4q
NI1wG6Ul7xinG2yykg2QAaMi6Qig9fG8XP3acAjrx5xbFm3O7dJzOumzcb8SSxkk6qmqPFywXjzm
qjyX3AgoRaMRw149K8EjYJXM4GV9PEz1wmMd7mLhQhYtZ2Av9r91yOvLZy3B8pVYl66ix2mFAyUX
VAjUGecyApGSgvmBr0XjLV1e8t2nEenmtC10W3h04jo9EvmNvXaiV5dnO2goyC4XELXrGUxXMigr
+0mh/MWiyHQMCHii4DBahqCFODSGqRET4tZKjz1t1oe6sjmPX8yYtKbAINxYAQovAyYj1TfRDC2u
wAZT6d9UABRG1EqX7UXKF57Qg5YNhSBfo6lYERkXGwt0bvny0k+1l/kxjL6yqYa4R8ovjuLkLi69
+sw/fQ5ACsy596fhSih45KSbL3WgYtHL82wR2HUpC42BxhetGqLZ3TVtkP3Qg3kJOs+ihN4ZAEIQ
XQnJmPULH8uQAFzDvqu3rc9IuL2K7Wvk9mAVanSwIRxZeHSrltbi6ESdkglHG0zccjoeNp/21E97
3a3ArVbDRkN1Xtfq1G0J6pG2O3b6huLamdA8AohgRTlb0olLPGWwIZ0oxffS2G11DuzajKVznX8q
sFTYK6nRDdRZdK/0KDWKi8nRDsN97wzLGEyVVcExWdP3gQXROTINf3Y99/0s9QqNHpeRxnh9Ggm6
PzDqnVqCqjizSoGzWoNCibGllAaBxa77tJQ5HxupYPQR9ot36nFiSbZR3EbivE6XJ64/LgC3jiIx
UCqdtE4vn9rgqqPOqBq/GwIkeyZHgR8fhWOxfrhxX3tti2G15dpTfvlKSUWGze3h6Rv9Mb+CRoTG
boM38KX8gXi8m2fnADBzHxXi9xXTCMg0lhR63JPJITxmu6pPCUqtWNeKu1RFhobQxigiMxZUneYp
h1XXF2xtl+3YLFv5ekgA6xOnc7s1Pnm6dM55as1nhrwoXmpTlC4samWC5hq5Qgd9pYrX7JWc9aF0
lo44RIIRVco1fh9rhWaIHhOhy8Lx8EwQTUN/+lDNU3+OjklF2OGxhGwnVFZNOVA5DCffHJQZA8ap
XQ6m7igOnk+uZZA2Itg13nbXmK5NkTEvew90+R2+0dAdJtzio1drqVB6mwpzD4dopq850iRe2LAI
vi2JGH3+IHzA4UOxo5XJxJqY6yejxD3x+f6Fm/gntfU7N7l9mj1DC2Bc1X0oBpBYpI857dvoqR3Q
QkhdZKTo63pYrOTWbkz8PLFyVrDEoLlSSSboEI3+U2aGQxdBildUToow2VwHu/QzdJtKqDn1pTZ7
qF3zL4tbww51t3S+Mmdu2PxZl4sTgCAwbl5lQgkYSxdpes/g2GISUVlRHikBjbPW4gUNtPI0DQ+H
U3BWHRnEiSpZPnGx52yGW5QwdU2mhugYN0HDyCrrraf0TkXgTZWMX4JIkTrVJrCHl1sIiB74/D03
JGHtiT7HUxp/hfVkAe6/gY+ZXMCntIWKpgV6b411Jf5JdrJKHTrbWdIp2sKhYlapzBmCCfb+n4RM
bQImpPr/GtOKp7x6g8ZEpy3Zg5p1RMiLUQaaMKVi5Mp9GKXv8C7rw8QXQe52SmNnwFIwH/Vg0mVF
Dk8n3bYYkY/8g5qTa9qWYKvv3EkPdQ1YNh9ccj5rB1NUA2A3IXoFDv50j2UcEUnS+3JfhDq5Rxn5
PG4BlOuYuN0Ip9RuDjOXuSJ8NmUpidyAO94uNS8eBLJixlKuzHw+7h4o638xOY7BrI/P9Sho48ob
3+hYXT5rGHMuDHN6xnxnwwTOyXaQUFKRPbHj4/m110lr8n7vrl9x5g1c+o9hYjW5rjF4py49C6IP
D26GrWxa0AKO+T94OJgRmlBKS3mmNv7O0SlZ5Ou4CjNAuiSZUv4OJ8ZhRKdO5xyJTBP+tD8S1Izh
IBjyuDBfbxPqUH4B84j3zG5tjzzQsRuyvkE7KRPjr4+d16YC4XmjPf6rFDfRhZn7XvHZv1OuxpCu
YikhmoqZFgUK53mDoMf+FGwiUS9N3nYgrurIPEybrttfn+0sjgNHsvPvFGHrZvbWpHbTm0KS6Htd
AaNSyu/+qM6a6ZgEjdS0KsXgN6BIwFnnoGxuhJfQsuqftDZRZS6WsohybcjkZRNFsIm9vA/mjc/W
x+BxTp1Qy9qumgCHYXnHr9z2jpEeuZkRw3S6EgAiqddbmmgnYXL0E/Cw3/QI4H+T1MP56ty+ePI5
CLUbqGpWii3euGp/OZWSxeBy68YOc00wpn0JoQTSmbqycIdTK4pendjY2rx2UgxF9nVUN8lJfUMH
2+nR58FwGSQDsdzV1EVILK1C2ygfhtaNFA5fBppkD1VhjNLwqfxGXN3v0WnN5fitnVI5kwHhRiMp
MoJiaWv9GRvMCFdsAblwXlhbxrhiIFl1gjdQBHSJRdmiZcgVlYM+BpMdooMFAMEzQWApmNO+UxcJ
Z+O2IDHViMVcg9O+ZA2LTd9WHpPkQ0ptCRRyefKlSR1FWaUovjZlRBJ3nZ3lZRbzEXJamzUb/rC1
/xSeSQCBtXTlSqOM+EuRxbRUJ8NvTLs2d6Ec+Z/xSIyWkQkq3TbJXo3W46HzxTvYMVXkx0Bqfm7t
kEyZZM/aP98rgRUvrBi6jEtt6eIGMQORTdvi2LKnabdRUTSCv8xa/zl5cUsv4t1v3VJksuUAj4J0
QdKWu1FLTh2fqiPPP8xPyvggWqiiu28dcgPACURO3d+UCSgO84QtQO/dgmpUwI51RCgWSXcHFN7o
iX+5N59pTkoB0s13/cROwxFW15yCpas0dvI3cdwyKS+10QVRECOVnPNjktZtrzWrHQuCAxXhOcLm
GUuc2xK5Q3f1OzVyJBbFBOdDWJevRuwQsO7VHsvRlZSAzZjT0XA4V8jNh0o+z6MORdUKgT4McCjt
54+WJVK+ACM6MpZoQnNUylNjyb1j0WxyZcmkHSlQcXwzAHW8W9HY0b0Es0iZWhNp2vN5ROp6nz9n
8xpvuSMWCD+GxImwJ78+oSK74ZU+w8eJBYbzsepa0ov7fFws4DJmes0rdRyQwVAjCcbwemPkG8XX
LnS7d53DpZ6Eg/hHgrpdfPdX+rrEePTp027+IrvS2XzCZ+rUSCRcF63v88k6wdOs3Y2Y+MLH1USR
WI1g/0O3eWv/MREi8dz19+Vpu/syixlz9+CpiGfsJwXcJKqM6Aaalp88bq5WNrAr303cMExeOWIb
FPmq6YrAEHbFMtY1ZJNFITTwSJ96ASkYuQnS/LDKbTy5ebQm2etA0KCuMBFQK4Jvn2HKxRmvGqRx
acygzjJ8vhxgFjX2bjD/8JuNFOZ1Ix77vHbF9Z/qFrwaK0uXbtqb0JaOSvvoTak7DU+jb6Iq1T3u
rwkX4oCwFByv9FEg7wIMvmGVWWhf7fz0EUsDGGFYTVCkJiAOxI3rZ2BlEsJW9OkXt0bUn1dAC2Y4
NTXJQP7O+AzLztu6M1x1rqwBP0A3q6V+P2j2XxezTI40Iw1bG/v/d1noxr8IIjPhmUQmo2hYGZX4
QtEknjzhMT4INAmSU41WYL1EWVUwJ1xW2p/uamO22ll2XSDOW20YMfsEjuEaC7nl0J55vpjlqP9r
sOPK/+cBFpa4Tvf3LxEBK7UDEVhE3xfJnpbS5UwlyZrZenbmz7yGu28igETf9vut3UcRCcfvOELz
21LSJiS4bN/XB4STsQm6eBPDz0MkdXUsqtBMvYEc16frEHTpFqgn03AcnaxWHuqL8vOocxgO82Xe
gtweg8Dbu6seBzSp231tJydxu5g4tj68DophmRMlfbTfAGEjpeuAXpc95KRXK1AXxOuz+K63Axok
Zcv6XF05mXpzGrV192Z+e4SkbPI0AAsQGFs1IGtKnUXePOPIs9klVjC4VWCVge8x7VYaTiSb5STt
GS1oKRVSIJsWdBRVG1SDq6oPe7tX/dO7g5K+xhPNRWYGHvlh4RCDjlOA0ZOVRW4znlDDQ9/xp+vR
CR034IGZSgWH2D2Uyp4Q/mQBWbQiV+VmtiSks2A9V8DRiYJcIuE1UJ+nTdf4hdUsj8Kll2BoWpU3
4VPFgQPNk7TXYH5z0to+o1izNiKdj70oPzpPw/a5Zxx2NAjK6qT3uAxAbGixCl3Wg7M1xDyYa4hM
CysSEkbzPdu/nn0T8z+5B7OCqC9QVvGXTKhLU8Q2vEXz7hzMLuH+pQk+aZZYsSCkB0/FBuLFrDvS
o3z4HGgvEukf6ubWLfn5Whoa53/QdTntNcsCja5rY66a7ZLbrwjb8yZKAxpy+ZNstNI0S3zzuuqg
1w72blqS2gnP20kuAUBR3+i6tx9kESVR6XkQRf7qG1Ue6fEIzMVhXUVJREd+bJyqCN2GeLPMSIAN
SurKjYWLIC2KUUzu7F3O9LfM6XIbtvZrwsoXhmtL+Vyr4Hlnqd+Klrv6yadva6cYr6QrUKvJDWOs
UhD9I9woJAIQbXTS85eiAo5TZcb8F0+2Q28nHILnVTGYHlO+KZfGb+Aap7ljp9w0uhztG0xAldmY
pIWjKtZ7omiKXJzdvDALRsO+cRs96kBRY1DMv3jt02mkT1QquyZFssaPV47TJW1VFWc6RqFXcQns
HroMelYW2KityccmJ4i3y3WlTCXB9OfVgU3XkYG29Myge5IeM2a9la9Bbuaeyy50K0GcwTKblxcJ
p8KPFdPPVUX05ScZu8vNkmOK7ktPcc2qGnSx36Tm4cD0VaTyfsCKDSKiT8k8jHwpZedlgOivcEaO
NCVTvSV9oLsWqYZTMDy8BkgC30rtvR7TtmUtDGVz69ViShT4MWJsmT1yySdUxvFrD0QIItmGTqD6
Yad7JzQAmS1I08vxKALWemeshIZFyrpxewMC6h55+X58IgitCX22njQLRMeMx9AR3j6SmLxMCsG4
G8k1Pi8D6FRJZXOvAx/3Lyy1FG+3dX++UiOLIl7SmDlRc46ocaWPl0KfAEXsi94GSyhaZmYXxASQ
QYljrXjx1BWdLawDPAvTFScnXaOoUxu7Duy7TlGWAkdILlYHAZztvemXnX83Gb7iP52wtatluOge
xje8r1xAGXAoGEOQgC4N2TXxWcffd5yIHPDfb7GKz2JbdxLPnPi+GwWa+t0CYQ/QPWf7ujPI8df/
8ThX1kuQ4jR5+W6AjEYEpuRlDvbUP9xICy3LO0hZ1P4E9t7BaYezTEUW1r+d+SeRLkzWGh+uIhbJ
QYT6/Lp7VsbTL6NBHtQAug3vwIeBUgofwV/I1epXYeDiUlw5UeE2pVc8jTAgFygmH20apahCNacg
jG+5Z+MgMk0Cr79WDX9qGapoIVnuUJg2fhjyIp2SRqSEruzgJtHl7Wqq/8L6P7nliZ1brgAnVgWo
ZDMI+vRWHqLg2skjGv/g9ZUTxPPMs/zZK5Fev36ttV7kbdGIuNlH6wR3b0feLygD5xnLIgAaIqpU
adaQ7yKzBCRNM+HJm4WAPeKGMUfbmd2xGP4XR2bLBT+9DhXihBsOoLQgdLm4VwR9S/ijaDHYFPH0
51m9OMSdsRW1quuqaDYQXnq0rikKHiVaMQXr6ijSa2iwCCJPPhnCYPq4VFElLOLfg3ir5UQS5l9G
k5mXHtKn4KQ6rv6iCC3+AYOpa9XDaZUGHsRI4+f+8VUVcqcMCfoJxmQ3hjccRncmrS4Qny5JGW0E
95sh2QKP2xoVE/0Bx1zGpMXVbeee+gokh8njQsz4Aq1CpcbuRLw4IPYXBylHsABC4cMal7l3ZGPV
oWKbQlgdZ7ZqUaWfkJZmQjqp7kc1CoD8JD76jrvmaTgz8PctL4yferFjTPzoJHF+Y/IzIiMV9DrJ
WoXFmEqOv+J4vDS65OmJKmYqUSLo6G+y4twyp+rUvPjHg93KbqUGqKizD7SaRYXEAMLCiJ+z2QY/
oEbO6KC33XfKW1xIH68pZmdFCLur4e31ZlplqKtHycxjp+gdzxWUwWgtfV0LhxRWI57iLXYnCegu
6e2Tk9i3Hui6mpBUcO2snsfGxCzmIArF+j7Qt4mWIJi18OKd+s83KxtJCwew0poFjmZU9eBuM8l1
qTvND1jscYgN8Yw2+Imjj1D9Ege9U4RgwOv6Z5Ll5mnsNnmnnW+14BV4wx9TDx+ESMyHt/iSm945
qU9mYFFmXmwg4IvhuQq8/LXt6uc9KrmsV2txPPtBfN+b1SEM5ZgNtPKkU35UyCxA3uLPoBM+hmgQ
jpc3cLo5JMyz8LL9Q4F0U/hv8Fvpk0AjVkWMX6+qo2LJecX9N8vkA20lkqRoUqTUy9g6kvLqM9d8
ylUzswEqIhRbiFmPm4xonsUQth072WIBDb3MDr8ELN1VZpewt9t0lLgC1hZyTg/iCTV1GCLJ0J71
sspuu17IJR7BWi3U1DbK95ksVfCnC/V6n+Vi2xdZd2R7kIMYobm2xyEnWNRZMwshEax8t6EI7v6e
T6HzrLbAZEQNbfzNUqIl43hHo4jN4oYRc49Z2zHreB3+yoM08y1YfFUMUOeJX0lwD3jg3cDQ1mIt
imO5qu/o31rc4Xi7IeNLQD+pQt2UunPLMmOUDQ58jcNQ+oBQDgddfmp1dlLQbN3Pa/y+6ky/vk/S
hn8FZmYl9h6Yv4R9sYGA/zEnJKzmr6RHyQKSvf9TPRuq+X7ZvMc+Qi6s4BJLZcCDtRKNEEJ/QRfk
jBnTO7cqZHHJ97Z8BF859RubQNdY4ciGiUPq51iGXHLRpHMSKpj7bT00ah28IChHN0lAGn0dkOkP
E8sLGZPuNVr6IBspXkzLavx9zJKgJyDs/1jQJEnJn1dMzvucQYsj77lutsU8ENUOZSbMfxUZ53mv
kzUafxVg9rtVxETD5ThpXMCCDLg5qyeTYAFdF6AqUGFiolOg3bByGOPEyRP7273kAWqiRz/x2kKw
opFTRhz9k0VQ/+U2Uo9yGyu2daraYKZY2EDsaBoAfa3NVjQVRtrUG60j91m0BAVCqUib1/Ud1C+j
sqmtsiou9avsVOEZzrefq/XuHwOPPT3ZGhrEkZu2her4r1Zr4YQ5U6+kJ40OLdtbXsPIwVyU2e6O
Irc/vZPO8UINBDPjn1YkIjjwdZNpTYImW7taQZc/elqsjAK3F3/6CuUJnYLtkINj/umb+Z88xRD/
yYPMHNfYYaP4WoZe7bfiRYYnqgB3LkMii+NZI8SdFcW5MyvLoiLRUKgNJwN7B0rJrDQaQvy+Gzy2
0I+ja0Jr1SROa/Djs3lV9lCnJKcSLvdeHrPfCZglVD5U8pRmvCDxIgMjq0yVhp9MGuVRzzhLiEYQ
xF6dUeO/NH76sfDjEUGenQNpXzNrTjj+I75/ZWmgu8FwjL/3G+Hw/Y7sVZkWK1APX0duUcFRSAbu
dXtmwR0qDj6go38s8CnnCXHZxzD2daPhxpRw57sbUzM/D9JcRRSi9S2zPZJnKoljK3X2SiDXaf/S
BTbNr9H322wVb9MndBLAMZcXxfDXTG82P6hZvlFKTdz5oW7sk9/yhjvMoUVxkSg/dWYderddLou0
dJzqBQa2wHd2IatQYULO3rQdFyLDy/nGQlbn+QpDD/Yp83UQy8lU54PBPUIzf9QMSDImtrxDF38W
6bUyWw1fhzoSeCTKqVbTtaJHcb2UuAa7x8SsTgwwRL0+l1VQd+0D/+t508RdJYZpyqqR4hvSwyOF
acS5gN2US1ln/WuXN2Gf+QrXBIf84IK7krlAEKoWeDfZi5frUD0lgj4/qtWXF7qG9thPw7tP/O5d
PWLNPaNXQQY9UTSvxUDp306Gv7YIXaquA/Qyk5E5LktH39qiJ2J/jvjoXeuj7lTNql2vNTcjIdQq
og+hkAGx2WjAQ/Y/mD3ndyDVG40Ig+xZuklWnHHew+zYd6JbqDUqHnfrqVmXBO/rBEu7uyEhyRJs
SNRFin1XRbITVl0VINJqnyjwM+ECXSs701Bo9MYDAAlR0py0OIUDK4BSpy8m9WxS421RdnsKvE5P
RAKpG5t2G/xr5PbEaAuBAn5gqtK6c1FQRNXPgYgVV+SGnJPPYKJ7KSpQcgLjEhgePkf9J7QevDY/
hfuMD0BMh1oKtrIc/lyNqQAFe2Si17v15UFFRHsXF0NHRWUH5ITX3jMETwOpBKdhFuF0XkwX/09x
aoP1yHfR+nDRgdxdhc7AMnvbcG8YAFUetVc2ctXwlrfgr6MerEnNsMEx7QaU6qokq7w9lmAKHyYW
2E1llVGi3iTQaKRWv7zOoze4s3EmAyc7ZKyynD0tG7Wvm6Y+V9Ip3tBzLKZIa8BoJGULte30LPcC
97m6tGvIcPvcjP2kDoFMRqEfgzoUonOw6KrZ4tYUqzq7BfLRJJ2yc9xizJnomvEhKITglksoGgC9
Yd3O9Ausdd+u0KPLhWsjtzSyrE7JrLsMYRMLArLzbhEzfH54mdeqPxG3PNcz7+0Kj8QwIgHVowEL
do2zb5KBBas1bEBUxpV2Hjk4X66DT6mVTqCQCHiCvaMTp3Wp3VhD1F5xYzri2kwCs00DwCD8sxwP
EMxUQNw5IKDXqq4pR01RVDb7Q6vdMoCBzNmxXtTuljVavxH7lpAfwYHSvcLsDgMBiv9WcVqlhSYa
GlAxqaomz9dDXVPmhKEXSy+O1nPOonNu+bvAQLi5lt8nCCDHMNwTakCjwgEevgF+G+9AH9LT4mt8
waNEzd5Eh/1oddhE3lhaqf0iRx0I8CXT3wflF0mHJqApwc5zWcntAcDzmHJwxa9VqdweZavLfQXi
cpgR1D/73shwbIIeF/4H1ljkQbFU2dIHNSFJySpiS7kOl3eI0+C6sQbPq5f+QVTM/FHZGjG4+lpO
H2gzeyT8oJpAZfGQuyJO7BciG8R6+cmHb0TAM/jheOCIfRFqNXi94NcWgLursDDY4zWfKqQbWdOi
1lw11mdzFAnGz0SK/yFAiGBF5uQYp167fJ4RNgghrGdFlaEgh/N0VqbuHQNBy8YGRxGkHsy+RLrE
UllNY402psi2ZyD7oeGOn38ewDpRepsLCjIkLlx2/zHko078xQPbf5xpj6pw+FbZy0inkToWM5jE
+wwW8oiOhgsKKsONEU8hlq2zffEryIFjxg1ewmApQGBmD35vpRyQYu5HFoZS2kDLTA0/FjgprKHr
HNj6aC3T5CrBtL9ZaTkfu/13rKiOgxq+1kvxkuk+V+J0/yQP3Ok2u1YQ8JukB/wxfUCFNBHOG+zm
3kYLzkJk27Nc/ricriqIAjGrnQgPKiKiBjkVPcogOtCnW0jJFe0KlAG9Wee0HeChzhSE9JbZCHHo
Fk7MhtveiRTBePwNVTLkJyw3u2/e4iF5af3zi2Ar1TNcZwKewgIzo+UkTnbzOw6GyyapURwUqdK8
hsworoqMIiesJ460yjvSuvAnBNZ1rEEsNZWtTi2OI0mbqKE8I18sMtsKkeAbk9NvK7LiGEWCqyHu
bygXaYLQ0W8ADXNRfzw+8Y/R4zOkNi9zEMFGL4eXazAogm6mdDcxChIH2cZq62HnSsaFtzbTs8bX
iaq8lKWm7vrN9nL/XU85U7fJauRSOL2RY4m8qGzrF4hjVPIV3ZGR93yRaMlTiQnFznmOxA6cGDAu
FkamwnOBkFF6Zp8PmycSEq0EHyrhiBNkidF0RktHC1Y6Un/oeU5OVrhuJgHjrlF1m3iHtq+Pz3c1
Sk0hcAtg8tqwS166c8NRqr801aXeaF9L1n4M8y0r8cp2OhAbl4EMbVnkcrUTxvp7t5U8pr8ZP/qM
L8auIrP21ijqRnuApXHIEdisYQhSB7Z/Sq72N18qDQ5UnAzbY09APt9IXWaNvVZ2ytusO6r9AUBP
klK9D+trdd98glKB2REhjV0AQwwqXpiOJoAzJKE1z88adYxyhPLsjsRJ2VntGujoPNI5v6iqyTgR
hjjRmBZ4uXiaK99mVzGZGjSVRDhY0MfgsUFDfz0V2Zq3bsLo6HbC/SzMK0QxVGwV93COCASVAxZz
uxw0l8eoTksUa9JqrJaqPiGZVPZp6vYBACIx1ZEuvTch1EOPYr26ir7P+YAQPAPTUbsH+bne8X4L
Jj5C4MJc7gBkYGRvlXlCdgxZXnXB51oEZUyXj8qAXKu6/M/ZVSes1eI1IgFC53UdPH94biE1fd9r
5XybDmej7BG9/7tmra6rKZhvZD3TtNY1te8YNZJq30WnePhrU1NqgdDq8YVRhkM6smOoqF3AgAsF
/UYcR7FLFz8rBdGFsvjqOky6wvF4oFppm1O2GP4XJxtSgHhJSSkvUYZ50aybrkGRjl7RL9qQ6Yka
pVbjSNY5W803DOz3qKFzIhT4vy5kT7MKNvw1YzXJbqUSFJHJL43i3oMet/G8Xj5V5Jw3J2Vc1XhF
J4OovwQI3UKz0CgJDmvJk/1zgCxIGbxNbtQ4oy/vUZIFhAQ3/XtmsQhdkR45MvANMh9aO9KgiPR5
sySP62SxQVBT3hmTyhLPuiV7FkkIt9nz/hXNYlCVVgGK3onSqk66QQVmSuuIBp8GNgW6w10722t1
JZb+FffKktHcttOzVg/5XLLkLfbsPsOXL12WAeeSS2gh3yeZ327CyfIvfSaCUCgj0glRcYkDdxZS
4iMxC+h0kx8FxjuluLejZzt5pahG+o53h9o7j1YFaIvhX0+O6oVSFsgFxzTVZcxX+cxXr2SVmxM4
u126h06NpHNKASFgxIbwHBPSrA9Jk9KZMXiAB4iHZMZgRDQs6c82C1kJpKZ93eSgrurGq7Mf+Gre
V/P/RjCfQnYdTNa15GJG4te+7LTHF+WcpjiI1kUHu6khyfM6RywfF8GsOkVFPfRT+0gBScxgVt6g
5KKchqp/6fqQ4zpaVWlGeDOGhpa6Qp28VBUj8DGzBFwQ5swwbqkcj7ry+242f6EuKKyEzFPN8z81
W6a2LmMJfpWWeZMnJd652gMA/lXQXRUXV7eEEvS+wz2IOG0a3K5Xn+ZLi2J9Pcq3SeBDM4RT0FC9
39QguA8/FOIpdYiRJkiBV0FO8C3PpVg5SNhloPrEyxkemav8teGOSTN0A9NAZ5QmLXpc5qTynN5x
4mdcyy/QrOvMxSyPirMwpWc37cmWNUNphUmM4igy7wswHM3Oq30hQqyhYgFOlVUPakucjXm+i7of
txms9nWSLBYi2cGEtG+nhfuOSPEZU1bvFHH64jPX1MEnG/8xZgwIv/7G47nd2cEfE5AraDT8wm4N
JUylnIghSZlfw+kG64XU0ahQFLtIlgp7sZJQKrf2Hlu3U9gu7kPGtUEQYBbjoYal8cpWr+IhlHKy
FCJpRQToehzIz27g4fmyRGeQZBOUsI1gukxllU1LNtA9eQI6gf7ZobaLJVDKGwsrHgKg06viWuxA
UsN+1X/M9O/SFhJhjxGbUkMBbempkY76JbUsWw2N5otIIcxvHprbhfzvxtVi3LZLalphlDLmwrR4
x/AtlKEvzEujPmF2gqT7ZhUVx/TDoO9s6Fi/HxQxtPZVxwcS2i/71aJuSzqI4oDfN4s2ORS6ZHlk
wPi02FUEOgKsyT//Uf+kqElOtEx9PhB6sZTGgwA/SeEKjJiVfKhTbA4EbLSt8znPEwV8QJ6f4Q2V
Uhp1OQB2xKaRK8jQrO/YixfEIyPjAPTYLFaNUDEUygrtDvgx5UM7Bw4JuL7WxV7SKEgDaNitE5J8
KCerSjfJVykPKqRhbC4CaFcvZQmSuZ8i3Axs+vDqC9uOdOgbQkZv9vPte9VC/GN/mJOYNs00g8Iq
gEEIcsjtEOqRBtohYaRDJYIvn6bl/WB5hwI/SCms1W8eiaXj9pdp72VVc0RhzcFJ39JyWNzu0j3M
uL+PFvZFQ1/ixWEQHIF/OxHS3RxFidMRmb7i7LLhtHDFXT8WtffC72H9lHHrRT+Q7jPqjYZthxN8
AkXrF6q4OHemxtEf63ZZrCCZLtiNrtZ5t8/3yOzDhami1Kzt5PjrEKppx2vdx0nZWAC8WqhIi6qz
Wj4nTNemYaY0upKRmTRI1mkjuFzTqOgLpVwX9b/ExNcm+UE1GaI3VbN35P+HaRT9ybFE/4bRGaNf
L+tGH3hh63ARlgGLfYPnh4Ik8F+Lrr6BZj1LHQ/frp/yHYOJABLfjCWH5duDxeml+ryJYfR3K04y
R+ZZqVx7YS2oC1EhuV8FmM0FgsIPXUsck/P9LQ2P97WRNfggoSQPg6dJ4slurzVfjsa9a29N9wHN
IK7XExm9dT0+RfmcJ+TLPOHblEY79azJJrNfHk2RoYWHTsMIdsAMOtAWBpW9X3ZmX+fbPsCwnJMy
rdLLI6B6duqjNjJqhUk9DgP33Jxaw9mSsH1xnlW0yS/sa95UvVlRhlU+tRzh3Ft8dB1vBQccNtdj
NkdRGoP53mXkzdJAT8wbwoC69guHOc3xRK8lxAFrjQ2jzl+W971gTmJIvAnq8WdYNy1KSghNVnYe
fKWl+PGP+9g0MiXv7UepQYsKYa8Hqe8rFVPCt6wXLrmQ/jvGiRqHO4k6240Ywi1vQKlwOU7ewV5T
I/T4nyiAD7PS8aiTumVKNRd+fZvtloCq0HtXBAarF3QN+UafbIIkReYXnow/WhF39+bt9SkJfmHP
6UzisAhI61fSzQGu1Wlzy48ObXvv/rw7cnEUp0niXKi+xGGER5B8aTvBm0/0SjYaYAneA+vxSPcv
wi6RP37HN3XaGSTZ/25VBhsUmJM/fYsWvNME0chEIzY3D9bqb6gBlyvKBkgK39UBlBzFrf9eZKE5
NqQj1X38KNYWuMpw8Dw+sJoF+5fyBE0d2rCCb9kBmNt2qsqgj6W8qDwzGZ+mnyoElmg3Z2MqgErD
CIydPmrMxUZ/W/h59ZYrb3y1ZeTI2DOrNS1n40MVM3TQWXQIvzvybP6EhgBe2mOR9ltKaRmp4l1O
cqr7w5+I+8R9/EUXSCwhkaXHTyCW5I6ycyps4b5EYOcjmVWOhIfuUQ1wBiTh4MhI3cfALC85ZMCw
Kwo5MseOuXNihg8oSNNABqFB5uZlJqvOEFhFdehRhOUSBZJ73rxpH5dR/kCK8YRHXWw6QooQzfsT
RjnDqxx7nkAZGlnuk38+GysjEeTWX9STgwOfYE2nNQl47zX7k+UVxUOiKifzodzZx/W6mEy2Xgsx
gIPA2iGKf5DskddZD2wqXZ0ielLOgrb1/D7QhesVfuTqMDwWdzXeOpwM8PM5w+EiWtYCCoKNOqCf
INdqX2RIQZBduPimjzI0vms15krWmdj1cr3YHKn70tdvPtHIDt1vt8+wWG4gZXbkgcwynQ3E4GUv
SQypNXKikBP3zjwjXgjI6K19fJPCwuV3p1xUlN9nOU+0jxql2KzfgEUbnKyeQlIi+YuRh0BeGCCn
IqIVbFSmX1eo5s7u26s7t+udW+cgBc8lZFkfrfpLtwNpP+SrlSbh4xXrvW4mZDhV53ryDz7FjIeB
b56fwJgBONhlYYpUkhJpk4dhil+S8XCrJbDRnUZlOBZprFWF824l9BUl/tA1sVMKPu5lxmZ3+kLm
1jTRnnnQkNmlug2VOQhrE/2IvSGR3CjNuwH7PUXLMsxOc1+EF6DKZJpuwkQ92ZRTjhhh13rGQA1V
p8EUGTqS1VSJDLkGXocIZPolxa1vpJ8okgH3ix3rNCyBbhH05g3/t+Bd0e/rCZXGvL9woMUuFdS+
aAhl3BCk7sdmPqh5UckX2wiO0BQEOknBcjOLnulBAY30YIm+SWe9kWlgKNnFMQIs5Gh+BL6yCKaY
sVKYh/hoZaWRQhgiw5R2Z1ygklZsikj/DbrpwLB0lOwA023oDkoyupowxCpCgnvhsbaGFTGXwmPH
WkUziIQ2axe7RAU7cQDmGnElgt7NIBF1anuIoJfa2WHIKDI0sCbFvcoaPrnzPZbeADfh8Snb1EdU
z2PfSsPWgj4l1dzO3ulxTeJKWtvO/ME0LfJy55wagrjsdZLK8TgIevWczvItn36Uk6w7j7yPVtG8
CdtzKvm9jcdzQZ5v0SdFHKBx3MYiKJvlyBFq8nrvG44R2ryM+lywpG1A43U8qEEo48kWQv0M9HJE
nEOe4IxL1ydgMKf+R7/0zbwVu6pCx4JO8PFLI+x6XXnvFB1Rk+IiS0X7TyVhFIHa4tUbDnHrrShZ
RPsJhLxnFi8yoxEPoHmZa6k6tQq6qr2cBTqcvWfLLsOxS9ckLNPmhZqbL0kTVq3rwLeU+ernRCNc
Ne0U2IpWn6anwlpdEjINfZ0k4Rs9gc9BFc3m3mQ+93Zj2x8rftgnb/M0dFAr+YcmMcmr6JFgfxqr
er8iHAv3IrTHo4gpJgJdmmcnFDysfxDIOWa678XRNdcbiDuBMBexcNLtoOcQ1MkN1HFEFPOoGiXZ
tPatd2/kf+YlWf+jpuMkAiz62C8cZCPtZu9yZ+KFVISZX/o7XrIJY17E1yyMVZWSLi0BWFyntBVf
lFB/rUT95YOvTey+BrBBzESdFLhcLFt5PVJM3lxUfoqxmrLERSt52014/JnoNdJhwSgvSS3QwgwM
k4/DY8h9ClYjrxc2J2PJZvU0MZsh7q8Llt/m1Onfgg+cBc18oIi7lOK0VvIdOq4gNE2bOn8GT80x
XX7C+r5dCrOTcDZ9LHB3cwpT6TFtDqnV6ic48CYHODTdCar6138kayDSNJo6POQ8fmdUfQ53DjDt
FTWbwwhMxQRApeSpbxsNXmcHZHDoyD6MmzC2ZorrRJ3hTe7y1WvqrVwDSqSOonuvsMNkMzSokt5Y
7t1G7CO8kGqXVuD3sKEfjsp7WuhyTnmGhn56Dp9P4L/i0Ck60t64o+VmOCF4XyxJfvz27DXXoa0p
GyE09VL4kQY9eJT27GJX7phMffaQyxXU0X9Fz/BTirwgD19SMPL8xbtcTM/z0DzxI08Gjt2FIVyl
/fkJen8o+Pn3SswQXO2wtst1cKj5CnGtjXlPny1IqF3QFfKV5QdRG0w/R5cT301ACz6R98Ea4qM0
fHIoO/3WxiAqOHHGmLVjMCq6FpfX6UkdosfMRfJkhS8Xpo8SNsuyqCLOpnP1uOpVy6nHdAN753mT
SvUnykuGP3fZX0BBRTQ31g+Xh/M8o7UfjmPXrMEVGpWThhllGy3tXLlgAKmhkInTUfGSCo22tDR4
J13+hVjNJYuj5lBk+Q8rYLGVurs+aW5yxgWBrrcM8DQexyxT+5pN8esNF0TM3uDdNSCNOWrGErEl
WWXsynPoomFryZKMr4BJwWrj3V5SKpSqq8qABHAPy1zgCCH3iuSEhllJQn+ughF5vGrlsSTUQDqm
0+Tek4iWyii2FUAvqrGEqXGCB6oAjpUk9qEmr04NyZuUTSIJ+Flk2QJSu8e3Ol3h04VeDZomF/jQ
4HTvtPZRDa3TnKgVpqkaVLQMcSek8MbwbKE+VWVQTacxWNxCycbm9hWwmSyj18tJ58PxORl1a007
YGqXLW6k2zwscElCIwbuE7XML6YEtaEzLFS3vz6BoYcRLTPHRHGQvk8ym7AomkTyHgb/ATqkjygA
EzrdaZyfEbG9ABIGVKm/8pdmnRsFe9bFDE0yj+ndoAsXw+f3HUTL21ldLG/omb4wDF8OGE+Y3bNV
YzLi7oPQp4w2opzqcSOVrW12gRNH3/wMWAhdBLGOqIjWYSwVuURE6MnCWCJH9qG+E314x2bKjg5Q
YtueDPgt+TUrGDZXd5lBJIG3zjs2/JqYnqYPYMy3/yhsfWttsQ6DDT07EbpMH1fOlWxdwAhsQWZt
FV7UN3+STsp7JUV/JnL2MDeSMqO85gFLSzNcEiFpIJ+6lyvIMPhKyuzUs9D+Dn6JzIx6fVVozVuy
3alUGg9q6ZeP4fOc+sXLa25d5nqB35z4EZJoJug0tGX3fF0/JbDu/ZxtQ/z2rAM4dU6jkNeFohLM
9+DoeOsrSU7kUc9UDHeGAqkNnWy+M/72vyB0ycSk7p448yixlYzQTq0SFhJuMhdYmO+sE1W8ltmo
Zu5EzuOEUEcf4/H6RygphwjmmXKsniVhyxOI/X/KItOntxlMLSu0SsNnNHPo7I/wlX+rUXcQvuAB
2hMAzqMNXNjuYfPXHhU15CEf8BaRxU/B5ihEYDFY76DkKKFg5pkfCf9bc3sdQ0IxBZh/3BJJAPtN
k8qCYAL0SyaVforPilczl5JXfFh8veZlX6pqfSTp3/BE9moViOeJtmi4nHKxyY3KeF5yS4aJneXQ
jbnXVXjD7NllooKBpBCN+fqCl1+1P7OhoFhVj/1MTqwnYHX74gP4SCQ83TuC3D+gMtxW6+1RSRd2
HVmtn0NT0hZR+Kq3It+gilAgzo4F0Y8gwVDqxrOrXV1G2y8EWjXuYkoBE3SHxyZtCne4P8n/7jBK
zfPxk7QR/BfmE9wjSY9qeqg1bbhqiDQtZra+JsLlv03WSlGayOpgddqM/Jj/jLlPCfhn+GIxL59C
Qq3GXskwK+0iOVC4JeLUJM5exyIiFXnIwt3XyvyDTy+ZBhJiG7x4R8eUj8MZ0Ne8HBc6mf+YCMWQ
Ys+XmFZWRb8H/Weyys2Y4xXQMeWaYkzvTo6fWoBe8NRhO2ZmaWvSUKV9dj1bQRrnnNrcUs7IAPNk
7BI5wu523X6c/ZAPPEh7q6wp+raKKKGzUUpxkuFZMVjiOS3TyRuS457nFGGD6enIEwkKFZ6CmyJB
TPAEiOz7D9TsSNNfieXEqXk+W5OpswWsJf5eSwXTVW+irD/iRnTDOckATX8sEYy+gvd9ziF3+I2i
nAc4t4d3MDMxLKf4C4Rj0VEa+0MdupoeCM47fP+dDHgadg4C4LBuVik873rUeXuVYsAwM1DllThQ
WoalQHRLrzN4xRMd+6iNtgBhL8H3HDJXwddDmSLE5mGxfsh48/PFDglwjjq/dOP4/abOqLveuIpA
zvF033j//C5i/Rr/W2PrxATpxVnhaUI3Ek/JR4YN4/uddz9qENevmaLWw99tMmhWv3pJx1nm7hvD
0myoBWMThHskynowQVySqIz1c8Fnl1Sn6VQaND0s9UNVAPbcmVi3+m7Av1mEFw47SKBWtzcE8dkO
ukFso7p+ZKNzfbwhtm4OChIYgytugtgWyDIXuJnT1CnETivXY8ZFQs4CI+wv5HLp/2h6HTo+i3dV
+o5CLhvPNDRZpwooWNBfoBzJpnkdYc6l6mPQiZleiCnqO5pcUFD/BQDTZn/gyiXzWgLBDHWgHucd
x38dxoF/tOJUzqtj68fIU6HJP3tqPpPY4dgUmTq/8XDyKPL8dua9R95rQac1EQa8qWpoNlhVXe8V
rcCCApFBApe68Ax09rVjOxr9izXgMBSXgrzN0l6IAfuTedwTFuuBHTjcc47S+Nldfg8WelyZ2tWM
bSoIjrMDwYBaHdzePl1IMYJTf8LixFrHtWFW9Ll6gVDXZauA13kzFquNMso9Kk+jsQnqacaFJ5ZG
K6sIM4y77S+FQe+1/ARVe/0Cm2jwqk1AN3qjl0WCAgm1qG7o7rkoU7KSBinPTVdyLJtygS+3n9yo
veRonpoUUc7MbH3617f9pYuoO9TtbHbqb1MB8piNOjl0YXIKcyFUN7yt0ZxoRzM8GiJrDgmKH7cz
Y9yBXdvasqqaZtGnMYb8RorWRHCgN8FhqXlNjiY2DciVinjXFpsZ9lDB4lFF7CwlSqutxlAOW+F4
bRUYSKw6SpEM4oMuVjQKZkFoVsn/sht88PlTLck9m9QMZj2TJpKnyXygUfpv1M7lekCHtfFfnYVY
ZWH1I++vaImQJmRoNFzE0gK+0cfPxnr6n3NIpVQpFPVsz9/cd8kKtuHaE6UryJVZj+C8xyqSajoW
pqoGnEP6YnvTBeTpk0ygWy7WBjYXoWItz0s9kg65T205QKoCC2fbSXnWjQk04Uba8rtZn04ufDnz
wCj2b15N6mSpAb2uaKCJaWtzAjyDE6aCn2GgBhKGD6lsvFB9zxJBMP5aYZBJd6At9sE8Oubx2mOj
Ij3UoSuB01Wo0G5wPZ/278U/JDkpK2wyucuRdc6oDCa8ETawsWHGAFKvvQm+cQw9J5g9uAQ6DcqJ
mRH8XQ3UIAM8apZWINSt3N4Yuff6B2HTij9WyXrqWP4m/cI+AieP/dNcie2hwfy2jruDzbOPS8GD
eNFZ+ROn2Bjr8SDC0/E7CTF2MXCdnjKG8kB9Z/UTS2StS8OqSKAjd7xg7LmJhLqYWZW2FlMCuJZ/
Ux/pWKmygdeJfzREnWGL+syLLtKBhsOPDer8r3T6ajfkqgevBQHyX0STW9bSDfobO3YBzG/m76dx
QqD9j9nfHH+lQB3nlM5gw5kEW8Noj2owCh1GjYTs4ajkAYwkQ2iyw9bE2UDiiUrON0mUBOkAgzRb
mMwqwyC+TxAgOrlTjOfJb5lyIP2Gj9MwJO8UfygvpJr423Z6MFs0jtM+3mRkdNA8EGSZRaYwU++y
k6yyoN/akLi7UnT7rCge2f2a5cEY/frq/lJnr5ribQbcte3T0ClBIuxC/mynJ7iTK9rw/TP9E3ok
LuLkyhT8jMXidlfuBGEWdtfmS3kjnF9TVD3cWJFRswkEBCbr0vwb2dD286fZDV+AOiFPe57Ppkrn
ooAwemwHt4TTmKr31XEmqLANwXHiJHfD7dnG/Ab2hNm0jJw2B7MzfjgpsdCRgJcLqSh4y4oGOeT7
kkr/6eDLqmV0q5TsNPpFA2b6wd6+dOUYp3ag/eYa0xQzcAvncyi5PzIChZz8tGcz+8VSf1Pot2eJ
YV0UzCzfRzWrwsAkwaD5jrOWUJaedoEB4KP4va3qb4AOOtlF7Tg/bm28iwLuFZ5HMmq8tMJERG1b
OehoYSZjdkWb5k1CLtqd1hukvdGP5WHWDbfT4J1gIPfCQQ5sBnci7ATg9crlaiFAM4DtnpcYQowt
9MaIF3FN3uQwuQIJfKnoT68Vxftr0hMKf9T2H5dv7Cvs43C3XxoDdlvec429dSBP+cLRcAonmZ6k
OEtGVWZiK9okkzFMse/l0DmuztHpixosTyjaXGfc8Ge1UoPIV3w582ksajrKTQQALn7UDYhyG7rZ
mdU6RvfkDkFrE/+IJowH1WO7h9+5IBPjhv+aBuAg1MOJC7eaJoSIkZqQccauIMH4rxl0NU2LWL3N
B5H30oNwaQIGaBSYnNWi/fLLupY7RZp+KnlDmc/Mnk+526zBcoPHErAe8oW8/clK/8sRR84lPyRz
0xF046mJeBMUcTtUYgU+OJUV7asji1xcKhyxN/5cm7Tn28QhjrprS147ffgbK1iY4hFd8lsOzTiA
RVoeXmmg5i08haVdB2W6Cxev11k+As2umTlq1i8PZzfonrHvFah4U+lzRUNTkWLeNaZsn4vbT7jG
ChzTviMMujRFW1bq8tyeKQDbpsIIytm11GaJL1Ft+ZhCg5eYwXT4p3YzWxJe5VyxkGKiKE/zTZqt
MhjvbCxNa1st/BGhU8D7gnLoyjoBZAcos8Fy4skKxVNgiJ9n44GtquBLmz/XUaTUhtevS58v6cXP
ovIbtSl0aZm4QMgMWiVMWgcrINOHH6Dm2SoB+9BisPNhxLJXeGRDs5TCDzhL/Qr/eZjSWlftIcO1
+9BGI4Hp0GIKAKwvJhYqUqzwTMWvlH/iqbHuRntagap6jLXlK49ROJ8bMobZ6IaYoveK+AkZxZPM
fZM6zid7waOU26WVx8/YGoWlGTezqiiiHEAWnR7B/fzdle+XRDBHLzQwHeLSH1NZ2mqiq9wFlI0f
qv3Z+09vK88At+oUYOFRMTaXqhVKUZeknyFQvRaXV1S1p3sAQegw1cBjOsBnum0y45IWfCTCkK6Q
iHJz+CJ6HfxIaDSext0baCgRIz5aijks/1H5PphAh3W0KKzVg5dTO3/9sxomQKwYhRkEmz3+70z3
44ovPQZ0oCedxuDd/adv29uBaDoTYttjlFhxWRl/UjpUHmtlwISUPtZgEqOM3gp8c/Mtn89iGVCI
T5zH3jAZaPWt6LFxXyjivTwh/DGBMbM84WvxHL3l2KbA1IJHbBbdGeDEibFaAC9s2bAZXRDev/Od
2QTts2gotf+PnhGTDTkVJ++Jwwuvrsq6rLkrIaQBIPrZN+TiMOUgbXyHFz/1nDvpYJLxA6ZmG14K
DaAJS99479BkKkKIaoDo1S7zpAYtQQRSBYhZP/LnFoq9MPKnlC36ROSMLJoJZ0I8ts1ZkQFfkW0Q
Xu2z1vVBS0uyk3B231MDXgNsHVmy/yJaA1z1IpmLXZltOzcsJDGpuX9pblCGldfH4PLOuJbzh8FZ
uFikCibAMYZegJ2FWgTnbUVDqh0pnEIBwnSYm77Cqq+Rq13X/ZUVtlqWdzB5hBuzQavSP5Xp82G5
txj7jcGutEzQG5cPP6QIBXziBiSAtr/X13ojIhQKW0fNomhMETXaP19mzTM37/PF8A3urqPpX5E/
7TLVg24NgHwFAweUoE1NsvpbvgxthMQtQUCnLKNcxPlcGjnr0N9FKURFSxDjRItMAX6PaR/gUVqY
mpf+6F+O6bt3PnVLCijiY2VvsdRjl7U48PCbOOS/w8s2PI0qKXzUmLYmoraSpg4rJAV6PUr40YIB
gqbpGcUjH6uYeWpoSnNKXZF2G3nKiVi9wzK68z8w/Z7ogv5XBFqGUGsjLZdBM0Gx8DmGFzeeh/q/
Bw8XOAV9fz2jmLqZ0+jetUFWOXNoeIGG3KG0BfNPzc3BwYvAxqvdHW7zBUS4NZNp/CEBoFmpJLg/
hdgzqvTTI+4WOEhPRYreymacVwiSrsMc3SmYhoC0r+TU5EpwtaXJAHFUQsAQGYSH598yaMSXqhkm
eVgBYXLOBqtTuAe5d980FKebH7pWpH+3yzWPtTcow0g4sJsVNJ0HOI/xdEfVl1clRvwXTQeSMjc1
FE50FGl4eeKcoJWvBwL8fK3lSPFw/0+V0I9Hm7oWNI/dl/8uiY/Rjg4JokBTEzFcFyN2LcIszZaA
0WnXSz7Nzi3oh7dVANZ2k3Nm4TrtF8kcciBHwCqnsYiSZSPHrAW5mavz5f+8B9HX7YhZbCaPDyvK
srGUFm+57KEjLd37mS6gFGGFbbY00pK+6xEBf/jXkcPbCdv9S4cWYZpVyM1B/GRoh6N0aSbLJLU/
bfg5VdIt+r3JxezIBirAZeNU94zkp5duWQm5fkmDpm5eKq9y39fzFKCZoPwiyYmZ54VKVo05b02V
ouax9s+PqejNJniJza/gLc3RSRiC3VYOYMHgM7OhRvuqX3+20f8AnmSA0iuSlzmwu4vCsE9Ye5kv
lAK3vP24rbtcD7609K5Q/o1dNi6ZqSpzmtnc+KnbT19aSkCvwhjhNtaTL+xVnnfDTdaE7QEJyYLi
8orkqm0fMO9EJt1QTQUnKMJD0bH7QWZZdt3u+H1m0PDD8HMRH/G0WjACTKta1Pqri1Zl3iW7rDyV
5U3LHkTkpEJGb2EZA6n5z2y6tPEbaNoRuOOOmUcXMbFMsDO3j09bBkcAT6uU7RA/PI/geGZRSHSs
LmrymBvq7Pej1GGRnpHINUa3YKJdd1JdfdsUzrzTZbUWd3tlBPXvgufCQzxaOL3dYF+L0x9rhgAs
9KwiQmyVfxV40QR1eCNPmm5V4w9tGBa0Rviu7cU30Q8Yn1vBfQHhkxOiiadbRfiJ4YJrdZnpiyFi
1/bZsGaR+xAxRXNLsZ5otrqeQJTzqnRHR2gI2khBZ/yogHG2geTVPFJBcTYrZ9JGHej4GrDcOFRG
U2h/ReMT+LnMgF0q8/zubkwYM312R1bEwsXBzzamUBjvcKmNHL2W/Y+t26mX1Qb56gKNngrh7Vvu
eubPYiQDKaFvaSJst8kG4Ps9sjiw5CSH8YcR9Gcb8Zmzx9m5ZsXS6ooLS5F3bl3nOgdCd4lfpdNJ
OfBa9hvNcB6OVQzrbBpAoVSbqbninIaMXumCt5mxfqU+GHcQiDRadwnjlE3Hsl6TFJ0aPeEsju3A
orW0gUhRy/eBLTEcLDViZkzN5fV6jBWPdFZRQCNSu5LX/UPPfoJwPV179yLsB0WoLiH9I8IabjAN
oBQQl9i+1MR+N9mLs7UyqQW5Uw+JGuBDP8ambByHUO5qJFbdaScD2Por3/1Qppf5KJ/nfzOxJTev
ec0GQ/urTewYNIqou6KiaInfWEVDDKKHZh3q6aUZphAx1qizskppX/cNn+dRR5pXfRkKPeJ8wWYY
rEdsjp8XT8CBb04jLbifYlJDN1UXxHFJtxye6ppNYvQLxJN6Y9EAetnygGQNPH14gHf2busCcUKF
ChColRg4JC+3SvraA8K7pmQnqtZnHu3OhRS6IctiHDK02I1PJRCRW2bseYXbgwwekoMinjVNAevF
CgumOdG5RB3mfwcvMZTT/NNQTh2bW1zGvAynSiWdWr0ILhF8KwynGpt5cITUXmbeCTNMrxEy7gr5
bA+7ke8bYvnCMcCZmNjOZCCqL4X1zAP8/o3+JbHbAskUrelzwqxMYdB4DJXnxfL8zDYllgWjg9cp
7Ay8j4FE3s9Qvz+Y5BOqgTv/WwbflFkUtFyGO63UmmT9+ROsobcU6Deyd4qSwOFcOTmoD6orHFxd
PfCT6VShuNshAsJTfWJPkz3ApJEUR2u2IUlfz5l73rGg4bgWtXblsiuSAOIOaShIFb99S8CrUp/E
+vjdTxyVFouclk7BdlSnEl0uau3RH6EuiDBBk0aW2jSd8+WnhEsJ3EKbFfXRHm0qb+po7xhUWMpX
atheW6rKoUVIg8UJM2HJ9y3Dq0mg9A/6iUvM9d+Mc1hEzTWNR5ZE8tFnT/YzIK8Gt15oV6F76aq3
udk+GRlzyYvCSUU1xElr5FXYYQf2Otl890B97XunjO0N1bdlhZJc4PG54pprRPCYJ31bm1gSJlqR
dPHVFJpQr635GzdMqbBKjn5CqysWsbwHOtjkh4+1LFm34SW+DHEqYb4RR27R/XVxvwv+UYiaG0DC
Yvzn3ww8MvJj1rS8f5o4mlM8RPGcwHk23olvhleUwjw3r6611kj/E6ghbV2hoco4LxW4BRo1teur
lNOu8TILn8zWAZTtmhxCz57n1WKTw25qmJg51gIek9nyn/SYodiVLNouOBcgAo8o7rNdlwAWFaXA
ZxKEQSe7t5kKG6pW5EH9569iSla8Z1g0A2mmUShtt/3sZE39tg9OQd134n+RsYgwPK1FwPjVBrFo
mJgpoSe0AD/NM5esOiN4lC1JyXfPEVQ9qFfR0MQmtysg4z5H2sO9lpmNSIuFnrWLJ2o8HaWcIbye
vt6nnN7O/HgZvFLz2wD8q8Fn39UfqdnKkY0D1H2Pq80BvUHlvC8oRuEtv3JP2R6oqIP9kObSwlfP
ZeoXOOeEd81JRrMbrcBW8xbLZS6ZK2tsbOCpkeoG8c7JiZBoY512vo5W+f2y9TfMwe6jkZjrcCf9
dMLyYAp8nx0n9EPPnqF+1OREgzyEyEOlHcWFuSECVQs+lSt7dxGuOLo/6BtzXvt9nNXVYFRBoqVl
5LrZS3INElvTpNkgovJJEq67RFU0NKQGF0IU+eZFP05URjuMmhNTAdTaDLjiJwhnlDKPHlzTYxSM
v36NBXFkvjGROCmbC3P3+v+JKWUc2EgcjSYbajPbw6TNMqItRmJd8bFoaS1EsRw1748AK58tRuen
02EOVG0FeieEKrFTNkaVfkTexk6TSpWVv/wk3bGC6cO7s1JS/LBMjOBIeb9DEWRsyveNcZ6i2eKE
gRuGg192WwbSBanS7/RmT8NTmHL9pyCSl40seFVucDqerx+xu0d55so787PCTZ8eHeisvBHuorXR
joFTHAqZ5GDA0nvKSP+Sbc2Zsl6WjH7DATYlneHuYGDnoi3ll1w88acTiDfaupz89iuwhDIAjaEV
QIVpY5bbInATJb1TC1IMulO3UPV6CNciS3O4DW/KQ7nwa/N/1pAT3TofLtoy+Zyps6CO6EJP3U+U
zjAVopI4Uq045IJOZtxs0RxDEE46kzOli1OE7mTEqOMU/PHHUPwzjNxoMU9OjmZP2aPI5L19o/WL
8dswLkU+NK7GFhzttHHrBJhpp8PHBtMNHUWB8F6F0ooh3Abf1huYVQGjutxr4daVbougNQ2DMoYs
sECugJscFuwrBPZpi7Z2sId9h52k/BVNVht7Ec4mavgZ1fH3Of40gH6hjYazAi/K6601oFKN0jgI
re3kUbWlc4IXf5YShpRJZRXYgHkZvoWSVl9BtCmpymlWo/0JKm8Q4T5eVY7Kf4cIGuH6tvGSOuUO
lbRVTSgOiweCujjBO4Ncz+tDCxrItPtMpnKXVh6ggCQ1hpOKcqP96VoBvuEjuD8b+BhuDrTLuT82
aWgN7A/f8I/4vwJCA6dJj/97rCoSVwIbhnBwONVm0gGAyflVKxnIEH9rglrUfv2+8JWekVQ82X+t
oWkebz7J6tE36a7g193vWw6ztDWBd3aSvWuKhnNlPjwTo4+jEJ6kQmYuL0cCv2QrLwb6hI6PHmed
7g7mlEwj206jBDWlSVrTpm6OW1Cg7hOljQRLLDRquf5lYKclKUrFLvITiHKNvaYWWQ4TB+e6TkjX
c+TvdTqdS8fEYNZ38o7yg1ydiEhDGxaZudGeOPtZNSVpAC1IFAy4kzRw1/wheYAIGorwoK/YpYfY
J2+sQUL/QdG4umMtIswNHEUgZNwtIg4yHYlvw2Zvh4avXZPujtJWkZE8RqyKF21cHmK1X5xmlKXV
Gyk6TJDZa5RDGBG+1WvA7b2VJOpYQX/cfr6YrMEby90kwjToEdv0yJJLhaVkck7inxq5P58kEodM
1krueHkrM8ze4fxTx9Pelbwg90b+rKOGNwjCgW5TF2D436kkDnzeGtfNL1IVJPZvQuOhd0KfWgim
Ne9S0WbQxMHCwnTFqumPjZBGcMA91CKPzUpizyGQX5cW0BzJe2RYVtUMRYjJHjGc+PI9S1S2ryQ9
h0QIo/i7V/FA6nxrJ8Cl8lAZEiTgQ+djvaZr0WBAoUxwr+VobO87rCqz4Eb4fPcu8U/U7ZKfVtix
O00qiAIPp3HZEu8AvSmYGjoooarzZo56gVgfdXl+sfVG/TYyt0U/zaGu7mKQ8FvKTtAUhgVy8FU1
4B6Y2wDpcNYaz/jKraI1O5cOmwEgBnc9YWnAaZDXl4YVHplR6witQEvxAskjhV2UMysQZRpHTj0G
o83XbN+0WTNjbqcrB79EgCD33XPiFi4uBHZJv/wde+59jVyobTToTSR8PIjmBMOXemeEIlHo3JzA
Oszy4aXG7nsuOeL81ImpGcbJViJqiSWEAJadHlQIUtdNbapiWskRuBh9eYlztll6U9Tvs45JY6ny
aLrUVthVFeuwh8/nP5IfGSGgipvbSobDXCAFTdjsS4a0xGPZl7/jOoKZHVz1WQk1C2ZTxVoSZWvq
l56DcoyERzVpM+Rn7gt1s92zTp59xWAgZ8Bpq8t1c2xl8KEGrFkZEjpGbALjOiyizdWyOX5K6f+W
tAt6wxOecqj1pkwNLamdClowjPvu7VHS0L9Y1nU6sG4ItaWkc/YbgqSi9xKhEuoq85wYfaMwsDdT
4AGapVEx10GMwCRklUVAO6cxL63HN7a90tCuCiY1rq2rzJ8S6lPaoi9Wk843jpgpRjZR+0m35v5U
oZBObBAsqg/56kohI6hfVDKxTlGXHutWXj5vWd1lq8irY9YKqgtyf34mi91JXs7k6kuGOzhdrnOy
0wdkD51FdkIpkmMEKR+19TeSZNmwEKaiyNtX0WT3DIOlcN+HES6vdkI9/nqhSAZjs5NgtN4Yigt1
+sGRuubdgwpqrow7eFh/QlqzN5NNs8o9YQSpOR6JYBYCzC9ojNKQYS7dSSMd786scB5iZTtmt5Da
VcN0/WDrADJOdUSCE1P4rS9umOEZYvsK0DPCaj1cPEW014+rzmZIkxxpAamwcIv1xW+Ad6TbTuJn
i++4oNwZTQxjqyfzS2yGYtk/a4Tvvn3ba8QEjm2PlN48mCyNMM25AqrzHAJvi6ZKTPwbUoTICpUI
5wTCVZddHdONUNYEJqRNo8WJw6ip6m/QtmxX308pHZ6BQKWwKB/G0JD8dfJTfNChF6vuaKes8ppE
dmZQBl2dtnqZD2HvNChZJtx9hdNS1nLiSnY7zR3EBKkHnZbYCrCE3xD+TcTwTOekHpegj8SiO928
SBl2QyZS+WC712tap5yz7P92AXuPKezfq0M3cPd7OeRwgxuFYbKNQpltI4I8bwMvwrdZ7U3fXWT+
WiPvrLw8pKWmeqho7wljNLGZzf4kUCk+K1uDt5KYkDvZ236WKf4b59PJ0xw/CjoL3qInBgmku4fu
OrOTz+YvxOkMPVAWSznmioP9kC9NfbO26UWRgaAGbPxSb1Am1xYHOOQWvME3hQHnc/GqDmnXoUzn
th1YuOcJSWqO9R3oWXCr0euc1+rQ2GFiizKP4ZWLjjsLRR6oRm8R1n04WZbimQwMN50l5fcOHFDf
QG55Lox2lGOVD0gUdXdPnWn74l+UNlJGjqJcTX+BhNkuZlWTEZ/pcfNz5h296np4feJIw4DBoGE1
E0dJ4gOSuX/QN5afS/xMgIE0P8QmAK44FByk4tpdFM1FFWqKCFNn3w83OcsuVgqcvdNaS3+Vgppw
S7G4hBpO0VfJ7Y68O5cS10BcEPj35NyB9agUtxEai6azP/Ty0znbZeOMqAEi7CFPIJRpUS2/hMrX
9vdUHRjE3NCcba9+3tebwlsDxNPvHXkTE19e4UTTapFo05YffHAy957gtKpbe71ejOMHIO2dBKOT
UJR19CCx8GoAv/A5nlnfO0a5gcj4gTzedBornZKTXQIeO1+ZTewKieUJAffdjclX7NVqpHw44Xs6
nxmPIHO1EF13OImN+xYp9iobzPrJbGZyLCoz+mmn5dxcprhY1WhYGcnjAL7vL6jOyWLqTdp8Xy3A
fHL2S1Fzj2xy+24ASr18UKlaquBPAablU75b7QRMDxYe3OToKk4YHdOUXVv8QMdq2REhrrMV0Dds
fChyBYnQ/bvtgp7XFkGNFoqdOpcrN/zq+Dn53YpkYwOi20EUhe2vARq8mcctUkB7BojLMLh5sTyW
hcExhxZ313Xjd8/fihi05hHYr0aYlqzn+KS3qQLC9t09r7COETu3FwUb4jGkBP6mY23GgGeZrjVI
x5GVTv7izLIdTDG7kQmh2OVnmdln6FwmlTRsyRgId0Rz/KHNRk/3BLYu/Bk3F0UhduDaEBeaANHV
yh9LHVCNGa0k9n8xlrI9jg9nO+jL/zO3ZRraGNXOgZIwPtVpxBfouc4TgJwNbxg01lhmQ8T6eA2q
CwI5xN+lU9dMZ5+AqFYv3d45xf6qrKFCR8OFfec4DXeQNYN3ta1ef2gAdi6/OtcQEldVPBeYsD5r
5M8qzYjb9ZNCPEF7CfQJQ1vMA1mPWdhbhwviBAY7U0fJraOWSXfhD64ge8ZGCvpj0W1XrHcSxTi9
1+MpKcXnXSszK/S6fvbCBQ6zrIWxv5YM9pjKemnMk44Qnq6KSBCP5TRC4WXFDirgRZ4btCEj45sd
Kdm1cjYAVuIV6dZf+vKKwQAFZcWKNZyuGd0/3rz+bYvyVwS9AiiufqSOS94Jmlqs0inSyQo1WVki
QRB6Bgm2prdvItB6TVK0/r12zRw+lSo9/AjVzLsZCB8+KjfhCSNZyVS0wLWTH+ZsDpJYVp2nrY2Z
ev0wmslWqX4NxiVMQqC2zvznF9YmdCcdDK4Du5m7bOqPstpKKM146v3Wd8VzmxY4NlBtKimbxAEq
9/ghRh/2sVHMeRufi4htPX1Y3GYIwetZX+/KXb5hy/pAiAWjfAYUPBtgL6RQrpM0TYRfeBCUsOVD
7Dxvsl01t1U6T9ch7JgOLuHuRQruWfeF5g5PZheP6jJSmYMpVc0/jAuj62SchqZppBg1+IRgd5Y6
s+RS573w6vf6EYBGqonatowY4h3fbURFNTF9joAcnLs1t7JTJBwQcoVEjQTxS/MJhqM+NscW8kTf
SA+7JRsOufwVdtP3YCGkLw8qnHpi4zO38Bc/yP8SukNmdTqcJ0ikwZSafKgX7vVCIkZMM/kigZqo
ffATX0jS+Wr7Ri7PpdLecxpTTBxLn7nloNg8bwVQtpglRDymV68J+0pmwoJDHApqEmPnACpNXqjB
ooBdsARI33Mv63jNHZ3z9mEjwr3i0n5NJ6BWpk1pQ2AuyaI27kbFxs/7vj0J9FeXtRQH5iqL/eoO
chPU0y3WbaYqL0o1tgCrD2am54FJYL1ZO0hPme4c/jw25mvzjq3tcFx+bt/VCTg1zxS2+P4jRbUN
8oRKQ0ogyqvsfTHQqpNPR56TFR/EppdiyjdB/x/tKZPlYMDpzGDHB0erJ2ecDLOcWTN+q2jEnnZn
SAxQkBn7V8GTBF/4YMZV6UI1UU1GWfTQCgAmFx6ztK+ICTCprZfq6gIbWxKwkNZv6aHIf4BRBDGH
NLXJy/fS4+mSYJ6jeZBqhctk/SQR2JGaJb27Ash3fZafltfXiuO24PrjOn4f/zJgklZFWNlEsnAo
Pk3rKoh2gTi2QORCF1Qy6E7KTLPyTheCBJ+P2CgTeeOpEcdJHNgrbOrF8sFuDMyqHPR59CYVbuSn
oRfxosZmIw2vuDX58tjUM0AK9yUg+7LCwS8RiLFhsw/kKx5ztl4dHsnGeA7buxk/OIEtABeRuN12
roDECxWIxefLMOoasiREb9OxXAu+7CBJQaA5cdEkFnlZxdY/uJ2Wr3Dis+LgwWn3nEmR17rDJGQR
mBhLCSLIhLZ8BqcK8kbin5eSvYBE7SPNp6sEcU8fLorE2KWGTVZs2cGhh3zIootPSRqancwkUYLt
/5VBPxBVHccygcmHYv2PxdXvIXu8PA/ti8uPFwVmcOR3qNN7+y3XKOiFcSqKhRdgea4Sfu+TTliX
tZ8Xfpbni6Tt+n9pqrZgT0rrqVehbpqCF+oHoaS+fkAP14rPeQKXyyedKsc3ZRwOPwythH3cdNVn
cuVaQRVEiBfFxUJ6pnXmyZ/tePT8tos2PoAQiMrp8qjItSNnWkf6eLhri3zYi0sGlXX4LU2wn/Oe
kTIh+wJ6lrY0/RHhG1EhQn4TQTVAan1+mnhxeEF3qWw3UK549Dozc4y6cyyNx2t0p96g5KurJexS
1Oy6h3rRfUBloiY09aZaJMtKWPqnfZC5P4cM5+c3tBfSs7uPv58o3uINXl5kVwobx9NVuASlQkpt
IXH0Pzn7YsaQHQ/qzRV4gPjXJCCR9Whjuc0X2Rdwozkb9LkQFXAqMfRv8wybJ2jOXcPO3QvVmN7s
BHrC2rWZw3C29XG4FtnsL8Frxn3+ZphUgYYrl7lSRIj+NacRN66SjFJI5GAL/WHm+EDhPy3+zD68
xiTWw3lRWoPdGIbPPoNMqIa1RVPDTdmEXlt6POioMEgov7ba9XdHbEV+vVVLGgKUh9Aaxe9NSI4M
bM+sVGC9VIF736lRlp9UaVSk+4xvTF5levM/+ybLSQ66h9uTuDjAIVER2U7SHJu39IV3yGg3zTDC
YcwuxyZEpqk7mO/26CX0jCrDlFXBSEHQAe5yXdiqclat59H2ZxGVklzP79Fi2B4AhXueNBJ9Ulmx
Nk5sNWcxOA9Q1NlCSBuXKEx0xeK5Cte/SGnDh01s0eiYyuNs49VRrqUocZrKQ7e3AxAh40LWEvVM
CVZnz/LmopKm38syV8GD56ujoWhIyFgvYJ3XgPlQzyxz+e/o8qeQ1OgANdhl2ngEK3RYiFgKb8O2
L0lv9wqXiMGGpP5JtpeaTsgOdV5KOp5g6DMODy+raQUBnssIoa213kie2jvlZYpv7U6MwGWE1I9R
V8I76gkXlh5cS6H2yuYaI61zC8HeV4xJc/okKFFWXgKiY3Xn0/MvDYAp91cwXZSmgGGHzzXl7k6S
vwyrVv4nTaN5jmc0VM9gk9jvqRsvmt1N3X3L6fGIFzCWwfE3gtybrHCZnkey8/TzlqyMTnjpjcqx
ZNXk5NvxoLwm0jzqxK87VINiR2PN/93RQdtd0oG6Rv4pipTtgQB78+iAoUE05f7bGuHoEtPQy3ey
wK8aBRURK+JyCSA+26j9TwGXQ1HteHyaTDv1v/oRdXuVriUo7GQQaIKkhW/EJRdayCzBJ+9CkZku
KdEQzY5HxvJbQbarTm1N2ItuAPnhthRIVrD3YWAwntxNHobRYCTSnifciRnny2iVWUPwRYOMUHOw
WiTrn+wcByrpVYTfbMjKjMnAt88gFgghMx6Ih2KuASg4jQJZl3KAG1Xt8qLqevyksW5BMRZiyJYQ
ZT27SFncTDZjbG0VYS7UfIyDCDEs0T7Ttas4RTXew+EMyMjxaGnUB1QTTVSwJv5UQfsTM9dgbUxr
+wOgLeIWnXTwtizap1jMEeGc1b1tDyQhLhtNdv8Kjt/OUYKrfYstQKNy1CvQsnyeXqIjSR+Fn/rd
himpDl3EhEUpR4JMWv42VQDAGH6CjJwDL1DCT72TZHfkBOjLG8fpwN74ypjCmokxi6d2x8KOp2ya
iCz8PiWNJ8t/UmCTa306yGgT8FHkkMgsMY6vMkNtKyqigOxEnZrOdbAlDVM10k6+XfvngTX9+wLM
763cbLTi1tjDpEUwCj/2U+l+G136rjkuubwXJyJ3OsdFkUbC70YEQyw4vBfuBI13qiuDUgWxUiJY
P8iRuRWxnFGqPQ0WgmkfUdxFzkflGkLDUlE9mtuUguUtRSb4/EPi9NTXdyiOQr0C7COy/Z59gCqj
XO2v+F+9FCag3VNiqz6AOkn5Zm0V21CSrfwe1MDi+B1X+ozVnZ4TA7XrS5D1FPkJdlPrvtlZKZpm
/UYnPbEnHtThQ9EM4kQdVQl87jKJEE4RgKDaQi+S29qAGsrjQRh9o37mML89O5Sk42OhcmVKzLNJ
H6aRnPoZfQ2Tq9NtnaTeht2To23JFkIg3lIugynw1hXi883QD3mC9lv4hzSzOMu9FPhJnVY5axiM
O4F74+AP4j3flRykqZrr8E30Zps8kF6RTxfysiTU2Tu9FFXSVam4QEINUAaPsurQves8NWTYxAd2
3vOGslTJpPeVUP5omnkepRVBNHcEQTXSMO5zhEoFnSmSK0q66ZZotBKcWDwC4SSTNAtAlDQkKIT3
nv0C83vIyxzw3XOFWlse9XNJITfba9o1gmo/3/uayLciMvLBC9IIydKCi0nisgZxYEUg0xnno6uc
EhUIac7Ozz+GrDwL0iRjL/CA9pX09ZJ5+cq6iXqZyxSqXsX5TdzT2nX8D9QKGPBBVr8UJCoEyNPg
Y5lr+aP23jQRm+imTnqGiXDbbVtk2kwJb1Ph82SOgeR6nKpyQfTppzT067SSL6Ykaa5viW7wxidU
8HTXLo/vAdBETLlLNzZK2YR3SlbDTiP+bhUoFWHwiYsET2gwcYNWTa/rTyVVnLhuNk8MBzVFafM9
A32zUzbj1Kp5640WaPLNS9i/8n8pI2hhTBzijcKh+HMLkd3wYt4QvvMPUmeTEDeO3fE9TJ3PnQ6G
ouUFMPyijuqQx4NBWaeEEWOGnQjUP2Ct3P+meHtXK7BdccVN7GwTlojLUwrzFQrzUB9nU/1PlGlW
YAgnftmp9X2DHckQp5TlAeX2cNqv5OVSngb5rJyk6daGVfZlKKXSN6A3GcwcdYCFfTwhV5GPI9mT
fjX3Sthg5komEkrrB7DRUXZ5Spcx+pJSwpDMECspGI7dmmAls70whPJZIvJyAI6DsKLprDGpEnGb
HtZENP0fdDnN45NHOJHPI7xMeAxSLNDYF8MpvfIioQAuLklK7fhNUm1bQs1MGS1c1JOh+IDNyyq+
bsN5tHJ1yN+CuCkDHC8oFvs/A8QMC58TZIec10UYzFWQU/Fz/06idFl1fWSe5CeVNYVLBZ0WU5XC
LY8P0AhWiKKE/+Pia3wNPaxbonT4g4ptNTLfMwfqYeHEcX0AuHuVZvOShMOXmLwuIUZrxm1K+rQG
ggUTvLGShogB8bLfEXB1tj6BuFAOOgoYC0MVk52h1ynICjIFPH/CjyONBud/nkGo1IafqGk9gZ2y
yGZTIPBoK9wnrvSQ5QS4RJchUbGsX1Y/cHMWzQwzTAwThxdxD5s9PBJr8Z6WXpkdTmbv9y0b2VTs
Z80Y2gQ5VXVSFFdlggDsadtpfghXHRn4EDd8yPvg0Qbur28okgAlOBi4LmZ4sE4GPm2uiVGXTr8N
hJOxOx1LGc8eTxaclMdsE7NqECc9JcOW1aFgZDoaFp3pvr6DeNDMlylkIPe4R2eFRZADhGMXPG7Q
mPYeJscoXK+kC+ZQbLEJXTZbyp4m4yMkD/DlnfTkQF2nfjCnMPOqBhbRJorGzuvyamtoZm6Ln4Yk
2CLsk3TjZELozfU8IAIp4PZ+ZZlse5T0G1yEo9aTuokSWoCCLwtWR9Icsrz252wPJ4EqsUBjetVw
+/A/l1fx7/99ybfqD6nOd7ton6PdBtVwwI/IBJaH7Dipq8cyFLHSKhl+JsNGJuskRYbOl6hrv+25
9sEbUb3DE6c4CkAKfyEGShHAzbTCOumiNbQteH/CSsDoC97T1dpRpGnCoAjlX3QBctWB8UdtMUsj
Mg+vyBpeijAUYGZKZJKJ7v6C/+5fEwEctpq67fzhNlOQF9JToltLekGatZrzR6wfAst0tH6TnbgS
TFlptM6EJV4kYHl/34j6NV5+28cvIDUtKgKoYI/O9wGdv+HPkuUa/5OzehwfvKT34urUVz+E7dYS
TmiCqJjka+chcjJ0CtGUXebmQa+X7eOU7vSdK4Y0YYUw45PZUTah63Dm2A/it4qgAA2dIPpGfuN4
5/c6oLEW5iq69G4IOwGcAfRQQ1r1B00wCbXfDxylwIKFPxeIlnYSsogfSmrvyYsAAquEOdNO5fFD
+svkBSSJBnYhvZ4/RBYMVqicNBllPzZAfWFRIwK1BnhI4NQz+yFdUnDmebsN9srggDJGRNoVZo/h
IeTQFt7uvxarJxsNEvjwxTMVbq7exnCsVvPYXD7VizZq0s4FV30DGtMNdua6J/fn6HV8+TTeQYfa
ZGWYF+3r9GpdijHyHuE7vGphBAqS7knr0IPsn4mx4oVjfY2iQl0Wp39QrgvisojhBIjKMC9soOt+
Ejq7b/MpqcBQtOqnmLXNzglXdVtoRiHWMVNF5sABlz9kSaQH6tQdpDTAqvb+eIWJcfvZi26bSvxH
FbDq9jbFlgMS3WclW3D3XQFdpQBHrYj7KVNimgqKekpeBeureXej09jSrKh4ZMq20R+ECl8A+tOF
4VI3wOHbyxF2vXjTq/WteIHRaW50xlxNV+so8IUFjTootqIUV2HT2TufNjsCvDaMXW+iXNso5oGL
znpOHHaPDTimWqzR6k+dLV+iQxDTJHQKpUhAs39F7SLpY2XiE3+8mMIHzNfHl0k1fKsmiIlN2lhQ
bOhMCzyt3c9RMsCapfsgXIFvqPSOkc9QrhdpbCUUP8cE87Kg766h+7o0gwsO3lKuKNITA4suOrW2
WQPomTrHUv++0HwW9M7HqSGYAFoG66x+vFGpzGsFye5BTqZhn+FKqO8G4oqLGu8Tv6wNHen5DC/c
ODVgjOAZr/wJya55nVSrjAGagLUHVNi1GJCQjTKqTTMTjxG+2k4dcqgfH1VBC+johOOUy0eWn0Bz
O7Usbs6TAcmZGM48Jfh7JHfnjvmJIn+xPdLv0OMX3nH1d+8qSLQ9rZwnbcbbwQuVFHh0vLx64S0z
Vz6iynF3s0evN+qx2dhexZiDA3aTRVZXTFC+lQJkL3PbjeaHpZVgQyYT0Pb6krnyq2mprtYz4WF7
/fdRD5774v9SS/YtRHetcxvqT/xTrfncII97mSG4+/Q5hDPUKb9sKXm6ymythPsjNsPAF8uiJQpP
UoWgQdeTKy+Oio2Cd47HNr8av3RS/9JY62Vbj+XGR/VrDiHaYCPH9AU3+UfHJgjePH2HXxR4Z+LC
J+KSRaPteio98m9Kz02i0vG4ECyUgERHtO4D5aBTZn6efJQPyObJXYrYJ16fkyQgS3yK71JnURjt
Mh6XDvQ6XqrvXY0UYWsr6CupLpubbU6aa1bp70cBYkMWjG/1sdG9GEZdl3N3HO427RfvgksfR5zG
4Zp0ZeEtkujeM8egVxS4mcQvTDPqTRtibTWJOtqSHdCrQ5Tqb6IvHdl416T8Q+sQ53rr2U2Ia6MZ
YrGrKjpvodf/lnFoGDqPwFbu+3rOCqZZ7R9JaxxyAP8kiMN9oW3vC+Kn3+AJ1/rwb7zzqyFxbW5I
u9P0GhOAPVxPBdgynJTdgObuKKkklZfuNRyUv0TgPUqoVXjX/NWAPJFwic4yUKcCJ9qWX/rjiq4P
bVYgtf7s/rhx/8Zfg9L2GlST/Qh9RZZSNfzHlkYDa9K1yNkzNXzmA5fYBOIgY1+zof44Fsm1a/QC
p8UatgpH7LWWmu9V3b4DjFWR6j6hRjoKVSKh2FsrRyQ7AHwk4zT2P1m/WKtiskSCX29EkNQMsObT
kPVZjjK8od1qSPVT84tgkTwof3ZerRslRe/OdNye10mWJxgK3V/2ctFG3TbdqZ0waiwdGXDajSzm
seeoNr4WJTL2J1Jf708LchIXIo9y0dG98rFcRZ+kaKoWXEWmB3gtqxmRV3Bu7mfOLA3y8WTjb8mb
4kyiAcHunaEObg+R7Syy6UjOWwlSAwByBVU81E64fwGok3vigI0loJICVil6SPw257iyxqQqxN6f
jfecBYsZvwVeiFAJo0e6b7+s7tGgSMH7V/Z75mti/f5d8U97JT3x8NqiC6zuPw+IX4b3Ar5nr1xo
3UMJxotAJPpgt3b+ERzNxuVp/L2wk346JrmJ+pCa1iXpIv8BqSk4KMPe331QCWH1kcCBfwj6f3Ui
YZdCu4Y3rY29P+BcodiHtasA7Z1ZGAbJsE2ZqInrMSZsST2QZQziJNQv68JXXpxuiZts+O726mDS
nL/84H4S2aC/m2VfzARBNSZpLyFu2jDRZZ5TtaZMPeI1vFzG+dFowMREnr6+wdHbACphCrCFbT39
F2LyKzR6UqJPLWjqngscCMB3mvGDTRaaQhN3RcOyyAtehCWNBjnKvoe1SbItyhtlN9URXkcFSUHa
TxO9zjnanhf86Cq3LkL4PZSXPBdKddYQjrm+vG0FTBwqDoHtVMCtkjIvOjh0wj7XUKGlWb/oTmvW
ZlGqeuMaqtfh/bTKy7n0frCj9q4prISF4j9Xnhk7vaP8mbBOUvHdjeXTf9RKdn3u/3cA5nHAXfyg
d2gRZTgKnw5HzLiVp7mz8Mw0XdZhLeu7KSKacMaT5ephAtwvaxHnF+OHRyZdUX3dtgsVwe6ubXNB
tDsGcWqSyrTQ8kqOF8MF+5CgYXYzUlpe4OQ0ZI8injFmgzee0GPBDkYllLpQUd8UdrSO1Uqg8PQm
2+4E443KCEFaocT+gf14hEUYcHZTXRBYUw8DqmL592eSe/Yp1yBDC+09HL+XYrjDHrYqDK6HH+vt
C0oz6iBB3wChXQiiStbZp/hlrdARprkUkjRmkyvJHfrxCWcEvdoKaH/vVf2nHho3xDH3qmm/aCLs
7CmDuq+TG1xhKbtNUQoEdXVwIrsOKFZ1peBletO9QENxFGD+X4ufppfA4aOMAxbqPqmyzoGsGZBD
y1JsWVPJimCFjp4SdMwdeGo0WBA06LoKnIKCy9rp9ib0Uie6yNDaNi42ZJD3vRK7pDOEWhtFdS6E
BgUeUfu3u3D0c9XN8fG4Am0vFQzu9FOAEEPDuwp2DA0h+170h7bJexMXpo/OmtUtk8tZ2bFeO+y2
Q5SRnkvuMwbIxucMRMzvDi/wh8nMjaCdUqDviW2JsMwbXqcRiPkfUpWkvqyIycfzNUjnJM9Jgd/5
/V4iXslQcjcF7G4xZfR4uhtUtlWFOiikaaACADgUWTP1e+UPBlnhVS54DkCe+PoSWkesJ82z2kv5
BrkSFytkNsOmK/sPyJxMbw39048jZbZcm/CUq1j/zAWB4QOlzwzlhiVEnazzNfY0QsdU6xzp40mI
3sH7J8wbFsu0nrpoKx0/Z6iy2HXTt5w0b72rQ4/xSwCl3x2VM4p3rnclJbT8mfGGx0l+flHXlrTr
zy7t1IIG7WVidPVZA60dgeJwrVN6R4MfvwYlAaScfZ3QiRty0fdjJvpY256MLVaxGQ5trTl5eorb
paWT6tAVK/4q6lGY2984nm9Sp+A2xwhDK8tZVPMk5Mu9BDe595dLzO5fr+S2acvsj+ISW6ih4qDg
TEKWFbB9HQDtTVZ0xz/FuZJweRrU0Q6fHV66cX2X8L5dIrDE4vMQnqUPVbbgBc6ILyPRNt4FO4Xq
SMFeByWw5YuJA34V+aFj6VggoFcQOSE65LExfnnEk1/oVg7zxadnQuEoRYorlxv65AI4f3M5/qPM
5obDgHQHFcpSlq7/YqweIPyg0ddO+uIMN0t8di15EOcOnIUWFgA02EEmF4L4WaVhBE+xJd7k/vvx
6N14IRRundKiHx5V5MWBgbPWoyItZHs0Nks0NIpoLQ1wKX317BiQhT8DtuHgi2MEhaFamLhmE7G+
H2XuV1UCn4GR2K9l4UNBj/akq+2gFqHYuY6HsgKZCcdf2PYFoaxdXTiD3HeVmq+vFeNFwafvZ3m1
9IS7qsiM8Gi8RlvEKO/CbyMLIQPS7YPC8Ah8I7131n3SePrZzqQIYHoHiZyl4jqZzNHKDJJDwYg4
1xThwI/vMuJE7IRFqbsCY7ZW8o4qD+DHoRuY2dx+b68eJnV6JsGHC/a65cD2mIkJ9lHOw4px9btf
0YrVRvesDVg0BLeBPNu41zncpQzFfLxMgzf2iHelLsHFNyI34VH03w/K82qY5sK+ZNttr1r7Bz28
DphC/cQ2KV1gDN1Xi6MemqRunt13JQwHyW5dU4E6cYP0cRHrH0mfPSxV2GddaM/8o1PoStXA+8qu
2+HXBKA2V8U/Ocd879XGLK6ihMVzM2Sm8Dfv6mHSqh906liudZeoVmeZXWb+Ht6R9YReDqKX4ilx
KONt40XfKurFsb/h/eYsHNAtNv37WHmHo+WfzuF8yRn6jnd0lIc7ropZ3tTeHP2u4o4F8Db6e8Ov
s77ljjWwi57TSQJbvV7wgm7Y8aJgGehH4UA1Q7K/O/HywWI9dbJghpIjQTLXLlpgs+7oT1tpnd5f
WLMCRTH4NkAiM/HmsYGGOqsLP7d765/cmSQFHd/IHWfmNqejSq2VFpwFCJYxCRPpVa2KxH+8uFsu
/dc7vEdw4hUL1pB8v5FS1dwAbLUgwSQqAe+6uCZewO/q+k9By7iKGi/5FV6XS+GJYoeyV3zRR0a1
sQgw8PG3SGQj9DIdjkB5fKO4gPtldNqP67rZ3kNDAy2W2TzPGGJr3A7BX8C/QvHfpsXeFGOBKlOC
dDrETAT+Ss8lMqJ6ZGs6FAhJgZHlVC7GmQ4x/OvbfIiWT6OSuJnQ/JakPLqxl0dwY5bnJyw0ottr
TKocODDTkI+a9GG3V4o8ui/nxSrZ/PVI3NvH+LokwNPt2haSMqbsGAs3PaOUMkPKkkwwgrrYXT/t
J0E+vhtp7SDuIW+bN1Ip1VmFQJ8UxAv431SAoV5P66Bvf+Y/Am5fDahBlqjJp8txqWpUfmrSNHsT
7e/n6P3NVYZ8YlNt0iWA40N5n/+3/rduuBUssZvds2fHt7FfnpdHGOTF3yRV0KMknHrXscyp9qTj
dBBzUomc0f02RTuhCil09BZvBRSsT3DvxpcxODXGRtu1CKVLORLLNL2CukaR06J2Jiv35J6N4TBr
VJ9xmKNjMxR2pQlri47cr6BwPJ5CVxahPdDeAJphnE6FpCaGmwGj7bVkNoYhrRtj4HC4UPsRfSe1
VfpwY8y6ByIE44JURO24QwZN7lvLRs8HAizoaHQGpk9fj0YtA7VYGl2hUOBTPW0lzmY/ghGuwJHR
3l0Pjq/L4rAn3Qte/ihv7x9JY4rN9xabvL5bQnsj90rXDd8MaFZfRG0IUWxyiRuL8KsdlrwtaiKL
KDpyEyaUuR10m6H4tTqkqrKrhZ5Wz10kmbZ7cYZuKN0NeCqTs2YkmtEbO5O6032itATc0wBFzRHy
yJKWKSQ8CD0RYwQmkUtDLiQN5HtFlXxLOBEZLYAc2N6hnDKoJQiGfCafdUC8U0VXhhwTGbHfr+KL
wXMpCBo1wN1Ii4cbMavdvBr1Q7z8lTz+uPYHFyUzriYPIt0tdqLno72b0/3qebqLjM0qIPYZqoDb
Oi6NyTedXNTcF4mPSaWyq1oQ/uaYVGbFHiake7D/mq7pGpvp13eYW0JP9jmgq8Rs6x45r69YTdhk
5zPa+Q40aMdvMafgZIns2qHzZHxShxcxfS0QPv3ItlZy1ELUzZEGnhB0vbLCaGKbGXPF+BC9ZfUE
BXawQrhPfszTLVcCYmvSGSJDIUH8JotIF7T2duerQEIWvTEqIskvLnLdcAzlZzEBZsMQ82xC5Wdk
UcWmPs9p8aRugzSVeJ+t/QqH1LWG5k2lZsdNfyIVNkcYKIYKkMsAVBscbD2q3DKMze/ZeY/RhvPZ
xwijCH1SktguMsiaI5lUO0aIVSo6H06Aen35Us2Cl0Syk44lV3meBNC+Ki75N+8q8KTXtkT/9Aa1
e8gZXdjeg21WiU/xKzjVIlFZyrvNmxKZ6FBoM9psnaA2neQYuwHMcfidBFzG74zfswuv9hKKxiYC
VzX81fwcl3MxFJcGjSAsNc8XcYX0jJxnYVYZwlvn561xIAdzx1vVE4nidEYkOR4gQj2SSfKc2g0+
wBVnz+jMO83pYhTg0cFJAfcXElaKd40dsqqzegN7Fz07lvWXl19/KiQqzLGa4Fb6OKNFkRuDMhdA
hfQPWV47CA+ubTueUGkswevkLmDo5nVxvAgIPv7ex3sBgZwf9gLTblhV++Npy525E4JT8HobQhf5
oizpykMq2Bh76ucRf91TLZKokAkdy+R3/2pEu17Uo/vsHMpGCAlPZXYS8iL2U4ixc7GjvjG4kKXz
vFU2eKrivTekcmAFOI62PcbggZOhyP4N/UMqw7cpzrjlnmMPx4Xa0TuZ65OQ4cfUXU/gn2qQfGPp
rjkpYagAGa1WVYpF1HV+6IbtpCP+9ST7X138a7dZTWvqthvKNd8wuOYJ9onRTyNKJGHlv0n9HhEo
Z/BeaTeCAyi0mWDZiC3ARyYoyccvyo/q/CK+FsbEJOacgYrRrNRKdHhw6H+ej8DuPDFpg5FY+j4b
PMXo+QRjogbbjco+B4v3igV/UZH978YwieyOYizJZkP4vHTJY4oLWPj0DtGw9FcMuOamvW7NBQr6
/iCGYc0gPHt9p7x2GaMg7q4Px5H/4a+dPlMDXCjwAtt6Jy12EBrLUCf+CSeQT6f7/wxwg7ywrHM2
sm+nCEF5/JBwwWXS/0JhTkKLTKiDnvNqGzQMpKdVpoEMZc9zH7RAYmZ+qucEpG4ecKY/b2IJxJRi
EshPa5a3LVlFxX5g0vE9hzi85XK6fdQJDCTUACt7xnDzlyjDBlAQCM0mXs4OChf0sNr/eVKUAHge
Zp2yl1GtvtMEyt8CsBBOzzeXP8vBcoW2jsXnuG5PSstabX1hYVlH+w0E/YSa1ZnkYQNcQ2Uoc8tM
SAiIEmhuWgA6xGLmy+kxg29C6usV1is/H5zFHMH9uRIYgrMc6LS4IQwA0cKTuYVEL/3SCcoHXeQW
kBzRyHrplF4xGuJM8c4VSo/6BlQbzlClN+12GU0YE0FTeAinfSE/n0cRuBP5Jz+KAvOPeKSELQty
cHJOLm0aimmKAUOBsfiTP60Spku8SzlCgsUhqohlMwIxgRC6V41vtABpbJ1QHMMwPbaYRVuti8f9
lSLmnvIGcaXfwl73sb8S8ReFvb5HLtRU1Ia6RqOWcoLtjHSpXs/av6+xQYWY2Jcvh8POov8cGhNQ
xEdZz+QlIOKz3IGhAm2yl0BCDWEkUuIwnzui647/9dk6JHYVDvsgT0sAkEbew7FN8AYfvOYhXNbd
9AEhzfp1vDacbsDdLzDLozTWth2HwDwK/ZJVEVeGwO4d3QrPLhRTbnJeQmmsLDQcXBUHQyCJ5Zrb
FJ2xcx16fpFtqgMUGg26uHoroYRcrbXy9oBDtEm4xWazITuYl7CUKaSqbMSPdcKR/cOYu3m6IGDB
ul4MsS4NSoXJw3H9lis5xZXzoubio6VGGr6BGPLPZD03cdpfdRnK2e5j9AiAWHlfJdeyMMWPooWc
DVDGzEfezhaEYKBa3YiUPfY1RbyJHms8IiW/eNcfRzw1L2KkDhVYnBj52dXaro0IitiQ9cAcNuBp
xNciQDqXEt7VKu4WRHBCo/BWjLFPHZwSeV8f19gYevga7nNq/3otFT0xZQvPb0wyCI04F9vOhwPD
WD5rokYC3yhADV7PkDA3/wlrN2mhMR9/qS0RRxTmv0JlVo7Xyl/chomAT3/IF2znFZMgfLNTaYE8
Y/9ty58bPfAaJ0Kuihki6qviFgP9u6CrmVXqdxk5fBnZsR/MZf6lPfNnxRGA/h58Yx/pgsEcOswZ
37N1Hjfcml8+jo+yRAPsBSR5xXnj2OqPAW+SBqchUDO5J1AROUBihZCVRXSDI/W/ZckEOfVjfeEc
dzKRYT3gjiyA79ClY6dVOmcetjpY6inzqbFWTleSHRa0mfx4YWpn0Hui9pLAqAFs6VMK4KRsvaMo
FR9FEmxb+OkvuNAlsg8vLNbvQDqe0kaLxh/nPraGU917Gf6nbpmn0ZvTX/0TtsUgmvoySKwjDHK4
74It2HiNXKxigrhd2c13Cx4lxyA3kBeco1sfTkKd3ozWwUaf2DDgVXDSa3W9HKyHNqwsl0yPMWCc
gQ1+vsL+cRWOvwllkYS3AbsnFku7KlCVeE/sI+Cd+/WmoQOJZcJHYRnh6MHr9AShJLF4oGQv24s1
vNrnJPjOIWi8ENr2UeCYqfwxBy9CpButTdvJYsUCeDVPBu9hx7kj78+JZyYdpt797BGh/e/04kHA
U5slD1It0z2bPQruFNsrSbWmMzCgKMz9nwIVy/IxIkMkNVIon396XcbLsr9KIhKX6zL35vBQ0wh0
qTKb9d+fI1ZGRJyV45YpqYsCAOObRUk+LQJJ9SXsSjiZN3NtWhEyYpHkSt2ELWye7JwurnCcKQhn
kcqwuQuFIoB7RHUp2jQibkId3HUjViu8igzjF7ZcHfjHwhuTDvioxHzQFzMZiivTZP00l/nx+8y+
Y/o+HkNeUyBdpifzppuY/+AefeYv4kD/4KwpHDZWDteJKNZT/yDMzQKGDhd/HWGp1tOYaI+SVF1j
GDVGUjzruvvSSJMBCf37qN3csW2a69l3es1DFEQ7Hb+c9IcNP6wDefVGN5FN/fAXguhNDIq9TUV1
tDoYjwXEyad+NeTIvZCThFVVZZxMAAo8gMIk7EGOlytw3/uj/w89UOUnF3hd04VvoocliHo3Cm9E
93m0WU4K0yWpdwaCtLT7IrU+LhQT1Iz7Qxt9cVqItx7lW/nKGnkvI/tvOwPo+Acxf04OX8iLSnJv
XIIWv19YS1yV6D28gy6A0dw3Z6uLbBrv3N0eYDK+eIsCp0Gjv1SUN5XHlVsZjgndGN/5U3YMSH8r
/b9MYuGgM9FpM5WLqnOdV8hDW4FQGB8TUrSEpQbpj6H9HOYX+Mkgky6rrWkLW9Ify58supdn/Izp
1f7X3BIiscfNUeOmTgqPorSccHlO/MTJdOCcEHKyrIR6GZYvtLCZ3uO8Lyxro4kUfbtoFm3ixTEM
dxRjZA2FZmjnsFyXI5C76qVWo0RHF72Hjt+p5+2fNAESr4n4S9RQ+ZV+DbR28k7TPrrVcCrVS7hT
Two0dfm+ltSndVh2XspQZK+8PvJanrwaZ581IWYdxMEpbXaiJteabLzFkvyyo/1ONAriFeJ/WJi5
NGHdUvDkA1blt8wCS6lpDiN595lm1a+pZrjFnnBa12xE9xrgsCObkZATXcYNOWy6tXgjiMaR/HEz
tv14QCL9Uj6nLiFIiJXDXAxHQeETm53MFnUvHmo+m0omuxWqFcWRmng8bkR4Z8PB3za0N4y4rx57
nmTLCsOBVY0Wg71BMvhuBvReLEi5iMYZVd7gjI4wXMFfRrMAv6wxqjqsDOiCC2UjcBt3zkxAIB3l
hBOEVqb+NDAzCTmGANNYfBMgZAYVWWI+IeVoJ3tvliYEtGUqk1Nr9o4KC9oASjCAB0MRI5JrRjvs
AtO8R/qq7+4AUbn0+8hYpkXUJS/OlaUgytraYlPykQg/P/zVkWvC0fyj0r/NoSAw//AmZJk8djQk
e69qrf3kig4u7Kfnwe2GvAnJKEn9bwJjixFsJbbkocpDzD2wVBMjw+KIgT/BbilfxwbNDgT8pGGJ
CIZiJLxn6/qqXceGkgqEbYFdicy2mdYXcCUaSQaYlzkOgRsFaLwfbs3BMaWsFkbAbCWSx6JcxgQU
Q0IbLCQfD3LpO3SKRM4uVe4QkhOzPHI0XUOVlF6HHcIGoDmr8myHzcQWLWtSjqKds4y2Ml1Gp10i
/SeWM/1+aRqXEbIUh/sTF7dtzudX3dWzQM4VKaGD66OZZCJfDzblMgCurmfcsaMtzTXbs2n8hSr0
piB3Hqvc3HG/3wLbSDY+YWANJ4CgqIhwW03z0QA4G3eOVJGLNv5zkqaUNpHLUA+WOLkW8WUGe09p
GAhU5Evda8tzhOW3a+LijEcCbBYZboFtg/Zl127m/+tcdChl4yLkS4bSCpEZoAS4wicA7vTUreI/
dNCRdPipu/peygGXZYYzbWp84WSb3u2wFgQi8VDp8vUrkPMQxKt6gAadQJrr9MUPXNQwG2Nv3VZ9
UzvwLBXbjQQ2KcpmXCp39Z2nc2UWAsfv9uekq3S2Sv/uJlBMzKoh0ND1lRzm+3/MFv+Wmv4GmN47
1y3G9aj6oGuiG+Q3QQ1mASRfFfYwZIt1/lekh4yLyjYSfsNTB1EVufZ3H1rkOgroLvfyDtC5Npr0
i+0AWpURlk7ao5OndJk19MkNCDQTo5mdzUYLfjwF3RiKc9gyj6vINIR9xWzFweIftNlNT91pdKXm
w6LLo06ucgvHsVNNsp84xM8SbNnVGzxugjQWIdM17d3o9UIrn3mGoUdgLVee+6Y5RhGHOt12ITLM
79lmwdyRWFJZtti54Q8uyLQpfve/ZazTWVC7Qvac/tQP4IFlN/sLnzkh8J/7YF4Pv75XWjY5Je2n
QmyTZxkR4kTE4AS3BWoai5cbRUwN7Hxm8tPDnZR2Y1fPzvNRwoW2ntjdHJGv1Ti6JewCFhwgH8jD
hWssyqHCkGXFhtEXDTIuo9YgWfIgQHff03Rv3m9UyGAa43UBX+iXJ1xfjLWjCD0/zaEgQHScyxY+
mhIs5TDb47H5peUHecUQwRR8QNxPhJeddIBtzH4HD4OY7cGEUxAopwdFx68lyvjna9gaN0X8wU7x
Km7PRKUy7deUQjKpcff8KQs5UU9X9hbzI+x+yH4+P6VRknFNzeYBkhjdU/b/PjgyIpxDRRM0L5Qu
kZV4r1U1o2HXhWFcyjjgxXTifKz05Z+E74WOdnYFFBGnRWIVOY9RXd9K3DEsl0xz7frwGa37ZclW
5UXjxb9U9bRSUSdSCutX20bBqLbIoCDpCfdnqFO80RPyDR5iillDFjtbArCK8IjihDt+QRHW2Ilz
iez0QR0i5ExYLYZhVM/BDQ0hgmqQAdor2A9upLSzbeoQXOwN0HNz9omTfsIDVl9T7qfkG0wxp2dZ
Nwh3TOSMdegJdw9OzW7mtLbXhEEzqmBuuFAjOSCkwpqCsEN2RYmKYrmAmJFqO2bxZTIqg7+pGxSU
/K4IbX13VMsSskzG4rsNC6I7+wREsXkYO2TnHfGxlZcXA/5g8DDu+UOiAi2gAaIW4MzVpzUJ6o72
/M8cgrOgsFlfQSIi19/qhq1l3KFAJ0uNKbsIPUD5GWVA9/dJmvXFTAn1PA3KN5CJU9hDilQ9yAT2
52A1aGWfeU33opRve/ADo9xBc8y3sBGSsS3LL4cUQ2qM/q1/lYHF6jA/G3JNxYy77LH3+x8E4Uiv
kNKeNI4s+RiJY79hc5iJmfHly6UK1oc2FPlt+lyHpzA2V6zCKmezsk2N/BeekXBO1tDDNucEI0s5
H3Z+cmcuMDZVmNaex1SRRCfG2189vK1kkxQrRCIu6PQEFeHsUYpBm/JIISKymu6oLZxFbEo/KEff
cM/yRjub6o91jxHw13oNrGoYKbsUzSk/7aao2hOTvwZAFj3ChLEjKXKGHV9nV12//oWlu4MyHKb9
g0+MQc3bYoLjXv2KNao+LdsuV8nv5pP7YBGIY/cwEe+NMz6/aUzM5M1TkmkLI3Y4l9QI6HMoVRLw
mTUj64P7WXxTvcYl+fSm0BZJSo5vVSER+V6mS5aaA7xfUcKePvKupduPmSUueK62hH73V2tAkyu3
rnyMKPguWdzVXE6B42GCwYfu4Q2g9YOJ7kpPrpqfKm9WNFGuOAcXysBlMea5pdalLE2SsA9HQo/Y
mDBFiXJLd5KR0jP+NDKu/N6u/ZYH7H0/sM1lWn2wWUoSVvYHwR4Fj+aZbEeJS/m7Lx1A2H0yHEj1
6N230CbRraJMJmKxg8u3GBmgmxruS6pdtp1pno2/t0PACPR/XE2Am8QSWYQ6oO2ceCnXadVwxu6z
IOPYfXitiB3684CzzWek/daSnLbtvG+lpDOyoioIph3I9PY35pD1sJyg97cINaoqzxli2P91nE0K
F7eTjsLCCTLPgMa5AXhoMkJ5OSHsInM1RCh2qI1P0IZWCkeOWKrO0YHFDK9oBd2un+h1lWpqfHA9
L1omUYb4pWxsY6mTnVxH4ktAq01c2mQKtCLwhAU9DcWaoeCx+IHX81pg0GY1+82f9/STdkIDr2P1
9I0W+7YXme8St14c7I8s6pXt4Hsqg9Axl1Rf28o5q3rqxJuoSYFOU4LvRhc9+F/PmkdkKll0AAsf
Ls0D4yAlburtr33dWNtrX1ft6UzgiMPkt6KZJ0E2BDx1WRd8lLMbrhTyjRseYwScT3KC+xLGlF6z
WJWnP/A1Z9gPAzag1FhSv2jvbsGIE1m4aQkLU/XAYaRuFPL6IiIhYmMFbRlbiTp3ON25jYLyqz6o
piolI7LFfAjwMHFuPNqluIfTRnnFwQ6VyONi92XBJ2pi+X4JGdnYzlrBL1UlDbHXNQtOMh97TiRT
X/agL7vqfGXBo2C8l2mBWsYdhbOFco27l/FULUlVCmRKr38X2smPtWkYtExMpqZdfSHU80ChZYNF
rqc7TNHsP6g7kPc4lobidsXX0VnZ6zu3opuQjaSx7LNDOnPd2FTs200WBxQkiCurWNB1GrIUzqZX
FHQyItMQys4td1Sm+5T9B/qyhnWpPNdNMmBzX70IKVkOTRDDPDt5ouxX+FnXCDLhsx5HadtOSmQf
WLSP9QkTNVHJjDwLjiUqFvUD6vhGpNBTnE69khYaINPoYFFsSRv+tmzpzz5iVGg/auk9ZiwPuxWZ
f/QejfbQxYSbXbfSRRu6fg2Od7BsjThj0yfSVs+tT1u6gmvRQJAqZnuHEGj82AL6l1qH/wEVmqeg
cZ4KNldlufDEZjW8hr6PSHKgaHle9TWyE1lp04JhWluYqHXFR99p7s6WhnfXREKBC08KJmRouAQR
H4wjMPSmJSOL/+OvZOPD3intFFzOJswCfeqY/eecPuKL96rILOHyo4iB9e5gTlvyAafmDmVCCyJP
pLBV1TtU++rI/QexAhTu4HXSlyBjHCEThzlR4PgADao+mHI1Ueyq5DqQAkyYKvWV4MxdWLq06Or1
guWXqmFkFBoYRJE8JMz2qjHp5LQ4504MIqIT3ZBp8weeN6MsXDR0sDY+6GN4xOI6urn7Y7KERqCN
Hz5SYIqRt3wSG8z40xvQ5rknhCi57gIgSGLSuu/RMk/EkVeTpznNqJvSzWdU4b/dMUGeCBt96mma
qtOy/ixQKi7icChWFhxyy7LAtDkD9CsFWlh81W9ovYePQsphCSiDuD++xE5eyL9lk9zgK42NErMR
JGxPpwg51G85AECRV4kHk/5RfMPqgI+hpcG1GOgE4TfO1O7WNvfDiFUwUPtEgC4DQfmLXud+yHpT
op4qVhgj4ZOsHrurwzOMn7ZIVpm44dVN3xvkK3ewZrvFL+Takv7kkYnmvhlGE3IZw8oBAnR8JGQl
BYbbeGvrtExTWr+0r8vAMbUnoGV6/UbyDFQx5HlIgv6GdXUgy4Sh+exnCoVFC3ll6VEHsiJMYa2N
t6PWXpTN8FUV47BexeB5/tejgEfLwsp2W7MYPokdrOpsQaQNo+SYMQYpTSBI7Pw+g/OCXASkoYti
kvYUZS2LlUjfP/YpWPVjx6JHUqvCd+DJR7wdrrg/sLdrS9sfixVGltaKlv8VVD+ogLPWDHYmJMKZ
Jw3OUSG0ShQlnBsqtmVD/fMbuTPhBAzygBfs+uuNBfBiNccIzkYkaqbZQEPZHRq8O6Z6MzDjpIl9
a0Oz80iR9QVCVddUlazS6/gRqo4cWOA7iRhRDgUv5CA3Z2qBYXkFPD2fiTOKUmBRvKrzux51QdTC
cQ5tMS2IRLLi9xuTO1o/HJMYUGOElHVCIHaZUvtmTBxURFKh1fcL1ljeu5f4SdgNsL0+bfVKiTGp
4Y1DIfBZexqGZlBY4crlq8G5U5BaOh02FiJhSEq1PtLHcZHi+9WAj/WsM0UN85V5mm8dx8DRuUS9
1n4Ep2K7Mvue59s3r5lkH7CpioV2MMJ/qP5qIQUH0mUZvuRiPEcYMfkp3dt2NoCILATeTJtufY7j
9o0SczMLg1Fp4lquH8e/XvlAX2ZcRa+IbtSe2mb5JfMSXyqBsdExk+MBFEx8W3BCPmjvfjPOH7G4
rwb48qbH0+KR0OyiZl7i4ZbZo1I+0nBAXBvnU3KbvTyd4CyiXHaflFEWYGL53A9hW53kvxQLhrN4
XSUChqvqfbx/Y2EwiuUWvx4j2MQZEcaccchh/F8hoN9SHhimaUVyaAdL0n9pc1Bs5vyF06b2BjKy
UOo6qHGdN1YkUPZr4eHR2XPSw3yuRAPUE5bW3mVb2SqZs9F2L3dpBgtuvZ2+wvV62MM4/wDoRC8Q
3hZv2fLb9FkS0wga7YxmTwvwDlNu+OWiH8bG5AwjQDzhLpH06XwecVCS8MQrCAc0maBPC8janUMz
swMDTuSFz94IvkpcgtwOXeVS+EjG8gTk24dN8T8l5DA0bOfgqUjo7LIC9JGr2ErdpZ2X1W4jqJ8a
MellNDe5G+twUPnnYcHX6MJI1kJyR+OBxbu10hzEm04rZ7GJ2PASkflYsquE1b11+5KDMnDcaRxj
qC1zq1CYcT/S/wNyk7bIej44pT3tcVBMwSTW0MMmjtPZQ0QCzr0glLYz6tUqARjhesSd1VKmH0nf
Zn6eHSvR8yfiO37+M4vqJDRhnBn5Fu55jAQwCKlR/s7SvehkecSV+SmQvLxXWsTYBa1iETuGIM24
rn0AwnbSIcd5bHYo3WKaPJxAIr3O+t2eMDy3PJZ8+lMTvoqyK5oitz+OmESDV6mgu0GM6lzO6zob
B2wOU+xDz6GzrlXJ7DLaCIxIdlbDmhrEsx1OAWYBjx3r2D8yAtUxFkv7YA7sU0ySxq0P0fJzb+db
HPV0RNAIFRPv0lQo9skhQV6WmRaadh85/mIHLvCQ3CT6cFyx5nB2MZybWeP/+dCZxaj3UEq0ra1S
mDDWzbcxMErMh4ddwUtsUdqsZRSYRlmBaebAdAHBqrd3kr3lWfiM5fEVSFI08M6Ntw3Zfo8Ej3Wv
6VJ/T2Nx9U1t1g99+Fg5CRUGUaRR/CdJulcHrGzaypL1ewn/UDiKkiiMJWR43BhuFw8YOn3vJuQx
PiObp5LL6JboF2guNp0qiS9Qn216iUZ11MDpmYSykl3fUoypQJtkNKFP3uNYQyXAOAV26qirI3Z6
ayZ9OUFB2fNYjS4kE+9EwxF5Py80LjEqAPVLiY55eV1Tij8UbAa+BSx8sGdB2HRCqwmwAi0dMJLb
7U3uaYqy2XYx41lIB4dpsyRh1WCHqx0s0w/cagExxHUO0qyggzEf5uLcLwwIB486izoS/FJse/rj
DyIfhQvLQeTFb1Dj7gRksrHlD4wFfOE6q1hMSQAUaaHCFO4lWdLCJX2apCBB72+Nxqcz7Bail2iU
Qgn2xEiVya59v21myr9LL0jEyO/H8r6S9+yk1WbDpSXfuyLCjTp7qemWoXAF4syscOzyvxhaED6g
2Lo0j0yKbpLHikGcRdkq9efR+xKiJ9YB+Fuof02iBuqQCaUUTCMKi/4rp9waisNnWHa4UIbKdqTT
G/PV66/BmDe4bbKVJjayfAXE3b/wpJip6why0j/nJMtLZuGx26O6NtO9PlSccEQFY/53B3DeceEA
zq96cnFGph4KTiwCt2W6ODHer3qLI7KhKa+d6bCbyaP3Z8WdHs4oodqxG7zJn0fd0AAgRG/8x4tb
dghzRS0XgQH9G00fEz2qlnpIy4y+y6ZrrSIr9y6XB8MdRtaTm52jHIofANhZswQQPlZaTZjFnqtk
wLHt3mzxKVRpD00T0GuD+XkInrAvEk2J85NcKw93e8uhnD1gzb94wqK28ChmtgeyM8zaMGrAzvCS
5XVsM9g9V6hRaxI1SBslZO3XvPsuZgRaOBiyecWbT0unswSVjSbG1v0YeWlTX15ldEMud0vs5acl
aUGEfywj8Y3syepUvZylMW6Qtn0j7HDyZNA0Kww60M5v4U08Y31zSJNoebQGvYvIaOUOhC+6UgAI
1xLNzSDY+Ea6iKV7GY/V7w7kyB8A8ecZskbcI3qwMJAe2ck3QblL52Gv/yI3AiUBUTD5/bT6ocak
5cwGWFTVSYEi8pWTBpdHeuaCfkRzPUyuOoHyb9L9DCOTrX+MkWN7J/d1KuSoKsxc0PLPqcvKcIoP
h/4d5Vk6Jnz9l8lYhSNdXT5L612v+NmwuDowqZqBrzMkP2TWs6lUVtFjS2nV0QRsUdqS0aGNQYO5
usBdg3LJkx45ga35e6iwxSaHvUF0Y48NZASt2X0Uv3uS9CXR0DVDTyp3782NBC/Y7G6OXd7yQX7o
Dszc4MUnoCXCdwbVZ+f0PBvol/Nk0DNL8Sx4BOBVLheta2v1UvYSqUVKR8wIC1cjorSiAqKvDNbe
c8oAzXyhYorBlC7CW7eUFoxqfk8EyL69jJmRhokX+jseQK448+7//2ovOkEboxcEmHTzah45EkpX
yCAt3rkxXLI/bDUKVCMyzM3ibJ8bRCcCg7dyytKAylNYPw2ETK8AH9E8sSn41HpZsJgmsET7KZfU
2NBNDUr8Ld6cEAWeWHoNNGaSzZK4H87VuuEhTf48Pk55vSGcplgIsO6lxmHaOwLEYv8mf2WvQrWC
p1FpOhUv8CBJOXnfonnburBgHNURAbHGKMdytIv33Lj0MgPj1ERiSDlVAt7RRUpH43WPuTUG/07F
VcCzwXhbOZCF98LGlFD47Vt5jP/Xm2h1brWZJTZXITmKfcjQWJLSSe7bwlqcI3XEzLmTIdO7Znga
ddoF0m93QEu5l6d9g7rBdptAwHtKAvIlqqnqSupBfX2IThHeUUHvuwYFsi/7cejnmrWsLuJr62yq
dmowO+qK974UC3obNpFK2tvC3Tcga12tTZb2wz+KW8IkHkQWiYXe+HjBmMPLHViO1qTUaVnH1nGT
BYFPOqKBpYqv2Qu32jhzxO/zdHIqRznr1Fr4LBqJnC0R+UmgiBjeQ+ZGOH7iDir3qISDCTBrVP66
HEnTPFdMDo0QS2G0I+lQf8mANXruQ1QDA6tkVPy2kgkSH5NRTYMY3ejACmwqIb1x2XUUW2Z6nRSv
feeU5z1wZ3iGhFgaau+hV1/tuLunQ/eMfMtZaJAo308ad1sa0a98l1kiek57JC1h08Ow/4eIAPuR
pdAjRkp7b3sJEtdolFdj21SBBlJqQQHVALouOULcAGSUTiVI1F8jr8PBDlTplNfIfpdIYI9MCuGm
78f5lXelFoqTFrJF/Sn+/xSZmWA7CrQjfu2GFhHHPzo5VarWaFj93v0Ax8LWVFqm1Fl7mH08b8OA
RvITedwvpj3uqQ/cQ62tsmFqH5xEt+3Z+wN5HKeeS45BbHlZhvtUNmqzOf9cCW+4gCG+ZetSaDpV
Kc37QzzQzfGc7+1NeWAsf4perznEbIzyAe4YiXicq7j2c8nwQmAZMdK5E6WQJZJ1Ps7jJQivs/G3
8AgEH7KGCsGsboyGiTlQa4twiLDSg8wxQ6SrjNO1RMJuAQIXEI3p8p/Pu25+panZAoV/n4L7vtWH
bF249L/U/uSv9fc7tcRUOGc/lNd72EMbDRD9l/7orAg8vPudEii16yh6mY3Ig19mTQ02ZL8D5hZr
c6qZYIYeVwM6GV6YSG7oe0K2Nw917bx6+9faYEGrSOaENkosSJLl6q74KzoXvF1m/0ZLt9rJBYDY
uPmcH2K9f0YpgVutJQrG6xOQUaTBjaZzjglksaL6KmubuxdR66iaX8sBmwnpsqQEIqhWEWY7b96E
+HJbvRFLVRT3eihrYbyc4I9mbEoX9Tf/d/tUdqOkZOEDJq6VU/IjOi6RMDxtzp6+lJPMGEKcRrAR
pbSnRq57Ee1rmBx4kHWWFwqCu83ugj8vzgE5dMrKtdavIDL5Bk2CaS1jr964NipkBhl0KayMnF4Z
YylVVdofqmd82PoHsmWt/SLCx+sjnEdWdRW2Z735g/19g2OtzZcD+mhae5AzCVI8Yv33qspA51z/
loG1oOotZ/ucQ+eOImWWKBVF4Ze29CF0/pAQe1bgqOrcUFP/9hFLWMSpFxBkQsgt6HT1xazQ+klB
0WtWjJk5atFosTlWnKoD2W8tF4kO08cM2NrLnVV7iARqLi3T0iMEObs+Xd8SIt6PcQjUDoAfGDkw
69aGvyQrjule7BdIGO4NcPu+ebGrLTV+JbSArpZG4xkpi8v+IKAnvAiHlQHhlddBM3BrXnqb4KJW
O0BH2dZ/n1x5ucrpTkhjxMlyfiuIhZ/6F16ZOEaUZHAe34E5SUDIvkGqhKfwfPvPNqKcKidViG+G
qz32PDy0efBSoDmTCn7X0R9ByijuFi65ZCbsaZnsW3iEI/mxM4A/04Y0+et+eg6g5jf3+PEA4y1p
dP6xD2sN40HREsCZ1Yzqkbta8fRGn6Kwv+VjAXEda9lzk86iiI0xGmnwmp5ZtzFMqeW25J0SnIMy
RZdkcBMcMMP1vb5xj00m+6arqjxQRwdbDiferLd5FcSI1wu553Iopr7Q4IaoTKnj741Dr8J0jcGd
VbOhh5RPFSTrdy66Gj9Jv/NiEUr4tOKUBVaR4C+Pgrv5/iLiU0vGNisl1MralIphJc4404vPFVsj
X2wi7A42LyGpBEkbgIlWvK1GZ1a7tmzJlCb9Dn7imPGiiZZ1x6PYLEW3HF1CgRJWYYKJhVy/LR8R
EOb0ZDYKw9WJujluEQlUE+c2cChJObiGeh7Iey8cTAW+9mDiOnF/jOPq46KUoGzOXolJ+84J20FC
osFQDDR4Ua5ngQw2+SOLRY6bVWfGQLQulegczoj6GPK55tunPyjvoMRiU5BzIoHezN8Y9iL2UfUj
axaZY0tUSX5O0tGiqKsOxDUwUssnFWo4mOUbpYoxUp0jevyPOqtspxjlfBkQiQeEpQirHTVvRbZ1
XcEik1RKi7dmWPMi6UJl9v7bAjLSqR6UaAwPvcqS8K0kSNp7CPX8YmJ6giEstY7z5y6QMtqDrOMs
IUOxteRjVGI5WesGL46vLz6oRF3WY3cu4o1+dx3J7/SdxZaXwhzPxQaEKcTpu7n/ae1glLRnSPX0
KBkvwodfM6RgWcpo9aPZOSuOqX6ByH8Ls3wGxa+YeSYy6Mu2KXzTEM7XB8dpbOqrsh5OFNYasixh
Gy6loH+r9LwKjjshDAksGgbmIGHYFL/0qDcaypktRIE5IYLRlmAVHSW+y7fdUw0hOrNeu7Iu70vL
FVptvcMMP0a1B3zcLRdJdgMqQZPCa1Xg5EScISh+4MX+7FEuIjJ3rWT3AVdQ7WV4gMtidmzgwK2k
J7+THAiIUbquutEbPFDyeCM68pvk1WMjVpG7t8vR+USbARx+kyuDOprFAURuuP+p+tYgmyNTr3Cd
6O+Adh4tN9MBtixqwcRxBMpl6hiP8ZiEqZ/hAmeQh3yn2pPGoMwUXBYqkRlMHV4U/5l19SMh221i
DeeeRorjIc96LK9jPA7cAuc2NuzXLRmwZrPQcB1zWVzcSFBy1Sp6aqQUBocjA80V6pn8BEgRknnh
TnL+DLoXmrfB/hW/L0u6vR/Z4M7mroNJa+fh2vNpEgpsH/1OJEufYu/aXtgbWYrAiY6X43IgU957
cxl14JgXoLjPdyTah7e6nzOjXoQjyK2A9aHFDJI+nHjvcB/RrWOfQNy46YKZjwl4KQ4380DgIbtB
cdF9c5X+rMvqMXnzpldK0YZKjFaKOVJzhgGNGrjl3cTBRPqn8rVF2/rhfx0RVt1qtmTa4b6GwBvU
h1NNfIyAS+KdLk4cz0o+ZDQWEePbBAu7OOg2ubqvHQUdzTlC0tspAJS0o4MIeARBXK3elMUZ4yhf
YuAT6iSPqxD31ItBr3A6NamdOyPg4+/06nm6QgqPROxg8un43OpeRjVM2bFR/uvztROa4nkFbLwX
NwKK0w6NJB+FJqlu49eDSRi3dtHH+1HcdAK2juSkUE1TBrHNOCAIPM4ge88JTbbbZBb+SPmiDdNw
A55XREH3sCw4hIO/v5RblL/OP/7BjROOfkdiJ07uqyTsUHVEEWrZhAFTdXI5vUbG9713zKiWGtg4
TsrYtR0B0WiixhCf+GypGr+gCz5wYIA+ofeSVGMCEbQyKutRhzRk69Jk7YY5m0vuwASGgruoXnSl
d/nmEdWy9n7NE7eyfsHpdkIVE2Et/Tx9iPqR4Dhey82fs1DsNxR4leRgyAs0gYXw36HPsZ7lBIJ4
WUseOgjQVzZMBXgzQ0PGa1BAsi3cPTpNNE8cnT/Y2kpjmpvxr2Ajwx0w9v5SiYLruhFf9CUT9lF8
nQrgwOfQTT5Mg+4jAp339DsFiDeupthCAUdx4LJffdR9Xuh8ojesmmxQq+exTc1Gc2qiH1wOpDwO
Zcf//NnFQExLc230lDeGkNLiJlZvGJbHlo+GN6jdQFGH9LaPkcGKT6i2Ekdl9OCtRA7iHaTu0S+2
3sACu5m78bgp/rvLT82prMrAa29+cGWBkPetvQ6eRKlyhPzB+tf7S6knJKtv1lX+3kGSmuxjR2gK
YIEyHqHDElkNAfGjJ/rUhqWPjKVyYmtsQXiQHpBuZdn4RbRC8vEp0H3Imv4xinX5fZnMWH5LAVuj
j0nILzgoX1g+HUuMwm2XV0+fpnZYlMTeBESpgpnJP8HSIMV1vX/ZcXbNvFx1AI82i+M2YQ/55psd
FJz1K6XmNFfmuPYSpgZfMQTW+dFdLa4v/uX+Zy1waS0jLLsTexthn73CXe4/eV6seTnFlSdb5S08
fUh/2A9/q2jX4nWUEu4kzWbywwwCh4x3DtIUvF/huK+kc1EMhUXpmKN6RN62p4a+xfjj8QETtIuj
1YXcjg8OrF/dlnEFmtsut49DfieEhlzufy6+DQVhRj/su6wAgAjsTVAWxaxjcHLyqE0V7cnqatdt
CvMuPaJJqdoA2l/L9QTDqBkI9IL513nOkjdwMUqw5dCqPTta5QI931az0gckIwtIcEQzVLvBsfin
c6xFU+IdZNoZo0aC4uOODODaDl4cRG044FRh7IPEb/AIHkED4exHxsnR6U6lD9as4qVRL9/Uy78k
YXTW7DyHtjGtMYASZ9V1F1GfY3XsIb7Sa4DJZt24Ap5FnQ5Gy+V92DKV7eW5WCySPzL2QY2xlMx2
DzYdQ5GjMt5ZBP3vyrCp0+x597z9hynwvApc0A+O68JaUdes5CLLjyZMjgdGOo2ad8ibYWUDNBJI
Q0l5J8SKpYAAv4fLyo9IaGG0hO8kCEq8fMhOdbDXrX+YN3AiZfmn7+JBsG7cNM2pg+fs20mIMXsR
3YtUrfa+8HLXQU3vZqtu71sHjkUh1elA9wbdsTrloG8lw/34Z9/6yuJAI8OdPV8dZ4pO4NFJrHwJ
6eVSSLtxcLQKjd+QZomb2OBy34Q6ssbA7pinq0oA6Kl/sYxk5k/5FkSdqc2hL49wa9IkZe97welU
pjpSw2aUe+wugnJ8WDFAf804LM4Iu8U1AOD4nqOstcvUK6TlVa0q6o6rQH4BAWww+PBlgGAyUxM4
eWqOSOKLaDsyCNwCkjbFPV1Z8mRN0a5CA3LNeVuXDebBzl34UAvnA1FLTCYmyMQSFV1baQ9RYN7f
DdjK9Sexc3nmlYY55aK94qVQoc6RUzV6I3B3kwP89UBmCle7RFIRW92WaeCQoclD4VCzfBvdt6BD
3kJ8ef0KepNsytzwmWSIHGtIypIk22xwC7E9ozTQlR+1NiZLN0pA2tJikHBfmNCbbH/SdQPMehv1
pHC0R9dnVBiMSQLeoyvTg7Hu31AJFiFv0XfcTkRtZ2i4e/kvLwJkVrULyxTe/0VrPrewjkmqk0Nh
bvpHmE8DenKWNIitdzS7vVyjpkTVY9Ku+lBHsiQA+Zcum4RYT+808w6JfaZpSGf2oemI7wzdtzgQ
FxXmU40FTl8I9wSPPSGsJngzz9QQNa2JbeEwQk325/DeIPvEfNHWR0CchuM64xfNtCC12qNisMyg
1DicYV825yjs8F28bweaixLwcfiM8f5EYR6MsNLWAGelCNTfSXI64tGRL9P1NptURyG24wsk+BeG
4Mmp5AEawXHlIdNn0RbpwseiBKsgjWStJqClVtq7Fu4QApNDSXjA9cA3CQ8z6C1TpKTsmm1aXdOt
zUFAN9wxAxjy3uN9J49aqDO4bCtbi4yNS3rPE9Kn7RzPRlRCRUcsLZ0DfcB50gnetRAQ9Pc1CuiQ
Q8cbYkLDZml6FQDJko9VlZar0SpdaOo0w879LEAiV9UBAgBs2zLJVScZIko24mwWExYG25Z+G8tk
L0XjvwW0zHELjPi5rOe6JXDgz40HDfteLxEYUn7OpOBDAYeqIzD5vGglv8PnhCiw2/78Ma/dDcHl
qHv+g31IjEgPFlDbjITisNwY3cdo2Ifz83NUCOdpNjfDU1CYrnwoioa5sPwtjgMlgaYDCuMqvpWj
h1lvrykvjMDswFaAJzP+vOo1W6OvxcLO/fvgZBcxyJtkl1TSQGn8VOmZXpVI3c8paxm6QOv4A1fh
Hl6HLj8hYpC/m0OJOEOO1Nqd1vtsKMzClg5i90Nvbz7NdgQBSFMC1nUJW/HBmi2IH+NgNjbiw8lB
rpDm7getyG0eQlJ4kQcPN9UtHXB27o2Ojndwq/HyRFgA0Ad72wy1MSGzee0sd3xygjGk/J8u2KVk
uGvACHXcikyxXPL+LnSzxpOFVW2YWauEWN/0skxQ3Wh9/OKPhSUImd/5jFgLCVKALPc63sUTrCGJ
kT1rdT3B9YWPVzsYF1tDRIYGSL3e2sktwMYp7dDa68oos4IPGH31Xc3aoLH5dTXlAG5/h50deDcY
0iIzbArdza4c5Pa2nLUHLy/0ehlFK2ljpg2ylHPKz2YNHkO+BXcRVbNFFx5NThNVh5nqHRIJkb6o
4wegZra+xf6bKMUF9o3GOnf9JDTcqdSzkDYtvI+yFU+c8wUCjIQlKcMwOw1Du9O7MCVI4/uZGMU/
EapD90PWe8cHSgGgEAoHorABvSzBmNCCKEnE2SWxAyqMYQ6Jm3fFkHMQSGjqkLAiTNdukck2UOOk
fHNRzMAZOUK65x3jhcQcjpXITED9t18j/JZW27u0PY+XwwHRVtrJngrTZ/PjCkl0xktg+M5x35i/
RZnMyn+hQ/447TAQhSKfd11kq0fgRJV38BxGvKfLelE0OUOvVswVCnv4SSwdnRpLCBGInOaljhs9
vjAOeWVcnOxHVktn1Ve83bp0Q4vs+tnVFxxw0pLTMTAOXjj2TSe38XCCU2+2DStxhnp6qldTWwlv
Ethge+D5i+7LNZmclqTQGVj99//CVuSHMwr4vp5lFtm64Ze4nr6FNBHnr+HxYJQL6ykSQneOCTKu
5tQu4bJ1bRprgnCpWazPxesA6lEGeLcGrXrbj7bpV+Zbr0lP76iFQIGtYqhgAGaBQPSzKS4aMreE
VO0lhTT95ti5nhkxECgtHLSV4w52WQnveIAK01dkK3zdTOpHo5GeIIhwlDimTpa6Rr1zBETw2dj+
nO33z6TytKEeWSa4ZxrojrSQlan9X1kNyUUyRRleaIYsRw6zk01XbuJqEIqc31JV65FdqJpA3IL5
7dk5NEfowZntwX8A3jaXjnwxw6KBg2Y2c+pg4yWDN3PNeWoaITt2VDH56DInhRwx4mfTb3gtlIgm
1NeGHohrzdS9tZOv/uUtB2jAWp4F73AoF9enI7BL372qtUTf3mtksmAtRldBtwMnIxWgSJ8PwzQM
yfqwPubc/KpoGa6hzXY6GuyLbY+b+POYV+wPlnv6MGO8O/mctXYuWfeLCrXVphT8y07ugOH0v4mv
SY1x1P+GO76J3xEd0rcRtgcRz7X3N6h/455PKG9Vhxnu3ZpOy2xZYXaS8E5/J1sx7DI0pid80ODO
yvlCAIkusLuqT5/qV+oZlKl8jqLBFS2DWxQOeALWe4k3vJQPHNVLH8jcHhAvuws0Jcp4e5k8lv16
WgtU0jPfkdN/ERMXpWvnM/YmwV6VdDvrb56AD0hS2Bma0jma5V7qoFRpq178YETHZI+KbwQjzap3
9gWIWHnOvxnsJ8FltSIKgLWruzyVt9spqfwk5HhhJygq44NRUMZfRPoT+Fdrbb72dlcFGsDF5RAd
JFHwbDiVU9D3cooYebNsGxzfRuV6QKddSO1/mMEwrKR8OnfjrO8zXsyANON3Vv9iLTj8L5SvjJ/c
VdGp1InPXbaFyH/s4pqtD0TqQ5IVJClig1UQCgFYzpK3CKKz9rDzC26LnVUi65wQTiI7H7T5cPli
Smg1ai6ML+T3phGQdgiwbVt9aYY2x0JYTqAC01lLMmhyTUxOGRKoh9/bKbk4xHpytB6xTbCP3Qt/
ILWu3k2o/AE/g5P6O4BY3XlErKUUEBjcvp/tJE8+MnWfbNr6T7ytKPYOzl0k/QceV2izEijFt026
FBEYRUwCYBiiNbfvrG0h3ObnOv2VhK77zqJGS+0I1SXf7IRb1zHFncGo+McT+KqlXMS7gW924jxh
nEzfyRTzmbP+k1V94kmH681blgM0Hnp2TQSIZt97PNMIc0NGoWHcC/aTOv8sha3wolh7/sFFyPgH
IhLXQdRr9Wu/aOfy4Om7+WwB8/izZlNegEyLgEwmGe8QCW3xk1xDkuPTaMPTMnF1qdA+uG6/4iQv
c/mQLBpmGpsXZppeHjAdtu0mWoLfJsoL3yIBhb/AON33A0tqjOw6IIZsuap+TG7QqD3qUeFnpXZr
ApY1xfbfDH7M/XP9Iac5HMSZoHdzvyCgxVhSK5JjCdu1NHPr2XPqKCJUIJiG+wjwEBHszkWaGI6X
1dRwikYmpfszmM2atxw6Wqd4gJS7BXIdaDsDUl0uiUbzKQeXWaO6nY2xJwfn0sfGlFG7wv2CT/0d
4kvY5vRC8KI3szzYPam1TYhZu1SAcVkCl9kUfjhVBkk9R+j2tMjOQtSjYsr8AaVsZcBVBUOGiNZe
A+5SA/z+gaw6ngpcVANpahy7fCUjmd2iLqGKQM7o4rnzEqpHLRFSxXMIz/Dw5kOf4L+ZJrNseejv
mLWpNXJcfetFSS8a0e65EokmHJLgIejr2snr/Jtl1aLeaM6KRi2obTaS3wQG9e3ZkV2rtoIKtwVw
GaH00OKYnS4aIPQARAhmmjc3cKw++6gQBREtbsIGr2365tsfgE7ZHKfRzOKqjRp8pcQ7TnZwzubH
X/Zyl6I5I3mjZPSqD/BlFL7MoAI/kC3datTbAhhSAHifGQ4VKWmz9IHYbthjK+7534kWQj0BxHUK
TkarwxDIstU1WbSAntAJLpu/KaAcpdtbZs2VUG7eBViyuDT7M8xQGuwTeioiJOhWy+uSSIdRRRw+
Uq4iuAoXsVpoWdmVpB6KADuRGg/Ae2Tp9hzmWphNmEc8DNkgbohUtLWOjMDI/wAHhST3zUglhkND
mAQTY8tmTXFNtcKYYN8j8UJ0M/nX9avkat377O40vCurU/FhVDNV7RSiVqpKxFRxtg6tCoiSZwK9
VAtsjMGSJ8ydVxceLBCBHzbua1xcw4558NORUWDOXfFgMVmr7O4S02z6mCN+PoYjykAQOcd0p/+o
M6bPzfYhziJwBySM8zNmRl2Wu+hzbuA1EsSx28OWxaZVa3JMefsbHVPiKHtWVMVeGcfVcbr+Sfpf
OFE6tSZWqE3dCLmExV4O4kEXhiWIKQeWcr91FzpaLjuDilrUcfAKMFURF+tkJ+guy0jL7rLKSns8
UHmbzIu9KrjSLOhA/rAgMJ+cZJG7pb2eUAaA/AWFb/nsRwF2SOLVoxtusQEY6JU+0wD8CfsBqCMZ
cS6lGIjRXZHTA4c64fHQjdZLuRFwT1NhjasqOB3pKSIqkgfrOU6hr9sd1TgKVg/eU8NYqcSiCFiS
1TcRo1WnUYUnNzoTN7HmuuIMNoWhNfl++xOLVjFN3XpzI3fCVMhzIuCHWI5CtwUhS7KpEkz/5Qz4
BU0imYoKCQu6dvZvgi4zl0Nf8gT3MFwUsHVuC6ColnA+t3WLxQFAU5i23PgOLA9/S8mSk5ApiUk3
QB2cTgmTbwfcX/4nKkXVZ18R/aVQntt2QFzZqFehL7RHuQKkaaHrablv2TI8Y9VbcwcX6d9oC25Z
moO0WY/GZU9ZaU2OCUKyOjdS/X/vlJdOt+Y1dW6z7EcsYWt6YJg7b+UHkjxuKTzH2JvRwHkygEvH
I+JvK4vWQKKtniG7++NnQR+gTgHl8j1djHKRnERq4NPJsbEzs5yjkrRun7j5u9BL8iX98Vu2gIF8
o5tjhAEZ74W/A+nYVZtLW3CQcZCnwynEl7rdVutitkWQx9F0ky4/IuD05VdgZue6GPUvLb/8qmsX
YHKV8beyN7NCRS0dUPI+EsHBoe33gNAwGWDV8kbZM9rH3FfTSe8PpeeE9uDDxRZHTf21IktT0o2T
YaF26Iszq4EumEAhWQpEV84gErb9ewNNocQ0U89nIcvTRFhb9U0EYBPfkiH76gKJyDFnSf5GxvXI
l40fq8AZ1insvjC69/5nJijYhoXkcQ3rOokwiIMIw+HbODLQJ5YoY4+z/mIfdFbrGqADooeKg+8Y
t6ANj7LZML+4VTG2HLPFgFM1fBw2rUJzzPYHk7Mjoud3f1AgEGK9IsA4qK1XezFwwTeuPSyBzOM5
Q6nvhA01ll3T1KyMRJxQ3RHOarJcYbfYsDELbbFQ3sZe8DcDWkjlWdi9+/h1+D0VLGphzyxCXERs
aFVOjq7VtTW2WmwTBMuqS7ttaFQ4OzK/ZpOLw0OkGATsJ3YEtzK2EpShDsGja8VjiNVEfx5dYSbN
WC3RT0REWbSAlBbK6Cl9zBB9YywHtYoUl4fY6TBh2a/p+XEhBnkMVofdnD9SuPqCUr2M4Qpa8NEl
yaYO1e+NFekF6RWR1Vu1Ndiuv52kfnZcajV/tz5361MEE3Nq/TyvKwVbwUgbtiV1Mp/leaZ5k+Fl
dx+zYRd/SDmhjQj5xyUa+fzkX2BZuRS4jOxNqlC/H37vmaIwWBJ2w0RtHx7EkTvDBAqRqLe6tnpH
xkl7zD28/ZYvr3lJzone2Ibt5O3/8b0l9GuBigp/Vg6CsWoW0rqV/SvHseLG2s4EuOgmKeyTVjgX
oWvy9e7jzauq92DugINsD8xJqWjT/LScooT4tpXpkOb2NC/YB+ECryohQc8xNSvgz3Tt97KRSVax
FnXjz5q3w7JdwbXoogB3IpCI+GfwufguNhrb+SoduR6PR1rVDZ+0vKsbz4kzDoyEKmp8JK0ku9r9
acKvTSCUqiOyRtWEcjrZtx4OCU/gxHRh7Ne2K5pf7HYiLc3nCqy2qiZ6QR3+QbyomxZocIEhyxRS
TIhRfWTB47+PSwGIpeQCW2+c1Iwplkq2QJfRbnxogHBYb2YA2kzecRp0LNSP79dkrZn4AbPUlAwT
g/EHf0tU+F2gET45y1dxeq0teYVBxDvVO7MtuBAkKqaiJ0glfAfRJ+o87gV3Qg0JVt4nq4OUzW8z
qlR4wZDLnQbRM6a7sm/Xa0L1cX1cHegv3WDI6yZZ0qJD1PsHjcw3Uc/yHqCwVz7ArEF+NGhfvnwH
K4Xs91NxAu/2uQbLb6kQPjFh+U+Dq6teBcQmtN0rfwEIUVV/bFI9r+rDaey88clJlRUJn/JokkPg
AtB9a3y/StLJVR7egN0boYiukKgaFuce8FczQuwZb+lPIyBOVAXUKZRiR17B+lsXhqzBN4pOoDX0
E2Z+MheT4ToEJvEmPLUE5pOPFavzeBgpjct6qjjILmYWYuJHCDadoeBuEgsw21eoCFQ9toWLlun8
RILRuGhhrVRBb7HdCicaUSUJ2HHMqUw1AGZL8UhjPUzdV/YffIK3VNtdqAtiLUc8oLq2pJeoSl85
UgYJ1VXTsnaQm/BvAErMs1Qs+V7ce0OgO7Arc1p+dRtKD9PRJFYACaYldA+x+sUkooTxZape193a
9KlZ2bBk0hz0yncfZHq4eehED65YtzfyjmF+Q4hJJIdNnlTq7CLrix/pJ29S4O60qlMEU10rUbSm
R1/sObeZYneaNtObgkSHdf8FHOt7jjMEDSJaAb7+P3ysT77tB0Hh8fVmVt9MHcBQUKuxhp1TV5Qq
F7lxRI0H+6JlgihLCXPmM9i/HI+arjjY5Yp2aGn3OSXS7HcVJzfEcG/X0DsbpcHq8lfpUoHzvH/E
0d60Uf5XOK0bbD3v1UiHX9cXAqBZ45ke/rRgXhxVovdgfNBKtvfMtJFVxTpqGXtUd2+dUZEIKjxH
PpOmt9L09t7u1mRdvvNm6jE19Webxb/4D13l6y2i6rpaoD+qJeNLvESGHfNWjYUFhxKLYZaUqghH
CNBSLYUUetyBbySTkluqkhjNFnxWdAM16Gfz6aHi7pinYfDNrWLcgrhavH3clS589RC8R1zcsMlw
sjfbBl3UsBatKb+HDSdQKfoLv+LoUJBrE86ktfaEvN6IXicr2+KNfPlc1g4PUxgxPUNXPchj5amg
FUAzdvqgOAyeBWkp7JnR3Uq6dE+hHLCmItq4EM8pExJvWpgZZrLquJMH0vQpgKpxszWF0HlQWNG1
Ptv1cNot8qV3HYZDaj8k1faHkI1PLOTZJZpxgdpUb2z3iuPMcCTpwSjqdd/YK8pouOriqTroJCUu
IbswA7EYpjR/tZD8KB9M6YDA1bJ75sKwu64psTh2avQnzEyusBN3vICsAKfjZ/WSKEK2WzARUgT+
KvN+yN+sAzfma9Hklt7br7nyAyIaae7NYrBUzmEUzhk6xN9EfXWIPDMlCLl+4GNSbFV1ikX49joF
kvtRupn+elCXB5HEcE2C6EuJ0EGvvpOs8n4ptClof6pLFZbS0Jd3L0QhwVXgThiODfoZO2zSZM84
0GtJlggdXt7NAZscsczgza1LiJV+6hhQsXpqxIKKQijSNhafFOMZ7zKGl+h4e2W0kzkvwEqiPWGR
Q6u10gNRT0SO8Jp/YlzYJBr/+bb21UaP/vYl32337ayWP1j5clD8bB88D0jzaxjRJgBvDwG2PpsV
OIU5279dCyJEzP7YCviFDcZQDtTxzQpacL0n4rptc+BMSaEKzrBwife+9tI6I2lMjL9uonJcnbDq
FuI3dGmpT7MYsED84UBjW4L68a1xZlLQ1hpYDW3pMyt3SdlrlJ50JPs/NiM5vaNj28o4eMLIl9pS
j2qlHLpHai+M9ianfz8DC8J776YNxC144slxPNLdZCnIVdEyUbdQ8yaOCIPDNdguqgv0XcHIcixR
XbZKP6CdD/9HFNRBbOe/R1C4AOCJErqaFeb98Bf+Rtvcaie87bxDoLMgCk9oJqB9HzT6DbDbNSGo
p28YzzOIOkYxURgHuOIbFTIWu2o2eKvSwSX17FHqJQtJCCqYWQ4OeootOS22giy/HtIjeH9D46li
OwDSUWrDEYBcp8Yp4jMU4PANX0PvIxn/RDvb0uLSQ1NBU+0xlVmypPtUDrKpUbbZ6gl2VN2tJ0i1
MrW6kzsHQC1PHXxBtYzHhiUfP56AFbIdAPyytLooMrS3kOGLqv4sGX0CuzvTH4Zn7naN2XQJl0uK
zlaFSHcIWKRqzNYDmwBH/6j7SBH5aBjpYzs7ApbJV6WieiNFsTR6QrpiDr4/wwg6VdrkY/WWyMQU
kPiQwJZsyj+U1GKeQYCdcsA/OG2kxZWwKXFqZug1OQDIf6ckjH4925HUi+B1htbnn6H9ob6UjE3L
q+41gI+Zt6ff5IwCemDmwTLUr2KyY+U6VzfqIdC5P7Td3H8Ta7tlwncB230d4p2o43WUfcWd2IFs
5knzz8/QPWBwfrgUTNymFJRYrqvv8/HYEI6FRhElyXahohjB8LJ8JubpR0RTmPGBQcoaN2f0sFxR
cJDIvTSNqNhQW+ieP+l0xPY9hg0yAlHyNJ5Q/X/qMTS0QF9S1mSwZXqDUiMM9DIOP77Pb0HgvRy5
GAV6VBgwP1dPK0J/QkOlTh8xGoZEWEDMcfT2kaghx3EBxUYfXShdsRDLI7HrrKd4qY9aRi6b9NBs
7k/+UMImO3EIlSVhI3HVXhiGm3ZfYnMrY8SjDHtVb67SFnq6KsSuymWu9iLg9RAwd7VcI82igNjF
SuCjmoLwcLK2f/vRSoOHzmlGQOYmRCGnAIy8s3EfW2ErtHYoU1Oca89ZP59TJhshZ+rPDsSCFzEX
r0PklEYjugvmdJEeKHX/n/B8MzkGfBMcJHySlQnod0XRVQp7h2RPxaM4zhdtQ65g8CHy7Tl9GLe0
kR3s5qgbrnkeJVmX54ybVFucYF4lP9yesqovPx5iXVMQRZGc3jkCTf//c/beKqP5BCmAM2OGzws/
c1AhMBt54DXJuwX7m598z0P4Zx7mkl8UIxiEBT5+/q75iSPns8gZ6CYTjaO/jROQhDn+nY5pF6wF
daoEq70qjzB3KpHVz+PrKY70faqz+10dcjNx9x4Uu6xkA7xcWwsUvmVn93/QNfgHP32/8O+aefZW
ppBj3XQyHusxIRXZrURtAEyCHfTQ9vY6/2gb7b4PlimDqHmdR/xloHUd0TymtcOMuyfUfSYGvigN
iutx3st1QERNbNvRrKUmOiX/BkG9usWOzLJplB7yIJPbs47xZvgISIKglmgkescSck5dIcLDIp9i
hwJ7Au8H6dFRfkpBNnivENEUBNbatj019P/KJRhzP0TezEUG8A7PEY2y4tIj8kjZOEAGiQR1bAZR
hZrFiHUfVboma4U8OF/wXJHpfm9wGrt8UMeKwfWMCK1eZAwZpD9SaON/uWUXb/QO3zugdYq0Yny+
rlZVjMyBDPEKsu1xN8lXzMQVB+hBamqX+yi6x5Vv7VQHcWrFUOu5cn5v92n6NryvMN1f/RpWjUCr
M93MwApisl7hIo5vtYU6lL2/JtyAjOKBfTRvL1YKbMl16I8ypzXM2JlxULC185ypJqJLG49lKAD8
ozs5YJnHlix7i7mLSwZtPzLclE3y5+J058RL49eQYrFHKgJJ7JxEmfui9Kh6fs3MLf/6i3WJAj3I
iE8b5DRT6DztCQBOOYw6/AMZEO1kAC0ABSDtzY8H2qNJSMXyKA/EoeLWoa6k/TdyJlcakKMPRX1o
EwWs8ZO/SvTA7OIZklPw7qmKrE+EHWcX1d6OakEdJdeCjdOGLKGP84eNWIF+YIyXiEqEUdGn8g7I
HPR13jnS2YU08bGerZ7ppYMlRcwquSl9DEVVQehszWKivap38omn22K2dIlkXuFI1Cl0Rmmwq82F
OfHeUXtj+MctoQL+Jj0k2MMEF4BbxoF0MZgfT0MPKB4C/UjpK/cYwv0I8GDv6qx1rJCuveHEQnVs
M5w3Wi3qRpawU2CRowk+ufZf2pG+QUXWfcuIWLtUpMvEHOGjCrqhPE3mqEWdvy8ezlpfFM+wMHEV
9AEYPrjH0+UpRbey/WFVlF/w5MZGTawJRCLbck3KFCuS9McpLePLe2Mzg9mCsNtudRkc2csuzNC5
cnmlQJ3dxD657ZdrmpRZFSjmt/yX7YYzVBNC7eqte+1ZmLKQQ3Zj8LnImsXO6DyvNHYbGU7Qfrmr
bgQiksH2x87LEnbtt5U3dPeUJqjjZa9DIOe1BcEmI6saRN9IzVXrpAEdpyCidVA4BvfFqfoCU7sO
c/t4bO5Y3/r4Ow+DIwfUq+TBDBqZ85Grhpcmlzm4OWyX+A10WOwqQhZKvq+FnWLmeNOwivqoCY7C
myym/geE59Kl7hD3tYXmtnMbMJowAy2pGpjJbLfSXu/twloEn3B6YMIgmpdf3lvQ+uEtclD5i+M5
vwSDi/L9OjKleARog7GYn4HyjMBBnlJLHICkD35NXBkv6xrF/A/IqFuNmsvsxwFp7TBj/1A4IqKj
fWpRvPaqmgQn4pQPEnnYaXi0AtrvgNkbcPhXWlIy7QyZpGL+wMLrDa9NFF0r0VzAqrx78ywCSt76
BBi0gRarQmZDImXW9KK7hs+Vz4O+tPrCH2IENr4HnGPRIVjA+qm6Yq0felsK0IXi5pVsg/ONnaqu
D9zxXZCPDmHYe5MnvAfODfKwbg1qLNU1CczM8C8MQPmYprAy9R1ZpD5IawMV+oaLMknQ/Hyfdo+D
TIlDZUcBgBrZrRXB2++UmT0flPSeqq8tKNvYdUMGyL/VuqVP9JlskK+cXN5YlIlmmHtaqGfrnQQI
fBP/4RH1gX8joReOd04nBi/K/ImhSDHiQRN8iAqtnBZIZrxKaZ4Bmjd+bBTek7ROovDCkUBsWLa9
VDEDlr0AOBnvEP6MKz2YbUuPJVvT9AG3Oul1xSF8kyH2IVISfhfr/MXTurWCGtNy05yLprvTXKFE
Qv66tpvZmKLXtZMPfHflH15MP38C38uDr+onan5nCIEgbAuNIfDYsowPEli3BydE/EUm8u+pH0Se
pDu0ltF+T7KErqHWbg7kPJ9ntyCpD+UtMlXhp5VY/uTxEdmYbEP63OMwBbx91Otqi3tO02vS0TQA
+z5nuu6xJdqx4H6cFkvOijrjlGtvXHbP31TYIaaxuR4/WLTuVGVkCsxdySVu+vnFElusTNi5KEFA
ZQmN669zqaxfiu/J7z2KBQKjUr1hU8PPxY3xBOOEtDToRNNPQzojL8kepriFWHVW4TqdeloX/3UL
czUlcHd2O4pPhU0/0JlbUiMVFGO0phDVoPYdttjn4XEYJ3EkWbxNktOcZ9i3eCjHGrc3wPk34ek8
3QGXFxKy37/mPGG8KuSEXywvlWTHSqOoRJ048Bb+lVp5erNHYX/kRTtv4SSJmVqA9PcLYn0tFxcU
ioFbS1+u4ZLmeYrf15k+fJQrtVkgVu/l3uoKVNAlNH13NQRKnbN9X0pBdwaJKbS4PcFjUFuBytVb
fDblOH455pdzAv7YIdJaSIqiYYJJn0eeiI7jPpmfPstUQTu6ENR2upv0royHgJTdDRL79HJr7JPK
gGz24TRJZRuwg0FOXe2KbuK7wTPTymrurv1VQMS1VGWlJG6aEQdOVFnz9Mlpe9MNXjy0m6r1DjGN
AeYHXBqSeQXNv2ilwkkj5zxpdsvTpRESQcrO9V6fJufaeJGFfbN27bl7gu/GSPkMazPAqlcveBsD
stZI7WX9Y+NZlDJsqTT0mRYHukMuH9IVYn3RgQv9ZffM8mi4UB7jPAFX9tPsw9QYwb7dfoFtRBZ5
nRdmK0M3whpUEG9L4XrrIo2CTY+CrESJArD/SC0kxDLN/zi0KEqzTIFAvr/ncHVyqHJXIRxl3oN0
MVDYAAV1uH7zU5RnLHPP3daIsr5sqJcGRmvkBeUQWCBuCUjEID26H4XN+nxemn2LlGAt04kaYS4N
KIVVMQ9litx80kCFOOYRjXSo/TisBToRv9k1Zc2L0ubcM4e5KaB30KThkza0WMp0lAOOJrYYfXk+
UnUcP3+Br3gzg5xCb3SR92quQ3Y7qF7O1hUj16K9FDfE2ZO6hHLevmoLtyhh169NVBwJ6gC3hm6O
zca7Uo6t8Iv6mh49xbAfrScOvlIV6vfXVIQ4bhz3ijXi0chZa5e/oyjNpZSBPQmZ5vK0gpRY7mll
A2FY+gVwYGfU/4lnJC4vGpdq15s3G+tC1yYGhs/QY/L+XGQmu1FBqEXkEG+kYOmGCLE4cyzOjUaj
+xsxs2iifsEJ44ZeQZ2Tfuis1GiGb7bdUeM/a1LcEKoRnUbffXQfUTaB5Z6Pd/6w3lNPkcYrW/dS
3Gaw/mVLb3WwRogdsmyR27NiYMqomcIO9MVIxnbtFnu2td5J3sPwbZWH8I9Z1QkUZ8syyzNoFlhC
ONvsk7yv8WnKT1HJhWgp+qkQE7EBf/sncIdrdLBtW7Bvy2qwRVBoA8zZJpl10FXU8fn6cMMatZBy
n4kf9vssX8AVa+i7aH3ugvYQYlwwZYSDKRkKzy96gFBhyFgvnAqFjTL+hBp3TtxSG5ZTiFJd7e0/
TeDJt/Z/+KoTtSa2ZU+UoIVuITZJjrjwwoqA1VNq+Rq6bAQEqQ11bSN8kPwF50bwre6OTCMJQz3/
S7y8rySayIYrKJuchfI/F8fQyFPuPS574o30K/K8VeuWoOsfZKn94ZFgexQSlsJfteYfghh+6e1q
SapsmzET5bJR46nFzkCECoE5OCtwgFpD9M9hsuyzoCcGbxyAlfp9Ow9ADYKL81lg2gI0CqzrGMc8
rSoB1LvFRUlaHuP7LgbSKVMwdgs1ivdjaCQLNdfzzVscpJzlIRoKgywmEBC6SzIPbBGkhz8FiXWh
7OUpZE41PRmyxdSB8iv77ZKXEdJyN1hWdKnN1GA9jalb2WfOeOxaq4oA55nxdTPL1KqWzQ2cqAla
ay1V3jHPg4ir01C/x1nfUDCY4D7G5tD2gmxLAbclcpt8VEzdBiDxXcbZugdEazhdGc6+opJJDSbb
PySkdqu5V65vzjPdvh/luRcWIRIkgrCpghZsnTP3VzkRggEYpmXFQw0wRanwdjxT2tYsWEIyUZly
G/mMYcG1iugJpRw+PwXtVOpQBYUxY3LF9pFddRo7SA2GFXfUUqaDfrRIb+64yz5Hy8PfTDV4XVda
S5/G3EbvGEXAzxdH5mC2TALx9CdIxlyhFDPHNiu4H4ep9FbgwCoMzFIcLCOcCFuIbvkJBh6m4JoG
vmzL691yO6SouG4Ysifw7LHAKJzxt+FJ4WeYMBq+4kK4fId2ZdP15E6vBQZ3AlBSoscA6QEIR50U
eegv8ZDXcLwHVufzClVq1zlZZb+b5aY70au7gHTNNSvhFL2t5YD8LSDcgAjyNpzksLDQMLp/QxtJ
tvqpPFRcVM8QQjy0IONd/3yWPx71FFz2/UhqouB1tYI13+N85AAJ97cFW8v1D7+/e9O2vWi4MUMd
/DhtZCFM8cZNPmG1FKvQs3pjgoyvxlEbuOK6mV+h6HvCIuW7K+pULtmFl4bK/UMC3FZLxbeSdWyc
5k5idmTp8WlO3b7E3CebQREhlRFmMXTv9uWX9e7TgknyD9SngQqIIXN9e4V1MVWkOgaXrZgLvxxP
4KzsfnmcyAhdV9rp/saUqqRu+JrzY9UVWr3OwOtSUP8ghzvontJMkzc5EzldNsk2Y3CEt2jfpVLN
N26yXzzKW8WEm3yemaw471lICRGd2fhExRHvcQAY4dz5A8I48rYfZLNkuvx+o+ZNs5BVR/NtO/Ur
ZbGfK5TdgnajCAVHobIpBKi3sCTD4o+0hWj6OYEQGPRbkasRDFuQG7vptl0RaoMxqyeNIk2WCKuX
PymNoRbO1OeCRwbqT18iRHUTWPbz2U5zq6INk1zdp23Su9HHQGdab+qrqR7B3d3pOvwIdcN+vAdm
1CLooTTcauSmqd+IrF5cTR+jTc99eg02YHUoCU8JYj8/dz2m8S255zOK981kgVlRWt2Jsf9uxE5Z
NwFcNL5Wznf6Bc0amXXIlwpk0O642gSvr45gjEYAczxEJSpGGYuyKW114UucwP5xK7onkZtp9VDh
VJtWXvDSanU+d1RgDLpLetyD9V1AB1Mtp7PKbh72QtyHTjRHCRDVuaXs7LISaLDXfIfBd1bp2w8e
ukfQlB5XmKvWQvFopEZfgOGAg+ZWMzYrnePVIZ6XdJoKI8pweq8u2sGPDpyO/w+xL8Y4pXuHuLso
Yk5n901aABeDqxkepH3xtIa5/PyQQHuAmnDuge0NGf9l20CwFzPpgNUmGnO3iTs026je3ueiUZVU
5b7b/4HlXS1DxhML9xsD46L18HaWN4ARKeMJSdRuQR1ss/UFh69fupl0gaB62j9B7iK1zWPzFr3J
9G+BK3Qgnft9b5cP7J2RGgto0SwXTlKiXQnikJy4dDaAW6Y1kx94sshHhK/iKWfqAPlgT7DX9Q/Y
mt0Gk4hV0AaCxJphltHPt3Zb9EL2RKCGAD2UISPeW1iR3MuPSi1Dceoxh+zB2OL7IxvrD3cWd2I1
T4IIFVjcxf2k9MuoOTyc4XKlE4IqUMmKNXfk65masNRbmNVE5SAF567yOQaM7tyu5WDBle4EfK6J
41bQFy2UZ9UAZGjiLQbkF4RMTgAby3fiYDgYwXJdK3jjq4SOqn08X00NrIFzq+75/jahZsZafKme
Uyoxci6rUnt9hgXJ8xJ+Bo7mM/vAx6+6J90mtg9Wt6IiGwrwtvvjvxxWh2/EzddAW4MLUEqjKx1I
MFj9IPsQ6QK9aoAudQrC/y+WHbrPLUN6t6Q2OpTG4Zb/od2IPKhhSKRiAS5mfSDWpY9uGgpGBVx5
N0H/bbQEx7rMmdteuHxLOgt0Xo4vdcY8zprM13+/0kN1hhOlwFoNUnslrCitUJre8RP/UfvxVIuZ
QPhDXpWJOiTOptT0fANMV6UYO3kqfQicfo4C9jVIaJbx0JVRWzrGShg5+L7RVnhL3dx6bQX9gVkY
gKKxod6zbif8h7til0husP8/5rB2IaJJCP2tZjykeug3zCSRJEhPl3y8prG1NEgOtGyVxaCOk5ak
7PA5WBi2Vo3/EvHUViuD2MZ4yMtCLW68N3e0dsJTBnt1yFxLLgEAISSr3mHHh4oSUeOMVNLISPUy
YjbPsciqadP0jMrNCcFCezSQrJReh9JSartXPXYIbMzpw0b9dbX2HiuBDc/A81ZslEWOo+ySKaib
Xf2hizxLTrDf97+CZZBdzBviXTQd0OW+I3LOLDM4mY0UBh5SqOlvNcztJLH+0gXZUL28UKdfIxHJ
iJOqlX33yph4ORq4PNYha4txmKKc6bxqpXThlz1N5brsL73BmNUQlqYyv5VcL4VMpaZ0KGkjBOSA
lUkWBn4xbvngTlwlKjln4HR4l+OCXgPo57mfbWuOaVFzmnCWFFvi+4yGr9A0Fg7fgsO95mHhAHkD
Vn5ZvYA1DoguY/sYwoeCVhw2QxM+aGGK4Wl6jxRGxl4AJUVtR20Y/rT0KQYCYL3sA8xwx7crvjdG
23LBK2ieeNhXJsOZ4CYr28GxY4IEBcrtqbWENjKAKPkCJHDou9mD8PFI4oFwPpzhFr1qWl12udbt
9KDL9fiWttioErPJ+430cWwj/iNUkULoN4Vch7Cg94kpA8Q47DGw0kVeQxaxN72eXsUGze2CNyMq
afMwoZBBTKPBJSI5qawmD6qsYuFCOVEqpddRgZFEFx9RpBwlCQEjPE9R4oFD2l34/pD1eQJQfYNJ
t4S3+U7fX0gvjba0l2+cfukKx5/9/iCTSEO3U06K4yAYMenqnqh4HKD2SwdbwRXjvNYpexHnjeb+
QMRHaHeki0OAZDIGIFl4XsMLEwBEVm6+8tko4tJApGjIAKrHNRIfKxL+e1ha2Woq7rfseUSslT7c
r5+2YOkcFnQUOZCzE5vjOhMxzf7o7KOr35OpaH1wt5/szxA8FSRCWqRDotijvOizM6cV/5Dec7uC
hNIw763vlbJhowIZI8dxLkoqCIeUNHrLwXn60osd6LUdgGbOtNO2BdyWoZdWgi60+G8M3Ecz+XMK
3dF69XZTCEjX5DmRiuBZFWfzfL/IDW/AIucPC8JR8N98oa6MdHI3kVqJGVAClQZ8we/kNwrZLW62
ilcdl35sPb724dyfkeg5IFwfnDjw9+SeuKZEkRq4zipKQ15540Xjf9hSuGi390qnFkOlnUaud0wn
5DSKj2xr2qjAGgSinWS0Rh3y4t5H/p1383ETWkWKkOwUWOWqE/k9LSPKb/3y9HkFRQitA1jc3Acc
PIgX6OSe+kvDrPvLUOFx962EUn80F69HAISP2apMDuiV4tjQSo8cxNFRb4cwS+Z8FLKM0rmd+7Zn
KNkqUynVmLoF1IXGQoQNGiUk5BaTnYMse6e7RTKMX7zgVuw3tr/eh8R9dRAZVYYqrT8u3gStMWRs
7tgE+F9gJRov0i4oRHav1Lx4yY3uqVKdRLHfk7Y5oVY3OU0JUzAYbF2CwEOArnm0Fu7dmyUYpb1a
52UPhZ+KdTdRRk/nSW5jsjCwjJU+8vF/naJSyMNdJoph1oqZV352S0R2Jvc7esWFWZT7r4I6KT+w
fJQB9dJQ956OalSePstu/4LhZoFZ2o/oBN8EhwoU8xJM3Bax/OMBF6zBHh2jHVN22P6tTigs5Dpq
clzu/XAVJTbSaDEuxnImFjIifD7PpWHFU5EXXQ1vmQg7FrFhbNAN2tB7I29RccV0POx+D9fLcWw0
YYs+Qp6P5SrRPG1lTx9DdbWRLL81KrFGGJkJOgV0utdYj8Ck16bjO1Eg4onyUx3n0kzdm1F/GOMc
g5kp+57Xt0rTNZDxHF32yzez8Y4GsgygDXaHnAnLmr+Wg2IU0MaJTu3+QhLtbk7sBTJdttWha+j2
LvhJ8DOlVUUTg/q4AuTr5k5vseHSpLqfSmNXqrC9tmG3L2/eBM3UA3dhzzGcKBWzDC798GAsMEZ5
6rMycjPFUmkYXJGmnzVXku0eA74a0i4WKnT2IUhmML/EltjP5MBI8/l0Z9s020U4uh3QhAfpiSBX
N2RkkoYEgEM4GCUk0QQLpC0q5EKa/m+SXe+bRiF7S2O/nNkboBsTMzUJU+Oe0qLQic+ckjEAn4sY
sOb2X08HFjpO8BmzVUi8FsN2ohgHqDC3JxQT1c9XgS3Qn5FjxCEur50+e7LkLcAnJEqJ29q6foPH
GZbp9QdBzy3eQG4PZIQPRkBLnfNZk9mR3wlQhZJsM2N6W9SAk6GJc9Od8q/pkCt+Oc/UJjjbECYw
pPBWMQJdvIKpYI3zP9yCEK64LgpUAS05OpxN0QBHliNGER4ug7oc9egDXPs7YXw5XB7uVwCjDPgY
x2B6CfmO4a6fjHvFQfNIJKXsIjmP5PkyyW6urdcIuIje2Bm34jxn4hVPiXAaTsPs0T+SZDe87BSG
VL1eMZ83/gShbdouhXjqd4dKlPBHUBvpSVa0LzcosCgS7oIhh1jxKF62SWXicjZd3q2iJmyc+2zk
G2Y9xHOjwltATCaSMGwnn4FvHaIZ/psJvT+r7ok7hoGvaSnn3tCtDZQxaHgqOslrbpThzlTQF9f7
LH+dnb3aqtThDHn+OPpC9CqV9GXaxewVQQzrNrSZV8BO+lyqouJmrlbczEZkt23tGtAVHsTdQWr2
3u16SR6gSgvInEnQDfJTI4Unkuy4Wz19z07sR2PXr2IUo78rQ0XRQgjBdiMzLiwa327mq5KGuHKJ
h2P9M8dHYhRqs4bMeVv0MUlNbHvVrPRRE1/02u4ePu7ZM9vBLRA7Uv63/ez8CrCejS9QkEH3E1Td
gqR4G+wtwJOqPB0JsLP/mUAlyaDpRjPD2alwFEynU+chz88k52jAJQ1DuSYtGgysjuvTp8LIHOjC
dNJTVXFl3voVuEHmo3yvM4AJlFl95Aaeh49UPPbBHRYopCtSHdi5UUsRzxROeY7rksNxlE6iE/K9
3H0+F4oxBrMqxyldc4ST53qYGBnSX3pN5rtz3Nqrtsr++rHjvNVMXcH4BlGIo5yUZa3ezqhWXnN0
zFFqCwxH/rKiJUPXhyiCLzAdxvddRvGSmcJtk9OLDxZOYXHYvQNmkICcjQZsj3+zsV25OUYO2PTP
iiJkuMMf8hfAqjDYA9E2JF64dpkBfI+Cl7nnLvBRxF+fFCuhBZDxQlWpfopPLGgqJTE+n7bhGicU
ApPuTZxK2mSaDkgGCS+GbQjwI3r/UDkVf/sAHliLeVPsMOf7fTAlPTMIOmXL58TbCSAePCPN3d/X
sqs6or4BQrdsQVcBO2bQGMiR50vHV6Y+V4Vd19mvfsFfYv0FapKkewQV8dMFmclc8ZSEp92PjAa1
4Z3svjMFapHqG6J8BFqyB2JOGv3Zk/+w9z1rME4aPVFZ/AQOrFuZQouCuUQBoBTrkExWSKdiEZ+C
J/1zoX09NvylCwhvHVoutbIdMlH7oe4qkis/H3bdzy3B1Qtxj1qGtWcxJuABGv6a0JNKlfN8JCAk
iqFBfLzPsgobPjBUODtCqNjtZ8Tpv04lkNI53iT1Ph3jy3pXvXB9kU2CLWHhU5KbD5gqrrS5wD4Y
jr5Pn1Va+/wiRZxvK35O0STNBh6lSJMGazb5g+ThRNQj5runL8CZdmRnrgbso73sHHGsJbRjCwnV
85brhctPquywsUq0DgMpXfHJG3qEaSeohJq2Qk/smwbM8xs/SxRTQj0flcOzXkRWHSaI03GiYah+
ts57FfOZMEeYinNVBb9UHTbkFmA5GAs6VyO+Q/o1t1DIVwj/BclqXF45Bv581KuzNYFrc8ymbNXQ
H9qrlYDAHoBHxt8uTKJtxvyFJYtM8N+xYhCrqlxGieo6NbUcJguWhPdcf8+FiWpMjSY+f6CWFO+O
JIu55+x7eFWJCH1DSUaRJkvWW9KKbR95Tdiot6axOXcS2eR72ELBebVT9r72m70fm7KDcHum1qBo
scTXYlWQ6npnLjTuSp2ZOp89uCMkTJcAxPJMNMEEJfV0Oxfnn+L0JZFSmqdsIeiKQBLh67uHohcG
wy9EnfyLXMG/sXY2BnGoM0QiUFBWRNqdP/Ae3cD5+070W+ieq2yIZTemwfGjcX3cSyC1if4SeUrs
6U4a4XuYinhheYRTL3TtpZyaZkN+7gnX2gZJAaYqnuLcfaQwLO3CqQvqAIRz21GJR8CqdqgwQ09r
UgB4rXD5hYPp5bbuDzNMnTu6kCJH7rarpTAXinzoNqZlYSzOtx+drodVH05pzZYB9JubMWh0lh7e
CLQQnKaQyW30nxhgu3900XfSoBU8v4MpgrNbc1Wq7EXO4WkccnpRMOc+hXx0YALIcC0Sc3LgMLWv
VcwCwaOQTJM1QoFF3QIUu1E3EF5UOsiYwwkwgNxBfQyZZXGoO6FZf+FZkQZCcuAZ5v+pPbxSldkk
WrymxjFp67by985LtgGPyvvdbUJPzvlHm3WYcgX/notX1siRZWCzzgwJUW9/Lnjk1ISUg79sOc20
TRCCu2+5WK387zBdWTP7ZCHuIBAxnxHoVLS6NAURU7Gj4yg4V+Bm23odqIQ9OVi8ZNN+yzTvTcqe
vNW9CXoDYF6G6F741dIG/cVybiAeNrgC/ECzNg+RZRcbRLBGYrs1+mmOBkaWVimJ/PLfSeBqmeLE
MJcY/phVXxrlNpA/T6hvpyerH7xSN/0PH3LOd5dDLlnRHNs9XnkSu2phakB/l+KKAfgj9pgd5ekG
s0Dqo0cdm5YZGqEevuuF1m6HT57MGLiwjUz/S36TTef0oyXSPmPYjqtKPb9uFpLPNS5CWrp+Dt5j
IDgBq1FDvoURA0QEw99hh9f+gHX017lAWKX9VyPZpFydKNoddCY8t1qBpMPkvEMwkQQbTccUm7oc
1MyCatPVHzVJr6MuOI3mbEHXdR9M3yWbp+qZgIwzXYNjWmLpFkODXfsCYANEULXjMfLmdBU3n/0s
gnjAybNQs2AuD8W9eNUmNjTN1MZm9aGPpVMJHAfVkYbD+HFDoK2KQXqA5lbPNCeKAyGD4YZViO7u
5wtkEFo/xGUrNXYbYqgP48c14DpRSbe8+wgXHEtgpzdocwnbM/zGKTDgIq6YVKCYQb+qY9yDw3a4
2G71ePwdUZ4GHDia5kkvsH6EPQdaVEORcAwmndoLVjYI/L0VwvsF8PXTgPd5aKyNBwuPm1tTN9Sp
4ETj821d7ETGFais6vD5LHP+1vFYNa7egzEkWjPLxUQp8sGtpW6LpWZwbwW8/EPvM5yTkli1/S5i
qBl1vBnQfQMvtC71+i5Hso2aPQiy+40RyM1UFOd8GTynjTmypxPBbMkuXA+vWzXnWEbZW3idmNo5
Lb/lwy1g/lu3TRr7YURuiBkMolP7/8aQ0RkRj5Qrt0tEL7jSUhE0G70rXrZGAbHNJSd0scPTJ5AT
CTtJtnCVr80Ob4ti3MS0bjVFfZIViyAnySjtfzchcJuMucthHgh2bb1ExOBDaZtmBq0iPwpy4wLI
Lx6CeZL8UyU3p6r8/pSzb48iKcDauDUJsaBE6V96J39bme2xFMNeB9NYR1muHXYMwhveiggej6Eo
kko6W/bah1dXgA4NBGcrWipgmsi7KzZnKhiGw+SFFifFQlNblie8nm2A1o6MqsuYvJm9RlpkVWdx
2QfXU5Ggg21IcXu3jeOg6OLPe4jlHR4cT+zfUtes/lXSLo4GvawZOedMqnqEd7ca0L1diXokl5df
U0VALKtgdQgu9XxARyfZ/dePlmzs/grJAXeyU3oTst6mwyVPeYeK6WyITMT9t6yj4fuVQglG9EIu
CQ8pvKSdcN8JzRrR2xXdMbYxrT6D9otYCWupjjL6RjktSSi0zPP1i6dTDbQ+aAqtpkvpA+VCOX8r
PFisdcEmPsCrBhlv4PIAK9/cguuE+smnhDtAchw/sP0gnqKnAes/ldaNulWv72srqFaLh4bEVRBQ
zpsnjBCrRViw+rGwHyQJP9p3cUaKo62NdxLcNqNEg5EMnuuPqkA5QOM9Mg59SS1cQNriwJjCVsLA
ZoLTqPvCoUzSxIEFPjsu/F+g5qvGh9haAYJpYhBVbZTIJX9/7bDMUNe78VL0NGaVgYOHjXdKHGy+
Vi5m815CGRBReV4dBi1/NwdB2sruJTa9OUDE74j3WpZI4O0pMkANbAm5H5GvoGocGYPKU4+YQgwd
iiNkzx1cGz+NhNHz86Vg0RjL/HRxrrpoGQi7yEXAUd3IQCVM9XafO4QVqk81sS/A4/Euqjw+A6VS
TVXybmZZbJWGwqWQ5W1SgJAtMdLwLKswUSVfEmJ+Kuei07sI62ReNxjDuu+oPaksGxGlHGwIboww
Uy9/UaiCbSNloQeJ3HFwxSREL6/THuhWgXxz+10pkWIta6kbqr4lkavs5Ec3sqswtKUBCe1G7EwX
wBI7Cyyi/kFatNgGAFdk30ZHC+bDwc4AsY7bujhc07h91/wewgzqX/poACA3Ho7zlXQPegRWudE0
ZfUXlWi1NvY5fEGfcQXsYw/3KDMQ2ox9XF+jMt0sfxqbYpHDdPHOiu/v6ywnZlUDRbOGFuBb/kay
8EWgt+KKlb5Mqk2xF4h6DtVW+ug0RhxILsXCVLRBGct+ScGibSXymf6J3poq4M01/niz2J1EW+QP
fsRkPFJbwPgUg2RY6/J6TLFcnzZ9AKWWhIRYmVlnfKOE2wAgxd+02LA22DggSdKZSGNjOvcotUii
H1cvDPLPCFZz24I9gpXwnlCYzy1IFx8eqqzY0+JDHaVppwqBX/PAxCufAcQ2MKxJl+hQv+gqy++e
qy5/usVvZJsznQ4At3i8cCfZGf/fnpf46NuszCn4rj+nKcQ1FZUqYevgPEl7idT+SqGs+Tmu7VC2
xGR6FamH+IFNqjaB9eiYe8P7k8ZFSnocTwvq+2N/DqtZ9h6qrb/UYuyNybaT1oLrjVd5pom39nxc
kKvsMruzv/hwKyeebe9eWbYYzca3pb+Z0o31w6sJygYJ+1wKpNN0dKkB5pqZWgCKshpETqUG+ymJ
XQu3SyUIq6Sgv4dBnfUjLmYq97jxEJ1rHVWQ5iOUnj9ECcYlC++JzR+SxEZRglbrUYMRqP0Nx7o5
qQWedhKqsPu4Kg0gEI0snCNo3pZrDWhgKuKco0UCox5mIKVCDGy7lX62nd6h4fRvdr7vqYwVM3qC
W/PvwpLTmP0C4u1FRDhPfoHLSMHnPKBZpvJJXdh+BBsjgDKtz+Ks8+C/qwE/NQr8K90tW7wKeQOa
znHhGmimjNxySVT7hfNbufAy7UXQKfAJq2AdppQZkzWwi3jnTTiGs2KufmBsJtK8+eC1NRAgxSUQ
K2I4bIUGeXOAqzN5V2nf8V6dYEB4FCNsuchqqHfHrN9EsXLE5YckTdpzs56f7oeacf+64RiXtnnP
RA/2R23wcWd1nab7JEjC5DC61XzD7q/r3gBTI4tVwnDcis8I/56MqCg12ppbdC22gGjlCzl2pDZB
i9KI8GOOmq5NDC4xC1jqZxKYLiaCm6nfPmihyCpefYdoKghGsWvQ31ZZQ+L3CLDqFSPgwXXUMjID
0yowKCFEmJxrabhBwLjXyRv6iowJJp64n+LSJy0XeOExPIWUPzX+IkC7l6mANRtScXFbEBUP03FZ
dJyCJYt6bXL0eibVNiMxyYr8zTWLrSBV2dpo1D/e0ziFvR6seS3HqEc5EUZ671U7/ylUmdP4wL9I
VteUJJjb3aBWof06n7Tq4tGIAYMBpYQFRGqPXiQPQGlzyARuSaMc1cNZsH/DYxTyVRQY4NOxIo0G
Vkg8pRDED+7YJmDrbPYmx4+IkIUV4OKgjVa5PoWzIsOIChRwy4qN4P636O3yXlNDh7PM+wy7r/pT
wQYGRBVRrcijF3bswKWXdrOWhLcwWykTVYcGu3yWmruZkg47Hl8H7Jrozlmj93U9aXsO1jDz3ms9
+Xbq98mDgZLFXOD3ARhpRYY7cCx+9wyt6OtIT25KLmcOSIEXvmUlRrgPtjmKkaE4EcdlHq6tek0L
DpcUI5a2uwlq8Gy9wfEAkHjgg0iGBybiscvX81W06pTKm+SOVkKgJokKNIR7joFvXKrLmvB/UC1U
P842hkC+2v3dMKQa+AyBVbFBDHSCG9+rt5+gCiRmoltbyvldvkCXmsAS40ezsE4uqcpMVa2QtECy
c8bSjVK9YzpB3yKgZgvhd8RuUN6RFnNYsAdZNs9HnSSqa73/WKvRhK7+cnB3grKpT9KgPURJciNl
80woZlDdmHqPZKad9TlvoVvSG6HEAxIDCw7vVRV+laLJhZ7iAuWwvczbPIIZ03qesedZPF8N/ro0
e2PnvgUFZx9nDX9+txv4XwD5kyU/I4QNurItYbfr9Ts/YW8YJmkjLfUT6ThwBC4YEjWWF3gTdTul
ATYuGZfyHREHrDzc451nb1gV30rzm/DbSQkbUfNYEeJEKnTxeaa1Fs+AYPWWfn61vEXCkO6CDUvu
67qaKsvjq0GfNXVukv8QrA34Jo/lba4mzOiveSmoj2KbsQlQPSAdw4hw/R46eWbJ4rp5gMEL0gML
dgdAF1iP2RC7YHbDOHn3KNrHQ7e6kcKEcm3+VFkwusfGu6VaPsvcg7ZxDLnQf7ZWZLunCpXQtRg2
BtQp8d1tt5mxFn5oZMOs2K6uwBs074+gIazYMWIl+RQy7KupjxpLC18rFCW9nESiztwBG+K/Yb7v
Q0Is8XOlSG8ErcHPpTBB/WBd4PChb+kDpE3keiNtjecvRdg6w/8dYw08x45SIpDoLiAPzQ3Fqfd6
k5D9OnwWnwI5cVpcFf3TwxqdAl8B4OTzXWT/DOaIiAXjLP/4fPwK8hCCwFIAHbsVpbQyQgq5Ehgn
tv3+uFmYPJO3zqoqbVjK+M0OmeH6iQF4zVHzh1p+gWQ5PKJu6oabsqYD1Lkhw5ekNA+jIbAV//0A
RlbvTFbZ75uuwZuP+0g02pyxz3VYbkfyRs4SQoaaekbbKxaoD4BqM4rU+3m8dF0UdyMEPse1yXCw
2jLeg0uRp7dPN/WILjbXCHBrHjZMRMf+QwX0LnWWNDlID4e4B51S0oifyy6apzvtxwXw5g7xTF8i
gqHsnAfDrAqd2NLF3pwrhXSKhdGkPg2zhes2p8PpI+0pdHGIB423Yj9iCXAcjyNPpzC+692xZh+T
Qn4/X6m8B3SaEXYa6ajBMD8V07LtpK8JcfXmf9k4p6iBmz3YP+fEftiZl8eqs9SBQYHWzDyYd1wW
2aV3TLFKJCZd1JLdZ1c53i0ABTNFOhKKLKAdijQxjKfHFyCgnIYJykHzC1qqfadHQDLeS4MKX/Cg
IyLIJbl/TKRB1/xurzyKIDg3z8ErLhTy3RQ2PWPo7C0E+bXq1o5RyLOHcbtPQGEgdBtHuLIisSlV
PuFBEhBxFokZT1qiS/ioxkjRru/QhbANzfmhpuqc//2YoRkcytiQJcyY3otIYDundsqHzDwi6rXf
x92lCtnWdhpqbmy4/mAvaVxp0VIiIhJFAHfQPQPrHTKrvTFliQBJ4vcZvRszIJ2r++V4Jo5oLoSX
7PklWEDXGhBg1Cx5UC+vrvDWH2kVEo8Nu0LmxVPzlpGBZxqBY1Y6+D36MzHIpAYoQa2qcBi7hl2x
ynLrPIKuZzJhimKL0m6z6xAHSo6VPvK7m7Zrq11sMEdLbQj12xYhz3Ih96vnb9wTdnLES1sdCosH
0ljPygPSETaTbjW+pFREOcCnrr5xzYv6qYV4RRyxVyOkXXUSvy52GC7RJKvrCxn9SpZiF4szDmxB
T+YY5jzd/QvotuF0BJfyl+bwTGdsIUaTsNXFcJCTKTRwbPyYVYcX7RM1obJuE1NxsHfhYuEazhQ1
3ZWBT/EQXnRn8dMHhyMC5mdmE5ygKqvWRSHo5UIm9q9V08FQ08pq5hgFPkwQnkwduo2LGBcOV7DL
Bm5l7+i652Xz2Siy4jivABRpUxlSsrzS0vg4LCySwXE+gHuJKJywPwLJbbmrANSNDiVK9E6908hw
qKUPCwu2QE/pW3oubkY5Qv22bmvx88G2OOW3pgiVFcQTRN3Yu/PYdTU4BmLSsMTdu/duq1OckHj3
2WeI+btkepbkxN8wEIJFf0tZT/tneOQ/WaB/1cvLKvX9AAgKYGmo8RQpzQwY+u6is2d8UYUOYkSv
sp1uDG7nQpQIVOc9jwojGwnRbBxWgwKygNUjfLmsTA+BOnOm6b+KZekB5LiNCQ+ck9OZwo+0dTAv
4Hy+UfKq5cF4YzQL9GOpR5D2a5cI9fwm4J0EbGPsjinVf8qrr+TtXltkaiApSYFdDxn6FGtp2vHI
x2AlqT/oNUHdT5K9ghMTP+y3MgUEIu0h6R+3JOTx4FsgKNVAgrhnmeNAUU7J7A8ujDOs16DZozfS
Hf/DXzK6QC+oZihGAmgOLE+cGBaZ+pplhl73uWocFTxpYby+H9RvFYhhGMmnNCdJWsRUyi4JBQKk
P30msYzDqBRJIgIB1wkM0WcI05L4dfM9n97vp45VNX0Qobz+PSIJ6r6vyZ+CZ79NQZDA51fjh+X8
T8L5rfLE5HX3XQoiOkiteYtCSh4C1dNGSTMDI7RCn1XzNrz9yWsCi8AxgRLYeLj8IRlT8zLUE7Rb
EXU5xe+sWqd0e8TxHGaJn6htgN9yDISTe5ijRqF9eRuDt/w+iWQ9DOYowqtEZIubn7r0AjRI9Qae
5W39X9Q7NdpjHdxUsJp4GRws+7fL+hCQz0uVsy+QY23XKAdkw86S95Q3oMwkarBvi59TlgRxyN5t
ocumXP7hmCvfus4IqNqHexOnSwR8dNQthh9kw96zI83xqfI1R/zlRB3QtcLfuVOXJOaWj4R6HlX3
iXN79Nu+JPuxU+kLZRirMrIL06HMaQFb30SiXI4kzFBKnLBcgFQT8NDgrHvPZqjVr7NB3Qpj+9f4
bCzrW940os/S/X4wM94+tlxbV53MphIgRkwA8QjG1xlmqfAg5CFFyYcOw0TukGSsoyzJzhkJvcI5
o8pNGrEEs8FWN/fzl8+WK5Ckt0HE2UhAulA+b79v7ckKYditgJtHf7zI9iAObCrYitzQPhMTdYxc
q3MEQQrHU73UM9N1IxRGLiZ751ECH1RCmP7EhlLr9VeYWigD7nNYOUnozjVAfRQlDDMjqgRV1YbR
Z2x2Qvs+YRmaFGO31JeDXeTZXJOqwJy314rKSMFayxlA4X3ZPerMhA5u59OSFC8ZN1vXbQp3VAw0
ZwBlae28rTOohXQsSPEwS0jvhLCcRfDKLiG+iN4KiZCw5th29DDag37Vo7VVzHO8i+ZY0kgGVRD5
cZSQOpLZstxZZ5CibJc20vPGljYMd2A3AScH5Sf2wc4OxPetJ276q/e6/RdzZym3Ua8VyQq+PC23
MGZ7DbLurcEmOYuPO5AHF8hCLWM0TFKYJWgWprHIEHZOV9pBJSLkvg5b/bwkdpHzt5J4+yzaTGnD
N6oH1B3GCZCYM4xfnzAkO//Z8VS4Ks6EGWOSJaP39NdIrvuyWkvYXPugtAZA5V2r82g9Kha/NeLT
1iUzn+f+Raavgo6fVFoUTBSdc1zSX1+8w2b1v3hzcyWbIZvDhrqz8xbrFsBuVaWryGWVyXcXgHEg
161s2zlOwgLDVNJsLCRYEmj9ohMdpoZuQR2vpFayM9izWCJR4d+94LFfiEOR+3B9Iw/Lj+B32GZZ
6qdVDzRBiPB+QCnuOLa/29JYnoY+3ch0+q5SKrED2mU0e9n4XwH2cKHHYeTdCu9oHj41I5yRk63g
Be/nMEfrUeQWbgL2rLbmp7Gw6l/cvSth4g3Cwex7A4LFkYD/I3xhwGoDSplibOjTAtk/9SHfGcxr
CMCXjnBnr1sJWnR9PB/PmbG/WAqx1OIi03UEauXWs6hc97k5MqAMLMTjf96YJl3J9or7nLciqU/l
10YUl3iIKA9K9MyHUhFSZ/WmzcbbCAmQi5vk1DTdKoTXNsR6KxqPYQK45vSIdusk0vuC58bb0qSV
zIcGDX3TF2gAdSyJjy0FN+zx8X7rdXWJSycPhNkB60Q1tZc5k3EedztAol7xDHNmhXhkea4znkzB
GQklKdbUMblx4rWOO7CN167obHB4UogkXoOobu+8mT+USguJTU09vR5nwG35qbJnQRUzz+2HX3aZ
GlK7YFwtLGOX4nmqw4WnrF84ot+20j8JHz4ggcmiJCXKOEPjbosbREMlD1Epwv2zNFy+figyzrBU
wa4Woq958aHJzKHIuzeS3whRmNrp3O3v5jaoU7jaPs7TcFtKvZa7mh0mtjBUe6JqEOy/wWs6WiXr
fV3HWpxYjQCW2n8zZBS8mS/pH98YpCk49XpzdvVSQp7pC7Si/Qj9EjuXnsyBUAUpUL/lLz6QixFF
h9dkj9fcV82cGe/fEkPPB24KbxgP4rNwjN/sy08qmyNNeaWYsTgmRcu7XfYd43zHAli2NeIMJR90
FAKfGffQ9lHPpizn26Td5nO+0sm6M7gi+AA5vpHurQRjzl4psSr5Jt2SvwIQY9Cte89uNsDncJcc
lml3+00zc9EAbcWfr2+e8ZheByFHQ4USkzOYjLjEyPNeAhmZBy15Z6+7MACPHTtwJh0zgACmHeRX
uFMdxD4m6rBxFdgno3FJ/SDnFK0f+iqygL9eB9yUhkYeg6nmRwmMgRO4ij2eGd/RAatfAk5bQIGT
6K6CyLtUhOr126Sfv7GyVg2h6pzgg0L/iEWJlgqrSM0KcPiNhmvXn56RYBGpGfOX8eDybyU81vA5
zjH1YgjwOvH47MnI9oK4r0NOwL5trsdk0Bxgn3DxwWWIxvp6dt2vejh5fqhj78GFRwHkQpaN0Ct5
0vx9sUfuPizPVm1XnEwLjn1oH/hxZGqyPz3zV3p6D9nJ++hrIGz3cjGgfMcJ7FPBzKYDEqY5yNoA
b6WWXFUl+TXJ+KhGrV4GFoPh15H8DKwoJl9wHkCLeod1HxLWi4wepR027Byo8e4jUbX/huXkFUcs
gxkfqUWuZqxJpFI2CjwapVDu65kmkNM/Aqj+HJdxFW6gjT10bZevkK/kQF5+4nYb80L54yy9JjzS
pK0nMJ90y7EpEUSCe98rBuBiZ65GNeoFd+Zp8UnSljdcjC6GTl3tMAIiPi6BfZEymTyjE+fyObyI
71O3KAWJTKgATiDjCIPI0ETJ8124ryo6NEHOz8H4X2TjKXwdwUZQWQjHjmDkEj4eJRLZ0/6padL+
lzuWY7UI3BpPVJD1IESLoc+ZfAjVWRvawXnAW3uuo+r4JpMaRBKRiLXo1OivN7rDxDlOLMtWNcLm
9BSABTrzbqFPZRWgs+eZkbbIvy2IIfE7rgXAK1UxVpUS0H9OnuyVneLzP1JSRyXG+rYkb6L/LmIx
A/hQgqSQjVYCvWlg83tQyI+KybVAqPlO6DPIfLufsZNNSXBpU7NtoliV9bRf8Yvgi+SUNGV7xvBU
zKEO9XWn4ruGKvt+UPvsj5mmxWOJPtIbyWavYCsMfwMSpvUGIQZNz+shfGwqUdVs1JAwEt7Vy/oa
ntmO7QnzZlttwRicFs19DWrdEmJuuZo3dtQpvRD9hDQJczPbddjDeMUErVxvlw8nN8yljFNu4O9e
r72itwDKBK1MkuTqk4hSDt1SI2QhT8iGVLEvgDxJNuwZYqsOg3Qkr/SfviHS1YcWTLyRbQFJ4uPG
c2OY6RyN4XlqP0kwLkzacVJDavxk86PtelhTVzgw+smYBfBuSlYGN+M/fjLBP8V1QmwsNx+wKoIj
n2c8bzIBHueg4ExzYGAqTZVsRWTIjJNebHFi/g3RyjiW0L0Pyr7iJalvxUU/ZKiU3Pc7u6Y4zdlM
poZq2eG7sBeW+VMHAb4XvssuP4JTz3Sp446+ODJENUMLqe3LoUQDZB7jr0xmNlufQWKNwnuEDtpp
hVKrt8fJ1i88caSRbowbK2LNG4BSaPm7M9LjeSW3jG8fW2Wb6UsvUcaA2SRAIk5cm1bqFvngocj3
5wSl4fmHGsW9FbUO57/KUt/pfQjED+V/0VweOuV6+hPgcWEC3TjCYTSLcC3dKh/1QPcy+2iyND6i
3lUr7p9n7bJtmIJSJVUQGQhF7DTthwaqlFwAjHM/i2C40GuTmB5DgQc1I5EtNygK0pEot/dhIK99
izz8JehFO9i6AokKCJYoRt71T9adW7+05aiY6ovr6coPTgmLr6uil40ilX5G7KwHDV+x7D5Axspy
kJff8D0mhrw892j5jDCrtblEmVhCzhpiWqSTx4lDR8HDGZ3DcklUwRHoSZ+yUmclwWHOu+zwe88X
Ien9yHFD45raHLkPNP8+FoiGMM/C5DnQtF7EpP4OLP13t/h1vlBQuy2h6Zj6ETnaeZqoR0xC1Nc8
i4b1KSzqzDTmfYolXm1CCy8OiiOCbGDML7CDPw+TeuhKZOq+7TI/CselPXviDrw/3AiV6CKEb5Fp
mlQ75JOXrGM3Y8PyFXFZyVfnQ09PurBXkL36xLSdfxOtgh+DaVGxw3FCuy+kdMBCxHXFpx8Sjct/
ynBnLVaaIg+J497B75mAKWfqMeEex4WcDC/hWun/0ArW8qoikASpI/H94huvGg9LoumzohM0z6d0
uEcYGYQ17DT/0fuarwRPpCf4wSLqExgET561VsgSfMLZi+/qrJpnT0kE4rgbIWTxmowSn4v8v+dX
yE5JCd4neQQmgmw6zqBj7soEgURf66cOJ5a7r2WaR54SWBtKMLBcNdwqvzNIZOaaOCVXwLapJbRQ
28xTwqkQ5iIQW9RTn/dzl2xHYnM0t0YwdhTYDM2gICg4pDH6vWE20WDD7MkKl27zq73DZqAy3HIc
mOYWUgjWx7tvvx1/GI70gVcywVIK1DTeoYzaNxtWWAf63PLqGeVahucs/w4e0xO8qxCBaFaG5j6f
JW98JPGks+c6HQz48nodflu1AGM3bIQd3OU0Vs2c25E7eDiNCWXUTxg35eFsNnxnMEzoN0Bff7Tc
t/7sBz9DgHrZvxFYRakudONso+8wapTcPCVzqLD/wTZ/PrZkJydzQrufpp6OWXzwefvBagXlfPbG
QVRCvlivsRMzwDwfxHZb4jd3E9CrQLAsFfzL0JZTCffLICoJeTiT6UvFMZ0RexX3b6p2pZ1wEpkF
Rh9qqGTJwhqrj5BaXYgaj4EWz/EqEQimxUpDrGSKvttPzFgYL4gQC96jt6dY8Y90vc/VK9wbQwdj
y5qyFoFoz3DghvidI7E62WrWprkcE7cslrkc/0f1AMoivhz8YJKVd6WKaEyNlG89ctu76mJdMukc
fK/ACRUJN/KJzNfUgY5Bq8el8tndwqw9iBJehy+AEqvicNR3HVCpFZpFtY2hZwMP+tgBDr9WAUzh
m0D36/GtH3NaT6PRgk0Nr/KYWAoM9hKscz9gD34r/3N+7SNV8Ul+QTSu644ZVkWVIQpVjwsekKDM
zkNI9Z2HlaTYbnnqeRmKmejtBl5rRUKsiXWjR3w8GiU37TkQZbRJT9Kw055mLe85ixOUDaXecSwp
qGUjF5jbQ6ttM2xncDGfK+xwzi6XKitJVx1lzDhN5gDa+REqeEWjipwtTpZ55hNnjENnHjaH3tX+
zHWNAmo3hBEG4g0RZuA1c5r65KvIaHiE0MnYyB+H2GkDAqhAjVH3dctJTC7NW58Gkkv9PD0RLC5i
4q5MPxUXvnr2FmQg9w30VKGyXy3bgXoJp6KSFCd+TVKnJq6+xjBn0oyTWSusuBFQue/jDqQWTHf4
zUxZfk8uRpvzmS21GlMRcZJnLm5/EcrX5fTYPs/2YIe7vzvcovmxsggbutHxSFuf7iDAn1FFyLl2
5OPnY1iM4JT2x+pzBDCqZ7dm/gXFUNgGHhnJyVMQCNS2KAbXxu7ZQhxm3SVf94P0cOHGdmo961W0
Soi7UQ1VyirQFgCmESNZ9LjbZy0znt7tl42yTBM2omXgsSEuebJLKA7lle6gIDgiNsARC6PDo6bY
vOKFEliI1Zs/4JHbXgCdfZV6zEMJ1UmUehpcnoYRdtA9I8+Qf3A4/MIE9PazMoNDJQdOdUxW+OML
RKsorGbndsnB2dc7Fx1JPMDy2QX/yBHf/lEfzF9fSHUAe8WP4jSiwclHfN2+fJbonFD6A8R9dwXR
s+olYJD+S6rd86IdZBBzgUdawDBjDpTKvUpu9G+3Bxcd5C7crdDIUIEtdddg8EOR1nbTCSSub4Sx
FR68CmgbJNXSuPoIL/GqCRyLyVsEanPNv+C/Cnm1Xdi0jW6MNQxrQRLmS16juEonoD4dWYuMWWAk
lvFTVeSO3Einp+04ar/S9Qy1aQ0OaX7YW3sRCESZ9ek4GIxxYNpQiiQntDrkV0ng18Q4xehXM66m
4atXw1QqkZmpBc8tAqVwdkBkkumKL4/sG/7wvdYq+9CFRtT+yOGaH/XsFZ6dDqyBHu2DhqtM/40K
2plXfdRt61xWL9nkMCMcxHJw0nyP5oD7Ixq5KphG88t+u+xHi1gwY1QBFa6zXWNgEFDEnN4CCe/0
5pAivqXCRgUuCiue36YRAQKD/d6BGb3aWy9/XfPLrO5bs6OQY5RWOJkDW92Mpe+FTRT1+UkGg/+U
Rm+ol2i/wSOkWpojStgcctRHqSWJmQLFJS61GJxD0BgHrumZivDeOh1+anhw1gJIgEPea+84d1a7
IJ3NWBxF9cBHlHnDdkBGwV7D5lFT4YEPp2DjxlUWhwF8XJiG3D54illAMwmPWhHyHesau3ZQMay7
FIn4DQrTyUG97QYHYIwGBeJ4sqAPodlLqnQs8jprQyBqfWgbt29IkK7soEBSNh+jrNxlQh6Xn4WH
AFdsAuS5kQmgXKrYRSRp3TRVapSQ6xwoZ4QDpcXg+6AonXSe9F/ZQ1tPwdPSvrgyWJAWKhPridVz
07G7olQSRlOFkVRraWn43L/8S5LhhromSoLhG/8T9kAkRw68COOsqHj7xlsPpxNvkY8MVbUWSK4i
mG2+NhAPCdGk+pb2kRSEacKbDo7Rivl2xTnftHv+i4tjypozGLGs/7t+XRUg6z1C7FcG1df9mnEL
c4yXMT6P6zp2fC5Fm0q4ZadwNqcPIAO5TKyFFlJ6UuKxcOORze2Dnf77X0uoWIaHU4F4fTJc4HK/
dy80pLxxHbCYF0r6ncifp4jmm+q3gOfLcDKchiNTZwuwWCtDGitU3NThxx4Pm/pzKeEIaS7wAbI0
Rx6nZPD5RQybt0CgS4PasTZCYrqq45cQQqnwhsqnLuZQ0IuTyxVSb2Yh0gpa6BPc9/bDk5qVca4+
4UwLkimIrby03S1LLr+0KT7fglmHZ6GEzvdQYmOl8mftPkVnGIIPGoSLuTGmDCJ6q3nV3fnvKrmm
2ibu/DTrOoadrV6BXok+iKLsgKBdnIRdAS15zjO7dCAuFk22o1UqrNei7dszs2jweoIK5hId8X/p
7Yl+4Ll5k6GVMyqWugd3zMjG1qFiJNncLySksQDB5gmD1rpRSQMpsapWBiJcEIyo+dymXomCw76H
AP1PMTvwAF5F828Aze07VV9DhPYh8C+y3Sly2j5LbFjsbPcCpEW6kDcZZDmNCqJstE1Tq01TlKaX
yZNoMHIzua6OhkeEA0CaDl7FBDIFOEFpeIeBkjkKAAMYDq6of92AVs6pJ931quQM3AVTOIQf6pjl
CtCazUzB4P6iTwMScSRinro5w0JpFDF6+C/2C/kzQhrWNSoGlG45kU/OLZT41zBmg7PMsD43+851
T6D/f3ttnKt5yF/liEbCnjXIEsdvbuf6fYetBW/PqrpqBZO81EJ8sO8uEqwhGzavI6pedWNrC/bG
emupSF/4XpJm9DK1FuWiWJ0PV+YtBNPBDAqy3H1LTj0UNQqADJQQxyshZIDqRsVe5BDzo9UUNVNi
wrpRsEAe/PKjjDY5pDFr2FrHVH/YNtC3FcPMHuFI25Co5fxI39rNc+Rvaz6gXIm46yGMLtYaWOxX
dKZ7LBP/3utFRP5eOI9APyedEzx0JjNH13wh9S7NBIb/1z8s9a/yycrZ6L72Fju91m9BPcjyhVEw
5w8qqCl4TZKWGQoykuDeUUn/Gx7fZ0Ol+Ib4rAaXwY5V/YG7fu62Cb6ee6o5psIKpivJ0AzoYjr0
E3w6QSF9aXzndz3UAi0W/AOvEhfmW8FLUydBEiLr8aq0vcpYHsQDvxJClKT1DoAqJrcRGoR/79g9
Je4TNOWEZwpL0Fp1Ot/OAKqHLYGxmn22UwU2IJXLZyaMEqOwkJvZr43+lHlRIAKS1nBqF2iptwZ4
Od+gx2l7XZmQfoMd1JHvunnitK9rekrl3EU1X4CZNMLc+WEQ6SApzFm6h1msJovGL0LmEe2piHWU
zhsJdG/XXwsexx2/77/s/axgrcz0RB1HnhT/5FF0VC3EGtXYJnZDkcC3rAhwptKUOTA1kqRmU9Xn
IjVAfKY+Na1VBEbEXOLd1cshfSuWx8A/jICuk2QEm1ZRpPHLY6xQmu7hWF4F+RUfVatSOTBGxje4
46xumZYihNmoYzTZucivdc3YKtNnF1auzE9KnxHcrhJ9HIo/KTXPC/9cbS3lpRb/SKG497zL9fHj
op3bY8LP5SbQUt5wVhPkIxHgIZvCyEkkvTFW4OXYP71HJUQlUh91H7VMTK8wwwW16hsutqTsR7bz
SLxGEiyV9r2XDB9JN48q97Abkk9MVRMVtF3A/wINKSgIn3uWuEjPX9M/kxxuqQXs6mp+XKO1behw
TZC+ZtoC8TA8wUqLeM8Gh3vReLpA42PSMQUfg/3NzWGrcFLn8DRwxgUzDH3AafoxfZDsBL99ikvY
Vdz9agSGpzXPgtIDfCmx5Y+4NVHfImQHulQtFBwwky54T7R6FPvKaxhRXB4DzJahlDatRtdYuHQk
xU37XOIBW+BSnVeNYiLCU523nvRW7Oe3om9EitP2A0O3FNd3OWlg2hbIyOJ0MysgHzK/8jk5X89r
sOXBd/9PxwVIqNmTZ1xczWZ98iKDaI5d6bqE6QYijSSs/F7jLFNvTqp9uEHrN+8i/S1yOtflJSrY
/6M07XRSvn2tNj4EY4OohTXjUv/YIN2yXAN2aNIqIJtorOAu23bqIRweT7XRLLCWBAv3FwIVGumI
WjoE+8BlSMkwb5OV15u22YisTGBm31gxCEbHrZh3SLRh5PYIL3fiG9uW7Gzrl3k7gi3vvDLUkbXk
DEHySoem5HD1msT59K8hLcp0FFhiPnjVH1YdG9VOTBnjSjHiKx38oJTrgWUU9z17jFwnU+Pp3QWQ
UqiRx5LLrUjON/DbJSuvWDQ+MasKHri4Oig3HKR+Rjup3Ds8BkgOR+v3itbFQr+EfprCtTmJqgkr
BttLNukXwFxRrNwGO78g1xHgM5uHaOl2lkp2zwqr4/bKzYzV/AHghkVLKdhxm0JbTiNToV0F2k/Z
oXz18P+7SB4yyQWXNKGnnaWEFO2fy/OVxktkzckduicjwFCSrCJVzFiIbkOkZIKgRk/8uouA8DbU
84PwvWzD97ZPNfhYZWBXvxMDtYP4APsR+9Tdz8KfIk8naOIya2SyPMStx2ZnozC3rX9jfyBd8+lO
ifjI0qF6AoqRAu+b9WCvllEuxeDfeNjHsFOsHjo5aG83eJvmOGiE8iGSRRlQbtRXbsOvlh/agUEj
w/iRm80dodP1mXuITmYM/ho8u8M2EpmC64yoxoDqW/zd3oDUU7cb3dn+yKv2CawcwmBmrTWWJFp9
1/qBeoCvqb2eCFLCP12l2dAgI++O16dOt7GfUR/0fjW+4lN535027KRtIju6n7CCc8r6qTuZT8iJ
AIqadjCkUgrmNX79K6XynB1MaP2bVALpXHZG5M0gAJDPxw9La9GSvNNjLdXMnlQtZZiJ04IZWaPg
t6A1iGysNBQbOOLOl0m9v17q5x0GZWR4QTK2UtJU2H/49Lt8ySFpyrsspd0ZtT6Ah0QR8Zzyrqjp
3WZIGKj1gSoQZC0HFnHRUH7SyLXhrzK7JAIWkTDMwaDg2f4HgMXW9fJHgizGzwUO8PYFfaaBBWzQ
Pm0lmhFlYqkZC7vKgk2njgJDn/0ZauwW1TX8FGSJqghMJYwwzhsZJ81HBHcaV9mGmWOHmg5nkxZe
MeLhJJwOUxQoz1z0Z9lH5KdGYOWuYfGL7z4Cm3c0pU7Mmw2IRRvT5If6yEpD9bn+O7+LYUwFzHia
wtPtN4x1tqIUiEpS6XuoJVN9PeNqFKbZbWDXlpx049zMT70wFbRZhQKoHL8EOZxYIDCYTqutmjq9
uE7bfd9acNQ0XRjP5Ust/LjQkVTNmXztmouY7P23DCPdtH+92K42aGrvf6N6lXr17vWrNN9rU+vL
GJp0MZLd3xOxzQbq9Xr3ttos4QfQFrDeVO8B7ybXfX3dX0lpugd+ZC6tTxAE8IoEkS0T6am26HKd
owltxGmklw7tkzCY1Zq8OwdJnjq/9WyzFjXdEPeeZQ7reLdd3pso0s0wNe2dIeq3KWxfd4JVQpPu
DtTVkRVO9MJ0efh3rtG0acmO6zzsQvKCLK1S4VtTt8fss77/xOhCfhcVfvPwM+pI72g1y6ayWW2v
pg7yxgZOCaDMdiTuQ80RIu6AshyIwzyuLLuMX/h9fISnpqFzN26I0eyFrpVrAtDoyNDl+BW0Vyrp
oWuPPtOTc6t3hvBRLqbNeVj11t/RSWgwPSdq48ZGs5X3tzcHGrzxJ2tc98YRBfKKqHRLgDG1ItLS
gyatzLD4obV/qo4cqJmCaDN4obEt4jLxynKCxdihUCqfQKxreYgx1lH2Cc7dNhM96uYizuEY3Vkz
qoWb44Uwd6ZZxNlB7/jb//BUahx7EG6YZJYHOltB0YF/1uOTXkg/CxEjaHe5XjVC2IsHUX4hV5Up
QDOtFAVplyECZ7VJPwdAFyjLUOcqRzhFNd4KZeKYpdf+1TrjxbI56Qpq2FZys7rZxz/kFX0wA9vX
p2j+FLAX2sYkr02GqRxo25Ge1L3R239AyUg+cPY2aezaKtxtNW8wP6+A7YjBLvYNEVzVEBWAtpl4
SrmfEx6efx4UGSnrm5PM9e/F4Oa3O4bdLPOMs3mPak+xgx12FlX/OkOs5gpFbfGhIZ4VQmWPGSmv
f1vSYewt6j8Bz0YTZQg5JDpr3O7m3ZpbuCrduuBY/oIc4NhDUxJM54GpvUt9UR6LQg6aFn+EYJiR
WaMjkzwhW3w2Mvq+kJ0ohSHlVjXVTVhOgFYSc+jwKZ+1DezhFmE6enECni+Av2fL3TzrTJyCetIq
dHqTpz30eWoiSxIGLU+QkWTpWgUxTaWZ4OX5ZnQ17TWpj9REcmFtHSoMVQYMpQa0xzG18s3qSAjF
jcF1tN3PrARBcnPCNAlAN5K/P/OnscLZWDU8i5YcNbmtn7b54knhUBWiN3Ie9j8DZ0ndUPk1wpNM
W4hWMDYtaE1QKF2K7DW5Os4Bq+XJ8HyaWjaEVdG1VoW8ek4ee6bF55PbKZ11KBkp0yU6p3dVLEgP
+CFA8RuSy1qXjbFz4wjHvmz/0dWCoXjhF/NYHgl+DW8MJ1F652mWG2WYq3O40xgmEDt6LiWRBQy0
fDiYMF5swSKKglQHAEzhH14TFMoZOuo8997FzJOftvEYOVAqFpY3aK+E5Vm+iu3/Xx7aeTZdh4lS
dKINAflTG3WAz0jJ0stKdIzDi9ZRZSPnnX4/rRMREkymzckXknl3kQrGmZ90RqfFUKYHA61RRm5b
Mmot4tHFYI6ov+YgNi3d5h/F/1je6Q5qVN8Gl15ymSad2BDNOnCJ4WenMvAioZQoODCJuX5mppV/
z2wdaMceyz8/Ikv38rVFqpROeurGiJTshQQX6Gy3bUdAsrAuynXSxtJv8bewevD0tzGTZtgo3qqw
RtNpE3wIPZ8QSyVoBxKw7mnC90OVsN35QmoVih+jKEMkFV3hGoabXyojkUWKu1wGnDyfb9Tv6E/G
D0nJkA9pH7TKySgLTze/JrSKJdQLABedEaK5DKs6Rf1Q/kCi607mQQ0H29li6zwvb5CW5ZWN4Xu/
K6JfUc9E41gfJeOx/X05aSuobTrV61WgIFgK0YHrV0aytit/3BM33nfp7atd9jc09fbOW0l+qGyU
zZfoip/JOHLDaVpBOpSQFl8Spnu7mLCGGL6Ylhi3YX/Xgt+L7n+EJp3FNYaY4N43U8mc91QQO2vy
ATYbRqybff6Bx5QBHo8eFJkeg8kf8MSzZ60EbJnBitZzjfmFGeZVcUr8NUJlRz88eTJ3ld1WUa7+
IrjBpZhzsy4WiQ3Pp9Qu8DUoKepBDQ+LGR1xO4C2xRcmYfPMAIVe7e2iKnIXgYpE/Goybm2mSQ6L
4buiOoNWAh/w608bJD5k/SDJ0npLNAH7F2zgrTKfc+aVjkbx8gh2OmaF4VnEsja/YAhO0xmsgP0n
SxBf/9E4Y9qnfYzbh8WQMQJSafOe09g+1piS2UtSfR7QZdNeh1ciUkEqjjnnpQaxCAAU+z/V4eZN
Klce01VELqHS6T2Y9xEK//22seqtjksb25sUWiUYuCHJvejed2hbsS0VS0oXfiuZigL4FS9aWjw2
/D2Xky/lC1NLV0JnjOiubPGiyYTRwJ3t6Rnyo48ibsd5vGRHAv9YZlm9iBlvUGZbSLwiXzw5GHxT
4z6aVCTqY3+TPdQfiDcuOm/0mFfaVxdNYx7VSJvCuDX+E+Qqvmcg17wG+Z4UbL7ej8TfZNmiaHLm
BYssBdimXArRtuA6spD9Ju5eiQ8hmxP8k48A9C0NavhlooW51A6aoPWidFT0q1C5tkX/wHbC4FXQ
9kL4QxkfnxhL2yv0jnDvpAU6dJEfRALxCkS6iVa99ncgHHcE3kEKPfQDQlrotqbIZOiiEQ4Ecc9K
52H9Guyhk+gV6R+vjETgHhar5yB0hSNVsmz9WEzad7kxa0Xe06hPTfXeIICJLLjRImDHSqv8Gp4F
4G2ajChMb07DtrF7cetPg6rGIjqBfjVpuJwA52TnrQJnsJorgqISe1ld0HIyh0qQSm11+dl1uAyG
zsSDQJepol+kZtgRYx/x6EsmjerpmCDxVp5fOX8zs3OE5qLRp89DtDmjAoYq2GAPr7dQ+5/U9rMB
fkYSbbRlVleu0oMyZznCT/5VgKCjZoIKtY1732JQbdZNktoRlWAQo0T7kdreFY8ltCMzTRqq+Ce9
KPHtj5EBdyf/MO1oRMRpEj3N5TpmJwFCFTKU1DBBorUxr/7ls/o8V2EX9rjJVozFNRrKzg9RQHHx
xfeVYz0d9hOptMgzXGn1yzn+8WWSn9N63RgsdqbxLEZUe7HysN3Bxn8RIP0BaAFKWGow8wdCWMWm
AqUOqBf7+JK/GW6KfKosJCBQVIUU/yS6mYtx33U8FEJAHXIAb6l4E0Ou8xA7I2xdf1xdyQ71bJ34
ePiKfTMFQYnIUU95+VUZQq6fgTZK7RvpwxkL02F2tGS9oGBBewDr2orf/isJVakiGqgQDUCc5X7y
5cTppCp5cKRctcyIMig+WDLDNnGNuxbg4Cju76IBsW3WHqjQQIz2RWw/ljg31VatHRsYyA71hF5H
5IgZfYiDzLQgY4PgSUXuXSANLQT2hX7mCjbNUrqQ1DcC3wDDLdfq8mQcQbvF+lnqGuqK6Y4/JX+/
P8klcHxNkIzr1u0ss/wTy5ymZBNsNuTdCMJM3ujBhU9kWPR9nin1HdEnv2CKWNGzSh0UEzIneLzo
GYvhqvfM5zs7BIyXwTwDh5n5EXyCdcJPp/nGRIjTLq+GaI6JWYJ9UDQF+rM0kMixDVAHVMOfbTQV
EK6BaW5kO5tvoPeulRudJBs1Cw0BMAVawyJ7mZ7VFDjfcI76+SO6vfDlLTaM12paE7D5YWpx0gd+
2GU//JJPlIUfTQsF/hett9kXNMkNTVysUuUrbfkrAPF8F+VUPvgAM8C4xX31ofyrwTbI1qi9XKbc
hNohv7lohtxSajbeXg4ezCfW1fTX0zaCnlRIONSh8oYNVA95P156ZRCaru05xWs0dqbMwZ5FTCAJ
U0D2fu1fAVTc29CJyShp8bffQcFVow4cdGQ7qVvSYiHQR/m4I9x5VsqVp89PMrEszXvssSHTfGTX
ImUgR3uZhcZsEib4JOwz50TKDzvhDG9Hc4dzYUL2dMg6+ECB8jzB+Xt6K7nmpLLZeVGBvq7/O+eR
BLg/bjIUKWdXQqpX9ItqgopYxEmO+52yscdKg6m0qvhnKYjfp8vaNgIHXcVXo3TaPgYCS6OlO3ch
jUIJblcjmjY4ntVfLfpKNiGs3o3aCxz9c7hyNv1RXlJCvc0TKn5DO1LZQhypKL4M7t2d4lJY7nOi
hrhrZwJz0uPKGTr8O2hQUUako7QAqrJ5wSIktsExj/JfbsYpzDY5TYqBfEUzKCzTmWFvhkVp+7MS
6uK8H8pW9tQh4B5fWq09qeV2KTaU9d9Sp2flBzEs7m25Yet/A9LrIHYHpb3C8nTkEHjcbKZ1BHK3
XnqMLyARuuhPwEsh7cMT4twBj7xlMGshzsTbMUgl9wDnw5l4Jiuzb8tlQtwTXjC0GyCX0Zu2D1yD
PqQOsb2kfozzkJ7blVG/q/UGa4hBwowBlAgrDv+ctXk/zptVZ2nM3cvp2JThGSZntBzQXlEZ/yiI
zeicXLei98y0IM+vns9Tp5XU8IvVJBz7bfplLImm2SlGQi75GKhFl8DTqqxRjI5kfh9+IVk+1DcY
FKhCajPDnxAEYo0kCskbLS7EqfPBM/+QYJ5MHddJ0SzIv0i79cFCDfdr7Pm6ZQzjo5+qedlQ0fMC
nqFaq1K4aEfFwrTpVy+7GQ6vsw43OHnOA65ibStiC6jIHB+Z4jcnWRbJPZZK3lnhAcCQCIk0wDGD
yIJL7o/dgso4R7gBG5BcWZSc5ROzT3pBQ9PsABaW5nP2X2WoxHskTLN3EBaUE4MANbmozrBNE6+l
l53234ZHqEiA4Lbn5bYZWjpLc6yZ5q+x3yE5kksrGHkx+UkqSVh6ZytNFfS/Ug0Hyo6G6R7VuU1H
NRiJkyJ8N7qxiVo/taJoTo4PrvaU2yFxU5l3TxOPj9IEf+juqLq1taGBVtCYkqz2bCgFHXXWzbCD
I5uk/NWfGqxEczjctgSZ4Frtm0aoCO0Ok1qPOaYLYSEyPFCSdCN5Lwm1FRQJ2A/xXpzJRm+gNJQ1
tZhfzAepOXQC5rbu1Mpk6gYXo11LDtAsTS8mvL4iWNobKbrWy2fNGUqItsyXp2q/JOrFnB+Rd3nU
psGpd+Mctfz49pPPsyy33zS/39A5zoN0CDB10X+8MrN0wciwrICNJ3mulwz8fExjGNDjJKvgkl9d
54eG6t4xmLzKnZAgatyh8Yu/Dvza5GthIsr8zZ/W1CCaXLdT0SFarcPqLaZfbWrUr3VwgydSYFc2
2w5iRLWwKvhLyJcqTwyFmCMRQ/AEuK+F3pd9kG11OkfM+4/J33Fy89V/t4xYz/nFwHo3IUw304rB
a3b3eaSaPPQyFBwyo+vRCKDRDFZV+RcJ/eqoPxjvEoo+/YjuQRQNyOyp0UNV9bdcP2T215qzAVYC
kozscuwEn2xLTaveZAm1dMqpwx+vOVwD2ZLArcf31imA++04hDIovVxc1Mhzoho5TX195/QNy3CS
FOtWxFEj1z/JtA32ZK1cyhWIB9SFydWVa+Rl1qq/KYrE1nGNGFtZNUawTcd+n3ixFaj9CR2CIGz5
tKybj3F5p3ktXVuq0U6M1MxEb1zJRIf4qZLMdeSVxOtGRdbfLKbHygPXCUchKZz9L5TfLpn+UhtY
X3l/8f7Zuj8fMAsJiL/Y6PUxD6lcIqrJDC/rLDK9CikzPPjauJLrlWEPdj2C1io9a0a4h3G8mP7O
6QMFoDVfU2NVkyz9lQZ/ec6nE5FHt55z0AyVYLV0f6kpXnwG0A+rPFlpVJrm3gohAyJBGZozUG2s
Fs9eGo0mv3vZlxpoUg5f1WC8u2B9TTdnaxHrNXsgLMMYrM1CdzlclWEHioNBFJIXwMWe5nS70qB2
3I/0Q6mjY7331jtBb/pDOnM87uol1X0gGTRCNsE3Gr233OMnC8A464NO5S2UsebMv/xnj7w7N/eD
eX6FfNi2HbwtDebCpzf35FFq27hITBxqSLSUan4XSS75g4sCBdW8CL7MIAic82w+YkEVeKTvsns7
KWbtQlF10XJFlgRfZ/cmwqJXK+70SGIRH2XnYS362uQNe/eBco2ZGKiTBsRlmKx1uHc8Bvto5Wdg
6BtFxLsEm2K0fE1Le5Sgul4FK0Yune22rnLrBs2oADkewdZ/nnpdtvIke9FF7jeHeIlRIBMOw5KK
0h0nhF5amaowmqJ/hrB0rtqs60fTo9JMXJALAanokhIfnhqgGKdq0tMMvTKA9H2g3keEqvgniPcT
WX9cDLX+wEDtrWCY0nTs61GM0ENZLQYA/WsY+DWPb8E4zOixREvoJmNafpplodl8WhC6kOj2I+Q9
vmXA1PUfMDgRr856CXIYbFqhZYXiiw8BZn7woHU0Lwyyr7IPusqKojDnWIZAglbPXGugzeUzNI9D
HtfaP1TjEggkQiVDYExqhUgV9pHhHaoEr8vpI7X6FhKVHtAq4BY4h7kFuDs4j0m6t3si7rl1SnHP
OGpqyVePc3hXcHOpCeCixkNNbW3NwJjjsrOlsoT1hHl+BSmkyhmx2/OL3/wt2+rYAVD8ZkMpSn9d
DdlbKfutxopVnE/6nZI0t88UuoYVcvBSf4kkjZhHkLBfhWIOqwbEtSQpPHr4mjE/j2zXvWRBI+d9
q24SEbq8TjZnNwUp8WWFqwr5cjd+PbqYL1lTimCjfwlRp+04esQLONRyNykP0ccKFno+z+4J3KKg
KTYnorj8o20R7l1BaXvd2T/5ircFEcwrSzaH+MOm2/TjCI8Pxkr+QLjWe+NaouGyPDENc8JhQUI/
qe6j2RCsIiEuIoX/UL+lVevSo7RGNf6LkcI4nQxf2myi8T0VaKb9yGZhnH4WaLA6WGSqS/asUq8L
DJmOKIOYrD5vRWXjFO5MQS9F2F0Koqebjc4PRXfGYDg2VzBvNyHFcniuzYX16Q1OIK45Rl9DJoh3
wvbvEZRXd2iVh+OcBOdAAFtpga9xkeXJNPzs6IOAM6YTEuwNDuDAG5y9jwH3XTipuuy3MghgQGRE
4vefy/p3taCe9wCx4ZMpKB5Q2mId2s0d2uGUh6jAchCgBj9xVbte0I8+HhNsDpyEPZ15YSOd/P1v
Hyq3rOhyxB4KANNY+anaopvoz3n80swMwtIVQyS67TqZPSrHguxyLEEUwo38uPxrvYLLqfOsQsy8
DLK861ZOWYQ6W4zqAD+E1h84//LxkZgRY6m7xATaJWL3346WdqRfWP1Vy1diuN7J+xtJ6dNhkp0t
UBwJ6CHO8MCv9nrU/2z86RVL53fst+slCQfEDw81oTWbBqfmNFOISqZfX4a/NojbjKdXXi1qxVhr
Apq0BuD5J0EGQEeRDJiWtTGHDOfPBroiMc7uVYqTZ3+qzJlRq1Dgr28OFVgBayvPKNWpCPW2md2r
ESAJWdJ2WFq05mw79PdVgPAOPUoFTDqrQWzRE19hK+lI92/jPnq+lwa2jzXr1a8RZZdpptl0sTKf
Szk5FSD21d+qubcJohqhyzTaIKNHlQF5r8ar5PIS1VUTZFshc/zBvP1eQgETGtY01izUD2JlcnnK
xlPtCRshPF4Qz1wyXafDNOdjN1fcqvXWvnvipg7N31zcohlJ3uGxyxa9Ekap94aRQbIMXf+l2JRB
kAYaMvv2/YoIwCNOq2spqopSXD/QIVMGzohf/VXm+NompRDx5hvoJjwxhdZcy9Hzk4zvKMM8CWos
hCd6Vmq7o59GnY6KbY7Ste70mMJGML7R9aKtFxbFFM5Gja+aU6CpqKpbkPVHW6DhuVKG6n5kRdMa
woOmwGw9va3YjhH2bFSUCzD4ZNAYAiHcGK1n/Mes8qZzirOTT2qBmf4eIqUFNVmsIzHpf6EY17m0
rrU52JOOo0GtzhxuN5mD2Y9DSMzZbdGjT8sIAiXXFiHgjlTENuGz2pdHtRtZRswOwkXdQyZoReyU
oki0CsqXlR/kzPkFGFAfJMpVHvTYqS2D4ZcPtQUJQVWSyiWpV8U4whJQAduKvfGzFcYjSvu++9zB
ape7Qg0o4ux3Q1RUsjnv//6uQ9uVmIc2pq13u5XvJDanHZKCoJTv/cRqpWF/2PuZAmBenvu6c4lU
rZiZBD2PWaVnFqhSDBK2L/0wAdRXLBxsJPSOAMKZBZD0P8B8V7Ogi7n7eemHvsnY2VX2QNTAx1ZU
518SbSxlGG7pCMaw1NpnMO89reqHwqxzwxCmxENkyiQNkS/ezb3jyYa7CPIraOWEoKi7MwnzBPOu
XPVNJ/uvRDOT4Wud8gAioMKz9vPWbmtaGw9m0yd2A+K7i7ImoX1SPjkUwvw80sIMBwyDpXWmlErM
RNJ06m5Z7FvpkNxY9vb4vypf/eYSaz45BT+7yQIytHNvXgSw3aKAtlWV3erUYVeBqHNddcguuiC5
6WS4RGm67q4VDKpPYXN5E0DaecDo0g3d1g/pxgDGapMdJgrah8Fu7X6hSJwHzj7q+HYv6L9Qo3pT
2XT+7QNIW8OnKEeNAcAFVhk+4Xuqa/4lbfFHhRsuI/OeUSLoeZrcK09Um36sAH1Hnv582TbiKyf2
GLze4uXaqrkXCtzvpW8Y8opYcHqSUB/vsV7G/0QUt1MY5lWbvI7YRbQ7wBmfIhCCek0ybyw51E54
0U5afJNbR0uHUF/ZfNsiJ9saaUXb+OXUr8CSsVN2jI5KqGYAnkwZ1QQAi4qrJezBBiE23HLSZT9n
DgCrShu2ngi8/4ZHsBKm0PwPiBHNNxunglPk1TfFXrNHMsTqVVoxNX0ltKQ4qBwFi7mAOLIIEKkV
tm6hXCcn1dLEMQnxe0XGNUmTcFgSB0Hnu1aidH2wesI+TJq56Eh4LYXypu1SyHK/WsQfG+btOzXG
ulGR9PdLFx7L5xIWkCFjHJGBvIo1nX4CeK741bb0VhC9dSdDt+1FzuZibIFvlBRl2OWQ/h1jLfy5
mncF/+jhXWaLhQAnSjicE7vpepP6LrZUXdmaz2Urk9j7xtHCq5Odj/kyDEh2xL12bAwH7a/eYsjd
RFEMhju/T9hjVhdp+ubwM5CO+HgGRM+Nl/u2vvgnRs2svjnODCiZ+NmSK11BMpUscgv22pD4R5sc
5IwqoUUfYZ/odUI+Vts3stRSvVxIbXC0+zLKK9atRFq4hJe0axk4eMsdZokZnCpogZmOQD8fUSED
gOR8mNyTp3u/i67+31PMYMmc9KE6WepvMUm7KloYL7V3y0WCbT9OOrDPUGi6ItcopA1MEy50JIXB
gnMLPpItUcdutfcOtDZdOTNMINBCazf9CinrCu1BPOVJ1BPa0PxLVJ50uMET0qQ+7ntTzriD3dcf
ITtY3zAJZnxUBfbUW85hDdIND4h81tXKTlQZu4cY4vce0FI/ncqAGUQshnGGX84gPKF8CIX98Uak
5qQJ0VNGp/M91iLR/uIlWYFLQAiA/8wRVf7e/DHHSIAtUvKDxrqQyOXbGipIXx7Z/1ryKlT0c2o3
ydDG6dmWaBmqRQPBbYJ/jNVP5Ps8gkL4vFp+HlmAD9y6lriXipEOP7bO3GitoDQbRgoyQvZz7AMO
EA8jGu1JQRBwkZHdenw7Eycjbc4dGAlKZhHf+zapnB0+Vcg4vkyyy4OKFZjRGy3ccvoRlLdAOLfy
CY+px0AP+iOKWJ5wbHYdr5pdBbc16Jz7ykgau0KXyq1FrEVS4oVLdMuuA3vc4FST0D0h1gRPFtuc
SkQjc8tqiCw+lIsy2eXZXSOq0P29rTv40e7GdH/Yy8WVRYoEqcsCSMqZeu51wQoeBOAQouMOYgDZ
Br4voCIKBxVcgKto+R+mNcSpqoR9Z9RINVxZ5NaZEDFhxZGwq0g3EtUAWoow4aNyiEEN57lmBTON
dqWcg0rYYtNxakRHbAYzMdOkDdl194E+kMJz4OT15Gh6vzLAs0aGZNov8ynQNvaFoW11rhMrN2q9
j8Gk01FN6H4zmWGt2vjQiStTSl+Y2BQyasm58IMVBZCF994OuweUufQjUQ7XXsOM5EQLbLUtoSKW
bVtBck50U5YwcEXEMiEnk4WHC2FwvKBQSxWvs5BsgTIgkc4VfwfyVuh3tpyYAkeR8tqn8eJf7MuE
PMusaKln2Okm1h0reAn5c5LghPX1xl917NDOl93SsfGVX1J0pAosQ4QnsKoSsbzNuXBZxEYBhY0L
NHJaw0yEmcA6eGO44hit4b+WqHfN3d0aWaU2HbpbhVhWJjdKgPYN4wM7GlZiKscYXHcMKOgtAj/y
p/iF2wrEDWZDDkAGU+GkZiDTqnvG2Dxj7ruTnobPSofv49qDaDQooU2Rdnia6Y/igm4NCiOevSHo
s/127+B3UMJsteNVBBt3BYuXHuTeOtzx9nzzoj1mOEWW/F+Pml9OITsv/cOQuXzUYaHnMVlOFiiU
c+g5bXQ3ZVvESkXfZnS7FUGbzIbK9K110B8RHWoK40DHwNTNl16LQfhqCrNQWkDAtjZnEb64miZ1
lqaZeYfAaTJg7snyK1DEx1PGhDMK+q6gG8tBbVcTcgiaihvQt7sapZGiQ8pXb2F0Z1n3ZXSLhga8
4AtPZhzaWopakF3ZAUwqykDc3DQQqyRYzIpy4OjCuqCijwOmfFI56c3i0foVJ5o8P+HEMR5lfm7d
apHgdw6zx4uNn9LALmRBLaPe+CZUBc2yVXF6Dp799beZ3zTlN55261WRaWxNoYmREnc5m49xJmSa
svs8vkfy+JZpFKmf+CypRbThUCfTSweVmnA8g9x3MjrRCEfe2bFuOgQxNB/wHrf5GhObeMpPT/lV
a98D1umuqg5x7IxMJA92Gvzsa/+KwtM/7O24bpt66UDeMKTYEV8fwLgbuqBQMadt3Xk8m0ROFatJ
IjUAkqaraEILQZQryJBZTmd23USyIOaZmHQ2RuDGwWDOM1ZyGhqKUpdmFIg3jhz9IN5VERgURhQJ
uBnR7yMMVjpqozGydeTFIWm7DHJRYdhu5R4AP6A+pWNbG42P1duvYULgtB7vB2atKhC3rEDF8rbL
c+m+70YD7CalkBvbrcZJccY5g5IvhamUew2EJLg2sPWG3m23P7UrRNch7tW3fQMFsDKWfbp+O00d
Kg2O98P8T7T2x0pNC53+G5eMtJQeGO1ZQP/wFHXFWsbEvXHsLT6AfnCPcStIAC604YV2lgSeeNBR
jCRn8X18nW0qFsEaLseRBMhqRLNXkuHWJ066CRSuGSQ/CrC5jsDvbbOceka3fipNUoJawg4WK34w
26itEdd3xDwZjb59Y0Sh8HsdDGQZMRnARBAMREMa8BkxiLW7hQL8+we/jsskx0qm0H1uTkgj/ixR
hJt05B6SpJQj5lzMTPUVWC2d/fGBqMURn7K56g3CQBfLEZ53eRGbzNDRE3/zHQO8emKPxEkBaTbt
c6OPWN+XATROqEu0YKOrn0P3XnKDbQJ2f557OXf28dafbfGCIjOEMLakwHMHfKoT14oTGWoVwP0f
lTIiRiQBJwuLMcxLhYiqDHiwkbFHsQLNX99ry3apZwx6yQBv+fZllWSDqMHdvWqtRq+8Gqer/Lmb
SonwqfYRDEoxDCZENttQa9dLMyDeulHju3OeSSLwVMFWsy6ldPJueDpzfqPGz8q+r44nrbl3stgn
BFteHmb8iGMvHPo6uw9Hh5t+vTztzmLVBVgxAAICqhxrncHLlfkmgrhdGejb2ijqeNGL3wd5j+SA
5YdYmgPcZPkBg5/saPKG8XrWu02tQp/KLqo0lB6PiYbFdXo2ysJAOuBIhjSn1phEAkPovXnDqV5m
HQnh8/Nb6rtdYgRNgjrsSXlGglamZVqzJS1qmqG5xVte7EfX/ikTBZNkzJEQjWjF9DkbGBGB5zOb
lK8FIRj3cjNr6lj1u0vijvSpmaj/bdhVBy2FhQN3C8g0xe150Q978gyHXf9nFto+RxTuo5bOjnp1
+RHWxWunDmBZk3FpDkzQiUa3y+SvbrIl9dj4cjG/doQybiHR8MLct+Z+foPxoFPCQcDuU1DJ876Z
4q7gBqShEub3WyOTerKuZK9k1Q72BM5wn9q7/i+pZ5Vh4jCJc3J65xpG69OmBQCVNgzhjuRBAL8Y
+E+ZAFBpBQRY0UAvLI6oY4AGRml2TR7GmAx4C/2s9HNskLLCh48VEN7vdpKxZSI27c1Ry9oODkJo
SB11survX9U7z6BYeoBUNMSLcpe0gWyCTOFxW/r3d3PhBw/Y4NXYWIs2YjmtiLshr06Olpw2j0vN
DPIRWMfjDb+ChMxEmUnjFEGBYugPmEMnRk5giPFCyTBGmRZtwEvXWYrgU1HV4CCGbZVMilMg73L4
BKgBcoQ5Lxtfs+LZAMQ9WnssvIY4skcqYBYUKX0yL9xUi6tKpm14NIrJgF6Bn2Qf4phS/DJhBQG/
yCnQDa0ns8LMlTiJCRP3C0XNlbL9qheKW99Nn94OZZq+PCeyztE0kv4gHyxrUZpr5oqXtb7CysJo
BOJ8aoemst2acYl25TErQKS1qcVkJx8hgTNuZsRF3b73+Mp4A2oxuJHnmntmbcZzwD/BvpCJ4Rwv
LSTLnlxATy2HiBX8mnJlUo3Yrs1PTVA15C+aH8RLN3g66UutKOTxwixrNqSDxRpq7/tg/fN7uevi
e3X0dXVv9V8AXhoN5gI8HinofCYleB/Z2oIfdjbU93QEirydmpYl7rXHenKxbAyQsEc7UyebnRna
Eout09m4yurossYLeY+pBnYV5VbSGbrqotYZ+7jMXTdwVa5uvgJQwPwNMAdCW7L6M6+obmMwKgw7
hRdlwHPw/T0ws5xLkwV2hk13Od2SiWgzqcr2uSSiOjpsrJObFrz1u1fCfVuHrEVw1daLkE4MDZKn
rLWo5e25dlQ8FY0+FwLu8UzPJBADD9ZTVp3XD4vrYKMfz3TgL0fsDutTqRLckQ0WVItwL61MCv4o
C33k8VZuzf5S+0q9CqnelgcQRITscThJpyCIT41R2jVhRaYXWMF2Po0IsXVhxXEA5QTg7SvgTjAI
rS9FX1XwQbueSBjq7jgkcRYUAJXEumn6Djq4wSP/MuYT4TPqy274zM9Rb7/BMI5H8Ge38OD3FzYs
wPeUOHIs/6RInX9Y9GAFzvPOhU60HXkEhN4JW7sRoEP7KFtkXmfjzDzv+b1UFKbWtpmh27ZhJI3d
qWY975V3Of6RdG0lu9r8eMM6blUB08KwYd4QkDARMu9fcq0q5v7f3MrnB8yHQQ2W2GkEgwtGuI7M
t3mNw1fl1hAOnwYKwrpxvlvM5KrEd+G9uWDPnM5ngbo03yQloWF1g//ak2KT2l1THN0dAfABRt4t
oqu+LgwMTk4ObavqKZj5S1IDBooB90IoHNyCOyq9ULfNhtS8ZGkC58UPpINsoRJLXoINLZJz4mYd
26UqkXk29TcF55/Dl1+xNgVxgppp3WWLbuL/Ykp3sUAl8HimiSJA0DG/B0PkSuGSkWd4cmU+MvMb
KaTcqnPcY2Lfe9ZD2+Tm3W9YCJKw83kK2yhzMD1rzxaFla9W/IYImnCuwhGAsQ3daJrtNltvtSC4
apFFPOdyCIsqxD5aCSnktp5DlQLf6dQ6aizFUHGsoaqtrU+x4T+s11CMSU03jnKjdeA3mBSu5l19
+zvl6jjO4sALl5o/tWHdiTixd6rMKUwag4J2gaXKphCefG4FaDDDcgTO0bhvwBVRryf/PoYb0gEz
/qHZys4J+iHnLOS2DqWH75sBPF8uZxlwhMzkUtZDB/Il5tZ3u9uRth/UhFF9lItrO0lAgDzeoij/
WMcr0GACRhm+BoXq7mMEc3FkNT67nIloq/9FbLBthQG+zEsidvkT4zxEex00Q3h16+YWu7DdoBgK
KioPwxq1FzcmmaVfbPAHDzzctT103MUMVOFuMsw/w+YhW3qDB8pntFmyPoRrRdwIDxy9XZto8Ny2
fz58DQXQWtlo1VBo9drz7Yat1HgfT/6FD0V79XlFIUMvr1LU/cqX3pSOlmOJwkijNw0A6hYIp8JR
10tYnSgcf9WWg2bNAS3pXH43swvd4NEJSl7PjGHR3VAlXRSIEHzPNcXP8QKZHjuohju/Nsq0hDUe
q6dQEHZ4MzoSnDPjSG5qYFwRfRc2qsiCPaQFLSCo0rLi81wTJMtq7NwsVJL+JmHZlKzvPiERqUCp
AkISM32bfLUk7yPBf9RHa0jTEmxA0CRiggSX82rHFsx6UmQmG8XFGyrQyYAgxSTyKitqlIP14hdI
B8qDFmUX29Ws8LkjregGRgUd7wdsTpATsIE8ZtwLs2ER0SuBDDWXMQhUpaBGGS3R3qYI2qPc+S98
1dqiejStb7hE9FcHAV07RfHn8+E48NsgpTsy3urIozHpo0c2ZxZQxyrvme0Ahr4VmAB/KlAAHsa8
fdrEcPajRx0ovnouPalk2hftAJo1EJKpdLtlvKCO9j+2v033wqVYzhOOaa0lyGIzCzk8qEz6fK1d
Sl+bBaFd28rcwkZy0nBF09xFhpij6zpqk1SoQhJ8uO7C9VHQAIAB3diTsm/0JCLCydK3Gsm2CCXJ
OjNvQSo50zOuyNECyLpPOS442tnL40m/eVxbw8lv3BDDB6RYyKVSJi+DgwRus4tq6zYBCt4RgFo/
sPTK8HePfvnVXr19Y0nhLrUvvaV13O3W04QwiBaR28tg07GEt6CQChptLCezVOhSIgMpwWWYSZhM
NdtxMBbbjF7pTk2U4yKWr6fW29fftE74AG0kPQz+vI5P9PaFXSzLibiarLsVnGSx6gTQXUaGC2aM
RQ4+XmYWquD8h8jcYRyVkERCch9RmOQBwEUjrxd5mM0QDYiuuTTKyH8gjg/gqHfl0OXUrF9N5gcx
EVNPP3dk7zMX8v2rdxUZq2JM3U8AnovONOUqtWN3JJriUMgb1dml0SEnXqYQUP4lMEpDJdqgF2Hv
lDBa5ubp2tRmMPKZpP2NehTUVE/tAHQ9Gt8cakJRNTf25/QVSulrwIyRyQgC6rQ+mLANwSHF36lB
0UAplpF6RYpC0a3k2RbhN/A4adWip3++1H5F0JF2mCQXugu3PwzJa8/AvZ116iYb4RNnnpUzJICC
Qn9MIO93WDCnWNM/LEzCHkRJ/hXzoQc/+9pjGJX07JhkdTR3CIsVHFjl4F6Nl6QCaLocBk/7hN5G
DQbpq2rUg984Hqpu943q1UL4fwPs+KhrPo8kAPu6Z5rec662vFSS+4GPY68ROAqlvjmNCveUYdqW
Ykp8lFi2XgyBx5Z1WDubMXICdPhCPNxEoIt3lfaBA63IV5WFtjfDlC00PhpPOhspUlShbDG2Rbl2
nRjD0cDH0+7NOts5+lXECVqgtEjEn3rNNb9u5gyeUGfcS5GA7kU4kB5w8KojWWF4C98hMfNxQtXp
KdpQpXdVgwYdExzMaN4kFXGnePpBQR+eu192gpq5OZr93r7bGlPTkCdj4LyytNC69W8sK20U7kSI
1+TQCoK0Hj6rRG6A0/ErECWKNcdRN9mCMuEhQvmXG8gnE8w9QN7PZYnOYOJBXJf/zF2Qj8cLZ6WH
nsNZjzUWa0M2QUP1tmqEJAPF8dPkzmpkW27f7Fj5NwQzy8hZWHACJS4Kd4bQKYIlFGfm3RUjaRV+
KVsrK7Lwf/ZfeGeDIBGVc+PR02sRb8AdxduCZKiMwuhOoojV1Rj+4ht/7kZdSPkabXGe7GnsFASK
IRwcYVV1t0BH97U+A3n+aWZjb3DxxD7/6kNZijp7aXBMx8Ot28hoAMs3uVTiaOyiFZ6DWPa2m7sV
JAthAN9J7TRl7q4XfQVatajfJMYZN6KqN4u2MuhZr5smZITTPUk5wpaDuv2pOxc7EXjx2QZxJ85B
QYfP1qHjqCDPfUvO2r1O6vOpLb+0D2S8KQ2VIAEqZ4+2kt/9qQUtg8lmomgIDfKG5FaUwuKrc8KO
stZZlTv6dtjin8KXLdwl/bnW+setXTtgfoR38KqbbenO3C84zk+e3cvRtokFXbwYK1449I82Hcjp
cPwG1UcPslo8zS9dBy0NhRXNqmzMdjZ1FXM3T+q3dhDzy1uDFFeK9kg3rAv6DphiyzZXHwmYAgRY
bvlb5i1C/oNsUb7lR5C73ftmo+m0H81GvnmdEtH7x3TlwLrgChV7Xn6VP4uq7UBpZHE1zA2H3RCw
qDnPq02m8BcCs+Ysp0ADseUlJcUdKJ5sUU07KJ+DvK0lkGQVBbo/KWgPoysmX13WCseL0IoYtRQ9
TL+G8dqvV8kh2j0q/ejPBBWn3p4qHNAYPhfQyhAc/3ajmKgXBM6dM/0g/jlVTpnoZhuyHcTaYlW2
HR786z42rIgQt4U7t3cb325sqsWSD/NvYvskM3InRy0iodAyUkdSilH6m6BtF1WVMI/bcykvHCNG
bNLJCFItX1PBY0gT+YkdshgspL+OvtZMyMSGBTQ86ORL73LvzK9Dmlt887n//uuDba8jrQOOzFvA
NbMPKDOQyuGADLQsjMlcMQwaM8iFm1n8rOWVCBYuxGc6WppGvGcY4KfZVbDWM8PClUDBmClpUmJk
uddWxh0r2KUPDsHYxr2bH22/9abpkeCahWoN/+Im6ZLiDI8DRTs3X/mWcGE0ivEdrak/SxJp+SBG
VehDE368Cw4Q3dTofUZlu89l+o33NrHgk5W+5Uh+qcqk4B+epiYGdTTW3k45YwJPWUmzh/A2CRPO
3EBB68EjesJYdJqU6LSkecnEPP7dwDtN/yRNDdKXsEF4wFd7my/EFNBS6D3KKa9PEgR/7T3gMpH4
79WuZ+KFyOODlF0oiWcUWk2+hrG+GvB0nKK2LgWx7lnZyinUXM0Jq3Plejda0E+YEkPdwe5j3IKc
m0efQNwBn7uNQCPq28Us1wz+SKUj1ZCuv86Mdl/LfQ+6zmHHUN5b/cIb6LXn4I6j1fmbzAUKvWpK
/vFJwqauBgFXIwKmP7nHIafOduVjrScC5/gSEBrWklD0W1fczEjUnXGA2gmXcohKTC1l16qcZpla
wEd6+dffLMC1eFctPdPjud3cG0KE25us60OMHGaKp5NNFp89D2ikckbXbr6F/R214uQkpDtke2Wr
ATTbCJK0BGoLsR95O5BkpuFyH1AFNSoi79MIqwWvEcXnoU7VlHfdavxu4ikvhaXhEeUqGwZbyAc1
Z0D3Q24cMz0M74FlXg8tRcAEzMvkfh+j9AVzmPWeZNnBRifvYzjJ2WI/JaEEYNkW1HQUcr0PIhVv
GxkNeap9XUJlS5mhM0Y9CrPDM06PIJ2ESTGFdfkeASqxn2NmOc/o+oPPtUmb+5glwlJQwepseGO9
iUg+JDO/0vKVL7MPCMtJhtPazHHbzQgARK7FY3Hadl8OfZdq1+IA9dOFCC0m9/219GWBmqVtwtJh
trq8SLgnVRXpkP2xJpQ0rJfPTi6gmt/ikVfzJXWikdvdkbJD3jmJNQR2kqfKXNqkOKWm9gczIfFP
K2860QNtgLTYr65CGK9l6YxAonkkfdvz9JoLjJGTgEC/p2cTaj9mhbDVrhzWGsY4chogaip3magB
lgyTylSJ8OfDL/5fCZ+Pmde0W1NP8emIArTse59JLEco/24kk490lTKCbv/EzdhGmk4l5OMH3eBO
LZMBd3gKjhPiWMb9+mDfK6QEz+tfR6vkbfB9tnuEyFNiwUcLqP8tMip8DMv5aaOObDxA4+0zu6a3
Fs6vle6l7VLKOrDoNbHlNS7aFp7w5I7w/L4KWf8v2xEMGtmnaJPI16TG+JvTfr2t2F9VJQXe7dNn
YXSuh0sT+d+SIDt8wDSMKP78UzXoE7G14wD5Q83aLXLk2G7MYVuEpkJvt2s5uWsiVB4q9zNXoptH
PWeAipBPfkppRW4gU7VrWM6nj6gDh3s4V8Ourmb0ERmN3dVoTRuQIiMIKBh57jfmQCt2NaJCbI4l
bWdNLV33rSb5Ha8qGRU+iE2R+BmuDcAIUm3prnuu8VLuOsjEwQEyw5Hgfs65WRNledPNEfgkN6GN
LeF1KZRY30UYmXqdheBEEblFcRTIktMRnfwKgoaILH6F4pmvfouYUUvLz0+sNZ3hjquXZNMC9vWq
1DfsFcC8qqIDk8LJbn5TYj04geX/rDbvdWQ45enJG2wrmoD5EYI5Z3m/1jOMzLeFheh3VpJ4SMat
p8+PCsVRUFAGduMTCHFXLo28PRVJIsaeD1/MmbeRQAWNNg8aecQoVqjX8lTRjSv/ADR79Xbm92KV
LDQeNP1DrWUokW9JCqXmJb0YF+t+wFlFGeyk3G+czIKgeFKMvBZRfjfaWlPfLCEH2KZ9dcnkhD01
k+9p1i2nKH3CN9JYah8GieTC0GqGq1S+u4e3m9zh13AGQQOMvroq0UKq1vsV+XsScGaH3iUc5qux
ck5/ErJKTMzNJspHY5vqjy5M1kIDw4eSp0u+bWmIvaH1koQtNDPv5ovUyB3OAeY9BrsXeXSL39P0
bAl6zqJzgOL/n2XylzuH16lcZEAdLlVMqYL9/25Y0y4wYlhGQiuRXiJKD9f0JEb5yrAPZiAYsJWD
vv9AwXVjerIrK/rJcyE7TE+X1Drnyno5aCpFRVZKz3Dta565q/PMQr45PbKgqeF4GzkS/cuYZ2Im
APFinfmBwcwyWHtJPedvF2SCsftNXeKLge/KIu8fhUWeZujxyMxHFWUu4F8TACq28q6LuexMiAMa
nSBSwNQdqyWEbAr+z/ANe7Q6zNx08xFfcq2WruSPIsCaPl7IxrvS0/A+KEWeYowIVbY/bok7uNkW
FzpLA+e95f/hucuJjYJwYtPh70KkCnLSCw5i4OYauaiU51QW2Hj3lLlNNRmZxGP9OKSRGt6grfmD
8EHhJXGQehZ9NM3pzFnQCfH2R4gmqy7MAf05I4cIbQa7gnItKm8bTL5s0u3peZavAKo3jYCjVkKG
TgZ3WZqAyWe/Av+e9/ktmuJP6jR4D4zHtthPqbyPF6V3uoJJmD7iQAe7pVCNzgeJcWOHMN7OZynG
+NhkViaI97kh4yRRO+oxLFh26XFCqbFt3l9dW/1A68yA0ZB73BotVOO6i0QSgTKm/FFvgE8v4vw3
pWeKgoPO2Cgs/AqOzvUxmRmAVTaihsGXMVjYIaDaCV/4JsuRi41CqMKO9KexshVcunvEftWiNhBF
rRgf8aT55e3EJ8dJKiszjgHIsur1Gb52ljRl/SOEoGn9O8FBQkcK9kufF5ywPXwh+rpoDnhj0OQQ
cLbKvXzhYLYuZopG1yuCU1s+vRzVzi9nMSdPuF54rtyJaWnQKbxLO27kFV4T5YuZ2pWjI+du6V6F
BWi4p0kabYLdBD99e4qYNS2EfTcIFIcY4i2mlcTXDWlEpQJYj+UsLyoeRjNdzT/SJmOFMuYUd+J3
+cW5qoPuQ8QL+J9wu57FAVN4YJJdcRSsLfZFLAFHoHoWy4G9ZGs5kNdberTcSOVcEvTX52FFDgWC
4axinx4eUWysyK7jp6OJ5HgXozPsZAYexSRjxeZAC5GhskAAA1FA74ftO3bGPyJBQCra32RfAazq
Tg6lI90lnsbNEqsMQZfNEJORoJtOngja6aQYHxy41/ijGcaXcGqZbsKkLpOc5hc32QXWAeXsKSA4
OtHXuOCD6tGYJ9e3knQ++364opB5mfiL905S9Ku3+7KT0YrN0ZC+zhSt1ujzJq2hCw0YUaiZj8zA
CKw8GU5JFSNlbX4632am+uUJX0gzVD5Wy8gdvtzOSBfn8D4q7z2HUaFWuxb1xvEziS2eb6XTFGEX
HbyU184CTr3ZJTqaBs1GjNK8knuc/bI8XduMISnB4vKZ0yH3pXbmJg7+rukFAOJEoHmD2iaySxdy
Oce7VCtCImpRR6O6P4TDim4JQabFpvOVnGk6SnPD6oZ5JTggivwtO0cWVPWVbI/GplOEqHHcoWbM
GuDmKhNEOiYjRNcRj/nJCqQ8Gc8OKqonTIbrrynRmTjFzvNoTkFoKcHbu1KaknKcDOubKyP/YMbJ
NPw0caqRQ6GkyNO2m2WY1lNRKv2gVf36FxOd0/uWtrT2cANhNSRZGr3d9hDozVIH6yOS2Q89cpYk
kqHj0954BDgteF4XhD95/juXTYy+EYuxa5y24gFn7yRoZHLMuynp3PVrtvSJHvvY91ZOk/6crc3p
xdNgAC39vN/7p2TOM0w3BBpyjT8oz2YBYoiACLJkqnD6Aj5Csg9ZTuKHm8mniIMrYqpicKWBxst3
f9jD/jE9wBznyk+j1CddkKEtobgZeOuHTseeYu5hMaKVp4iaP9X0/AhKFZecPMA0EwHAHV8fBfWh
wNHDm0pmURoyYTs4+Ct+mgrudpb0JGLf1ZT1I2MKs5BnXe22C9qIPKOXpwoI5UlbumOoWNtkPBwd
K5jhtWrbWTO8H55u2ZX+gmAnjUFyFedFqBE8OFD1FD7dHmrpijGJ6Knu6gG0w1xR9VTjkX9ktwX8
p9izt9mvpNmgMkojA9OOdys98GtOMuwjydwjcYav0yi4j0rdtiJEzq8KiXppCoxUWEzoDmDZ7fEw
vK9+jfHqLKKCwPKXQ4N04pTte5edEpCS8LYf6i7sB8gIb/xpeGR6aGFKkdv2LvSgsN7kj765a+OL
o+UUGe1f3NpNmEMTaDS0b/cVPHmps01KhkTC8wY0xrE8fOiV6nSM0XXoNI01ytzS7g3LH2fDpGxx
7Bt0o6zI3pTDRsPbNlA0wShbLJAunewr898BLRWmvMBm+3fJqVPcyMUsiJEoHldUPoTFP8WoKF8z
Os0OdIWldTgI6XMH/mhymtfjTWqgsvCFlnRjwdWGmSCtrWd2o+0ngx8Ta3XvxlFeOZ7mjWFxaa5o
pCQM/XGizsz4rTuom+Fzo8Qk4slWltSA9V/hdNvCtZWryJbZ6xmKFxsSDcI8xzCKtTiPvaISRats
ZEN6n6DFtcQqWe6CGDGY6pWzJyI+WskUBWY0wXxQU195Hl7Pejy64ydGUqZ6zpfPsnzycd0tvQYA
ANE2/v9LkFlKl8Tx7JPsnYjtClqQBFYUHIwo40xruSk+yHpygiz2s9eUFE+V/ftQI9TBoibS2cmr
eaIncrI23NVeRlIbaYJUUy/IxZg5XyNEzlMPWUufZzG73jdeqXECxHYdGvbGsRJZw/f4gXWqyAKI
RJfIMYsJLZuISUmDU8f6OTIlvtzqS762ar0CuAwnggkVQB10NRN6dD/wKSfzFFAgncm2EQWiTtFZ
LNRMX0+kqqbcdkrASYCpeON0bdGbQtuNTTUmG30zRXJ0LvYmb2tyZD9x578OrTBJVDK1jWalHeUJ
ZQywEaaosVMobVVKCZoLo7/RPxTml71mjrnexAXB8YViOjsZBSidUE7cDePPjlnVov4ilXcJaEbQ
8Im4W+2UVnI82DXY6twlIQU4aaq+NuE5Qh1BtwIGLqz8RpbHVsoFEvQV5uW0N8vrAh89VPKQtPTc
C667V/93g2vg8ZpuL1Pa411VlJ9mchyvMdOB7A5pObb1G7smtNrJzDC9CgBge9wF9dQaaOtQBPwZ
BL7aQgtEZMtrwxqWlQC3wiwM9NZRb1osbpCKzK9Mcwz55WhvvLKwIYrNgwfU41PT2fAglMQFlCwJ
fT0n4KYiwC/6JHlm59cfs9jGG/87N6wdyztOEGZtutLzuJH0c1k4A87RziDAJO7XyE50m32RmxB8
wBurrdUlhy+SBR1dIwnIFqF/9EvI6FhTpcRGuvLDt2uvcrV/AdK/cl41vTCl3mQMoUgnofdrm3Un
fkordZxwhcstLtCKWXZ4IVv/be7+Sav39Yyojm9RqE2LQZtuCcsHf3Ag9wA6IQoBuuxp5+oPzm4d
ZZbng5J9j1FdtNp1HC2u69mJDopNfu6UsFLAORItrIyjJfxu5xsWZ39MDgeKjYTM53hL89RqqtCz
lGQfA39f66AdmJwhoaJUhscvqV5ZrH30du3fQWofbjChMDEeXngtx5EnJ5mW16VPwCtCkJW44+Us
/OAMm0cMGZgFb66HWtEO5fTIQgShKmJifs7TvujsHi8oqsn5r0L9Fm3Fg2ZsCR+SCQqSZh+wRiVK
7M7Apix2uV/G9jaQdLsbQCWjQtHC7hUrE9hDtfAIlP8hqhEs3rJzY5vx7g1ghi8H5UONlcZWS0Hz
odB9JWdlOf3Sm8Dtiua25EB9A7LZxKmVmVhO6iMRJSHgbg3VaYxk3fBADb4lHdNrqaDAS4PjcPHY
E+6oh/4Xr7KsXhFsYF47h52/I17hwpWBbFnYXoTjfoaDEhXA5cQ+xXmLHwtm9OYQr79R1Q3yRrjD
n6fV/V/mSWQAcYVzOqmNVIAnPdNe6UbwlcuE2n9AvMqDVMPNbhnfi9FtZbrFE6wlDFDGRwKSO/KG
CNZiLoEn9l5BYrbC21YKeqMA69o9C8thV1rLcbCLD712uHkxe+yGiTgGLV5NZwBlnurLz9EysR0b
U/Vmi9ezJ8/ctHeECMt5/0uFCuz8CkedE/UYGylZzRulym95GJ14nGGpKfNrPVAPpmpftuuzF4VK
gCACvQX37wRbe4PRLFyava9hw7lNApUTDFzTkPrfu46BqXFvehQ7pFTw81pCekcVAg/l4Ah2EflM
srcXNSt1ApWbQlTUsqwuutIsVRZL4olJV2eU46zwbdfNStvTNUC/NhXxdmKhwzW2XvbXRS4RQnsl
Xgnxs8sThVNi6k5V3p6djQKNKqZjgiW//qaUC0fZDSpp5cF+7/GU1auDwZ6ZAt42pcvBhyx1Y9Me
IC4uSpZZOkfhzoPpmFJIEkHVaOpQNjiT/fP/TheXYRMrm1HRjRab9h4dS/g0UtDScfQKC7+VvaO8
AuBCb/c8m16l1oYIW4DmhrNiaDSOVfKvwjVuJYQS0Yjwqnnye9E249qeuvmUavvsKXnrkQU3vOcf
/TzZnoKCvkujz7EkZ2knzu+y5z+BweYvJRGI2VKVaIcagj9M4uZ1ygM09+Ae5mT8S8oZ1guX/j7m
qR/YH+BvY8uUntRXFgbVeIW1n6EHwrOY4DNKIMPNXxva+rPE0YZHwRsFMnrt01JqHYK2xn9W5Fkt
xuE3qmUQ0MFiAVUzLZubFnNrZ5Z1Kb77arSsfzJPcOYL+jfJa+pxC5t17h0n1y9ev3D/e2fpuTyD
/8JkAFpjpW92RrSbseGXhNxNDHXWjTquGW9VTaZV2WEZe62biZfUy11PAC3RBNKjgHHKhdLohrqq
btdfa/AldDh8sa3QUGJA0nYkCp3CjBvTqBorK7zTIz7dJYfnVi+NXo5lRx1GhZFrY5fkzPI69qei
Xw8rXLswnp2YqQ5uIiyWXCUT8OMHmkFhLqkelkSehwNn6mOgFyyuKxARnJi9OHhKwuctW5XNx15e
PQT2TxZY+sshe3+hsCoNI21L7vm5aaVbk1ALsBQqH2b5vCxsbMij5+dSdzFFD7d/DeG0GZh6Xhvc
7P6pBaASa+54DtQl5lNm2ZNNo3F8WaAjf0osMKa9MUFo6LAHR3JoXkr/T+LRjEFVjCoNkMZikgLZ
3ByZFN4D1SuscTWUybAlUY9CodE97Eg/PXwKxCxoARwZZSgpJtd1MtzxdbpXOGjhzo4pbHUOEUzg
DrLYsV0D+ddF9N7YdNpiwCtQai9yoDaR6rkwuK9pNV9c4nSlP3A2E89o41LdyVWcNaHQ2K8Aer9Q
PKGW1L/o7RxV45rbsDOCL8Oh8UYaBTb5E4UWYXZa4afLWy+R7HtwTyZtgoGwM9RzMLHkz1m3EMiL
vN0gT8D40GJ4aiR+pr8uEn5GLLHXNFYsp95qd6ZFd72p964ZttPKbPvZj1LdiuqDCOuK4B2cK+BK
OxFVId7rg0I/AP+RywsLumE2cZu/Aldp0XUvDm6irmGFEt7mjaTCjIgLEuMvOB8kQ7S+T3QuKMuC
DUDVBHii7/EvZHQkRQh8xn4WDrWKrZ9nTyOPVfxTi9EAZjF50UvB1PSDzaMEJJgoitO+htvh/gVe
nZaeqUvI84vsotb93Cht9PlR6Oy3Q9Pcy5lmcNW1tukK4qi/pMyudLgy0yo8tncmwxyE0KgwGR/3
D104+LX8R967fVS2Ne0KxzS2n0cIhFRD6ul4ew2EtjcaTQopjpFI9zJ3k/m0wa/JNfWkmrdbx2Wi
jauU4ew/usO4WncHpLANCCkE9nrRd1rbeIfA97XjF7dkIQHiQxZ64DZuSyj3bLrjMNp3Gv3eS3F3
4iVbJIC4PND+jVYAzzV12NJzPVk7TpjuWFbX0Pq0QIzEp/EQF6rlomcoOJ/Gwb7TKjPws/jD/9KY
wYnAWtrMQA2sC3hsJz3Hb+vxOhvTPq54xHWfQ7PXNsYa47l33twSMQSn0YhmOmbasECFrtB/+9Fh
iZeD+umatthYqhFd1DsCWe3TGmo7F0toUr+M/TUEUDOJZxBSaTIuBykIJyilgbsZO34pc2MYg7ht
8OaifRy9tN7I4UtTKgWj6kx5hEHt7+cg1ElaNqa/buUC2YWTjEYWMmOinSW1/Rf7s3yYgdWLBhfa
Bez1YKK3d0fx36Lnt+EitZU3egXZPv85Jsk0PN7Y83+qBJWeen02dQ0W6piAMX4+o4rVB0vgmRsJ
gF4CjYM0S5YVRVQqZMuCYMK+2Oq6AlqGYnMq0FhHirmF7zEnYZZdgs/9i6M7gQ8+/7bNDboVrQt9
I+QnSfBM0CkvpW4JRZaNHllKNs18YtQFrW0E59BfqppYZuZTHYPWG6KC56Mc7g+F20uKQsslPjts
O/fb/9sU7o8qc+q2uEdrUgjWA/7P5OxeNrAboIW36JR5Ea327dVdxl7tGsLby3ssslCIyP8MPT/J
Zoiif9CyE3TJITLSo2n4gs8WCfCma9+Dy91khl4thInbCCVdWavzzAT6rdwUjgP3HQd7LLn5meZE
wLJSOxFFc6YmbR7QMPCf2bVFnMiaKlz2hEtQCW6tN/QLbuCb24KuNr0xtAQWC0aVdTaUTxQFTmDz
oLiGO/uQ9rPoJunjD+zcEbSdi8bAEogEQDsHsaFloN9HyHBmOZX/Hybl86q4B/TDFUK0f74p822n
NLyw3/g=
`protect end_protected
| apache-2.0 | c388d4e450a0165fa4bf1affea57246f | 0.955656 | 1.807634 | false | false | false | false |
BBN-Q/APS2-Comms | src/ip/cpld_bridge/ApsMsgProc_wrapper.vhd | 1 | 10,021 | -- Wrapper around ZRL ApsMsgProc
-- * Prepends rx packets with a fake Ethernet frame header_ct
-- * strips header of tx packets
--
-- Original author: Colm Ryan
-- Copyright 2015,2016 Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpld_bridge_pkg.all;
entity ApsMsgProc_wrapper is
generic (
BOARD_TYPE : std_logic_vector(7 downto 0) := x"00" -- x"00" for APS; x"01" for TDM
);
port (
clk : in std_logic;
rst : in std_logic;
--RX and TX to TCP comms.
rx_tdata : in std_logic_vector(7 downto 0);
rx_tvalid : in std_logic;
rx_tready : out std_logic;
rx_tlast : in std_logic;
tx_tdata : out std_logic_vector(7 downto 0);
tx_tvalid : out std_logic;
tx_tready : in std_logic;
tx_tlast : out std_logic;
-- Config Bus Connections
cfg_clk : in std_logic; -- 100 MHZ clock from the Config CPLD
cfgd : inout std_logic_vector(15 downto 0); -- Config Data bus from CPLD
fpga_cmdl : out std_logic; -- Command strobe from FPGA
fpga_rdyl : out std_logic; -- Ready Strobe from FPGA
cfg_rdy : in std_logic; -- Ready to complete current transfer. Connected to CFG_RDWR_B
cfg_err : in std_logic; -- Error during current command. Connecte to CFG_CSI_B
cfg_act : in std_logic; -- Current transaction is complete
stat_oel : out std_logic -- Enable CPLD to drive status onto CFGD
);
end entity;
architecture arch of ApsMsgProc_wrapper is
signal rx_framed_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal rx_framed_tvalid, rx_framed_tready, rx_framed_tlast : std_logic := '0';
signal rx_frame_status_tvalid, rx_frame_padded, rx_frame_truncated : std_logic;
signal rx_frame_length, rx_frame_original_length : unsigned(15 downto 0);
signal rx_msgproc_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal rx_msgproc_tvalid, rx_msgproc_tready, rx_msgproc_tlast : std_logic := '0';
signal tx_msgproc_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal tx_msgproc_tvalid, tx_msgproc_tready, tx_msgproc_tlast : std_logic := '0';
type ethernet_frame_header_t is array(0 to 15) of std_logic_vector(7 downto 0);
constant ethernet_frame_header : ethernet_frame_header_t := (
x"ff", x"ff", x"ff", x"ff", x"ff", x"ff", -- destination MAC address
x"ba", x"ad", x"0d", x"db", x"a1", x"11", -- source MAC address
x"bb", x"4e", --frame type
x"00", x"00" --sequence number
);
type rx_framer_state_t is (IDLE, WRITE_HEADER, WAIT_FOR_LAST, INTERFRAME_GAP);
signal rx_framer_state : rx_framer_state_t;
signal header_tdata : std_logic_vector(7 downto 0);
type tx_deframer_state_t is (IDLE, STRIP_HEADER, WAIT_FOR_LAST);
signal tx_deframer_state : tx_deframer_state_t;
signal nv_data : std_logic_vector(63 downto 0);
signal mac_addr : std_logic_vector(47 downto 0);
signal good_toggle, bad_toggle : std_logic;
begin
--Frame incoming packets with a fake Ethernet frame header
rx_framer : process(clk)
variable header_ct : integer range 0 to ethernet_frame_header'length;
variable ifg_ct : integer range 1 to 12;
begin
if rising_edge(clk) then
if rst = '1' then
rx_framer_state <= IDLE;
header_ct := 0;
ifg_ct := 1;
else
case( rx_framer_state ) is
when IDLE =>
header_ct := 0;
ifg_ct := 1;
--wait for valid to signal start of packet
if rx_tvalid = '1' then
rx_framer_state <= WRITE_HEADER;
end if;
when WRITE_HEADER =>
if rx_framed_tready = '1' then
if header_ct = ethernet_frame_header'length-1 then
rx_framer_state <= WAIT_FOR_LAST;
else
header_ct := header_ct + 1;
end if;
end if;
when WAIT_FOR_LAST =>
--wait for tlast
if rx_tvalid = '1' and rx_tlast = '1' then
rx_framer_state <= INTERFRAME_GAP;
end if;
--Not actually sure what the ApsMsgProc demands but might as well be compliant with convention
when INTERFRAME_GAP =>
if ifg_ct = 12 then
rx_framer_state <= IDLE;
end if;
ifg_ct := ifg_ct + 1;
end case;
end if;
header_tdata <= ethernet_frame_header(header_ct);
end if;
end process;
--combinational AXIS signals
--hold back data until frame is applied
rx_tready <= rx_framed_tready when rx_framer_state = WAIT_FOR_LAST else '0';
rx_framed_tlast <= rx_tlast when rx_framer_state = WAIT_FOR_LAST else '0';
with rx_framer_state select rx_framed_tvalid <=
'1' when WRITE_HEADER,
rx_tvalid when WAIT_FOR_LAST,
'0' when others;
with rx_framer_state select rx_framed_tdata <=
header_tdata when WRITE_HEADER,
rx_tdata when others;
--Make sure we have a valid ethernet frame size
--Instantiate axis_frame_length_adjust
rx_frame_adjuster_inst : axis_frame_length_adjust
generic map (
DATA_WIDTH => 8
)
port map (
clk => clk,
rst => rst,
--AXIS input
input_axis_tdata => rx_framed_tdata,
input_axis_tkeep => "1",
input_axis_tvalid => rx_framed_tvalid,
input_axis_tready => rx_framed_tready,
input_axis_tlast => rx_framed_tlast,
input_axis_tuser => '0',
--AXIS output
output_axis_tdata => rx_msgproc_tdata,
output_axis_tkeep => open,
output_axis_tvalid => rx_msgproc_tvalid,
output_axis_tready => rx_msgproc_tready,
output_axis_tlast => rx_msgproc_tlast,
output_axis_tuser => open,
--status
status_valid => rx_frame_status_tvalid,
status_ready => '1',
status_frame_pad => rx_frame_padded,
status_frame_truncate => rx_frame_truncated,
unsigned(status_frame_length) => rx_frame_length,
unsigned(status_frame_original_length) => rx_frame_original_length,
--control
length_min => x"0040", --64
length_max => x"05f2" --1522
);
--Questionable assumption ApsMsgProc can always take data
rx_msgproc_tready <= '1';
--strip Ethernet frame header from tx packets
tx_deframer : process(clk)
variable header_ct : integer range 0 to ethernet_frame_header'length;
begin
if rising_edge(clk) then
if rst = '1' then
tx_deframer_state <= IDLE;
header_ct := 0;
else
case( tx_deframer_state ) is
when IDLE =>
header_ct := 0;
--wait for valid to assert to indicate start of packet
if tx_msgproc_tvalid = '1' then
tx_deframer_state <= STRIP_HEADER;
end if;
when STRIP_HEADER =>
if header_ct = ethernet_frame_header'length-2 then
tx_deframer_state <= WAIT_FOR_LAST;
end if;
header_ct := header_ct + 1;
when WAIT_FOR_LAST =>
if tx_msgproc_tvalid = '1' and tx_msgproc_tlast = '1' and tx_tready = '1' then
tx_deframer_state <= IDLE;
end if;
end case;
end if;
end if;
end process;
--combinational AXIS signals
tx_tdata <= tx_msgproc_tdata;
tx_tvalid <= tx_msgproc_tvalid when tx_deframer_state = WAIT_FOR_LAST else '0';
tx_tlast <= tx_msgproc_tlast when tx_deframer_state = WAIT_FOR_LAST else '0';
tx_msgproc_tready <= tx_tready when tx_deframer_state = WAIT_FOR_LAST else '1';
--Intantiate ZRL message processor
--Because the only working simulation model we have is old have to if generate block
-- msgproc_sim : if in_simulation generate
-- AMP1 : entity work.ApsMsgProc
-- port map
-- (
-- -- Interface to MAC to get Ethernet packets
-- MAC_CLK => clk,
-- RESET => rst,
--
-- MAC_RXD => rx_msgproc_tdata,
-- MAC_RX_VALID => rx_msgproc_tvalid,
-- MAC_RX_EOP => rx_msgproc_tlast,
-- MAC_BAD_FCS => '0',
--
-- MAC_TXD => tx_msgproc_tdata,
-- MAC_TX_RDY => tx_msgproc_tready,
-- MAC_TX_VALID => tx_msgproc_tvalid,
-- MAC_TX_EOP => tx_msgproc_tlast,
--
-- -- User Logic Connections
-- USER_CLK => clk,
-- USER_RST => open,
-- USER_VERSION => x"badda555",
-- USER_STATUS => x"0ddba111",
--
-- USER_DIF => open,
-- USER_DIF_RD => '0',
--
-- USER_CIF_EMPTY => open,
-- USER_CIF_RD => '0',
-- USER_CIF_RW => open,
-- USER_CIF_MODE => open,
-- USER_CIF_CNT => open,
-- USER_CIF_ADDR => open,
--
-- USER_DOF => (others => '0'),
-- USER_DOF_WR => '0',
--
-- USER_COF_STAT => (others => '0'),
-- USER_COF_CNT => (others => '0'),
-- USER_COF_AFULL => open,
-- USER_COF_WR => '0',
--
-- -- Config Bus Connections
-- CFG_CLK => cfg_clk,
--
-- -- ApsMsgProc OlderVersion
-- CFGD_IN => x"AAAA",
-- CFGD_OUT => open,
-- CFGD_OE => open,
-- STAT_OE => open,
--
-- -- Status to top level
-- GOOD_TOGGLE => good_toggle,
-- BAD_TOGGLE => bad_toggle
-- );
-- end generate;
msgproc_impl : if in_synthesis generate
-- This encapsulates all of the packet and message processing
AMP1 : ApsMsgProc
port map (
-- Interface to MAC to get Ethernet packets
MAC_CLK => clk,
RESET => rst,
MAC_RXD => rx_msgproc_tdata,
MAC_RX_VALID => rx_msgproc_tvalid,
MAC_RX_EOP => rx_msgproc_tlast,
MAC_BAD_FCS => '0',
MAC_TXD => tx_msgproc_tdata,
MAC_TX_RDY => tx_msgproc_tready,
MAC_TX_VALID => tx_msgproc_tvalid,
MAC_TX_EOP => tx_msgproc_tlast,
NV_DATA => open,
MAC_ADDRESS => open,
BOARD_TYPE => BOARD_TYPE,
-- User Logic Connections
USER_CLK => clk,
USER_RST => open,
USER_VERSION => x"badda555",
USER_STATUS => x"0ddba111",
USER_DIF => open,
USER_DIF_RD => '0',
USER_CIF_EMPTY => open,
USER_CIF_RD => '0',
USER_CIF_RW => open,
USER_CIF_MODE => open,
USER_CIF_CNT => open,
USER_CIF_ADDR => open,
USER_DOF => (others => '0'),
USER_DOF_WR => '0',
USER_COF_STAT => (others => '0'),
USER_COF_CNT => (others => '0'),
USER_COF_AFULL => open,
USER_COF_WR => '0',
-- Config Bus Connections
CFG_CLK => cfg_clk,
CFGD => cfgd,
FPGA_CMDL => fpga_cmdl,
FPGA_RDYL => fpga_rdyl,
CFG_RDY => cfg_rdy,
CFG_ERR => cfg_err,
CFG_ACT => cfg_act,
STAT_OEL => stat_oel,
-- Status to top level
GOOD_TOGGLE => good_toggle,
BAD_TOGGLE => bad_toggle
);
end generate;
end architecture;
| mpl-2.0 | 960ab81859188d86e40afe1d843d8ce0 | 0.621894 | 2.855799 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/fifo_generator_v12_0_builtin.vhd | 5 | 55,499 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Iexpss0RUTco+vyA3yLROwgO+5v2pur8nFSqf26kW6VeFH8kesWRABsxXJG5I5gHmzQftxOaBWZ2
miSdf7B87g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
HsnSgo1Q+MPHRPOaf++RGMN68BSS9uOnshBY7CUnJqC92dBiAHJZX0m4yHJ+wp64ANU/dTku8DZp
0CUDHte3E/nzfzlOpAb4bScwr+4Re5vqM0f2wMRuxZqmHo01CRkWym+73Qp6ypM31hKK8D+omlDX
5KeoViww+8WNeEPvc/E=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
nauM+SrtCBUMbgauEPy6g8d+W+IciYPJvXUjn7MInGqG28UJ8n74bcGFeR4DyG21vWOegsM5ud2F
P1rKnkFwbZ6AbX4DXpdOCcfkBStGt7wpSWYCmiJC+tUMLji+aMnye3LcRjab4U0tyLZnLru5RhW3
L82Phu8ZZWSbA6JaTjpu9t6wdZbyZyRQnUflaIo87Ly6GKz7/4vGl6NwRw1fbbEePwpP5/XR8Dq4
Ou+LxBDj6LclKitvuqBhSacZZTsLTCyNIEsLjWvx0cxeeTVsfhrn+eVDh1Lt5KwZUdMhfPb/qgSS
4axJbfToBwFei/c1lPPAC1yMpmsVQto24L2kQA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
wFyfrlxs8YewConRHCB10oL3SdlLIEEcfWkEF4ZGevncyMVW8dwA6oYPwlqz4A5zzU6PojTb3xVs
wr1eV1uriVpV9XT7errwMbIGqSWKfsroL9045b+ONh9RXvwWtvC3G5GBXQiNt/U+q9mQdt/m/4CN
1XrMbWrk77wKf3zuei4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
tuQoLNj1IYFLAV6jKdU3j0zitXW5Rv218sCrB85sn/S9y3qgrKAEh+cCZSSr9fEfClQFY/tRvMAg
lMS4Ww/pau9q0kk4rtMaPaCLGHQQ1kYcB8liaKKkHSt5wITsTEsk3pcZEuKpj0Ozll5O9Qz3csqI
bxmUBjMOG/demQYN3N+OYd6aAVTDOA7HDG8g5l4mf0YSCBaQktGIR5J0MU+qL4KZ0hmu9NoAfeY9
zcjDtOXNUBGxhknRotWQShaEMbDZFXC5JYWj91rm8NyrcpX/eo+rLAzAenqwLyGoDThPW8+F1NKb
thPxfnA9Yde0TJo29YbZBRozuQOT+AkXhVLLKw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 39344)
`protect data_block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`protect end_protected
| apache-2.0 | 7f8335f8a0a5882ed71d892ad4b4906c | 0.949657 | 1.823406 | false | false | false | false |
freecores/twofish | vhdl/twofish_ecb_decryption_monte_carlo_testbench_192bits.vhd | 1 | 11,520 | -- Twofish_ecb_decryption_monte_carlo_testbench_192bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the Decryption Monte Carlo KAT of the twofish cipher with 192 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity ecb_decryption_monte_carlo_testbench192 is
end ecb_decryption_monte_carlo_testbench192;
architecture ecb_decryption192_monte_carlo_testbench_arch of ecb_decryption_monte_carlo_testbench192 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched192
port (
odd_in_tk192,
even_in_tk192 : in std_logic_vector(7 downto 0);
in_key_tk192 : in std_logic_vector(191 downto 0);
out_key_up_tk192,
out_key_down_tk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched192
port (
in_key_twk192 : in std_logic_vector(191 downto 0);
out_K0_twk192,
out_K1_twk192,
out_K2_twk192,
out_K3_twk192,
out_K4_twk192,
out_K5_twk192,
out_K6_twk192,
out_K7_twk192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_decryption_round192
port (
in1_tdr192,
in2_tdr192,
in3_tdr192,
in4_tdr192,
in_Sfirst_tdr192,
in_Ssecond_tdr192,
in_Sthird_tdr192,
in_key_up_tdr192,
in_key_down_tdr192 : in std_logic_vector(31 downto 0);
out1_tdr192,
out2_tdr192,
out3_tdr192,
out4_tdr192 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S192
port (
in_key_ts192 : in std_logic_vector(191 downto 0);
out_Sfirst_ts192,
out_Ssecond_ts192,
out_Sthird_ts192 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_ecb_decryption_monte_carlo_testvalues_192bits.txt";
FILE output_file : text is out "twofish_ecb_decryption_monte_carlo_192bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(191 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched192
port map (
in_key_twk192 => twofish_key,
out_K0_twk192 => K0,
out_K1_twk192 => K1,
out_K2_twk192 => K2,
out_K3_twk192 => K3,
out_K4_twk192 => K4,
out_K5_twk192 => K5,
out_K6_twk192 => K6,
out_K7_twk192 => K7
);
-- performing the input whitening XORs
from_xor0 <= K4 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K5 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K6 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K7 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched192
port map (
odd_in_tk192 => odd_number,
even_in_tk192 => even_number,
in_key_tk192 => twofish_key,
out_key_up_tk192 => key_up,
out_key_down_tk192 => key_down
);
producing_the_Skeys: twofish_S192
port map (
in_key_ts192 => twofish_key,
out_Sfirst_ts192 => Sfirst,
out_Ssecond_ts192 => Ssecond,
out_Sthird_ts192 => Sthird
);
the_decryption_circuit: twofish_decryption_round192
port map (
in1_tdr192 => to_round(127 downto 96),
in2_tdr192 => to_round(95 downto 64),
in3_tdr192 => to_round(63 downto 32),
in4_tdr192 => to_round(31 downto 0),
in_Sfirst_tdr192 => Sfirst,
in_Ssecond_tdr192 => Ssecond,
in_Sthird_tdr192 => Sthird,
in_key_up_tdr192 => key_up,
in_key_down_tdr192 => key_down,
out1_tdr192 => to_encr_reg128(127 downto 96),
out2_tdr192 => to_encr_reg128(95 downto 64),
out3_tdr192 => to_encr_reg128(63 downto 32),
out4_tdr192 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K0 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K1 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K2 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K3 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
ecb_dmc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f : line; -- ciphertext from file
variable key_v : std_logic_vector(191 downto 0); -- key vector input
variable pt_v , -- plaintext vector
ct_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable intermediate_decryption_result : std_logic_vector(127 downto 0); -- holds the intermediate decryption result
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
intermediate_decryption_result := pt_v;
for counter_10000 in 0 to 9999 loop
input_data <= intermediate_decryption_result;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00100110"; -- 38
odd_number <= "00100111"; -- 39
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector((((15-round)*2)+8), 8);
odd_number <= conv_std_logic_vector((((15-round)*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
intermediate_decryption_result := output_data;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(pt_f, pt_v);
hwrite(ct_f,output_data);
writeline(output_file,key_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
assert (ct_v = output_data) report "file entry and decryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Decryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** ECB Decryption Monte Carlo Test with 192 bits key size ended succesfully! :) *****" severity failure;
end process ecb_dmc_proc;
end ecb_decryption192_monte_carlo_testbench_arch;
| gpl-2.0 | b5bb76460ffa4ace90842596f20241d5 | 0.653212 | 2.735692 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/fpu_div.vhd | 1 | 23,013 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
pq0K5CWgtbHGauzSj3dfaEk9JMeOOqLgJHAYkwhwUAyTpBQETYCt/w2WKm4EAtgM/dRL5v3V4cSN
0h5lHNYVSQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kRvfCcpNPjkDLfLG+KeVcJNswHCMmfqvDI8qra/Anaxl+yll4PL+VKrIGeLUfWIsWFWE+Ah8FQ1u
y7221UhZTZA7XtilqJzEFby3N57LSr1TRN4VlCFrQwcfnh6SuSYmry1AlrCqfvCMujc8zuKLwIDo
dUpjTr6R1h/2zKdCBoc=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ogOz5rXQjEm2TZRv6VLYTncEw83uU3p8q16CLwWyr/ZJhXMxGt8G7ENpTofbXxXCPrSED4A87e0g
1z8M8MsSTYIk1vGZKJakAcfLVOzD5EHJgxARko6z0lR1jemJEsoZRyd5k7WRGSlopeFsZnjn+Ao1
VUXba7iPugXawzIY6/Y4SpGeRJpn/gxSzbBzslUwDOFDoF3xM2FGHvcjNo7DBpRynKK+rBT4yjaM
pVtX6EweTVUH0+yY4AeEow2jLJs8Zu+5fCcsb2kgiuKscy6N8vu1/CYgsBnfCm+oMkY21c64j7zs
8R0iaBTyoSsKgsu2+GkDO0vCDUlHLrPX0jFFGg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
WlKTQxvbQ9xTLEB++U2ZVRQ5+Kx2EUcmOiOtCQCt72qeb0H5eo947VDIXAJ0FpxaM0JCMCdR+deA
GVmsd4Yu26HO91vP7RYH6nwp+jHQBVridKKPTVos+VyRjJ1YEI6g/kYjohmGwJJv5ERSizLgNacr
riBDfsTJiFcej73EtAY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
ILV7b5jArNy3jVr0R2S65Gb0KaaY/HCrihawX6QIf7CwNqs3P3MRkUHkjot67BKReddqtOcNc7s+
F4Iav6jtHH5zh82cZJmh19IevOUW2TdC++vqT84EIXXR5ok9Rn3MZrVUzLzaE3IgDAFBEE0W3+34
aIQonTL7Qgp3iAARn+zLcT0VyATTWlC4CXHokDWyrtpQRNfui6Y58CoZ0UBa6omF6RXPXC/vy4so
2TV11T5Ni0cbPa5++ON4dUK+bT95YRXilHmSt2cAk5VJA9IK87rFVuyIZkCV/p1NN1jMsuW+xuy/
vXYszyEJual/cYtB9TNxW9hBpD+fcgPmQmtu2A==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 15296)
`protect data_block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`protect end_protected
| apache-2.0 | dfa12c9e699b8acebb7a858ad2dd43f2 | 0.940729 | 1.842809 | false | false | false | false |
mbgh/aes128-hdl | src/vhdl/keyExpansion.vhd | 1 | 12,251 | -------------------------------------------------------------------------------
--! @file keyExpansion.vhd
--! @brief AES-128 key expansion
--! @project VLSI Book - AES-128 Example
--! @author Michael Muehlberghuber ([email protected])
--! @company Integrated Systems Laboratory, ETH Zurich
--! @copyright Copyright (C) 2014 Integrated Systems Laboratory, ETH Zurich
--! @date 2014-06-05
--! @updated 2014-10-30
--! @platform Simulation: ModelSim; Synthesis: Synopsys
--! @standard VHDL'93/02
-------------------------------------------------------------------------------
-- Revision Control System Information:
-- File ID : $Id: keyExpansion.vhd 43 2014-10-30 12:22:52Z u59323933 $
-- Revision : $Revision: 43 $
-- Local Date : $Date: 2014-10-30 13:22:52 +0100 (Thu, 30 Oct 2014) $
-- Modified By : $Author: u59323933 $
-------------------------------------------------------------------------------
-- Major Revisions:
-- Date Version Author Description
-- 2014-06-05 1.0 michmueh Created
-- 2014-06-10 1.1 michmueh Removed controlling FSM an replaced the
-- roundkey enables with a simple shift
-- register.
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.aes128Pkg.all;
-------------------------------------------------------------------------------
--! @brief AES-128 key expansion
--!
--! The present design implements the key expansion for the 128-bit version of
--! the Advanced Encryption Standard (AES). Since the design targets a
--! high-throughput implementation, the key expansion is implemented using
--! pipeline register between each roundkey calculation.
-------------------------------------------------------------------------------
entity keyExpansion is
port (
--! @brief System clock.
Clk_CI : in std_logic;
--! @brief Asynchronous, active-high reset.
Reset_RBI : in std_logic;
--! @brief Determines whether a new cipherkey has been applied or not.
--! <TABLE BORDER="0">
--! <TR><TD>0</TD><TD>...</TD><TD>No new cipherkey has been applied.</TD></TR>
--! <TR><TD>1</TD><TD>...</TD><TD>New cipherkey has been applied.</TD></TR>
--! </TABLE>
Start_SI : in std_logic;
--! @brief The cipher key (master key) for the encryption/decryption.
Cipherkey_DI : in std_logic_vector(127 downto 0);
--! @brief The generated round keys.
Roundkeys_DO : out roundkeyArrayType);
end entity keyExpansion;
-------------------------------------------------------------------------------
--! @brief Behavioral architecture description of AES-128 key expansion.
-------------------------------------------------------------------------------
architecture Behavioral of keyExpansion is
-----------------------------------------------------------------------------
-- Type definitions
-----------------------------------------------------------------------------
type byteArrayType is array (0 to 9) of std_logic_vector(7 downto 0);
type subWordArrayType is array (0 to 9) of Word;
type expkeyArrayType is array (0 to 43) of Word;
type rconArrayType is array (0 to 9) of Word;
-----------------------------------------------------------------------------
-- Constants
-----------------------------------------------------------------------------
constant RCON : byteArrayType := (
x"01", x"02", x"04", x"08", x"10", x"20", x"40", x"80", x"1B", x"36");
-----------------------------------------------------------------------------
-- Function declarations
-----------------------------------------------------------------------------
-- purpose: Provides an exclusive-or (XOR) operation for words.
function "xor" (
left : Word;
right : Word) return Word is
variable Result : Word;
begin
Result(0) := left(0) xor right(0);
Result(1) := left(1) xor right(1);
Result(2) := left(2) xor right(2);
Result(3) := left(3) xor right(3);
return Result;
end "xor";
-- purpose: Converts a word to a std_logic_vector. The 0-th byte of the word
-- becomes the most significant byte of the std_logic_vector.
function conv_std_logic_vector (
input : Word)
return std_logic_vector is
begin -- function conv_std_logic_vector
return input(0) & input(1) & input(2) & input(3);
end function conv_std_logic_vector;
-- purpose: Converts four words (i.e., a matrix) to a std_logic_vector.
function conv_std_logic_vector (
column0 : Word;
column1 : Word;
column2 : Word;
column3 : Word)
return std_logic_vector is
begin -- function conv_std_logic_vector
return
column0(0) & column0(1) & column0(2) & column0(3) &
column1(0) & column1(1) & column1(2) & column1(3) &
column2(0) & column2(1) & column2(2) & column2(3) &
column3(0) & column3(1) & column3(2) & column3(3);
end function conv_std_logic_vector;
-----------------------------------------------------------------------------
-- Component declarations
-----------------------------------------------------------------------------
component subWord is
port (
In_DI : in Word;
Out_DO : out Word);
end component subWord;
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
-- ExpKey_D: Array of 32-bit words (each made up of four bytes) holding the
-- expanded key.
signal ExpKey_DN, ExpKey_DP : expkeyArrayType;
-- SubWordIn_D: Array holding the ten inputs, each of them one 32-word wide,
-- connected to the input of the AES S-box.
signal SubWordIn_D : subWordArrayType;
-- SubWordOut_D: Array holding the ten outputs, each of them one 32-word wide,
-- connected to the output of the AES S-box.
signal SubWordOut_D : subWordArrayType;
-- Rcon_D: Array holding the ten signals after the XOR operation with the
-- round constants.
signal Rcon_D : rconArrayType;
-- Roundkeys_D: Array holding all the roundkeys produced by the key epansion.
signal Roundkeys_D : roundkeyArrayType;
-- Shift register holding the enables for the roundkey registers.
signal EnRndKeys_SN, EnRndKeys_SP : std_logic_vector(0 to 9);
-- Indicates that all roundkey registers currently hold their correct value
-- and must not be enabled (e.g., no new cipherkey is provided to the design
-- and the corresponding roundkeys have already been derived).
signal AllRndKeysDisabled_S : std_logic;
begin -- architecture rtl
-----------------------------------------------------------------------------
-- Component instantiations
-----------------------------------------------------------------------------
-- Generate the ten SubWord instances.
gen_subWords : for i in 0 to 9 generate
subWords : subWord
port map (
In_DI => SubWordIn_D(i),
Out_DO => SubWordOut_D(i));
end generate gen_subWords;
-----------------------------------------------------------------------------
-- Output assignments
-----------------------------------------------------------------------------
-- Connect the columns of the expanded key to the round key outputs.
gen_outputKeys : for i in 0 to 10 generate
Roundkeys_DO(i) <= conv_std_logic_vector(
ExpKey_DP(4*i), ExpKey_DP(4*i+1), ExpKey_DP(4*i+2), ExpKey_DP(4*i+3));
end generate gen_outputKeys;
-----------------------------------------------------------------------------
-- Connect the cipherkey to the first four columns (i.e., words) of the
-- expanded key.
-----------------------------------------------------------------------------
-- Use the first roundkey (i.e., the actual cipherkey) as the first four
-- 32-bit words of the expanded key.
ExpKey_DN(0) <= conv_word(Cipherkey_DI(127 downto 96)) when Start_SI = '1' else ExpKey_DP(0);
ExpKey_DN(1) <= conv_word(Cipherkey_DI(95 downto 64)) when Start_SI = '1' else ExpKey_DP(1);
ExpKey_DN(2) <= conv_word(Cipherkey_DI(63 downto 32)) when Start_SI = '1' else ExpKey_DP(2);
ExpKey_DN(3) <= conv_word(Cipherkey_DI(31 downto 0)) when Start_SI = '1' else ExpKey_DP(3);
-----------------------------------------------------------------------------
-- Calculation of further round key words.
-----------------------------------------------------------------------------
-- Since the "RotWord" function only performs a byte-wise rotation of a word,
-- we can perform it either before or after the "SubWord" substitution.
gen_roundKeys : for i in 0 to 9 generate
SubWordIn_D(i) <= ExpKey_DP(4*i+3);
Rcon_D(i)(0) <= SubWordOut_D(i)(1) xor RCON(i);
Rcon_D(i)(1) <= SubWordOut_D(i)(2);
Rcon_D(i)(2) <= SubWordOut_D(i)(3);
Rcon_D(i)(3) <= SubWordOut_D(i)(0);
-- Calculate the next expanded key only when the respective enable signal
-- is set.
ExpKey_DN(4*(i+1)+0) <= Rcon_D(i) xor ExpKey_DP(4*i) when EnRndKeys_SP(i) = '1' else ExpKey_DP(4*(i+1)+0);
ExpKey_DN(4*(i+1)+1) <= Rcon_D(i) xor ExpKey_DP(4*i) xor ExpKey_DP(4*i+1) when EnRndKeys_SP(i) = '1' else ExpKey_DP(4*(i+1)+1);
ExpKey_DN(4*(i+1)+2) <= Rcon_D(i) xor ExpKey_DP(4*i) xor ExpKey_DP(4*i+1) xor ExpKey_DP(4*i+2) when EnRndKeys_SP(i) = '1' else ExpKey_DP(4*(i+1)+2);
ExpKey_DN(4*(i+1)+3) <= Rcon_D(i) xor ExpKey_DP(4*i) xor ExpKey_DP(4*i+1) xor ExpKey_DP(4*i+2) xor ExpKey_DP(4*i+3) when EnRndKeys_SP(i) = '1' else ExpKey_DP(4*(i+1)+3);
end generate gen_roundKeys;
-----------------------------------------------------------------------------
-- Compute the next state logic for the shift register holding the enables for
-- the roundkeys.
-----------------------------------------------------------------------------
-- The enables for the roundkeys are generated by a one-hot encoded shift
-- register, which gets the start signal as an input.
EnRndKeys_SN <=
-- Start signal is set, so shift in a '1'.
'1' & EnRndKeys_SP(0 to 8) when Start_SI = '1' else
-- Since none of the roundkeys currently holds a substantial value, we do
-- not even have to shift in the zeros, but just hold the current state
-- (this might be the case when, the encryption pipeline has been emptied
-- and no encryption is going on anymore, i.e., no other plaintext blocks
-- have been provided).
EnRndKeys_SP when AllRndKeysDisabled_S = '1' else
-- Otherwise shift the enables such that they are proceeded correctly
-- together with their current pipeline stage (this enables-holding shift
-- register serves as kind of a shimming register).
'0' & EnRndKeys_SP(0 to 8);
-----------------------------------------------------------------------------
-- Compute the signal indicating that none of the roundkey registers has to
-- be updated, i.e., no new cipherkey has to be propagated through the key
-- expansion pipeline registers.
-----------------------------------------------------------------------------
pComb_CalcAllRndKeysDisabled : process (EnRndKeys_SP) is
variable tmp : std_logic;
begin -- process pComb_CalcAllRndKeysDisabled
tmp := EnRndKeys_SP(0);
for i in 1 to 9 loop
tmp := tmp or EnRndKeys_SP(i);
end loop; -- i
AllRndKeysDisabled_S <= not tmp;
end process pComb_CalcAllRndKeysDisabled;
-----------------------------------------------------------------------------
-- Flip Flops
-----------------------------------------------------------------------------
pSequ_FlipFlops : process (Clk_CI, Reset_RBI) is
begin -- process p_FlipFlops
if Reset_RBI = '0' then -- asynchronous reset (active low)
ExpKey_DP <= (others => ZERO_WORD);
EnRndKeys_SP <= (others => '0');
elsif Clk_CI'event and Clk_CI = '1' then -- rising clock edge
ExpKey_DP <= ExpKey_DN;
EnRndKeys_SP <= EnRndKeys_SN;
end if;
end process pSequ_FlipFlops;
end architecture Behavioral;
| gpl-2.0 | 15e92b1b5f141ced7925ec7a71e7eb8d | 0.515386 | 4.071452 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/result_mux.vhd | 1 | 16,399 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
ObLegEqV5y3vyIouHUVwmg+KeU0xk5wg7mq9QwFwTMn7gtRLxaIHPoGXaZMVGQ/oAdza0EyY2LCz
4LCQj9kvPw==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Q0rFgmMulenWeM2LlCzS/OgMXA1nqUwJ2xd1EfvIOyEWplWaj8Se8Hf5Er4gWReoGndJUZvshrsW
fqjdAK0MofYRpOtqdTy6gFJacFJ1Jw2o6LPWNXt/bVSKmqeEL2CDJzWIupMbX8eIUMLfyirhrc1F
BjHxk8PuurQRTLEYQek=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YMhSfk7uZQ31L4is+EiGE9mqcbN86gL82R4LbuTdujOaFUvBJy3R04CkRTAX7HVqMZYSokNUSEBR
PBEw6hOTFbGUjmZgaa36HkSMS8hhPzok2Iz4MSR/njncE92UkwysImpJUQyGfHasHwKe/jeDh1lE
g0bKy+DJTARmUhpPLqVnDhZlq9GW0KMhvOo/YrfginrXOOJZQp4OwImY/aO8c9dfjVCi8WlDl80h
X0KDzkJldslW6QUm3nrMz4jgd1zXCNH1CRxAxiHQhjDFLQdzeS7RI4d2BFn3S0qw4wJnZnn8cHZp
zHHKqyD9X0qiesw7bXq77w/Jgvi0KJLHhU125w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
O7YGQ6/XGodmXBFA2yHHz19peTz9xCZ6AYBgO8eJIA+q0Ue1+nczmD0TaaInV7zH9QMDCc1NON4w
pwZI1dIoP5LbR0re5sCOeK4Klt7tcjUX6qk7A8vCl6qXPO3qyzb1TvS4QIYUxJhDS75QhOi0iJrL
wnebAjBedv6T4ddlYDY=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
eA6gDcWorNnU0kCc9u54n658rVhaatxKIUyt+cTM9XKvCbUEuSle1dbItCon2ybSOHTUg5YA1XEA
ei9/dnPVn16qwE/5v4A+nDfWGfTRCCuDCaAc7Z8xIifhtKNfyU6Xq5TvO8lCUJwGcdQM7mMhBLid
zkvwx22JzqmsC3VdwRliEbcI7UgVEMkRNApV2WuuBAzzDeVk5zRXldbrWmapx2V53a5kOV55owN7
m5GEEA1N1HMbrWGHGLn1EOhciBaEm6R3d8vslq6UvcFGL+SrDIQ8XT0P4d7uOE6cG99uHoBL+F9R
smciuOjbfNpwF+EyfjpqYmCk9vW/0v2z6qiQBw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 10400)
`protect data_block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`protect end_protected
| apache-2.0 | 86bbd2f79c4c1d048eabf18a9250d647 | 0.935362 | 1.854881 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/fifo_generator_v12_0_defaults.vhd | 5 | 30,145 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
mOT0ChHz6cehSpiLELxj0+iBo2W0wxQ3KDdDuko0XAAnU6xBdrTgVJ+u0CfDTb4Zl7P4zVo+9SdV
/b807CQOpQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
TIcQNAMAySojflD08WJVTUU9TIju9tl3W2g8zvY8gbc1G19U84LFJDx/BCiaF5xPqjVVg87yUgP2
09TqxlZFyKabmzuwew9KYeR0jCYUfbw9LnykzrRmL8VwGZ+R+KKM6qh3sVi8kjlVL2vvzDa5TEBl
Awc1nu8HIXY2tCzJxYs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
gDZhaaT6CUUs7NnIWeXm40ShkQoiwW3ulV3VTiLNOl9JkB67JiQncNfcedINRJBI0vrbIbe0VuEm
nGWVSgedEkZjaIEOLxHTzpLMo1F60XQbe2/TPwLYooDvdzl8qLzAmFDYq30Ba/2aGCezm/7vOG06
Dm5bE75znWK740jCPGoffZQ5cHij7UEXM6PI52n8olxHh68YkWTVyaj5hiyi8PuyGG2UrlIptOTi
D1+RpdGtVcyQjrxNNsGYLGgN+kuJ8JKutFcIEuspR+pdN80i9UD8VUs6tay8+LYMP2VIK7inJucl
Icj+a+DVFAuXh2jQLFzSd8f4Bhd0uuKVL0bvAA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
phGe6+X991WkQD2biWWGMisWc9bNa9/igwlr0eXn8S/V772jk636DvkirbzU7VGsfiTnxrygxlvv
j57J3a8Nt5UpmtdXn8mUc4uUSTzia8/FbHQO3bHpHnmXbnQEC73toicF2G7GUsC0kjGzRm9Qo3vn
adTUcOWLpYL/4GBEZkk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aS4078q3A9khzx6QcF9V4RShLeZGpuFmd1jFVkWlgDkOt6ay4mSLBwH/sXI6cnzi9UEFhnxS//IA
wrLO8rlxPXye2TeWt7OMLZoxoieAP4pqHBw9Kucl6CezJBsgO6EPq4FNpLzmDFabGsjkNcdr4Dcr
ugK8/zndRGMHcZ0sbKLJ9waDZ01Oz4muR30mJ/UwRz5b+1m05nbjDMvgkP8glnV9YsHgfFGJo4sF
IZoWULFK/N/g2ML20rfFUkcYFYf7BzItQg8e8ht78Mc8dO5u2afq+FWtvh+3qghvbZprDmmsz1ZS
1pm8UMJMetL7M99dFr8DjqeBexFg7nc+9NqI4w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20576)
`protect data_block
4aRq6hkgCeRv2fEtQSIZk7TvWcf7GtHMUGTGO6SfzJ68Y7xUq9AG9O+zTBxFhaSqWcM+BZFueRqa
OJgRp18o9aOoX/sX6PjUvE9196XVlQOITY/A/9FeC8gHBvwEjRDYtiFd7xvlPjvoFdnTyf4UvfSO
pw/OHk/wLbPFuy/obYln6lFgwNMIOjO7D6caRQoysyuguD/97UylsnuOjdZx/bqNv4WEQyzLPFL1
UES6JA64KzrN5675GUnLCP7rK653tgrdcEP7LYla3yYkhTD91sFhzu8phqG6cxPg32We7qmv+RYI
usjtH3Rv0HCWXwQsfBtg3/cG6O29ZZIVSWzmYuaI9czgOZliUsBq7aFhhJTuVkyNO9/9oxISmynE
XqyD/HLPgTF+gYzq2jJ/bIdOwFur9dszv02KacMTKb+i1sJ5no6U3M9CZosvdQ96L6jLWz0TuN1P
S4ccOzozOhP4/nXgdqnNPIHciEHh0FEl6LeUHYlPl1xvBfD0wFxPwykFai2pwCuNAz4moaMVEaaW
X0L2E4/hZa2Dj6Fkc8p4FDgEZX6axG4LeGIduloryW1LqCoElQj4sv7mWWHnYIU2W6n47X+wa5us
XHvYQOOy7/5m8yeoRK6jEX1QcK+lAMu+hosH+jPtcoTFk60h8DZgjJJRsT6qNapl3tqEdJLD2KEI
QBevJiZgtI/7zKztWgTDEouUxIyU/d/7hK625qk3cgPGBC9Mi1MejCuXRSCiXeTZ05pFTR42G7pU
cbwK5yZoZr2rAb4oFn/vFOTrdtFxV2UMZqLcbmF5z6Dptz/JmFu9CL5yDoeDGjiap2O06O+ojuzS
O2Xe5Y0XZZO6ZsELH8bLAUfrd43M2l84E8dKvJzSdktxL3q5Yr5RGdMstxbexX42osOomsHAUnAd
kTCOtl/4nHn1u9h1oN2qVhowJRPrtz5q+0AgMmg8REr3AC3C8681cBgT7hy+2FkGU7Otb48yF+s5
xaa4lKdhNRPa+RHBdS2HvwHqDPeVwQ+9wxge+t8cCknWFDjSVAI1LrS53KKlxXzKZrXWQGoBvNsd
ohaef5OEUEE1+T6/eajorS+HU/0nYb/DALqZVqojqdpBGUS6YweTWo0z3ldp00Z3UVIlz1t22pA+
ge5q2LEtc7jMYPPR97t5IvowoC9haLvnNB7+jzZm1VF+0tUWJ4BWERQvERTSMVI2Qk9b1QDoTTOC
wt7L8lWGkh86SX1OLaKEkXNhDjsCPFcO8z2xaM6odb8R57hYs6Ekz49MwJWSPNFwzI0X8SLcgPGe
+OZ/m8PEdC2+4DhFNfOcBcLyv5uOXlLoucDAmiNxnmvpgJQ+ss2+c5hPPslVnqKmfeuUcqeLhc7j
JnFZ3XXk9iITENPrhvUnYj1cT0UXyNdSInNTvT7hnQF+ZqxUUkyxtggFS5UboBgxk9iSNo/t/saF
9mi6QEYIxRw2fPFK2XtML3vnDiNBqJecwo6Xus8ZrX1ewr2s3U77lii3Ep+hmOydGoFhhStQU96d
/FEOCrN2HRdHGxPxujeHXSBCDNYg3Mdw20bucmjLTpAGWFG0q4EVbQeU/pwMTNhZKi53ic0s7fgk
v4NamZLSCUp4DpvOL+xSe7Qzu3wClo1QTzq8Bi85lrg8lHGrU6owNEBi5RpejNRRXnk/UBr+20bB
spOHnaG/TGjjWir2VKflzMRwEeDhJlOsPGFWeVOMRdFjugk7GaJY2gEXL9d9PouccQUhGVuWvKlp
6VQ5RZC8MkYwTNXRER7neqbFthDmZC8V5SzFzUYhc9QlawzclGm9V0xZQfxTORNG0L9KTjfzMl+o
aFqvXYRZ4fV59DPTI7tOQgQJrHTSXQO7LKvizIG0/cj3NZXN2+WMPp+t+M0SwlDf0g/Qdfa6InGO
R8ImNgasi82XiHV34ApOq37TC43Yf3gCTH2OEQOIvD+SZYuyQaFDYgWBMTommyAbUawMOBh4eVl0
xxJbHi4LsFw49PxIQW1qaONI6U4OCA/XUnz1Z4LwP802aS1QUHL04iJqXsZSy1ciVNzKgx20gYYU
8RccSdToSqJ3JmgOzt2ZX1S/wetC/JnfXt/+dFw4n2nJXQy4GsHErIjXJ6xRfnxdvdaeiqD5mkBs
NQzpmrOgtc1wkxShncndO/hiPdrJhKoC51/GsAg9+PMQBw87GTbCzeHgbWQXvbHDuXnK6gRolC4V
I57wXpZqZ0oG5x688ccz0DIG6Ke1Wh2f4G/g2x8JFxEGBRHU1dE2p64B0S8ORa1qK+NEbd+3lE+S
AKkpEf3VfLqGLqkvERpFY5dGQBYZRc6XiOHOY0Fv3BJ2hLfAhd3Sje2ryr5bNN/FAN+0ZsHSamzf
KoAHvI5oN/BEl0xE10g9jGvO2k05fmIb3CyM5pgb4tbbP2z9n4v00z5SlgbXNPJr8+kCBhAmZ0uc
jZl79VDPZMn8FIN3AUKKB3Yk5H/wQpx4DlgB8FTrnFB3dznGJyxbTl5HcwmCtsfSL1Ryk5HnvauP
Euzg9Wa8FH5lJ+Vyu8NwhbnUtrnEF10CWHmXOf9eYgkP09EuRe8jPqsE0xUd2i+t18H4TZQFWjHk
J/jKJSdMB7h3JxxaReGZjjBEMAM+eI4TgikC/pJHHbFbDrhdsM0jHCI3gY78fO/u/njlMVgPUweV
CWV//AizDqF7C4H8OWD2AZ6nz+zD2OFLv8xNenmFTLIdXMj178PNbRMyUIKU2R4bByGbXj3TrSxQ
SbeojSAF5TjqdFkNudMCMASAsFeLS9XXv5bCNbWdmNOcn6iWunv3vRf2nRyD1sYYTHcIiPohrYzG
3AvaMeSOgBlI92+EESyaxfusUGdYx9LuZ+CvoI5Jpju3sxGTJ98KqS2mNR+btheKcdLdwyj8A3DZ
ByTYHLrHu+cFD1GwGZ6rajle2ReDRu1nAY92hgphQd2cwAuqhr4CN22QKElrS6I7QvV0//O68ksq
mLG8BHe2I4EKnplri+YOIjtHSyaEj8nybkYKYN3GY+mVvX7W7DpBt3bW42BmcAY12/h2gG6hpSAA
33VHJ9Rps+gzJYVbThxwSTD8g6x+RRNKJJl5CcYjYJjw+zU8srqw/9f0A/7bu+h6uS3/FWAxorNQ
4Y/i6pRsyDS7KSFxfwqod+mfHQ05aE1N/I/S+hX8entvwztOhV+zSuUnw/+kSwjuuq6Yj3FCuocY
tAEoac+vBz3ENgmr1dHSe2sfQN/rG1wUITfD7/ezJ6LjCwgV3oYXKxVNgmHIYLNVoEUIftikY7G3
OI+MVb50JJ68h7iUROMLhDltjmY/mnO5E1yYqVFSnUciRc1Thocay8BdiqSHx9luIkNSL++pvedc
EpG4LjCfZuWffiAQx0bxV2IIv2pRKT/67hmfiEEzbjxJym6pwb7ZpjjFEffuQjTwoJvZhASRJnv5
jXBvJGjouM3JUP1j39Hk7UGxC2ZK9R/CQj2kif+9dGhcHFQMUg6wWp3trA0RnYXx0Isna2hTFGRc
WDeV1HOWwlapM3ouEwlwadCuZK/ODtTRqMzUuiiJel/BBEGDAjSZooQ7UbHqO3p74pF6LG3VV5AI
cjF/Bv6Lwhb/TGdlvAZgBeP3L48Lene2ApbcdMJzNQY79YUbxJjPRsZkGil5UMwTflksYFRstnqs
as6hGpZVw9oQmqztdVs/4jVsgEbdnRMXvgM2MZImXxoYWUZoZT7+Qo+Pi5Eps0TLEx51C2LbP0ak
q3n35B1dCjSKM6J0CHUqAFL14Sir7t+fyF3YstQ0ZPJ4oHVZ/alrcTu5CBNrO+Fc7jonWx3ob8XQ
2u/MthoH6Kc5cCsQvkxGvKu7dFQSPngmYz2gcvaMSzIX72lAoMSV6n2+KLMl5oKyZvPKhCSqhLz3
hLpy672FJyefC8TJrHDL7e7XnaxYHGNZp1q+5KZ9H6tDJ69hJBgSMl4NStRyiRzXF9lMm0r0XJwp
bv99x1XFquEcl9kTEpFlLCfESO4muzLetbpAIY1xOvCHv6pzsNbBCPDhbdZb0L3dzgCippdGPFR4
/n5fW/c/JTFBsDOwe57eO/cR2A38qB4dXa6bn2lybNqTZ6PcVrTuS2sOg/+jjpYKNpbklie5E+Lp
Chnc4A0lHUrMv61CFemwia8S4UJ5FjVlC2S5BhFKzLCUmCp9K3lKOkwqsf9Jeu+/Zw1oxYSKbvFv
W0hLnFHWw2Z83Lz1tNGt19ppiXiV5yC+3sNZICkWD62gfxpxVS9m0UgPiZgjyCVREgIpNQHPAf+a
6izxksdohgfOpiZFgoI7te9+4xtuB8RT3WdOkQr0g1HMw9U5Ibdev0PsLAvkLS5IFUbkjy74+u1v
3SoROBm2EgRpR0wWSXn7D0uWZlkwLELaw4P/bn3hhXsm3zqGS4m4xg5/KgTucoQsIKwQUhhzjNml
OvvXcpLfWaX8bpoZAuq/Y/QA7fMu55RkFFPWfUCGx188BwPzl0ctRvLf/ewIVHnBNPaBjNttaEj3
r+lcT/L0SCIfknLspGcGyDxK/Pc704/GCR8mIuVuPwqYVvjjmEkr0Vb8N1JAujfWb//vD/NCx2dy
/oxAyKF0LwYbYhb0zXJiPYMwl+jKZTUztiV2PL5dBuGp/jbW3cbc9F+RXlqfruRkc48Xw0A7summ
KeavywjZH8Xg9GhQ0HH7ymRHXVdtBIKYqUKddfyLYLxzJ1tBMRKpof7jDV1+Ew02qNV0qnaEvun+
rWlvhnuZKXufRVWm7Z2kadu1eTdzZAs6/7R2sOCXVlYFUK4/YwmoYrccwRN4/Fl48wahsTftRk3f
Ib45KIyfrFifbWDcCSAVqQBHWmcGJA1NaMsekjIIhK7UrdkY2F769y8boxFN3nKPUK+8aeDoJnCD
tXBp9/sljP4E0ywP5di7cdvlZDI8hJQ4F3zXbZExWyLwNKKAXMQwy1mfjjXMN3KUjPujQBg5AwnA
QKqBNBWa+AehL3gjkSe8U/Xfz9APMn1clpCMWnbWfGLwECb10yMwpISOkQYjI+CRDqj46gRI79ig
jP7FhRHhF2usnwDe0sJ/a8iWyXLpTe5ZPmRFfIQQE6JypGtZjKT4DJFIpsDOdyYOXwMUwHNFDufS
2a4N/4OrENNkUylZpF5L+z+WItyXOdXci3xpEx5oj/GK8AqAcA5s/tjePT0ze1nefKHMynjcLOfb
l+qtXrtIWTmDevSiwPg9fZza1+ls5vSG4yhMGXEVgCrNfR8mDALsViQ6eUS9HH7K+FObPQGv0jyS
8O1KqfBP6rcoMX5kKD2v5j5EX3D53O9VY6k1otoScv1VGoThYfWoj3+RI7heEZgRp5ATM+pMRIcW
OODQHSXiF3JE+g8DJMKlHJYh10oIw9FGX4cMSDN1+7w7or8wL9ADvWwEwzD+5U8SK9KuYNiFzCIK
wyekDUY1Nbpq1ZLGzpLIKoGnINxyEC39OxvNMIMM+oYSgYbwqhm0tzm92erQxGermkbVAp1Ve061
gEdVOo8T4rp6l4rcSEx3MrlHBEE1ZLtFA01X7CATrUn0tBoz294FpPf+qbJa1YBT6imRT0zL/Dt9
C1u5xbZU0rDDBbbSTm78XtXg587tTVWXxGxflLT7uAYoXvPUfO2BhFJhSja60B1vJkYUEAO2fizw
Fn1K3RWQRtwv5BVRqsvctGHVRB1K91CSIIqQMhdWqmS5oefm75dWgHwVznFMz+OfphmG/bI+u28t
5L5KYiluqHbpbA+vwYG3uFOn/DXHf9l/OGvxm9knGAT3quDIMPq/eU7NerU5D7aUpOg1AbCjeCj1
2KFtXdxofXZJS2n7RFl7B8e9498fZ6fH/vkw+O4RWwOEpvkntDVjpHKhexHCsml5KnrvIVIWCo57
L2JT/pOwpfA9UMoZ9JKrJnqYOrgnNki4BhDkRvDsETeYFLoL5SBJ4zdj2Nmoo4sdhfzXqvEjJYlv
1PsaK0T+F3wQZwNyNgupl73NWrYUKf/kpFfltmezR4G0Ooz+9gsK6vTHB1+f7Dfa2RWuknsRLImU
TGkjq1bimFfs7XqW/k0TmsQeyj0m+MjOAdQPZskvUcxdhLHHrMD2fkueZSf+e9feqK0Pd+eLmsI3
8+vFT+nX0e3T+oNml3IglN8OVFz7CCRHoS5ONkUj3/Al60X7nCIITgj2HsKsh/L3vIPr6bRURYxu
eL7ST/1TpYNoXvYr1rrJcujx4wVdQ49pwlWTh2LlKg+tzDPcnYiqneh8edauUR5ftWsY4GWQ0P3E
65FtgVTWuq7MSP4flDBoU6+vpGiPSxrjgEmWzAc5xTss27aZWB5AMZYts7G2KysnORW/Upojqeoq
f3hQuWp+Yc5cnpDD24xPE/Tax2cWstjpYif7SWmvu/msW5ylYn+FAozz/BoNgeUz63GAQilXZf6g
mLqhbcD6UXhwdqNZedM/O8lnb/wXV9dBzHXLxgsoVzrUWHuaSVJAtYyEPXPcOLAt6Ym/PqMn1O6Z
a0pdSK3tbJQxqM5mCWcUkZoyoIhVzkvCxRoaebF1yLbOXKdAVmY2MniMz73C8lPueFykDtXh92K/
I6voBRFYrswZKfxpFGR4lPuwQayTecGYQsfAXZeO3Wnms67R66XmT75pp6ZQEUoDrXXqouPTokG0
EcGhhhkCH1S9fHy08LYFMoRbv5kvUF69iSM9hNmFPc3fbC0lcyBz77+W1FTJok3N3+ti6oJzFP3w
CARHexVePBXDpED3j1GRZfolaRmST8y7cUscKiu1IYSHOiBJFKQDAzI/sAE8HtLy3fBxHHmk8iAv
npsQOMKmenrDwiUEfCY16Ldbq5mVKMd/EoiNZ+Jxfsp5wXppeJzQ2O5vybbXkoazI/jRecQ5fxja
jVVSVYA/EX31yZjH+M+vAk5oC1Ow69BNVlxs4Mm0l3morvctK7Dqrs0pP/wGwyL8e8+lt9Gm+9fp
MRXV5AiOj4I4xEw0IaDANZ3MRsn7yxSvlrgi/0ZWPblpJ5hIxty6jips+RcGrKDJMI7GYGKD3YsI
sq7V3H6Rowe1WBxr7jr1cSwK3+V+/z3OiwBLlsbmZbP7AsykqKukwfQkp30IlstRtajuOlKnYzTG
yUyMirsrlM3xTued2JF4i+XglDoQnM/jMvONgfDdP7BDZqpbLISgurHVw3MyPUH7+Zzxz9nl6S+s
xNJbCskctkLADx5nqrn1JHY6XZF7pZScm13NdG5G5ZEg85LCyDLNE3WxUG8qbjQcqyguttngPOtg
3DfoxbPR9h2zzPqDRXdVPhndjiAvS4tBkkJP5UwU/2XUT9q0IysnMLoMVRylKFGR+HcS+3A3cVuN
BQHI2Im0/eDAdI+X4eSEBV3roWffEqLTL091GRJHb/O8TSgmVhHxHjUebJSuxPlp+WAI9CV3iOtF
HMPN70ES9gl5mi5VQsEV1Du17YgzFgTiJ2z5TtpzZAgOZQRVwPXIT1BFOdyhCJux7x9TSs5R/Xwq
EWPT3+KsN5lrwQzqwhg21VyR+yDSlcPW6z5wCQTnzK7oovFPLMVr8mKjC7n9NTtXWkZctbqN1W9q
UR0HOjpVkgIbZEETL8sXM0EI9KWyUwv+AyAsHNS5xw695DqszQCJV6ZDbxtmsYxf3GUNzi4eydTJ
06yvrW17l7Q6+Gy+oKxR9mxvi6LjGSrsx8cDJcdjg5B6B9XfKUiLq1raZmElheV71N7wQSeBdaKe
90GOArBLjTr3QIyRfzR7ZmYndcgvMUA6OuyOGE1UShgO1+H12w6jzBZB47QNjqMTy6846HGFbrXF
xWgnPzetu8eMMfzISvI7PiCT3J7gas3tA9dRVULdOFldzl0dXctd0mUL7WJtMBBqZ7ZbEjwgQoJB
zhH3RaUUVwPuWlAuFBl0yaU49ob63uDFM65VxO2f9lKHm76wY7UQd+6xS4qGzWuQGz/JIeAq5VAB
UoHmTh0QUx1TxXxCL/eayy91C9tlOSJfTtwqiba80yOMOcWWgkNLIS++eGpn4stytuetDnFnIZN+
59FnxfIAhvZ62BkZ06C7HB8Jz+IeHr8HsO9QljRlY0PfsDOBYLJ5jwkqh4JnD1kRKXD5M0fIcpRa
M9URmDF+Yf5lFl+AczYT3yJ3Lx4K3e8Syuu9Pi25f+v3pdXRPqiUXucCTrPsCPXIXBK3G3wyzn3W
xeT+ZsoQzvaFqvsPt7XBivK7yzJ4VpZodQFlgxUpjR+DH5V/OnRgRunwikBwqur1BRnz8imU4g7n
xdfBVX4uDYdzrmgoGVoI95X8YeFzdCK8Rqp7yZKDGDpnrAP+X4RrQdbdGHZ2bkVB5ugAF8/f+TPJ
vzTI+jL4wzWKjvg6bSox74yXCeLj7ETul5DtnzT50oMeG995cD3ee84VzeVosDAOw+ZzZCEgg8u2
jwbfUzq49GGPRAmjnpC9jqpkX7KHFa40xapxYKsXU0FWgSKFcWaij+Qyv5m/eZ3zkzE0ZqlIjzTo
jviFxo5SgAg2lHeU5N6lQeJ4muw2b3BNKuApRWb/AIp27IVv0PhWw6Rq3G1g9iirEqKcP4eDCxp7
NOtqyNKBOHVTtQQOcdmdH/RG4ZBBBEsopiRD8TbFqZKD+dzao+hYzD2qQnDr3zllJNZ0ObiSVwj3
6XzAIXMANPAmBBKW9e/xqtREyiCWJpJtehwSe7bHEvvvRw4hGjUZIts/gaSdu+32X53UjDJzEKA5
ats30LoxUgqWc8tGc8+xT2ANhoEtaT1XS4ds9m2Y8816vdG/oeGlVJZy4lvPb/3w0zjy+2bclsmG
1RST/a7zMIOhk/Tw1OeB4RWsrikFZgw9SBbFhvwKnid+JR+yuLBwRGn4Fb82eS5BM0cTMPy21lG6
vLgGUgw6P2hAqIi/zYqXQCL+C7EMJ4CA5Oi6oJqOgJejhZARJAU5i072yrmTSq/7+iRW4C07Dpe/
MkFx4J+p/BXwBTymXon83wlBkAUaPR+SNsZlUEGOxG7lNNCwLaqgxdwOQHr+RHZCiruAAo/NQ2EA
BBodqybIzt2YzNycTMjo2wKkkiMrLtVJtKTKoiClYq0P43SGqGUyBhkZ2i8AuO4MKjoEdsuOLnwI
0vCKBnotoUjZ6Uejjj3oQc/cpuqYr7nXnKi0Dt+lcNuUufP5iZ0BNtTo0pNZ36dY83XEwkUPUTP9
eTBWqTkKoNau9IiXC0tvTSPhZBwSrldgoLuqsGUnDf1GR+1tHItDSNe6o96/zyqBS0UsE0IUyfyw
akHzBCeu0mwBFNcEFdOp0/asmsJ+K6VgEDqhmjj31JA0kGC/IEK6P0HiGSw85GAB+rE4XLM8t5Hh
ludBjtgV67TNoiapt3w37boYPgApVAj+9lAcEto9FGRlgpHcuzE/UFo19UD38oTwtX6FaHlv1Rdf
oKwHO00po1jfmkDIopF21wOZOEnvSV4m6flAHAK9wN78huTEsTnTTA1Kwmg5ln88wOis4t9i1ZgQ
LaOdsNTrrHi6dKAk0sCms8TuIptC/I9nxAG2CGgyh6xX2QkEVAXmErxVjg89GiTUm6RuN9mvFYhg
qXXKZBuL9TyPqbjU6foVLdI6245SkeiY9WNGkPFhtx1ignYkqkB2PXQZmqhKHap6tHeNxmrVz9jk
AU2f/Z/90N8CNfpM5XiVPZ5E7usgvwHfHXba7LXaIIYZAfwoBbI2HBcFT8CuC+quWCyoaS/RR133
KkcHASp7Drm1D8JkcPXNw/RZ+kwjwTgfG98BIalsN3J6ssYQkkDjHr37ntJ6W/e4/RPA1f+QwJvX
PlPD2P/oEcZpknSSTJlDCsyJsV8sp0olTHPBNVNX/bny2LIe3hWZQPYAhyo1ERKPPSlkdebQ9Jmy
0Wa15y9l43KLWu3sq2G+H4meHkXFZpVTWUAEXYuubwMY6FrxFGEG53N9NFUWS+K/gGHMnsATQXLj
tVFDsrNRhf0ff1IM4A1Mg3/og/bXooIC9ZtcvziLSAH88hSa/OUxYs+s93V6ub/hlxkIm16rgNqB
JqGIxTUnGDdMozH98KLIgIDTCJdkNJhtQygXh3lUSxlveHZUmfAeSxrE4UfNyzKpnHa1L0TF5zbr
dN9LEdGUGJYLlpGhpkMs3x8HYYa/bESQ5AetMddttENZc6rCCRziv0ZGhonWa/bX44AV30LZZ8x9
stm3mZiYdws7A5UXt+mgjF2aJTSsOqtNQgzo2Q+PbsquLA4fwd8YrQw/g5lJ15AOWgc1c7NBHNdG
XQ7gL8DszZLu3YC3b0usChwNPxK+I5c1US0P5kpLN0aCsNz/UaC1hg15UmxIhrrhhWavzw28pwGH
aPUZ+QOuMnA3nGG4s70pBRFFzR33iiw3VObYHv0G/5zDDyCGoLajDEYVTjq6JXYwCTUvzN6eTMx6
wHKau0UBhvUrVzJUrHkeyncXasTddLRinsJakvE3Awjumch2hWRDVVf9c66DWHbq2kGNxPLBIVYk
gJyFQMjL6OYmZU/LrZQ7GuL/lEWjkdXwoHcTbvONbC8yJ+airPLlX0WSDy2JNMfSqaxjdMYGk3xJ
K6rFRQgUKT+DLalqHcnihPuQm3M5TJJvTBwlmJ62lrDviARa1CFQQ6oToIq0M/rzBVT17S2rxBW7
N/nJU5xZBgAwmgw8oe/AdwqrYl4RrhGGFfQ3d3DJ0dqyJFixkbM1U0zQz2qPxAY1bowlZSGwnGjx
IiM6wTqM+eXoMKVI09/ScZgBRt1VYrtCAhbmhQ6yWXattj5GF3AhkXUKiNAN6PK/zEEKu9Xt5S2w
HDg3NbpcsIddwncVcQ16sU6mEQiIa2Bur0YG0JjrmC+uOIvNHtK//QPeWQ/SkD9Srxb4iJmcRfZZ
k5FM9+AziJLPdaaPBHSc1K+2oZZFIbG8kiyntuleKL/Z6lcjWqq0t2U1ktzu5BkzWqeciRbksn/A
6psIvUMPX7z6jTCpen6Htxax5nZ8lXTSt9mh58TV95RuURZC4ZGef5WpXOXjxd7dNSTThaILph7D
OvHZJHjgsJPuL4W8TCO6/tMLr/UQHWUm4IqHYG57bTu5SznfLrTYP5Fu/m0832jWiEvVg4zOg99r
H9/Ne52MF5gCtJ1f6JURNgoW+1fGH2aiwXb4ybmHO7yn59MNiYizLJVXrbaa/vtB68NMUH63dzfA
XgzVCwHmpMaNAH6UCMzHsm2gnqq8ankvgOCuAcVwTPDjOsAIyOUmuKWuyCAAUTEoTnjA4PF9Ntyp
D22vWsGVYrmGqfV+FNE15723BIdxirB7nxpIu7ty+ekGEJ7a1JBXT+3wcKbIXVNaly0UiReK9RVb
WhKQjDNl5Kzl+fksdS4MbJijg3ZN6PidrYBQMgD4iTrriagwFAxM9jNtHlzrD/Cjou0MO1CQ3nbw
gNWoxuujlWl123gKNs7s0sbWrR1TA9wd9jpVjzjyiCq2PX1eZWgGiaoUj2RP37k72T5nyMlvpkIK
3ZigHtGoQ7F/HnePVjZAMEYpx4bdQCawQwBxpBb/FhKRgGYqd0a1Tk5JLgYVD24opYUyL20l2g1Z
X3DpnwUyrR9e+OqYZW/kMsY51aGoqcO6LoHFOwWSZmkw/zgkuraUSE4r+5AScwdXdVNqRR24D6xn
49l4nzAxQyG79qU4OOIR6uJGba40Lc5OpIqZ3HV+zH/PRiw+Apy+EZlDqIXEerlCH7T4U2LlXsDn
1lQNnRq0yGbCKIhC9QFCifQ1RTbH2e32wKV/bF73//KGor0lrS2fxA0lMtyIEkZObKy7gpxTa1bp
pMWQV6rGHHsLCZji3o+BaDskatZGLmaUkV0IOMn+ejO2bYB4Ui1fay53H63XGiQURHN7729VApzk
LLkV3QVwdlqtQJZsH5WyTWXtylgwkazXvLP5qiDTga87V/ea8BkRcPWX58sbJtZQdoBQ7JBldIOS
4DiYPagEt3YxNPN/LCitZ+cghMwgA1M9dwcpA8Oh2al0WsVopfJ550QCU+0toG7ZVXhGGN4LqxUc
a4bjXQfMws5Jjtj4HkTzdtwsQrfJpXlE9ROCIyOrSvhQTMBz1LsdCD7jPVh2BxF/2i9zh0VxpcOJ
DsUDXphMY0iCJhrVrcxVjgk+oYAHHD8CW33/aRd2rE9nf5+6G+r45MZZek4s+TG2cH7hgZ+O7HwP
3z1DjFUbtjis1FttindaRg32yDarFutnvMduKNmDuwyOfD5HKn50N110zx0yVopvLb0cKXMpZMxK
F0NGO8DkbPRMz8KwlDx8TAb5sN1+km/qDfpX6sY3CdDisLybvZySCCLozRei2V+6QHwAqxyEABXy
+ri+h/ghJ3LgsRITfF2fqfzPybnArwh39wKvVTOVsrReisAXe7MA2yWRjVaxYEN/qhBB76noKpwd
DXqJ0ezrdel4zAg61VbyQix4H0JX7lrjxxZA//0wCUike5FifMYIHSt7czRGqAIgO0fXXg9XEwpD
fFGCPw9hUJa/8LANd9Rq9ziwjGzvjI+kNsQIxst3/1nL7V0sT3s4SJrSlhW0JPROVUwPjHyV/xie
wPrYXTAj+M6oDJ0oyWuUOOnu1yISGyN3XIFtp4ZhyFNkNV+6VtgZjb1q79BpWuiyymDY8YS9KTKl
nSBPCs6CMec9G0cUYOiayvsNe5Fo/FvNG6fUjsP1pEV8uzT9ViiA/ehVxwwQc6QB1pqOlyD77FPp
PUMY/f7ya3wEYlxi85R/lkg9AJ+bEJYzMgFgjDcgtX1M9QdW4XALj5ZWqi5yPc/ZaVMf1sWtf0w1
5TnD1Dkde2oM5TO/tsbJX320S71sK3mEYoE07ydbzyhShiejN5G6yRwYJFqytbLcpqkvsquAJn3U
WwB46ApLxe0UXgw/HLO5HLTqDaEcG4bI15XSCmRK/85i0eB4RTuGhLMZ6gRuMqrvRPgAJr+IEc2a
vLuQkGs1a83Y+c6GfgL2py7nWyULC6ktUmtGhvhDzXl6ds2NQPtfIeSytWdo1nfazFMXuWYS6aZ1
B4nbdj8/p0qyv0gNlnuFsRdE/l+dM7Ypb1TTVf7Q5mWJz5guS/BMXvvcprOgGZ1X8ilaMgG3abhk
SsyN9bVHD2F2sWKNk5/qiOepmV3fKSQBaNALNpRIVrHz2hHP0eSFgT8lySYYrAEPfPVlHhdInGsI
WJXVzCyRC2UzXlr1UJPTlWHwF605KHoimF9P10R/b5L8Acchg0OnLLAUVlYJZJnAWNHoq8FEGv2p
VKxB7G61nzuCy01TvsguLpOfjsqX3i+NfhswW6iOXUMKo9L6/+JkBkgNAhm1WhppH4JBZg+rJ12+
/rs8f9jC+qNoPWDo398zyKi5/H2Ba0qrmtlCyMidrwnMbxFuXRDqnJv8+d32+DqQWhNAvzE4IFXQ
Bpgly0kcplZCd3gLNMfm3mUdi8CNoEZ+uhFZGoi1qj1rQkDFp9Yt52l7WhdWXums/+4zGmR5XV4L
SyVF/r3tJX8BBdEWNTvA14WjZb7M4OVUuvyNspeDkMmOwTDCFip51CPJKjWIj4DLmnkbEby6t/63
nzd+uDbPuM4OxKPzdWDAvsl3l5c5Bow7OXVrT71dYI+ylU/3xOyes30OBuWjxDV+LxDreja51OLB
57ECUpA7jAN8rWlu8lwjwJmpKY7wc5YdAM/dQGpvNa3KA2XupLN0MqtHPDuzaWFZGEnJ3+4Rs5E7
NFacPDU+GzmAQYEpQ0Oze4H4cwatBZ2B/pWB64rsPlsSoTgQGID1Dq3utuhbpWziw8ZlL5MWI8tC
nOBpuZIWg9pjM8SUN8NbexJWt60bUjw6cAb7kAW8lxG9Ih5WPdkHUi40pQZibsjaHVg79nHDsx7M
R/CsAXgvQk4roWGBPUC3qm1kzU8KjKEGjw5DEP6BRkSbvNvxbeYNuWxn0nBwjiaiW6heQX+mlXHn
vC+NVpi1t/J7pY8Di3iDozSz12wxwDm3pdAQPk09xHVigvUH2kbERnf/NtRsecYGZl6wj++IgEhy
lvc8J89lr7zjIelNzCCvb/pYI8iq9zan2Xd3R6CYT4hRkTeG6xJtAbcUd8S00IE3X9trbGpdzPNu
+nWBqBIuC4ZoYistPy/1FOgIHrbZ6fHjYvFwUuJbPK6oIAxBTU83EUmV6uRhjFupdweITOHorCOC
ldRrSt0xdL1ShnKgqBttMwq42BMNCTJxGwaFbU8RLwLHsCkJ9rVbk2Fwv8+2wGyZOOi58wSODJEm
b0ZvkJ42/bkm4GLYuQnLCD6vpDP3TwWXQ+rfiCC4JvtUa2G1Bp3RUw8wOA03oehtAEih+P8iiBEh
TB9WKTWl4VrRtN29SBXO7g48XxywS/pbjFUEkqeVwF8+j9XhBM2S/Ju1UBk+XgYNzhjeWI4HryBy
A6MEUQ7QdzfXWXGJUocxyy/GcQb92fVbu6yRA+c3ER35vpaLv4SbNJhwEjgAVxcam3ECX/2QoIDd
jrVqZei2RqPS6QJ4nbJ76mCE3iHZTBqz2B0Q8Kip7/d7wc/LmtxXyuZZ2DRwOduQ7oMRSa2FwhRY
oAxPYxgWYGEzFdfckjWMo1tSSxzNAYNazKyUsPNyILL1TTXJpK0wb/8fem6wx6DRhzljIZjNQxoS
NgP4erLOuLPOzaySuo8LiUxBE6MkB5SNhCx56VSRFAS5I9eeeBXScQwrHNofwHAcZfwFc8FIYWJ4
LhGOTzgGk7b65mGsZ7Z++AgRrPg+2ekL0pGpBU1dB6eZFdiXwr/iSXf559HJT+Cxt9CyOLfsSMmA
NgtyD7Bt/JWDsWB2otHS4WNvtc4ppWJhclPVW1+pHv7FIy09SMm4InWkr6vEcK3ghCclbmORoKYY
ScaAZPn5gZNdRnFs607zp7gmrkQt9IX6YCJOJMofl3fwFFXcJP7DcbDVvHbtUTt9Eadei31cxEtf
TDGD86SmTyZrzQp+wP1TFI1O3QbRGp1EFzbjY5PyhDxTntPq0touEWIPV8PKi9mqSpa+e22UASyP
pYrvhyhFjoomCPAOm83YduXBoUr1SJG+tS4CzErA60/Zj6dXO5sAUQk/e05nFn3GnxctKUXTexH1
7lEQa4l2J/2tKHVwqVIVSgw+O4dFIwGI2iiRqP9ObJ3xN7H+JnWbKy/QxbWauShmKFN6BnCafdgO
k0QrnO4R3FBSUD9RuDaiweRwLE3+pRfm6wzUag0zqXgXQs0LmD/b2T0uZTIzlCYcU3Mt4uj+q9X0
BsvvH+YD75DygIoEnpSE3sWczVbMOdYn91PfDOEGsJdqvC6WvPhnJ8EJNf8t4fzbK7FRi/PCeN6V
O30wLMhzBw+EYJ5E6T0I8fQnRdWMwm6/wV+3vveNsL+0T2PvYY8rFitAXqtYjAnsOxgrCoXWOoKl
cEAv5FMFdFSHpyFprzVd4fIQcvEsH/eWgDYvEgwszvwV2wNSUlMD00LhtOB9Ztl0PZNeezQppIJe
zHz0UaZ0s/JIirn2n19j9t/oDvZT7KICOcN1PNEw3BZN3BffpJKChv6LdZ6Sl+ECxHzwyZZ3TGwA
/00ltLi8THmUZCQ4+DHc6ZTlMDpi64bYKVxMOnXNFB0LMkEqnrHzToLEmFO6YWipY4kuUYmCVn91
9Ker2bf6FPiJ8lLZBenrkoQC/ldtxsCv3L38xduwrIg3mXhNGTDWbFrW+BeZmBcIJJQZ/fM+mepC
NH4XnJlI6ZZEVgbve8kzCdZNHY7O0vI9Up72MDIrNoZB7lVTKGtZP4HS9WkVl7j1CFCeONAg7XoN
ReYRp3yEe0aV6wA+GMS6n40JLQVuXk3FCjhSHDv/7b/mWK/WVqvmX+lAfEnmX/+UxbGRtjywlB3p
cjPmS7m3/QgGhezAI9LoYmkG75xQR5tyvBAims/qWFnmyJzMI/rLECDC+oLmQxiqOsd3j3HRRh4r
ajhvY5FAp4+o5qkE4uaZOAUoj0kT0pat+iTsn53Wy3tJknlw6aXJ9dflS1oNDoDyvxo7NWW0by8r
nLU+AIAXsWiAQIriAHAfoiirGvO3Rwhbbi05HEVBelCOMDCjWD5TdvUdc8hdbfZvWZdqfz5ap0IM
xhWBqk1I5B9gJ8wh5HjmV40gQSmP6steyGwslh+HHHAvhli15cc8dhTH47PyP1JwRNs9OH81gvLM
eY2gyzLENoO6A/NLPBmOM1ttbOqu7UnBuRh9URAhBmwFtEe942KiAp/GIE9iYWNOPxhEkbsfPmKZ
hYd+P182tYXJVKGgrGNMktRwCfrFNMaIBp778bwBdLVkZBh/k/wF0GQmGpn9OUR9Ze4oZF9kuFkl
KVn9eEbOtkERIsYUNuTI3zLfQC/SK9U2Oey2IQZSlYzvm9RCTTaRk9yEug1ZBGS5LlqTZXPoFXXo
6MuIrXSD7RcUSVoxkfVs53XTm0bz2fGw4yCEHHB0Rc677MS9fnRD7tVtGTaLXTIdN69cjiJc5R3F
P31wYwXgxjnE6Vk9y6JRh2LLgeASTVi61KfZ5MnekWI1y3hnUho7LiWJG06xExFHdLRL7Q2c1wxt
b/xtYYIc+6lqpXVu8prT3H4daFJlRxyHulEzynczowad3VGkMFk1KpHrChpWzB4PIszyT1fLRdx0
eu+zM49WFAobFQmtBoabkvmvcca6c0dOK/Q1AftPwrMrxJrocMWfoHID+cg85htb2E+ujeUBooTo
RGq2pCRRkegyX1Fqjq7iH1Xui93fsyKiRmT9nKH++2x31bpVl2hfIQLDoDqg+ax0cjIJTkFcih6m
rIxz5ImbCJYrO51WmhYywndh6Ruhe9ExeVs3BoDjY7LNjxDHZSJTEveXO8NbdiHJDn4ib9zq//sB
4IIklSW1OIZ3TOIUlReXx2cST+PgfZrRY5NAujqbtAqqQTYr+fcixOesFqyfJoovW0eAAe8aMkMV
5c5tjmoWVA5pCbpP31EVlSMVLpYomvTw5lJj4EiKD5b0I5wa/hNcczybPaQPsyPu+hAbacMhVIbN
T/01P0q6A5x6p6RuECRNSo/NpMaqr+uee0IpSPgF6fYeQzyweSBNFjxjDxqL8ZZXffJ4S598yBDP
GeBULUotTwa8tR0WP4fk/upCARXMuP+ztbJbMOLyKxbBrUCFbKrAhWYL7XPBD0j+7if/B4xH+ew2
1/IhvWVRpUfywXs+FBpx5ZWpkMGEQ7FbU6rqNNEWglnIn++Bh5jmBFPZgc0kYb3nXn5OurnhsBE2
/kURTfs31I+3hS7gZwGsvjreuOJsfKvJSt5TIjslWEr1/1ODGlqETixy+Vkry0223uz4WGwgqsjb
Zg1zir3Fi2sulJWnGML5Y5ope61HnrE8QuMrP0CHgO8jJgUkgvqU+L7rCZ/Y17iCETUqZQQ5JEWk
volTwJZjZuSLjx/RFz+7Gif2TFx6WhsSozw8HgGJg2DIflSbFRuEAyvAB9CFfZycDO1AklEwoIt2
fX6JY4uqGiQ6sz/G0jPl6PQYefHFLtHaXxsh3CjJJLqiP/NIxBXBo8IVp1bhqqfD97cqOfPD/7K6
V5aQudoL3DP4X+z0nOSG5mbyQlFMU+pWuS7DDFarwOkGm6XxJUEi8V2evn5u781E7k43FDhli/dZ
dYh8pTKanuyuzRAMKaR2c4AvzeNrgAqNZ28xqfEZMY2UZi3mmL/xhJtIUgb0FjZJpp+IFscyP4Dw
vDBMJG0KMutHyfDfgQq/G5AiaucxheXjAkYKnKymRsgNy4ACTFZ8hevPKQmzgy4JkyTbWu/4IZsg
EXBpEjkwYLQ5O4eBUU2mBRlGyMLasAMZcNo3soLYKP2L0NcsN5z6jo+BgBKJINQzuqldGGEIqao+
DpNikjyi+ihTqp6yAN5laYC4LoZnCCnf9w3QEPCv0MZaX+MY+nJyeofxPeKx40/cbX6NT0/bzM7I
laN7W5uPFIPZufqeuZioVH5VCYrCCWKdjIB944DjxbZLbzauR9sJ2F7hM4wHlHGqFpwusJGjAOeB
siKv6WWkL/pnQbJpbF9gsZgldU0TbhVY2Igqk3hqMM7LE8NO6i+aPq06CxXPtxJrhOq4yAgUZewA
SKFpXra4Ph0YbCf8wvgm0YbiR5nbtNvYOKwnxjm017ZTGTZNGVVw98rQU5C9CPsV6LErMXtqvQMp
jlAX/GCbMKT4NSpBt3srhav2sKPCrTZoDpmPYBEsPLVNIkNg90LhfPorD9w9oCS6OlrahaQzJaQs
loJJp9nzIFa9K/cdxxw3TVItmHYuXr58XJWjhwaT0yrT2ylqwn1x3ySyYpF2YZp7I1wFh9eZX6Pb
nnwu7oEuBi4nPgoe77+Wwg/eKeao06P7XsOXtlTXjseFzRTGcMPMywgmSLFinVFQc+R2e8KvMuZ+
yrxYKs2YBIO1Cl3DrtGF7mxhJC8yVDSSfcA/YY74yhjvHy/Ay2n3BEnMezn1ftH8ilKvZGOfA57m
feA9xu0OICdD7eXi5xxngA+38uRGgh4gyNiaMC/oJ+ICZKqetMIlNTBAaRdZO1GoRLt8DFtB0MK0
fUTbTt7/HkhPa9smT3SS8SRVNrA1gWWrVRYhCX04Y3hXIv08sdWZznDnKbkBDVganLk6ZdjIIWka
FIfNUZ8qV7A/fx8C5EVYFKz996QRAY/Gm4NlNO2tL+GgkV2TPyanmebE6IBo9Px9KdEtd4iP+oLj
AOf7yMYcR5Qsq15bvPoY8mrxJJHRvmV3Mfr08LkhvioDus38jBSPD/fRzmS7RrFJIA18kGKQmTkR
2DV5aWMzGoWESJdZPF2xAdzl2cnf/ULvIEO4KAMHRlTpg+bK91F07WQIw/Qxk6FSzytMRh8mnV0g
hM6+DJQimGr7LdvoHn7eXEbGIU7XCYPtzckYsS3mleV7s05bnecSJd3IbsCJz7q2V1bkGP0KnAcF
5MrhVnHhPgCHnm5m9pitOqc6oU9nR3HCZgQPE6Fh7h5MCjTMK0LV6THsQ/Um7Js4j3MSdyGjq+vk
RvirirI8c03GwOynyWWIGMoLvnZ6MhWJv74rwda2hav+kou5bNkgBBOdu2UcAbJ6IZzzaNhCcGaa
cl51ufhjivhD1eAY9ZdEwrw2Gbr9llWwAt/5iQp+tjAq5DYJ0gErclGbTG4k5dVX1LgLsktvmyxS
hhFYvaddSpslSM28IMFmxyfBokXQxGtxJNBgXdjAGXSAwEb5PAnzK7FG8hlPCeSY0H/kwb7+92hs
1fnIMrCFDLmBwsXoyyzHNr80YPP8ZBoSEAD/yUxvKAVht6wT0eU0I6Uzzov2Xq8JcsNUFjgoR194
pEGR3IEDCfpcKRjOII7KJ78jmw4i00Dyl6pVUWjvyo5km5NSYFnRyHsiNyLZiA9O6sF9WlQzWTY6
6TcQvZ5ErxOiU/OaM+Yo/6UrSNj9Gkt4pfCA5O7//URhBxWesHXmL1xZnExvjyujkMEXJmOKhtma
UIseMYPM7AAEYAoc0YtPVeY/TDsBDRCE2FgX4eS+8/742YHDTFovesACBUnT36dIrlKHEH+4TZ1g
fQ7sEgn+tGNficb310INzp/xJNvPOkPnJJmujOZBDDqQQd27K/W8vH0B/HrnNjj+7nhwTDnF0qGr
EMnSc/GIC5ukqKA2eqQ/dQxEPDIZRjHKS/XCeOa8N3hdRRCJ6E3pLLnr2BMpPQLkctyDnxA5NCyZ
qIvzgHiGNmP6dF69VUt1AEo3wnMtc/IqCcUdbHMS2CdbtJ324qJiEc/1PpjMJ97vFp0OGZYyW4IZ
JFfXX354LOwgSWBtxVrZIK5W+eNU01ywzijyS4VXD5fVeyIHp2d8c2P9iQLHSnKTsVJ6VeYfWKLj
9McX5kBPtLEcU+SSbe+E3dLWL6OOmtQZDXhXfGgnEvIte8EAZdeXOzV77CwzikHmNGONSgATNkMu
d69vI1trGZIgWD5ngFx1Gp/GWxsU29q8Q3IJrO1t/L1kAsxM+0UYFeXpvejAnoyx5j3gLWfwj/hz
yOYjvBSOaHmInjN+EcGlRhncvsvQDfhppPakHesTeVUg+Xoq71KoPe1tiE4x6s9pzqetdg6pW2Xe
4iEiRXml4ImDPNppbLgQU2UbVoOhtjeX4DzrSQe91HUv+d5HAcudxfi2ydD7K7FJ3OvEjC1SDrmL
61KAv1OYDziIBkgXZgeaE/Z6QKZLV34w7vzVi4DOj6fwnqAjDRLw9h7NadxkFpXxYHL+BFmtNO7p
zIYNpANNfcCO0KBaVwAX4W9RYrK7JELsUFhQjvw+hqEN5m92+1cLMSFemybdMNqTJiaYPjKYpm1i
SbrtRKcjHjvN/L8UnvXywHl/beGyOk+LTyC9FnFYSBysBN0PNOxkwSHxSXn+qvj3WcV/dqMkYsEL
JAxBU2qCK+B8tpnXMjDJrfUw/VjFM4WDNXbluFTcQozAXY74vfwPRhIy/1i4XZDk9AyNWIDGBgj7
3JxMZeflSaqzYfMdhUhsQM0GhYoX91NzmnUeRoQ4lnvipEN3DokwEpMuxPlR9COfyLykMxPNwUUr
QAQi8xGnMFtzMrNVtbMrlAjcx4ZH9lWFGsD6UseqhUQ5+BpHjsE7Sy2LzmQB3Os90j8ZNnHby9LG
niAWE5ANhv7J9n55TGj0VFAb20Roch22L/jmSxrbAy/B6Ja3Vjf2ixheeU/OIpOcNXOgFQw+Lh+B
Lr4ew3+EN6ZouYNuGbIB+BXQ13KFj5gbbanBPyoRJ4sRiNja4G3oqpmjrT6pXzVodGXzjh3ji8Sl
gu9/ZlFr+nefDBROaXTM2g9MsolEc5oxGQYf5G0Zy2nEO/XhA78zt3Pxqvh7LrM7e0i4/UG5SzHE
xl6a1odD+sEHGi4e9H0qsf3K6wYb+jkiwR5UPJ5P6E4Z2xWr7TDPJsTE7pOp4G9a2+nv4mSD81W6
er/tHXuOC6q0pNxbpnzt7pJZ5cHrsqGGF2shJBaOGKsKZe1pCO4LR0abzOVDoAw198Re69MqMEJI
H78RINGhdCw+8smF8ZlYyOcVQ3wzEfplJ2ZfMT393/4TeaMIDGB756xVOaDjqxwp+F4HljDMjfwt
V1YMIbqm+VTT0ncLscZ6YFvz8enx6Lmr9ny+bP/B06+Sxxn+6XYEqcfzOhC+yCYMw/LfeQdZwFRU
9hx4MsS4yb7EezfURg8dNmfqCYlvu0ZZn5T2VcKBW3fsMEWrWvDipEskyjp1iTozgaLIiZlBsvsM
V5Xc1h8jK09YqbOYRe2OimzFuEruZrtWPMe/sJekxMQEbRo274rF3Hxae0PdzGAiMQpWXCaPPPr9
ZB04UxyNjGYZiEQgkChD2Mt8QJDTPzqtH5cV98rfy0K2veUc1sTTUzPoftjcVftrNUak6kbEUlSj
BwGmZdusyRmoppoWKJ18up9YkWVjserJ8Cf5JTiUq57bafzKpGh5bjA84kLBzmOzZTl/k4IKbDsD
34+zsxj0tI0Q6aGb+ShFOGBTbstF3ndcDbfCcEoDSJfhM8hOOLKYYGIz0w0Py5VR7/Y0HDF7yd+D
kQIOAFiBR8P4CmgFJaFmaNfrhBLhTdw6R4SGJeoS9CnUG161/G7kSsWG8+psvcl+SMmZxLtDSlWY
n3wKKcfuVO0U2DgMg2wXMPwDRr5WVHVLl2KM8qGpjLNnZoVTBVg9QEbUc/QC0U3PVY0chX8Kck5a
cVvI1mZ+j6UgQpI/vIULH5Mg2uexi3f3ceOFJIULS5oMEVf4/lNbG8a5qWrH0pg9QCr4nv5D+2cM
LGhh/+Vsxj5uN5JG1c6B0aw6Qn7TbH1KIf4+dXksR2Y6iodUMUnQHanAMSKsjNmLrx7cEFx0Q+0x
6/2Ry2ZVAtcLad2ilZMe02GgigJbMYRyqHr7dup4qCHay1Ac5lplI5+mJyS1pJjd3zRi6jFFb8tk
V35kgnmSrxUw3UYh9gaDmSp4uG+qYLC1lulPvrgm+gbaQ1zxIPgZ0YAvEr1qAi4tvZdhAmEjpG7x
PIHOlYJgbW4rL8ZCRWSFLmHIoLovuu38KOSVOtyVqRB9vHSERLO1+7fmot2LDJYEUYcN7jOYLeyH
TUCLUqbnBj/qY7V1wpu1MbKoNVHhybb+XEhlRtzn8YNG68KvGp8Q/4V2RjcoQUKJmQyEHA2WKZMm
W+egHo5LM7rs6rCz0sPIaZ7+fSwIWkV9FqfVboNHouwGCEepmIF+vfr5sUhZWUwKmM/P0qki84MJ
4P7SJScXiO3omVG9fcXI0gx5W55UzhXfFHaFwViLvqAXtbWmk4dQYI/bvHMySwe+OnX+ujjC3tc1
z59SPZglFEVi44H1HIxcBwwZuAXUAD70CnibIIX5X+YjVkXBFRQYo9/T5oPBVyAQ6oqTxEpdAUAm
641nIkEgPI8WTLkxQEyYhTKRS8fuYgcsSktQL3OATLsK0CDw7e8RzqobwhmIVkWz53Lw8L8oOX08
gbMQ+hgp1V52+pdhoey/cFtvCoWSzUFBlcLWvIFlis6ZaLtCm539ea1QlD9I2DXce9/VyNgEyvIM
JZZzumcwm1i5AKBEMw3JLzstBM/UFctGrS2PjGF6ygrly5bRrkXZJlUzZ7gXCIGhM9z+pW5aAcFH
N4LujjYIm5NEpAAVC91F25PAaMuk4ecfO9Ik1huooIaY52xmqZSlRF/hT8ER7xfh0baesQlY+2IN
5p4QkWOBf8OAQ2cGXU+YnOCGRZXkrBugzdH7PWGC/rtw8DOiaLVzDJllyF8yCzX/hPx3t+YmCgng
JmryHMu08LVBgruBupEYNzkZchLmUUxXp+ap5qdL2qa5KRGe2oMNbwx0WranlKHu2K0ZDsfcp/gQ
uiiOxYA3TrMsJiZYrYHvVBfjuRyQqFXbm4EAgfauKUupn+uOQWLL83MDK9vP2ibK+AKnr5DD4OOt
cRMicBK4kC8M1oPMyU7EOD1MlwUt2LWWyIbQ682SKyXaRCLEiBtmMWI32JIpoTmSiy8QJffCCYzM
tOG7DIYVzfuzIXxrZi1FL050jBjrJuxv98Hyh53jvRQCqTvcPo+bSdSFfRRFHqhZ3e3Y750hAM4W
S++qnSLdSIlfe8eqnFMVYzNGGKUnQL6ztMfG0HEajMzmBHAoBJ7rqOZpdrK1NLoYaUmJXlBSSNfQ
NPENpecQ85vEtPN7aDcocKB9Hpk/hJuWfdxQjKKqIIk7hGzi3hxxs8mBraZe2fq/vbKJRAz3/HOV
d+rftRtA9L42Ffy6w5TJoKx6sNQ0pBdZA8H00Qrh1S03/aoEgUXgWNmEVZOu+7eDHLefk6lusoQz
m0GMQM8zoTD2tqlccN/DQ3JlWQc5VPGJnzUCFqKFJFeAXpe7itPl0K+vjG3LGIims66D6H7knGsU
Opck932eSlYTS6YF5x+1htBZijWpLmDJBqpp6SC6cRSc5r8/C4zWvv9VLP23cPAAGm9Z9ChtmOFM
6T1/JAubUREIt61zI5km5sXct/1y6K8UZi9YFUZQyAxNelHpXvp24Rk4QbF37hUA96Z233d52gt/
JO1j1M95ahWtvYJ2OYNxYJwKZVhO0ydOeTWH1Md76O8hL75TSbyF3iuigbUACKZJPhwHqe0FK4tp
ZTGnPI0Y5fGjShCho1HCkI0Ft79m/2jkMQPKAhhGZ8nskjK1dzyrwkkZ5t/m+qqTnu/UlbcNqFHB
0LVXW2S7/hRcvNq5Y/Y6BdVHfXvrJ1WDtv+y4Ij5fYcNdar6ioeCB27nj5QwB1O08FdFPjxAT/U6
BSDQ4dtDZ2grQ2TPWtzGBPubC2vzYp5jkYwgb+eDiXIl1oeFWUGD7yF2D/T1jNUdFlhvIT5a2/Xu
9OrLZiqtEvPcFfiVsoJMhv4U1R/x7WyKfA1Gde4zP/9Z6Mfj6bfHj2o4yX3CiPEplOsGDzPd34Ge
LbV6kGIW5lrJjng+k0nDpP8RBsDwLdh8GWyusxY3u9k5fHxHT5vO4MA8IahlzrvbATeWKh55CddR
TR3c+M408xdDK8AMF9Jsdur8dIY+i8o7rCGvSYEI90wRHxfXTv04YhFmSkfl1YnbaJsdk8vchMqm
pTFH5aQFUuC3MM1vvY51sVUyU48hGcA2yuVLcBXwQAWuTOT2o41EBbLzmMy1rHiOoj07G+M1tJsM
KomJvZomwmtk40dCJTPXisKgKTJaZ84OxUu845rjOc3UKYyg8s5Xlkk6nJvt96VX3hz3Xz2ap46W
xFZoo5z1NaBxfF/8H9I+q1kUOipZi1p4Mw8gq8VeAJ6+hF9DLoVZzz2SsGV7I5PkPXbN24j7Nmhl
GcLyc72PV3d5q7KbUIkcbuIKQhJNLLFpMn73M410etXxl4Fl+lnURgJOtcWuLs1rb0SWpLnC9fiA
omJqAA2D9GUJfUQInWnA/o8Qm37zvI0r6S6T4ma2+Y5hjABzdthC8R2BDeVp5x8sgkH9r5i/2/2R
j2LiI+fPaD8Uv2BIQnMeeBr0L2RymLoddlbnembQippPi3Wbptp02VwOdAya+WO9WPVd+GQeDzre
AoIKfGwPgTo074EBehWua1FJC0eao9FAIUmuqP9PLqTEJmc0oUul77EWq5oLp1r4yc/s9FGwo7Bw
I6ppZF08bAqVc+2d7m1oyRHAGVOll0FC3Sn+LNIkcb80n/PdGOcmcit636pd6UzJTMBoppj7GgfN
GU+ukvuYCKZQ9gy3g/7TKNdo/ss2+t4RQQKhKg6rudYBGmY2AwK1KrQGbXK1VauffHM3+b9RNAk4
jff/6DnRcjed3VLRwgkAMJHjQUxQkh4Pgd9BS6JDqCGS7zfSTcia1YyGQCQWSkLQUJvVFx1ZwRYu
tp2KD+3X0jXkff30eYDZakojnbFI7YHa6uAYFp/WBgZLMVUxNiE+PUL0Z7kCH1dZAgzRyDAJRLnL
MxmTtFsnF/kQ6WJ3tdj6/Y3MLDbSQjCQqw5GfA25KDpU9Rpxijmbrch7o8s9eNPjQsJPvTyFkWco
ZtnlGQ2+L5r8lVJPAp/fjeZnRMT9RAdgNZBoPg+9R2LdneXHIv5oQ2Bmr9CnAjJgJM+1fOKJ0/Nq
0zrI1ztYHkimujjstHr0QQsvwsl+h/tcAmfZLFNwFbn5QEdRNtXjjCfYc63kWvwa/rQXBFyJiWBp
G11lTOtXSF3kaZUwsw6kpahGZko896IGFxEAf+L95GNmefGpplweYvSsJYBQl6W5zSGdPnirQ/d7
/IC4Tq00P39YmKRNrzdmyAyno1EnZWR7pDpGNkjEMXCb768iBmb+LoUIrWjxKiB1Q2ciwxU001YE
tSJF15OwZKN1Q0xRu3O4eLYC/yhI1pGvB1gZhOcCjhBMyq0T4AmfpjHyOt8nhSW8ec/NdvSjq2+G
GnE4ism3WXQpE49Ms0wVVcScD5ws53fIVVnfe2EI14r7w8aNzCezga8dQ9f88xx3oW0sHr74NU3i
CcFJrTWBeSVyR6UVLgBapmvyknepnmGOHYkkC7OxYKC5aJINMiUshhlXod2hJxZrKw6TzJ3yiEXl
L656dbfFJHMdUfh1fDGEeHoM3k1I4YBQe23d8RM7Uc6hegPTb4J97v2F60C85CdnzqgYLldtg/Rl
UalFfoMVab+A7uU931fxw+2MfLbWd8k+1I9HESLj2N7MHsNYY6cZgXkcbIePT0OMte9ojyHqPu3S
+ZbC/eJ8LW6kuuGimn0f20UuVh4mQNC47S/Tr/32QOngOMOTUc9rhffV+NKDXC1kpo7UGtqXTmjM
yNUW82MEkCz7bVO4B3czArqKsyf2mBQ5zC/I9gTTkO/YzNcg8u0F/4nLsT61eb4vmng8cnCX/tI2
gwbJZgJG4a6ncqW6hgHKH4lJZ3O7SlDaALl/vkjUlW3riJkaQkxWnOSngkFPDiDhdul0011C+A1I
/Fnhr5Jl2txPGT1BOo7CjQh/S6MYIaFkQuKxvHcj+mrdbHIcVRnbg0c55wDa4ZOM60V+60tjjwXQ
A9O12JKcaNUyxkX+yxepgCsUkP58a4Nk3OyA0bSJlYyvUVbeHf9v5edLGtdh39t+tO7HWUmu1XVK
0xUEuGRUruG+QJENreBbzmMdEfSbNFKH63i7BjHowkab/99etowwYVdNPX+2renvTjbyRjkM+6/k
Oah9IzkraNCwWqUzsSlAG1jbaQz2XOwb5DEt7o+EOpMtE5rYZ7YNEpXoPiypk2RnDSK3QASrQS0y
E0bjOd6BmjB7S/MRluDe7PYYWVqdN74G2LrMhGgDevcFYhzcw9RJJcZW+xaQkDI1wq7WU/xR/Zsf
yHdJ6nFbOsqu0pUVmreBDAyqaUL7+Dg55FrEwS4W6JsUpC/8UohCCSRNV5j0wBXm1kKPe3TLpthk
5lk8r9uKR+qIbSrXeRoQTNZSc5HY4a1m0CD7wvWAP7KR0igQ1pQ8EEc0YEoCAgkRkEywG+CZ++XS
IwStRJOmZAdRVMJ8GzIvo9duftj5E3IXri+CSejgt8xRpWtXAx42DlL/CTA7KBFtSxeTAa12YB7y
U5DsNX6Kdg+MBoGM+9LskFTaw1zXN7bUYvlm1z2fnofWKyd3tvVltUXSgITb5gGNE1yTsIIj61Pt
utrNQ9Hauuq249kLA8OJis/uBFOHKfmZSB8mB3+rjsJ0ltM/oqBnzQxtZdUUyjugGhGsJcTRy9Gy
geHy7/Q6Tn3ZYnFPZHptz2H/zjJQji48+qH4KMh/TInqGWvsfoyrj/Nsyqwky2I3rzLpEeovnH6J
CTWUt1m6FtjEPDrI7VjIn0gcz4KiQ1eppS6RVttl6Af4kB4Jr1K+m/9hSvtKf6+RSS6YQn51dDwU
7e4aVEsuOLU2s8p18zj4Q9/QD9fmq2jYQ4x/wrsi/b5apHCgGJKhoa7ZPfVKkQESWZa5E575Ktub
3/5uAdKpH6/m1dtLbBw6sJ4D2f8DBUQ3t4M5MQ89AwVUn4xjMMLp9bilJObcv08meHg41C+hfv9J
9WhwiKxkazx3tI8wLY86usV2+ESB5vt4mThPBI6kxzl34QsaYINkxw9iwaRjcvA00TryJ9soqeqo
sQ3nQsA8bKxgHWC+vD+GLdnzMAHP89VcyxWO4VvLQaBCbufAp8gpL0O2ZwAgECVv3cxP5xKtESN8
LHnLEakdsSzLUikDm5KEr+ICwbxz8lg06FxwN9STvS+y7lzbm27cX8UM/N8yKqk4wfSY+fyf4CC6
HC9UNmWdt+nWmOe4D8j8WcH27Y0f6o2DOSHhIBaJaugb9pEUW9/QzbdkayEO3C4RgdpF+lT28KgU
nKZFxRfWSEHHiiayMmje+l8jh1/X0a3Rk94f5eaXHPCxeJQQErEIEZk8DXPtB62USgwkhnT0598e
pBo3ZpwqPUw1Z3H7fgtVQeEnGrmR2FQUuidwKc3kuo+wVT8TdXmwPIZpYKdEwEog+2YTR2qW1DXk
sxFbdWMkmZyCZWpgLvtL00goMjUcRGM0Xq6fko+eifXd54WJFw3YvPnzg/wkQk2ns/CUgeWZF1Vy
1Go+hwhqJ9MEXVcoOTWJmKLDRhr5Pg1v47rNX6dW5h1+qV9AyOncskqxIpaBkzkaabkaK3oW4LeD
oyWcljzDxogDkkWktgmdFAV42jRaEUm0vRItb8LLKqjY7u6UoGUb1N7jqydnpZI4TFauXttjrUs=
`protect end_protected
| apache-2.0 | b04f6f2b3a96d0674b140c0683b40c8d | 0.944601 | 1.833526 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_status_flags_as.vhd | 5 | 20,484 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
kFc5K9LvdTZe57Ta4b4w54YJ9K6E6KuiE/MB1wjL4HD6ZTzmLs1XkjmPl4LtE62Yur04bELPJlTl
JgZBPy8Keg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ifyFb0NhfgHUpJjr0mbMaFOI/NskmbnU8xR3QjvYKT9xkH3UHw1vQd9BG7/dIGUHCVmtJfIXw4gS
SUqybR+7d8FU4ja9CI90DuhGphA0AOzFxDP6/prYMyeU3eoT3CfOa5ayndqM3WofQymiXhrLHY4Q
JGk/1YYX0T/IP5SAoj4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fnxoeeqy0lIt0CRjOCSmrSjpyy5+Xt2L8J8WOsSetKdIJZIpMw93R0X47CGZEzoOfrJ/ukJ+Atf6
TLLIN+LwkbiWAJVeFY98K7tAXu0tJafNd6dwSe5zrxRnxhmaFMh8dDTOyLQ9XP+KJ5I+VcjxVFg9
xS2oldU+p02+nlor9a0xBBMtG+TfAdehZQqTF7Q/A80YVyrNpe7ZnVqJNcAdX7ZRb0WEdjyjD2Gy
wJd3uQLw3vxCy+ZTcQchKfzqk0MCrY4DMpa3svZfqhfKolUae9fnLCbWHe5YL27PZbo0aU4EebOr
Zbw+AE5R2+g/FHrgJg+bNSp1mrd3OXT6zw7wDg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
EZ2vkptAJUNsb1TA7J6o5Fz+WBMu3/ERvKEQ/MvoY/XTiLTvU/9yqkm0ScjwVBb+pZsOtuDz9sH/
Md+fTWZdbolo+y8tQURBldL5ShSjSBtUmPU0gq3ZTZMuArWe+awSYMPa2pbXhF3V5tHKcKVQ0w7R
iRewWPKd8p0N0fmRI1U=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
f/dJA8YRX7sloRncNtKg0GyZtwkTWHqn2JPiiIpKxSpvGD4hSRO+wN3Yo0F65ZRLDYigYuzN2569
lkO68mbcLDbXprbTcJMdI4MG2FLe2f8BoRWax24BqXVxMDwE33zceFEz8hMHVSoUUuKvuL0bez89
d71tWCAada52i1FAIsCGh4VC+lZUwl92NMvM3Lj8TZEwAmgYMrlT08UswGW65ZI+u/ZzirjYTwLO
fWr0grBdpVSlClH/ggeYB7P2jtAjGtGNSvUQ0WB89C8SewKnQSw47tKSuZghALJVvd8Vqs3qJVDK
d0ZNjlufAjPKzq5P2Md0Ht1FwMy7rFXT/0ZkKA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 13424)
`protect data_block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`protect end_protected
| apache-2.0 | 25227966129d07a9fef53614a0a1d32a | 0.94132 | 1.858465 | false | false | false | false |
freecores/twofish | vhdl/twofish_cbc_encryption_monte_carlo_testbench_256bits.vhd | 1 | 11,814 | -- Twofish_cbc_encryption_monte_carlo_testbench_256bits.vhd
-- Copyright (C) 2006 Spyros Ninos
--
-- This program is free software; you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation; either version 2 of the License, or
-- (at your option) any later version.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this library; see the file COPYING. If not, write to:
--
-- Free Software Foundation
-- 59 Temple Place - Suite 330
-- Boston, MA 02111-1307, USA.
--
-- description : this file is the testbench for the Encryption Monte Carlo KAT of the twofish cipher with 256 bit key
--
library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_textio.all;
use ieee.std_logic_arith.all;
use std.textio.all;
entity cbc_encryption_monte_carlo_testbench256 is
end cbc_encryption_monte_carlo_testbench256;
architecture cbc_encryption256_monte_carlo_testbench_arch of cbc_encryption_monte_carlo_testbench256 is
component reg128
port (
in_reg128 : in std_logic_vector(127 downto 0);
out_reg128 : out std_logic_vector(127 downto 0);
enable_reg128, reset_reg128, clk_reg128 : in std_logic
);
end component;
component twofish_keysched256
port (
odd_in_tk256,
even_in_tk256 : in std_logic_vector(7 downto 0);
in_key_tk256 : in std_logic_vector(255 downto 0);
out_key_up_tk256,
out_key_down_tk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_whit_keysched256
port (
in_key_twk256 : in std_logic_vector(255 downto 0);
out_K0_twk256,
out_K1_twk256,
out_K2_twk256,
out_K3_twk256,
out_K4_twk256,
out_K5_twk256,
out_K6_twk256,
out_K7_twk256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_encryption_round256
port (
in1_ter256,
in2_ter256,
in3_ter256,
in4_ter256,
in_Sfirst_ter256,
in_Ssecond_ter256,
in_Sthird_ter256,
in_Sfourth_ter256,
in_key_up_ter256,
in_key_down_ter256 : in std_logic_vector(31 downto 0);
out1_ter256,
out2_ter256,
out3_ter256,
out4_ter256 : out std_logic_vector(31 downto 0)
);
end component;
component twofish_data_input
port (
in_tdi : in std_logic_vector(127 downto 0);
out_tdi : out std_logic_vector(127 downto 0)
);
end component;
component twofish_data_output
port (
in_tdo : in std_logic_vector(127 downto 0);
out_tdo : out std_logic_vector(127 downto 0)
);
end component;
component demux128
port ( in_demux128 : in std_logic_vector(127 downto 0);
out1_demux128, out2_demux128 : out std_logic_vector(127 downto 0);
selection_demux128 : in std_logic
);
end component;
component mux128
port ( in1_mux128, in2_mux128 : in std_logic_vector(127 downto 0);
selection_mux128 : in std_logic;
out_mux128 : out std_logic_vector(127 downto 0)
);
end component;
component twofish_S256
port (
in_key_ts256 : in std_logic_vector(255 downto 0);
out_Sfirst_ts256,
out_Ssecond_ts256,
out_Sthird_ts256,
out_Sfourth_ts256 : out std_logic_vector(31 downto 0)
);
end component;
FILE input_file : text is in "twofish_cbc_encryption_monte_carlo_testvalues_256bits.txt";
FILE output_file : text is out "twofish_cbc_encryption_monte_carlo_256bits_results.txt";
-- we create the functions that transform a number to text
-- transforming a signle digit to a character
function digit_to_char(number : integer range 0 to 9) return character is
begin
case number is
when 0 => return '0';
when 1 => return '1';
when 2 => return '2';
when 3 => return '3';
when 4 => return '4';
when 5 => return '5';
when 6 => return '6';
when 7 => return '7';
when 8 => return '8';
when 9 => return '9';
end case;
end;
-- transforming multi-digit number to text
function to_text(int_number : integer range 0 to 9999) return string is
variable our_text : string (1 to 4) := (others => ' ');
variable thousands,
hundreds,
tens,
ones : integer range 0 to 9;
begin
ones := int_number mod 10;
tens := ((int_number mod 100) - ones) / 10;
hundreds := ((int_number mod 1000) - (int_number mod 100)) / 100;
thousands := (int_number - (int_number mod 1000)) / 1000;
our_text(1) := digit_to_char(thousands);
our_text(2) := digit_to_char(hundreds);
our_text(3) := digit_to_char(tens);
our_text(4) := digit_to_char(ones);
return our_text;
end;
signal odd_number,
even_number : std_logic_vector(7 downto 0);
signal input_data,
output_data,
to_encr_reg128,
from_tdi_to_xors,
to_output_whit_xors,
from_xors_to_tdo,
to_mux, to_demux,
from_input_whit_xors,
to_round,
to_input_mux : std_logic_vector(127 downto 0) ;
signal twofish_key : std_logic_vector(255 downto 0);
signal key_up,
key_down,
Sfirst,
Ssecond,
Sthird,
Sfourth,
from_xor0,
from_xor1,
from_xor2,
from_xor3,
K0,K1,K2,K3,
K4,K5,K6,K7 : std_logic_vector(31 downto 0);
signal clk : std_logic := '0';
signal mux_selection : std_logic := '0';
signal demux_selection: std_logic := '0';
signal enable_encr_reg : std_logic := '0';
signal reset : std_logic := '0';
signal enable_round_reg : std_logic := '0';
-- begin the testbench arch description
begin
-- getting data to encrypt
data_input: twofish_data_input
port map (
in_tdi => input_data,
out_tdi => from_tdi_to_xors
);
-- producing whitening keys K0..7
the_whitening_step: twofish_whit_keysched256
port map (
in_key_twk256 => twofish_key,
out_K0_twk256 => K0,
out_K1_twk256 => K1,
out_K2_twk256 => K2,
out_K3_twk256 => K3,
out_K4_twk256 => K4,
out_K5_twk256 => K5,
out_K6_twk256 => K6,
out_K7_twk256 => K7
);
-- performing the input whitening XORs
from_xor0 <= K0 XOR from_tdi_to_xors(127 downto 96);
from_xor1 <= K1 XOR from_tdi_to_xors(95 downto 64);
from_xor2 <= K2 XOR from_tdi_to_xors(63 downto 32);
from_xor3 <= K3 XOR from_tdi_to_xors(31 downto 0);
from_input_whit_xors <= from_xor0 & from_xor1 & from_xor2 & from_xor3;
round_reg: reg128
port map ( in_reg128 => from_input_whit_xors,
out_reg128 => to_input_mux,
enable_reg128 => enable_round_reg,
reset_reg128 => reset,
clk_reg128 => clk );
input_mux: mux128
port map ( in1_mux128 => to_input_mux,
in2_mux128 => to_mux,
out_mux128 => to_round,
selection_mux128 => mux_selection
);
-- creating a round
the_keysched_of_the_round: twofish_keysched256
port map (
odd_in_tk256 => odd_number,
even_in_tk256 => even_number,
in_key_tk256 => twofish_key,
out_key_up_tk256 => key_up,
out_key_down_tk256 => key_down
);
producing_the_Skeys: twofish_S256
port map (
in_key_ts256 => twofish_key,
out_Sfirst_ts256 => Sfirst,
out_Ssecond_ts256 => Ssecond,
out_Sthird_ts256 => Sthird,
out_Sfourth_ts256 => Sfourth
);
the_encryption_circuit: twofish_encryption_round256
port map (
in1_ter256 => to_round(127 downto 96),
in2_ter256 => to_round(95 downto 64),
in3_ter256 => to_round(63 downto 32),
in4_ter256 => to_round(31 downto 0),
in_Sfirst_ter256 => Sfirst,
in_Ssecond_ter256 => Ssecond,
in_Sthird_ter256 => Sthird,
in_Sfourth_ter256 => Sfourth,
in_key_up_ter256 => key_up,
in_key_down_ter256 => key_down,
out1_ter256 => to_encr_reg128(127 downto 96),
out2_ter256 => to_encr_reg128(95 downto 64),
out3_ter256 => to_encr_reg128(63 downto 32),
out4_ter256 => to_encr_reg128(31 downto 0)
);
encr_reg: reg128
port map ( in_reg128 => to_encr_reg128,
out_reg128 => to_demux,
enable_reg128 => enable_encr_reg,
reset_reg128 => reset,
clk_reg128 => clk );
output_demux: demux128
port map ( in_demux128 => to_demux,
out1_demux128 => to_output_whit_xors,
out2_demux128 => to_mux,
selection_demux128 => demux_selection );
-- don't forget the last swap !!!
from_xors_to_tdo(127 downto 96) <= K4 XOR to_output_whit_xors(63 downto 32);
from_xors_to_tdo(95 downto 64) <= K5 XOR to_output_whit_xors(31 downto 0);
from_xors_to_tdo(63 downto 32) <= K6 XOR to_output_whit_xors(127 downto 96);
from_xors_to_tdo(31 downto 0) <= K7 XOR to_output_whit_xors(95 downto 64);
taking_the_output: twofish_data_output
port map (
in_tdo => from_xors_to_tdo,
out_tdo => output_data
);
-- we create the clock
clk <= not clk after 50 ns; -- period 100 ns
cbc_emc_proc: process
variable key_f, -- key input from file
pt_f, -- plaintext from file
ct_f,
iv_f : line; -- ciphertext from file
variable key_v : std_logic_vector(255 downto 0); -- key vector input
variable pt_v , -- plaintext vector
ct_v,
iv_v : std_logic_vector(127 downto 0); -- ciphertext vector
variable counter_10000 : integer range 0 to 9999 := 0; -- counter for the 10.000 repeats in the 400 next ones
variable counter_400 : integer range 0 to 399 := 0; -- counter for the 400 repeats
variable round : integer range 0 to 16 := 0; -- holds the rounds
variable PT, CT, CV, CTj_1 : std_logic_vector(127 downto 0) := (others => '0');
begin
while not endfile(input_file) loop
readline(input_file, key_f);
readline(input_file, iv_f);
readline(input_file, pt_f);
readline(input_file,ct_f);
hread(key_f,key_v);
hread(iv_f, iv_v);
hread(pt_f,pt_v);
hread(ct_f,ct_v);
twofish_key <= key_v;
PT := pt_v;
CV := iv_v;
for counter_10000 in 0 to 9999 loop
input_data <= PT xor CV;
wait for 25 ns;
reset <= '1';
wait for 50 ns;
reset <= '0';
mux_selection <= '0';
demux_selection <= '1';
enable_encr_reg <= '0';
enable_round_reg <= '0';
wait for 50 ns;
enable_round_reg <= '1';
wait for 50 ns;
enable_round_reg <= '0';
-- the first round
even_number <= "00001000"; -- 8
odd_number <= "00001001"; -- 9
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
demux_selection <= '1';
mux_selection <= '1';
-- the rest 15 rounds
for round in 1 to 15 loop
even_number <= conv_std_logic_vector(((round*2)+8), 8);
odd_number <= conv_std_logic_vector(((round*2)+9), 8);
wait for 50 ns;
enable_encr_reg <= '1';
wait for 50 ns;
enable_encr_reg <= '0';
end loop;
-- taking final results
demux_selection <= '0';
wait for 25 ns;
CTj_1 := CT;
CT := output_data;
if ( counter_10000 = 0 ) then
PT := CV;
else
PT := CTj_1;
end if; -- counter_10000 = 0
CV := CT;
assert false report "I=" & to_text(counter_400) & " R=" & to_text(counter_10000) severity note;
end loop; -- counter_10000
hwrite(key_f, key_v);
hwrite(iv_f, iv_v);
hwrite(pt_f, pt_v);
hwrite(ct_f,output_data);
writeline(output_file,key_f);
writeline(output_file, iv_f);
writeline(output_file,pt_f);
writeline(output_file,ct_f);
assert (ct_v = output_data) report "file entry and encryption result DO NOT match!!! :( " severity failure;
assert (ct_v /= output_data) report "Encryption I=" & to_text(counter_400) &" OK" severity note;
counter_400 := counter_400 + 1;
end loop;
assert false report "***** CBC Encryption Monte Carlo Test with 256 bits key size ended succesfully! :) *****" severity failure;
end process cbc_emc_proc;
end cbc_encryption256_monte_carlo_testbench_arch;
| gpl-2.0 | e6ca6218c1b0be73a193ed40d554b872 | 0.644744 | 2.701578 | false | false | false | false |
witoldo7/puc-2 | PUC/PUC_567/PUC_2/mod10_1.vhd | 1 | 1,280 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
entity mod10_1 is
Port ( outp : out std_logic_vector(3 downto 0);
UP : in std_logic;
clk : in std_logic;
carry: out std_logic;
clr : in std_logic;
dir : out std_logic;
DOWN : in std_logic);
end mod10_1;
architecture Behavioral of mod10_1 is
signal temp : std_logic_vector(3 downto 0);
-- pomocnicze zmienne zabezpieczja wy przed inkrementowaniem z kazdym
-- narastajacym zboczem zegara
signal up1, dw1 : std_logic;
begin
process(clk,clr)
begin
if clr = '1' then
temp<="0000";
carry <= '0';
elsif rising_edge(clk) then
-- licz w gore jesli narastajace zbocze zegara, na sygnale UP "1"
-- poprzednia wartosc UP "0" patrz komentarz wyzej oraz DOWN "0"
if (UP='1' and up1='0' and DOWN='0') then
dir <= '1';
if temp <= "1000" then
temp <= temp+1;
carry <='0';
else
temp <= "0000";
carry <= '1';
end if;
elsif (DOWN='1' and dw1='0' and UP='0') then
dir <= '0';
if temp >= "0001" then
temp <= temp - 1;
carry <='0';
else
temp <= "1001";
carry <= '1';
end if;
end if;
up1 <= UP;
dw1 <= DOWN;
end if;
outp<=temp;
end process;
end Behavioral;
| gpl-3.0 | 856739d1d9aa0dd8a2e98461353c64ae | 0.601563 | 2.514735 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/fifo_generator_ramfifo.vhd | 5 | 80,159 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MpIeCp0eEPDTj3K4Uv1riW1H2tnuvhS05btKYb/YEbeFY3QFo6naj1wSotWcBhOEG17yHatKDut6
7muS7y6JZA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
J6hu5EOU+eo5Azqym0gz1IN5zG5pxk4nC9LguQybDiiH+Z4ynYQn9eKFZYZP8K0veFCTLYHHefsk
tpngEFOui/ihqeYyxhal9dg0LpElQF/s4Y8K2ySnsnGS9VVF8XUr+ZCUtWLLsnKR3SAxUC1XTe0z
qf5mho7wWKCSRwqtfD4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BFCljXRp1rI1+Y0U2Z/ZKGyt38cgLBhNgpMeQrzoYiFF4rXG1yCthnFbJ7jRRp4vgguypYEPk+Ed
GObj4Sn6wPqSfs1SnMzL8rNbrR8msNIxK8UCbPdC5eqH8rsWiM5F+PKHQBeH9N5jA28qdyAqFJ/h
OrFpv3HWMPWXMr0gCC3SHKypr7BnrpyFG1LGkz+ZVoplJFem6O336evvaFAuW2UlM8krMZXP7KYX
shJ8+0Sh6FVClGFZsSe1aS5vap5MNAFsxCUGSuV0tSJEZSWzkn0H75w9jmLzIRcLc7RV/MGnq30/
V8tLNmYKQEWtVztgNG4haBNlXOHTrnif604dSQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KgBY+JElMQLwoy/PHQcKPX1kBJFDyy2cOmv4EzEzrfuSU3jJut1ngnxyvuUV0cdaDdHxqso9rYM5
gyO1hvEgFdXq7eB3Xt69j6Mj26It/f5wfo84y5RPoDoT9i9wkeOFFuU9c252HCvGgmVUMRXP8aTv
+Sr01GDHoJX2gTv0F9c=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
a+OcNnMEm68meW6uNCVGL8ZpZIpHA2bFrE5u+qmk43LRhJ2Tm96hYzvERSrOJzbP0xIgs0OesVqp
qBJA+Ye3umQ5IqW1bj3bygsOsKj+TCRG63Tf7CFEW0XSpcAhRWx3zkWXjqqkFin/hi8E6QcYWGTi
z6hxvbHbuDDlnVTaW3gXo2F0fENUkzpyM4jWQ2ZMtadGa969GXdREVae8l/nyyvC7kpP/foxA5B+
9XBUSlPyfdqN1iBkiUrP27XHuepPGTaJwXeed7HWKZw7iMfiYGrYs6pxFF2ehPB8vbUgEMmDtMJn
jweVLgCYRd2oVF8JcKUx6PbSbKaY/QUByjN6nw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 57600)
`protect data_block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`protect end_protected
| apache-2.0 | ece3fa811b78c1c28f7f1718dfb1ea18 | 0.952993 | 1.818902 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/3-ARF/asap-alap-random/arf_random.vhd | 1 | 2,566 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.14:37:51)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY arf_random_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 3);
output1, output2: OUT unsigned(0 TO 4));
END arf_random_entity;
ARCHITECTURE arf_random_description OF arf_random_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
register3 := input3 * 3;
register4 := input4 * 4;
register5 := input5 * 5;
WHEN "00000010" =>
register1 := register4 + register1;
register4 := input6 * 6;
WHEN "00000011" =>
register2 := register4 + register2;
register4 := input7 * 7;
register6 := input8 * 8;
WHEN "00000100" =>
register5 := register6 + register5;
WHEN "00000101" =>
register5 := register5 + 10;
WHEN "00000110" =>
register6 := register5 * 12;
register2 := register2 + 14;
register5 := register5 * 16;
WHEN "00000111" =>
register7 := register2 * 18;
WHEN "00001000" =>
register5 := register7 + register5;
register3 := register3 + register4;
WHEN "00001001" =>
register4 := register5 * 20;
register5 := register5 * 22;
register2 := register2 * 24;
WHEN "00001010" =>
register2 := register2 + register6;
WHEN "00001011" =>
register6 := register2 * 26;
WHEN "00001100" =>
register4 := register6 + register4;
register2 := register2 * 28;
WHEN "00001101" =>
register2 := register2 + register5;
WHEN "00001110" =>
output1 <= register1 + register2;
output2 <= register3 + register4;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END arf_random_description; | gpl-3.0 | 751a08899e233fce95aa199a828d23a3 | 0.653936 | 3.133089 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/c_latch.vhd | 1 | 730 | library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_latch is
generic
(
width : integer := 4
);
port
(
input : in std_logic_vector((width - 1) downto 0);
enable : in std_logic;
clear : in std_logic;
clock : in std_logic;
output : out std_logic_vector((width - 1) downto 0)
);
end c_latch;
architecture behavior of c_latch is
begin
P0 : process (clock, clear, input, enable)
variable out_var : std_logic_vector((width - 1) downto 0);
begin
for I in width - 1 downto 0 loop
out_var(I) := '0';
end loop;
if (clear = '1') then
output <= out_var;
elsif (clock = '1' and not clock'STABLE and enable = '1') then
output <= input;
end if;
end process P0;
end behavior; | mit | 2e75264fd320ad667ff7e9d5106cac16 | 0.645205 | 2.765152 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/dc_ss.vhd | 5 | 12,203 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Oo6legxa5riKkzLaa6v9AxgXpzPdVy7DbK1zivQAeLXG1Fttpk/BC+kBkutYNQ96cArsLFGRKy+f
lNdLtBZMjA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pt9l/fS86YC8+G6uB9p7TAEWsdsbsITIzUXMPvVy6c20p13HRN4q16CJNyoA9U687ujlRA5TOhoY
pXMvIkEy4wGje/UiiaUg3izpfPqKjgvoQC5w+UhMxstlRhYvyexzQRchmrOoyISI956gvAyAWBx/
1/TjlrIzRE1PkJfE+xM=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FLM1Tm4wDYDKJm8855F4CZnEQkv0da+2NnEyN/Tk9Tc0Xp3e8M8G2SttTQ4RoiAFSq4X2isFlJBs
XJOtDw1AABs42dP1uM8iQgRs8qzUkNCA5eTfJeIWFaHj+vLlxRDsk3XoxHIx8HZnhgsUVMxG5Ymt
LNa4QpRVP7qp597+u3E9ZrUzjiE7HxZ7KtuKBdQvJE4/zfuoHzHZ5WuOLo/Thua6u/SFUVxqy8V0
BRo+k86EKX1wfG9JEGCgmtpGiy+yOyWVKMhhyvukQ6fBadtzuEVjNCIfdilL8BYA+bNoNqHkLPQ9
wC81L0ke0VJn6vR99a4eMOfhoysg9bEBM7dgmQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
KR3So1UW4ADGWGHrH51Xe2+e4VSGYNjqCydaCP4bzcY4ysX1nL/TXaMOBvP8d+BTJGyDSfBE/Z3t
0+aMMCVli2tWAHOdswB0edNJiHfSLLfTnJ+oE0xjRXCjsA6/O+aTAVniLXss2dX7gkQ8NpJy8xXH
3Zu4JKCGhfi6N2dF0o0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TNpAUsZaknNx9bYChQaeGaGpqeKRElLovPpCA6eMwCmSisaYIjaJBebdhrKR1npSX/c4bGfTWNLm
9R9d3vPVkKF4tENTnkxqccFOxu4JG33mfheEixBwY73bw77ieJrtGE8i5RelNXZHdnz09I1N8w41
o5Qd4u8QDnUJpHcSxRk/zYGt0C11aowDcnRYIBQzXuSxVWugWBjpdbi3hPTkYGj+2Tdh9lZHAj1S
0kSSe7FglDzE0ETzsmFiLnthVr4Kbrj5KNsYWOCmIMk7bPddHlW3NC9OHVlDw2VgGwXMnTiUj39T
wkJIL1h4eMQUCbmFloYkIbUXsf+lfnCV2Ae3RQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7296)
`protect data_block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`protect end_protected
| apache-2.0 | 79a69dc2c3eabc20ebd96b40ed66a87c | 0.931574 | 1.904041 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/basic_sfifo_fg.vhd | 1 | 55,489 | -------------------------------------------------------------------------------
-- basic_sfifo_fg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
--
-- (c) Copyright 2010-2011 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: basic_sfifo_fg.vhd
--
-- Description:
-- This HDL file implements a basic synchronous (single clock) fifo using the
-- FIFO Generator tool. It is intended to offer a simple interface to the user
-- with the complexity of the FIFO Generator interface hidden from the user.
--
-- Note that in normal op mode (not First Word Fall Through FWFT) the data count
-- output goes to zero when the FIFO goes full. This the way FIFO Generator works.
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- basic_sfifo_fg.vhd
-- |
-- |-- fifo_generator_v8_2
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: DET
-- Revision: $Revision: 1.0 $
-- Date: $3/07/2011$
--
-- History:
-- DET 3/07/2011 Initial Version
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
library fifo_generator_v12_0;
use fifo_generator_v12_0.all;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.all;
use proc_common_v4_0.proc_common_pkg.log2;
--use proc_common_v4_0.coregen_comp_defs.all;
-- synopsys translate_off
--library XilinxCoreLib;
--use XilinxCoreLib.all;
-- synopsys translate_on
-------------------------------------------------------------------------------
entity basic_sfifo_fg is
generic (
C_DWIDTH : Integer := 32 ;
-- FIFO data Width (Read and write data ports are symetric)
C_DEPTH : Integer := 512 ;
-- FIFO Depth (set to power of 2)
C_HAS_DATA_COUNT : integer := 1 ;
-- 0 = Data Count output not needed
-- 1 = Data Count output needed
C_DATA_COUNT_WIDTH : integer := 10 ;
-- Data Count bit width (Max value is log2(C_DEPTH))
C_IMPLEMENTATION_TYPE : integer range 0 to 1 := 0;
-- 0 = Common Clock BRAM / Distributed RAM (Synchronous FIFO)
-- 1 = Common Clock Shift Register (Synchronous FIFO)
C_MEMORY_TYPE : integer := 1;
-- 0 = Any
-- 1 = BRAM
-- 2 = Distributed Memory
-- 3 = Shift Registers
C_PRELOAD_REGS : integer := 1;
-- 0 = normal
-- 1 = FWFT
C_PRELOAD_LATENCY : integer := 0;
-- 0 = FWFT
-- 1 = normal
C_USE_FWFT_DATA_COUNT : integer := 0;
-- 0 = normal
-- 1 for FWFT
C_SYNCHRONIZER_STAGE : integer := 2; -- valid values are 0 to 8;
C_FAMILY : string := "virtex6"
);
port (
CLK : IN std_logic := '0';
DIN : IN std_logic_vector(C_DWIDTH-1 DOWNTO 0) := (OTHERS => '0');
RD_EN : IN std_logic := '0';
SRST : IN std_logic := '0';
WR_EN : IN std_logic := '0';
DATA_COUNT : OUT std_logic_vector(C_DATA_COUNT_WIDTH-1 DOWNTO 0);
DOUT : OUT std_logic_vector(C_DWIDTH-1 DOWNTO 0);
EMPTY : OUT std_logic;
FULL : OUT std_logic
);
end entity basic_sfifo_fg;
architecture implementation of basic_sfifo_fg is
-- Constant Declarations ----------------------------------------------
Constant POINTER_WIDTH : integer := log2(C_DEPTH);
-- Constant zeros for programmable threshold inputs
signal PROG_RDTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
signal PROG_WRTHRESH_ZEROS : std_logic_vector(POINTER_WIDTH-1
DOWNTO 0) := (OTHERS => '0');
-- Signals
--Signals added to fix MTI and XSIM issues caused by fix for VCS issues not to use "LIBRARY_SCAN = TRUE"
signal ALMOST_FULL : std_logic;
signal WR_ACK : std_logic;
signal OVERFLOW : std_logic;
signal VALID : std_logic;
signal UNDERFLOW : std_logic;
signal ALMOST_EMPTY : std_logic;
signal RD_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal WR_DATA_COUNT : std_logic_vector(C_DATA_COUNT_WIDTH-1 downto 0);
signal PROG_FULL : std_logic;
signal PROG_EMPTY : std_logic;
signal SBITERR : std_logic;
signal DBITERR : std_logic;
signal WR_RST_BUSY : std_logic;
signal RD_RST_BUSY : std_logic;
signal S_AXI_AWREADY : std_logic;
signal S_AXI_WREADY : std_logic;
signal S_AXI_BID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_BRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_BUSER : std_logic_vector(0 downto 0);
signal S_AXI_BVALID : std_logic;
-- AXI Full/Lite Master Write Channel (Read side)
signal M_AXI_AWID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_AWADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_AWLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_AWSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_AWCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_AWQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_AWUSER : std_logic_vector(0 downto 0);
signal M_AXI_AWVALID : std_logic;
signal M_AXI_WID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_WDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXI_WSTRB : std_logic_vector(7 DOWNTO 0);
signal M_AXI_WLAST : std_logic;
signal M_AXI_WUSER : std_logic_vector(0 downto 0);
signal M_AXI_WVALID : std_logic;
signal M_AXI_BREADY : std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
signal S_AXI_ARREADY : std_logic;
signal S_AXI_RID : std_logic_vector(3 DOWNTO 0);
signal S_AXI_RDATA : std_logic_vector(63 DOWNTO 0);
signal S_AXI_RRESP : std_logic_vector(2-1 DOWNTO 0);
signal S_AXI_RLAST : std_logic;
signal S_AXI_RUSER : std_logic_vector(0 downto 0);
signal S_AXI_RVALID : std_logic;
-- AXI Full/Lite Master Read Channel (Read side)
signal M_AXI_ARID : std_logic_vector(3 DOWNTO 0);
signal M_AXI_ARADDR : std_logic_vector(31 DOWNTO 0);
signal M_AXI_ARLEN : std_logic_vector(8-1 DOWNTO 0);
signal M_AXI_ARSIZE : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARBURST : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARLOCK : std_logic_vector(2-1 DOWNTO 0);
signal M_AXI_ARCACHE : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARPROT : std_logic_vector(3-1 DOWNTO 0);
signal M_AXI_ARQOS : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARREGION : std_logic_vector(4-1 DOWNTO 0);
signal M_AXI_ARUSER : std_logic_vector(0 downto 0);
signal M_AXI_ARVALID : std_logic;
signal M_AXI_RREADY : std_logic;
-- AXI Streaming Slave Signals (Write side)
signal S_AXIS_TREADY : std_logic;
-- AXI Streaming Master Signals (Read side)
signal M_AXIS_TVALID : std_logic;
signal M_AXIS_TDATA : std_logic_vector(63 DOWNTO 0);
signal M_AXIS_TSTRB : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TKEEP : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TLAST : std_logic;
signal M_AXIS_TID : std_logic_vector(7 DOWNTO 0);
signal M_AXIS_TDEST : std_logic_vector(3 DOWNTO 0);
signal M_AXIS_TUSER : std_logic_vector(3 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
signal AXI_AW_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AW_SBITERR : std_logic;
signal AXI_AW_DBITERR : std_logic;
signal AXI_AW_OVERFLOW : std_logic;
signal AXI_AW_UNDERFLOW : std_logic;
signal AXI_AW_PROG_FULL : STD_LOGIC;
signal AXI_AW_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Data Channel Signals
signal AXI_W_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_W_SBITERR : std_logic;
signal AXI_W_DBITERR : std_logic;
signal AXI_W_OVERFLOW : std_logic;
signal AXI_W_UNDERFLOW : std_logic;
signal AXI_W_PROG_FULL : STD_LOGIC;
signal AXI_W_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Write Response Channel Signals
signal AXI_B_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_B_SBITERR : std_logic;
signal AXI_B_DBITERR : std_logic;
signal AXI_B_OVERFLOW : std_logic;
signal AXI_B_UNDERFLOW : std_logic;
signal AXI_B_PROG_FULL : STD_LOGIC;
signal AXI_B_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Address Channel Signals
signal AXI_AR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_WR_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_RD_DATA_COUNT : std_logic_vector(4 DOWNTO 0);
signal AXI_AR_SBITERR : std_logic;
signal AXI_AR_DBITERR : std_logic;
signal AXI_AR_OVERFLOW : std_logic;
signal AXI_AR_UNDERFLOW : std_logic;
signal AXI_AR_PROG_FULL : STD_LOGIC;
signal AXI_AR_PROG_EMPTY : STD_LOGIC;
-- AXI Full/Lite Read Data Channel Signals
signal AXI_R_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXI_R_SBITERR : std_logic;
signal AXI_R_DBITERR : std_logic;
signal AXI_R_OVERFLOW : std_logic;
signal AXI_R_UNDERFLOW : std_logic;
signal AXI_R_PROG_FULL : STD_LOGIC;
signal AXI_R_PROG_EMPTY : STD_LOGIC;
-- AXI Streaming FIFO Related Signals
signal AXIS_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_WR_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_RD_DATA_COUNT : std_logic_vector(10 DOWNTO 0);
signal AXIS_SBITERR : std_logic;
signal AXIS_DBITERR : std_logic;
signal AXIS_OVERFLOW : std_logic;
signal AXIS_UNDERFLOW : std_logic;
signal AXIS_PROG_FULL : STD_LOGIC;
signal AXIS_PROG_EMPTY : STD_LOGIC;
begin --(architecture implementation)
-------------------------------------------------------------------------------
-- Instantiate the generalized FIFO Generator instance
--
-- NOTE:
-- DO NOT CHANGE TO DIRECT ENTITY INSTANTIATION!!!
-- This is a Coregen FIFO Generator Call module for
-- BRAM implementations of a basic Sync FIFO
--
-------------------------------------------------------------------------------
I_BASIC_SFIFO : entity fifo_generator_v12_0.fifo_generator_v12_0
generic map(
C_COMMON_CLOCK => 1,
C_COUNT_TYPE => 0,
C_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_DEFAULT_VALUE => "BlankString",
C_DIN_WIDTH => C_DWIDTH,
C_DOUT_RST_VAL => "0",
C_DOUT_WIDTH => C_DWIDTH,
C_ENABLE_RLOCS => 0, -- n0
C_FAMILY => C_FAMILY,
C_HAS_ALMOST_EMPTY => 0, -- n0
C_HAS_ALMOST_FULL => 0, -- n0
C_HAS_BACKUP => 0, -- n0
C_HAS_DATA_COUNT => C_HAS_DATA_COUNT,
C_HAS_MEMINIT_FILE => 0, -- n0
C_HAS_OVERFLOW => 0, -- n0
C_HAS_RD_DATA_COUNT => 0, -- n0
C_HAS_RD_RST => 0, -- n0
C_HAS_RST => 0, -- n0
C_HAS_SRST => 1, -- yes
C_HAS_UNDERFLOW => 0, -- n0
C_HAS_VALID => 0, -- n0
C_HAS_WR_ACK => 0, -- n0
C_HAS_WR_DATA_COUNT => 0, -- n0
C_HAS_WR_RST => 0, -- n0
C_IMPLEMENTATION_TYPE => 0, -- Common clock BRAM
C_INIT_WR_PNTR_VAL => 0,
C_MEMORY_TYPE => C_MEMORY_TYPE,
C_MIF_FILE_NAME => "BlankString",
C_OPTIMIZATION_MODE => 0,
C_OVERFLOW_LOW => 0,
C_PRELOAD_LATENCY => C_PRELOAD_LATENCY,
C_PRELOAD_REGS => C_PRELOAD_REGS,
C_PRIM_FIFO_TYPE => "512x36",
C_PROG_EMPTY_THRESH_ASSERT_VAL => 0,
C_PROG_EMPTY_THRESH_NEGATE_VAL => 0,
C_PROG_EMPTY_TYPE => 0,
C_PROG_FULL_THRESH_ASSERT_VAL => 0,
C_PROG_FULL_THRESH_NEGATE_VAL => 0,
C_PROG_FULL_TYPE => 0,
C_RD_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_RD_DEPTH => C_DEPTH,
C_RD_FREQ => 1,
C_RD_PNTR_WIDTH => POINTER_WIDTH,
C_UNDERFLOW_LOW => 0,
C_USE_DOUT_RST => 1,
C_USE_EMBEDDED_REG => 0,
C_USE_FIFO16_FLAGS => 0,
C_USE_FWFT_DATA_COUNT => C_USE_FWFT_DATA_COUNT,
C_VALID_LOW => 0,
C_WR_ACK_LOW => 0,
C_WR_DATA_COUNT_WIDTH => C_DATA_COUNT_WIDTH,
C_WR_DEPTH => C_DEPTH,
C_WR_FREQ => 1,
C_WR_PNTR_WIDTH => POINTER_WIDTH,
C_WR_RESPONSE_LATENCY => 1,
C_USE_ECC => 0,
C_FULL_FLAGS_RST_VAL => 1,
C_ENABLE_RST_SYNC => 1,
C_ERROR_INJECTION_TYPE => 0,
C_SYNCHRONIZER_STAGE => C_SYNCHRONIZER_STAGE,
C_HAS_INT_CLK => 0,
C_MSGON_VAL => 1,
-- AXI Interface related parameters start here
C_INTERFACE_TYPE => 0, -- : integer := 0; -- 0: Native Interface; 1: AXI Interface
C_AXI_TYPE => 0, -- : integer := 0; -- 0: AXI Stream; 1: AXI Full; 2: AXI Lite
C_HAS_AXI_WR_CHANNEL => 0, -- : integer := 0;
C_HAS_AXI_RD_CHANNEL => 0, -- : integer := 0;
C_HAS_SLAVE_CE => 0, -- : integer := 0;
C_HAS_MASTER_CE => 0, -- : integer := 0;
C_ADD_NGC_CONSTRAINT => 0, -- : integer := 0;
C_USE_COMMON_OVERFLOW => 0, -- : integer := 0;
C_USE_COMMON_UNDERFLOW => 0, -- : integer := 0;
C_USE_DEFAULT_SETTINGS => 0, -- : integer := 0;
-- AXI Full/Lite
C_AXI_ID_WIDTH => 4 , -- : integer := 0;
C_AXI_ADDR_WIDTH => 32, -- : integer := 0;
C_AXI_DATA_WIDTH => 64, -- : integer := 0;
C_AXI_LEN_WIDTH => 8, -- : integer := 8;
C_AXI_LOCK_WIDTH => 2, -- : integer := 2;
C_HAS_AXI_ID => 0, -- : integer := 0;
C_HAS_AXI_AWUSER => 0 , -- : integer := 0;
C_HAS_AXI_WUSER => 0 , -- : integer := 0;
C_HAS_AXI_BUSER => 0 , -- : integer := 0;
C_HAS_AXI_ARUSER => 0 , -- : integer := 0;
C_HAS_AXI_RUSER => 0 , -- : integer := 0;
C_AXI_ARUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_AWUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_WUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_BUSER_WIDTH => 1 , -- : integer := 0;
C_AXI_RUSER_WIDTH => 1 , -- : integer := 0;
-- AXI Streaming
C_HAS_AXIS_TDATA => 0 , -- : integer := 0;
C_HAS_AXIS_TID => 0 , -- : integer := 0;
C_HAS_AXIS_TDEST => 0 , -- : integer := 0;
C_HAS_AXIS_TUSER => 0 , -- : integer := 0;
C_HAS_AXIS_TREADY => 1 , -- : integer := 0;
C_HAS_AXIS_TLAST => 0 , -- : integer := 0;
C_HAS_AXIS_TSTRB => 0 , -- : integer := 0;
C_HAS_AXIS_TKEEP => 0 , -- : integer := 0;
C_AXIS_TDATA_WIDTH => 64, -- : integer := 1;
C_AXIS_TID_WIDTH => 8 , -- : integer := 1;
C_AXIS_TDEST_WIDTH => 4 , -- : integer := 1;
C_AXIS_TUSER_WIDTH => 4 , -- : integer := 1;
C_AXIS_TSTRB_WIDTH => 4 , -- : integer := 1;
C_AXIS_TKEEP_WIDTH => 4 , -- : integer := 1;
-- AXI Channel Type
-- WACH --> Write Address Channel
-- WDCH --> Write Data Channel
-- WRCH --> Write Response Channel
-- RACH --> Read Address Channel
-- RDCH --> Read Data Channel
-- AXIS --> AXI Streaming
C_WACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logic
C_WDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_WRCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RACH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_RDCH_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
C_AXIS_TYPE => 0, -- : integer := 0; -- 0 = FIFO; 1 = Register Slice; 2 = Pass Through Logie
-- AXI Implementation Type
-- 1 = Common Clock Block RAM FIFO
-- 2 = Common Clock Distributed RAM FIFO
-- 11 = Independent Clock Block RAM FIFO
-- 12 = Independent Clock Distributed RAM FIFO
C_IMPLEMENTATION_TYPE_WACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_WRCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RACH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_RDCH => 1, -- : integer := 0;
C_IMPLEMENTATION_TYPE_AXIS => 1, -- : integer := 0;
-- AXI FIFO Type
-- 0 = Data FIFO
-- 1 = Packet FIFO
-- 2 = Low Latency Data FIFO
C_APPLICATION_TYPE_WACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_WRCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RACH => 0, -- : integer := 0;
C_APPLICATION_TYPE_RDCH => 0, -- : integer := 0;
C_APPLICATION_TYPE_AXIS => 0, -- : integer := 0;
-- Enable ECC
-- 0 = ECC disabled
-- 1 = ECC enabled
C_USE_ECC_WACH => 0, -- : integer := 0;
C_USE_ECC_WDCH => 0, -- : integer := 0;
C_USE_ECC_WRCH => 0, -- : integer := 0;
C_USE_ECC_RACH => 0, -- : integer := 0;
C_USE_ECC_RDCH => 0, -- : integer := 0;
C_USE_ECC_AXIS => 0, -- : integer := 0;
-- ECC Error Injection Type
-- 0 = No Error Injection
-- 1 = Single Bit Error Injection
-- 2 = Double Bit Error Injection
-- 3 = Single Bit and Double Bit Error Injection
C_ERROR_INJECTION_TYPE_WACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_WRCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RACH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_RDCH => 0, -- : integer := 0;
C_ERROR_INJECTION_TYPE_AXIS => 0, -- : integer := 0;
-- Input Data Width
-- Accumulation of all AXI input signal's width
C_DIN_WIDTH_WACH => 32, -- : integer := 1;
C_DIN_WIDTH_WDCH => 64, -- : integer := 1;
C_DIN_WIDTH_WRCH => 2 , -- : integer := 1;
C_DIN_WIDTH_RACH => 32, -- : integer := 1;
C_DIN_WIDTH_RDCH => 64, -- : integer := 1;
C_DIN_WIDTH_AXIS => 1 , -- : integer := 1;
C_WR_DEPTH_WACH => 16 , -- : integer := 16;
C_WR_DEPTH_WDCH => 1024, -- : integer := 16;
C_WR_DEPTH_WRCH => 16 , -- : integer := 16;
C_WR_DEPTH_RACH => 16 , -- : integer := 16;
C_WR_DEPTH_RDCH => 1024, -- : integer := 16;
C_WR_DEPTH_AXIS => 1024, -- : integer := 16;
C_WR_PNTR_WIDTH_WACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_WDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_WRCH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RACH => 4 , -- : integer := 4;
C_WR_PNTR_WIDTH_RDCH => 10, -- : integer := 4;
C_WR_PNTR_WIDTH_AXIS => 10, -- : integer := 4;
C_HAS_DATA_COUNTS_WACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_WRCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RACH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_RDCH => 0, -- : integer := 0;
C_HAS_DATA_COUNTS_AXIS => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_WRCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RACH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_RDCH => 0, -- : integer := 0;
C_HAS_PROG_FLAGS_AXIS => 0, -- : integer := 0;
C_PROG_FULL_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_FULL_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_WRCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RACH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_RDCH => 1023, -- : integer := 0;
C_PROG_FULL_THRESH_ASSERT_VAL_AXIS => 1023, -- : integer := 0;
C_PROG_EMPTY_TYPE_WACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_WRCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RACH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_RDCH => 5 , -- : integer := 0;
C_PROG_EMPTY_TYPE_AXIS => 5 , -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_WRCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RACH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_RDCH => 1022, -- : integer := 0;
C_PROG_EMPTY_THRESH_ASSERT_VAL_AXIS => 1022, -- : integer := 0;
C_REG_SLICE_MODE_WACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_WRCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RACH => 0, -- : integer := 0;
C_REG_SLICE_MODE_RDCH => 0, -- : integer := 0;
C_REG_SLICE_MODE_AXIS => 0 -- : integer := 0
)
port map(
backup => '0',
backup_marker => '0',
clk => CLK,
rst => '0',
srst => SRST,
wr_clk => '0',
wr_rst => '0',
rd_clk => '0',
rd_rst => '0',
din => DIN, -- uses this one
wr_en => WR_EN, -- uses this one
rd_en => RD_EN, -- uses this one
prog_empty_thresh => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_assert => PROG_RDTHRESH_ZEROS,
prog_empty_thresh_negate => PROG_RDTHRESH_ZEROS,
prog_full_thresh => PROG_WRTHRESH_ZEROS,
prog_full_thresh_assert => PROG_WRTHRESH_ZEROS,
prog_full_thresh_negate => PROG_WRTHRESH_ZEROS,
int_clk => '0',
injectdbiterr => '0',
injectsbiterr => '0',
sleep => '0',
dout => DOUT, -- uses this one
full => FULL, -- uses this one
almost_full => ALMOST_FULL,
wr_ack => WR_ACK,
overflow => OVERFLOW,
empty => EMPTY, -- uses this one
almost_empty => ALMOST_EMPTY,
valid => VALID,
underflow => UNDERFLOW,
data_count => DATA_COUNT, -- uses this one
rd_data_count => RD_DATA_COUNT,
wr_data_count => WR_DATA_COUNT,
prog_full => PROG_FULL,
prog_empty => PROG_EMPTY,
sbiterr => SBITERR,
dbiterr => DBITERR,
wr_rst_busy => WR_RST_BUSY,
rd_rst_busy => RD_RST_BUSY,
-- AXI Global Signal
m_aclk => '0', -- : IN std_logic := '0';
s_aclk => '0', -- : IN std_logic := '0';
s_aresetn => '0', -- : IN std_logic := '0';
m_aclk_en => '0', -- : IN std_logic := '0';
s_aclk_en => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Slave Write Channel (write side)
s_axi_awid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awaddr => "00000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlen => "00000000", --(others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awsize => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awburst => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awlock => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awcache => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awprot => "000", --(others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awqos => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awregion => "0000", --(others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_awvalid => '0', -- : IN std_logic := '0';
s_axi_awready => S_AXI_AWREADY, -- : OUT std_logic;
s_axi_wid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wstrb => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wlast => '0', -- : IN std_logic := '0';
s_axi_wuser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_wvalid => '0', -- : IN std_logic := '0';
s_axi_wready => S_AXI_WREADY, -- : OUT std_logic;
s_axi_bid => S_AXI_BID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_bresp => S_AXI_BRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_buser => S_AXI_BUSER, -- : OUT std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0);
s_axi_bvalid => S_AXI_BVALID, -- : OUT std_logic;
s_axi_bready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Write Channel (Read side)
m_axi_awid => M_AXI_AWID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_awaddr => M_AXI_AWADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_awlen => M_AXI_AWLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_awsize => M_AXI_AWSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awburst => M_AXI_AWBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awlock => M_AXI_AWLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_awcache => M_AXI_AWCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awprot => M_AXI_AWPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_awqos => M_AXI_AWQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awregion => M_AXI_AWREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_awuser => M_AXI_AWUSER, -- : OUT std_logic_vector(C_AXI_AWUSER_WIDTH-1 DOWNTO 0);
m_axi_awvalid => M_AXI_AWVALID, -- : OUT std_logic;
m_axi_awready => '0', -- : IN std_logic := '0';
m_axi_wid => M_AXI_WID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_wdata => M_AXI_WDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
m_axi_wstrb => M_AXI_WSTRB, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH/8-1 DOWNTO 0);
m_axi_wlast => M_AXI_WLAST, -- : OUT std_logic;
m_axi_wuser => M_AXI_WUSER, -- : OUT std_logic_vector(C_AXI_WUSER_WIDTH-1 DOWNTO 0);
m_axi_wvalid => M_AXI_WVALID, -- : OUT std_logic;
m_axi_wready => '0', -- : IN std_logic := '0';
m_axi_bid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_buser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_BUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_bvalid => '0', -- : IN std_logic := '0';
m_axi_bready => M_AXI_BREADY, -- : OUT std_logic;
-- AXI Full/Lite Slave Read Channel (Write side)
s_axi_arid => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_araddr => "00000000000000000000000000000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlen => "00000000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(8-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arsize => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arburst => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arlock => "00", --(others => '0'), (others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arcache => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arprot => "000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(3-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arqos => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arregion => "0000", --(others => '0'), (others => '0'), -- : IN std_logic_vector(4-1 DOWNTO 0) := (OTHERS => '0');
s_axi_aruser => "0", --(others => '0'), (others => '0'), -- : IN std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axi_arvalid => '0', -- : IN std_logic := '0';
s_axi_arready => S_AXI_ARREADY, -- : OUT std_logic;
s_axi_rid => S_AXI_RID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
s_axi_rdata => S_AXI_RDATA, -- : OUT std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0);
s_axi_rresp => S_AXI_RRESP, -- : OUT std_logic_vector(2-1 DOWNTO 0);
s_axi_rlast => S_AXI_RLAST, -- : OUT std_logic;
s_axi_ruser => S_AXI_RUSER, -- : OUT std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0);
s_axi_rvalid => S_AXI_RVALID, -- : OUT std_logic;
s_axi_rready => '0', -- : IN std_logic := '0';
-- AXI Full/Lite Master Read Channel (Read side)
m_axi_arid => M_AXI_ARID, -- : OUT std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0);
m_axi_araddr => M_AXI_ARADDR, -- : OUT std_logic_vector(C_AXI_ADDR_WIDTH-1 DOWNTO 0);
m_axi_arlen => M_AXI_ARLEN, -- : OUT std_logic_vector(8-1 DOWNTO 0);
m_axi_arsize => M_AXI_ARSIZE, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arburst => M_AXI_ARBURST, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arlock => M_AXI_ARLOCK, -- : OUT std_logic_vector(2-1 DOWNTO 0);
m_axi_arcache => M_AXI_ARCACHE, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arprot => M_AXI_ARPROT, -- : OUT std_logic_vector(3-1 DOWNTO 0);
m_axi_arqos => M_AXI_ARQOS, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_arregion => M_AXI_ARREGION, -- : OUT std_logic_vector(4-1 DOWNTO 0);
m_axi_aruser => M_AXI_ARUSER, -- : OUT std_logic_vector(C_AXI_ARUSER_WIDTH-1 DOWNTO 0);
m_axi_arvalid => M_AXI_ARVALID, -- : OUT std_logic;
m_axi_arready => '0', -- : IN std_logic := '0';
m_axi_rid => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXI_ID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXI_DATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rresp => "00", --(others => '0'), -- : IN std_logic_vector(2-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rlast => '0', -- : IN std_logic := '0';
m_axi_ruser => "0", --(others => '0'), -- : IN std_logic_vector(C_AXI_RUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
m_axi_rvalid => '0', -- : IN std_logic := '0';
m_axi_rready => M_AXI_RREADY, -- : OUT std_logic;
-- AXI Streaming Slave Signals (Write side)
s_axis_tvalid => '0', -- : IN std_logic := '0';
s_axis_tready => S_AXIS_TREADY, -- : OUT std_logic;
s_axis_tdata => "0000000000000000000000000000000000000000000000000000000000000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tstrb => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tkeep => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tlast => '0', -- : IN std_logic := '0';
s_axis_tid => "00000000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tdest => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
s_axis_tuser => "0000", --(others => '0'), -- : IN std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0) := (OTHERS => '0');
-- AXI Streaming Master Signals (Read side)
m_axis_tvalid => M_AXIS_TVALID, -- : OUT std_logic;
m_axis_tready => '0', -- : IN std_logic := '0';
m_axis_tdata => M_AXIS_TDATA, -- : OUT std_logic_vector(C_AXIS_TDATA_WIDTH-1 DOWNTO 0);
m_axis_tstrb => M_AXIS_TSTRB, -- : OUT std_logic_vector(C_AXIS_TSTRB_WIDTH-1 DOWNTO 0);
m_axis_tkeep => M_AXIS_TKEEP, -- : OUT std_logic_vector(C_AXIS_TKEEP_WIDTH-1 DOWNTO 0);
m_axis_tlast => M_AXIS_TLAST, -- : OUT std_logic;
m_axis_tid => M_AXIS_TID, -- : OUT std_logic_vector(C_AXIS_TID_WIDTH-1 DOWNTO 0);
m_axis_tdest => M_AXIS_TDEST, -- : OUT std_logic_vector(C_AXIS_TDEST_WIDTH-1 DOWNTO 0);
m_axis_tuser => M_AXIS_TUSER, -- : OUT std_logic_vector(C_AXIS_TUSER_WIDTH-1 DOWNTO 0);
-- AXI Full/Lite Write Address Channel Signals
axi_aw_injectsbiterr => '0', -- : IN std_logic := '0';
axi_aw_injectdbiterr => '0', -- : IN std_logic := '0';
axi_aw_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WACH-1 DOWNTO 0) := (OTHERS => '0');
axi_aw_data_count => AXI_AW_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_wr_data_count => AXI_AW_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_rd_data_count => AXI_AW_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WACH DOWNTO 0);
axi_aw_sbiterr => AXI_AW_SBITERR, -- : OUT std_logic;
axi_aw_dbiterr => AXI_AW_DBITERR, -- : OUT std_logic;
axi_aw_overflow => AXI_AW_OVERFLOW, -- : OUT std_logic;
axi_aw_underflow => AXI_AW_UNDERFLOW, -- : OUT std_logic;
axi_aw_prog_full => AXI_AW_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_aw_prog_empty => AXI_AW_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Data Channel Signals
axi_w_injectsbiterr => '0', -- : IN std_logic := '0';
axi_w_injectdbiterr => '0', -- : IN std_logic := '0';
axi_w_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_w_data_count => AXI_W_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_wr_data_count => AXI_W_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_rd_data_count => AXI_W_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WDCH DOWNTO 0);
axi_w_sbiterr => AXI_W_SBITERR, -- : OUT std_logic;
axi_w_dbiterr => AXI_W_DBITERR, -- : OUT std_logic;
axi_w_overflow => AXI_W_OVERFLOW, -- : OUT std_logic;
axi_w_underflow => AXI_W_UNDERFLOW, -- : OUT std_logic;
axi_w_prog_full => AXI_W_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_w_prog_empty => AXI_W_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Write Response Channel Signals
axi_b_injectsbiterr => '0', -- : IN std_logic := '0';
axi_b_injectdbiterr => '0', -- : IN std_logic := '0';
axi_b_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_WRCH-1 DOWNTO 0) := (OTHERS => '0');
axi_b_data_count => AXI_B_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_wr_data_count => AXI_B_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_rd_data_count => AXI_B_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_WRCH DOWNTO 0);
axi_b_sbiterr => AXI_B_SBITERR, -- : OUT std_logic;
axi_b_dbiterr => AXI_B_DBITERR, -- : OUT std_logic;
axi_b_overflow => AXI_B_OVERFLOW, -- : OUT std_logic;
axi_b_underflow => AXI_B_UNDERFLOW, -- : OUT std_logic;
axi_b_prog_full => AXI_B_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_b_prog_empty => AXI_B_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Address Channel Signals
axi_ar_injectsbiterr => '0', -- : IN std_logic := '0';
axi_ar_injectdbiterr => '0', -- : IN std_logic := '0';
axi_ar_prog_full_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_prog_empty_thresh => "0000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RACH-1 DOWNTO 0) := (OTHERS => '0');
axi_ar_data_count => AXI_AR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_wr_data_count => AXI_AR_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_rd_data_count => AXI_AR_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RACH DOWNTO 0);
axi_ar_sbiterr => AXI_AR_SBITERR, -- : OUT std_logic;
axi_ar_dbiterr => AXI_AR_DBITERR, -- : OUT std_logic;
axi_ar_overflow => AXI_AR_OVERFLOW, -- : OUT std_logic;
axi_ar_underflow => AXI_AR_UNDERFLOW, -- : OUT std_logic;
axi_ar_prog_full => AXI_AR_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_ar_prog_empty => AXI_AR_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Full/Lite Read Data Channel Signals
axi_r_injectsbiterr => '0', -- : IN std_logic := '0';
axi_r_injectdbiterr => '0', -- : IN std_logic := '0';
axi_r_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_RDCH-1 DOWNTO 0) := (OTHERS => '0');
axi_r_data_count => AXI_R_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_wr_data_count => AXI_R_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_rd_data_count => AXI_R_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_RDCH DOWNTO 0);
axi_r_sbiterr => AXI_R_SBITERR, -- : OUT std_logic;
axi_r_dbiterr => AXI_R_DBITERR, -- : OUT std_logic;
axi_r_overflow => AXI_R_OVERFLOW, -- : OUT std_logic;
axi_r_underflow => AXI_R_UNDERFLOW, -- : OUT std_logic;
axi_r_prog_full => AXI_R_PROG_FULL, -- : OUT STD_LOGIC := '0';
axi_r_prog_empty => AXI_R_PROG_EMPTY, -- : OUT STD_LOGIC := '1';
-- AXI Streaming FIFO Related Signals
axis_injectsbiterr => '0', -- : IN std_logic := '0';
axis_injectdbiterr => '0', -- : IN std_logic := '0';
axis_prog_full_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_prog_empty_thresh => "0000000000", --(others => '0'), -- : IN std_logic_vector(C_WR_PNTR_WIDTH_AXIS-1 DOWNTO 0) := (OTHERS => '0');
axis_data_count => AXIS_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_wr_data_count => AXIS_WR_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_rd_data_count => AXIS_RD_DATA_COUNT, -- : OUT std_logic_vector(C_WR_PNTR_WIDTH_AXIS DOWNTO 0);
axis_sbiterr => AXIS_SBITERR, -- : OUT std_logic;
axis_dbiterr => AXIS_DBITERR, -- : OUT std_logic;
axis_overflow => AXIS_OVERFLOW, -- : OUT std_logic;
axis_underflow => AXIS_UNDERFLOW, -- : OUT std_logic
axis_prog_full => AXIS_PROG_FULL, -- : OUT STD_LOGIC := '0';
axis_prog_empty => AXIS_PROG_EMPTY -- : OUT STD_LOGIC := '1';
);
end implementation;
| apache-2.0 | 8f15c6c078efc43f32ef16cd3eb69a86 | 0.436177 | 3.637192 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/metaheurísticas/arf_hype.vhd | 1 | 2,603 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-13.07:34:57)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY arf_hype_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30);
output1, output2: OUT unsigned(0 TO 31));
END arf_hype_entity;
ARCHITECTURE arf_hype_description OF arf_hype_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
WHEN "00000010" =>
register1 := register1 + register2;
register2 := input3 * 3;
register3 := input4 * 4;
WHEN "00000011" =>
register4 := input5 * 5;
register1 := register1 + 7;
register5 := input6 * 8;
register2 := register3 + register2;
WHEN "00000100" =>
register3 := input7 * 9;
register6 := input8 * 10;
register4 := register5 + register4;
WHEN "00000101" =>
register5 := register1 * 12;
register1 := register1 * 14;
register3 := register3 + register6;
register4 := register4 + 16;
WHEN "00000110" =>
register6 := register4 * 18;
register4 := register4 * 20;
WHEN "00000111" =>
register1 := register1 + register4;
register4 := register5 + register6;
WHEN "00001000" =>
register5 := register4 * 22;
register6 := register1 * 24;
WHEN "00001001" =>
register1 := register1 * 26;
register4 := register4 * 28;
register5 := register5 + register6;
WHEN "00001010" =>
register1 := register4 + register1;
output1 <= register3 + register5;
WHEN "00001011" =>
output2 <= register2 + register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END arf_hype_description; | gpl-3.0 | 5d4f566d6dae5c351eb30f4a3a40f5ea | 0.676911 | 3.311705 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_prim_v6.vhd | 5 | 37,128 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
YqLs8luoVD2LOx7hLHtumeWWjLsgVYZwDzNhcuP9ppuB1zekOAbOVLgm98uBKeQo1HKdKN1Ib1d2
FfyN3T5alg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SHVUJgndtshwUv/pYQ5e5nU3PoYWTAxANZeYDXWQtEfdNrwBd3FxkD0UV37/Hq4Wqjo00SALlJ9O
bjlG3fWqCDCJXeemzliXBvXbwc5p3JEPm4Kj64TxKW1ytdbquoCvUqMRtjFC2281qE6bUPV0Yx7N
vNYO3Uriyeg1YeXRr7I=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
SmIAZg4+ZL3/Q5FBXzxTp+qRw6MWAhXcZUDyiIgoJwLlzQ4jhkw8MZYroUxwmdlUWQTS4gdEjG2U
wPsf/C1w2gYUW5KmMGcsMrdIt60AmN+4/pt42er08WOnLAetspyTXiLzOUMPcEYWOctUcNkj3wJt
Dz31sxqFu6E8W5zInwFODkt98N/sBb7gr/yKmoLw8pxm4L7IXpwqbboWgn3zZhWAls8LXLjORq9E
FwrrgI1V7kH5XgCOMWDjKpi76h463pH1DIb06tIEzOMVezTKimdwjhIGqmxvF5+qFzFMnIy2HLAT
ca84by6hFJ/AfmxtjxDplAKw+XGUDfboE2GIfg==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
VsVagsSS80a+xvWFkXtXcXs+PiK/k5F0A55U307sLelkeGBRQjYsjXGIKCNxHLDCva16Kt1637Sp
duxxnmAIDnHPgvNDWi4rmh6C4KhlVEw3oO+GV0QA4wgNgsP2SxFSqL9OinZ5vjHkTo4QQMmMQWyW
TRmOG27NUoLnXexpmvk=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
I1RqihoX5DNeyTGlmTYdJDyQxTwUVKenNZKneGJgeDEfnaq9pi/V8xuyN4wP1+lb375lYNUlYpnT
eyO1JYpe5q2bKlBmQIQs5Er88JwJOp2J3wNn5oZzsIM2wXsIKwWng5xLUFxxxcTHXFlqwFT7mPbe
oQ5ZBnm+Aw/ROZMx5JTG8kjvAQeCILXiP4Kdk1GrQ7Rfg6FAHuMty00z9NpAAogmElrLeGHMbb3+
588Pbm1X5j9q8he2g4LU2Nv+gteagJAUjrxFmFUJ5e4Z0Cw/5IP1cXjBk46iOtQjqoSjyYa3w5gm
ouO6vyvoKxv69isxhAclp9J5n6YuC7S+jvamFA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 25744)
`protect data_block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`protect end_protected
| apache-2.0 | e45afcdefd0d93307155d1d0141faba2 | 0.948368 | 1.827165 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/5-EWF/metaheurísticas/ewf_hype.vhd | 1 | 2,968 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-17.11:31:21)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY ewf_hype_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2: IN unsigned(0 TO 3);
output1, output2, output3, output4, output5: OUT unsigned(0 TO 4));
END ewf_hype_entity;
ARCHITECTURE ewf_hype_description OF ewf_hype_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
WHEN "00000010" =>
register2 := register1 + 3;
register3 := input2 + 4;
WHEN "00000011" =>
register4 := register2 + 6;
WHEN "00000100" =>
register4 := register3 + register4;
WHEN "00000101" =>
register5 := register4 * 8;
register6 := register4 * 10;
WHEN "00000110" =>
register5 := register2 + register5;
WHEN "00000111" =>
register2 := register2 + register5;
WHEN "00001000" =>
register2 := register2 * 12;
WHEN "00001001" =>
register2 := register1 + register2;
WHEN "00001010" =>
register1 := register1 + register2;
WHEN "00001011" =>
register1 := register1 * 14;
WHEN "00001100" =>
register1 := register1 + 16;
WHEN "00001101" =>
output1 <= register2 + register1;
register1 := register5 + register2;
register2 := register4 + register5;
WHEN "00001110" =>
register4 := register3 + register6;
WHEN "00001111" =>
register3 := register3 + register4;
output2 <= register4 + register2;
register1 := register1 + 20;
WHEN "00010000" =>
register2 := register1 * 22;
WHEN "00010001" =>
register2 := register2 + 24;
WHEN "00010010" =>
output3 <= register1 + register2;
register1 := register3 * 27;
WHEN "00010011" =>
register1 := register1 + 29;
WHEN "00010100" =>
register2 := register4 + register1;
register3 := register1 + 31;
WHEN "00010101" =>
register3 := register3 * 33;
WHEN "00010110" =>
output4 <= register1 + register3;
register1 := register2 + 36;
WHEN "00010111" =>
register2 := register1 * 38;
WHEN "00011000" =>
register2 := register2 + 40;
WHEN "00011001" =>
output5 <= register1 + register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END ewf_hype_description; | gpl-3.0 | 9c41cf2e3cdfe9c78bcc8e2ba9bd67b2 | 0.645216 | 3.157447 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/components/c_adder.vhd | 1 | 1,914 | library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_adder is
generic
(
width : integer := 4
);
port
(
input1, input2 : in std_logic_vector((width - 1) downto 0);
output : out std_logic_vector(width downto 0)
);
end c_adder;
architecture behavior of c_adder is
begin
P0 : process (input1, input2)
variable carry : std_logic := '0';
variable overflow : std_logic := '0';
variable temp : std_logic_vector(width downto 0);
begin
for i in 0 to width - 1 loop
if input1(i) = '0' and input2(i) = '0' and carry = '0' then
temp(i) := '0';
carry := '0';
elsif input1(i) = '0' and input2(i) = '0' and carry = '1' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '0' and input2(i) = '1' and carry = '0' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '0' and input2(i) = '1' and carry = '1' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '0' and carry = '0' then
temp(i) := '1';
carry := '0';
elsif input1(i) = '1' and input2(i) = '0' and carry = '1' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '1' and carry = '0' then
temp(i) := '0';
carry := '1';
elsif input1(i) = '1' and input2(i) = '1' and carry = '1' then
temp(i) := '1';
carry := '1';
end if;
end loop;
temp(width) := carry;
carry := '0';
output <= temp;
if ((input1(width - 1) = '0') and (input2(width - 1) = '0') and (temp(width) = '1')) then
overflow := '1';
elsif ((input1(width - 1) = '1') and (input2(width - 1) = '1') and (temp(width) = '0')) then
overflow := '1';
elsif ((input1(width - 1) = '0') and (input2(width - 1) = '1') and (temp(width) = '1')) then
overflow := '1';
elsif ((input1(width - 1) = '1') and (input2(width - 1) = '0') and (temp(width) = '0')) then
overflow := '1';
end if;
end process;
end behavior; | mit | 7a5634411e1cf54f9cdcf83695ef5a60 | 0.541275 | 2.469677 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/reset_builtin.vhd | 5 | 19,078 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
qOipVmY3fn0qNeJcBgG1sNHjIJOb4KstB81rnTtb8GNEMjlu+MPGjXbHiFsd7tiwTZIAB5lnmiSJ
hkKu2/ksag==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
mGhRFBwllporpLxI60+dRp/4eGRGHBGy3DIcDt6ess1EfQNt39yaEmH3/epnLkz2L6N+WBDQj0dN
oOfOwEB0+WQJt6J1aAx6KHxqG89t+e/knjk21TuIBuV0M8CBHOC7jZojSH91xue39VWSzezWI4Vk
j6ix3IW3HNCbVDBeo3U=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
XqbYI4GvYTVvdPof8LLtoyIUzAkdShzKP4ayR4CfhZYnWI91PJbQZOFsgIfX6A3BYMlkaivrvJiq
YvAOH7CuQOI/YhtdyHXMKcOAJKrw0f5UDX2HWbrH8UCv2EeYjG4V0RAGXESUJ+myJ16w4G00+JNb
v/RwgNHqqiHbguLSuARpf0vFVGScEb4WyrpgVT0NrqAtcBcmsTmXUC6CQqFFN6BvzDCreGQ0ktTQ
dEWG9gkL3GIiHaF8fXkkxCgiShjAYq1l2R53CvS2Yxvvzh/Ho1VtEEM3cAJnO2bRJKD3zYF2c8S/
LQY2uCH6190IFW5CsgO9IuvI24DnaT/56aYHXA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
keYOERLKRipzOBB2uWzVpHyCDtBAuP1QXo8eS2ad1WXCfAaV7Rh53wnMq4g4cdIneM1VJogRYc7n
4kuvPEeCWT2XjsoJR8WeWZwkkQ/Sdy8Ne1984QxR6E0W58tT6AVA4EJIyFyNN39PfgsvfYIsNplb
76PRpomFk19FAVAU46M=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
aM/cTcRyKOUDxBTfQxqpzOnnTVFSI4bzG70fvzamupKuPyIi3W+LLR1JwEW6OqIVEnQr0MScTes/
17LlIwNY5MP0NmQJl5RtOaAZQJ4auyDcvhC+mDQn0tsqiuUB4AcF+1wGYxgwVY9p4IGAzXx9vaRD
8UOguvI61/vR59Z9pMhjo8cMXes3QBPww/cGA+HgIG0jnlQZ+UmIUsPJKwOvqbYIqQ37vTVtVX6S
PtvL0auT1SGCP8+Y2HXBCWOARJ22MNriWrBi+HSR5WCTJp8D4S8LJyN26t9S/LuD8hneZO0EsASm
W8WTOco12jpzuPoFpAXJFrDmuFd2+iPU0Eekwg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 12384)
`protect data_block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`protect end_protected
| apache-2.0 | 20d693ea6579343ed5b2706d59f22acf | 0.939249 | 1.86254 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/metaheurísticas/fir2_ibea.vhd | 1 | 3,283 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:51:59)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_ibea_entity;
ARCHITECTURE fir2_ibea_description OF fir2_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
WHEN "00000010" =>
register1 := register1 + register2;
register2 := not input3 or input3;
register3 := not input4 or input4;
register4 := not input5 or input5;
register5 := not input6 or input6;
WHEN "00000011" =>
register6 := not input7 or input7;
register4 := register4 + register5;
register5 := not input8 or input8;
register1 := register1 * 10;
WHEN "00000100" =>
register5 := register5 + register6;
register6 := not input9 or input9;
WHEN "00000101" =>
register2 := register2 + register6;
register5 := register5 * 13;
register6 := not input10 or input10;
register4 := register4 * 16;
register7 := not input11 or input11;
WHEN "00000110" =>
register4 := register4 + register5;
register2 := register2 * 19;
register5 := not input12 or input12;
register8 := not input13 or input13;
register6 := register6 + register7;
WHEN "00000111" =>
register3 := register5 + register3;
register5 := register6 * 23;
register6 := not input14 or input14;
WHEN "00001000" =>
register3 := register3 * 26;
register4 := register5 + register4;
register5 := register8 + register6;
WHEN "00001001" =>
register5 := register5 * 28;
register2 := register2 + register4;
register4 := not input15 or input15;
WHEN "00001010" =>
register2 := register5 + register2;
register5 := not input16 or input16;
WHEN "00001011" =>
register2 := register3 + register2;
register3 := register5 + register4;
WHEN "00001100" =>
register1 := register1 + register2;
register2 := register3 * 32;
WHEN "00001101" =>
register1 := register2 + register1;
WHEN "00001110" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_ibea_description; | gpl-3.0 | 02309123d6c92dd49836df3067f90b0c | 0.669814 | 3.190476 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/conv_funs_pkg.vhd | 15 | 15,316 | -------------------------------------------------------------------------------
-- $Id: conv_funs_pkg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
----------------------------------------------------------------------------
-- conv_funs_pkg.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
----------------------------------------------------------------------------
-- Filename: conv_funs_pkg.vhd
--
-- Description:
-- Various string conversion functions.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- conv_funs_pkg.vhd
--
-------------------------------------------------------------------------------
-- Revision History:
--
--
-- Author: unknown
-- Revision: $Revision: 1.1.4.1 $
-- Date: $1/1/2002$
--
-- History:
-- XXX 1/1/2002 Initial Version
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
package conv_funs_pkg is
-- hex string to std_logic_vector
function hex_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR;
-- octal string to std_logic_vector
function oct_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR;
-- binary string to std_logic_vector
function bin_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR;
-- string to std_logic_vector
function string_to_std_logic_vector (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR;
end conv_funs_pkg;
--
--------------------------------------------------------------------------------
--
package body conv_funs_pkg is
type basetype is (binary, octal, decimal, hex);
function max(x, y : INTEGER) return INTEGER is
begin
if x > y then return x; else return y; end if;
end max;
function MIN(x, y : INTEGER) return INTEGER is
begin
if x < y then return x; else return y; end if;
end MIN;
function hex_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR is
-- if return_length is < than instring'length*4, result will be truncated on the left
-- if instring is other than characters 0 to 9 or a,A to f,F or
-- x,X,z,Z,u,U,-,w,W,
-- those result bits will be set to 0
variable temp_string : STRING(1 to instring'LENGTH) := instring;
variable vector_size : POSITIVE := max(instring'LENGTH*4, return_length);
variable char_ptr : INTEGER range -3 to max(instring'LENGTH*4, return_length) := max(instring'LENGTH*4, return_length);
variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH*4, return_length)) := (others => '0');
begin
for i in temp_string'REVERSE_RANGE loop
case temp_string(i) is
when '0' => return_vector(char_ptr-3 to char_ptr) := "0000";
when '1' => return_vector(char_ptr-3 to char_ptr) := "0001";
when '2' => return_vector(char_ptr-3 to char_ptr) := "0010";
when '3' => return_vector(char_ptr-3 to char_ptr) := "0011";
when '4' => return_vector(char_ptr-3 to char_ptr) := "0100";
when '5' => return_vector(char_ptr-3 to char_ptr) := "0101";
when '6' => return_vector(char_ptr-3 to char_ptr) := "0110";
when '7' => return_vector(char_ptr-3 to char_ptr) := "0111";
when '8' => return_vector(char_ptr-3 to char_ptr) := "1000";
when '9' => return_vector(char_ptr-3 to char_ptr) := "1001";
when 'a'|'A' => return_vector(char_ptr-3 to char_ptr) := "1010";
when 'b'|'B' => return_vector(char_ptr-3 to char_ptr) := "1011";
when 'c'|'C' => return_vector(char_ptr-3 to char_ptr) := "1100";
when 'd'|'D' => return_vector(char_ptr-3 to char_ptr) := "1101";
when 'e'|'E' => return_vector(char_ptr-3 to char_ptr) := "1110";
when 'f'|'F' => return_vector(char_ptr-3 to char_ptr) := "1111";
-- xst doesn't handle these
-- when 'U' => return_vector(char_ptr-3 to char_ptr) := "UUUU";
-- when 'X' => return_vector(char_ptr-3 to char_ptr) := "XXXX";
-- when 'Z' => return_vector(char_ptr-3 to char_ptr) := "ZZZZ";
-- when 'W' => return_vector(char_ptr-3 to char_ptr) := "WWWW";
-- when 'H' => return_vector(char_ptr-3 to char_ptr) := "HHHH";
-- when 'L' => return_vector(char_ptr-3 to char_ptr) := "LLLL";
-- when '-' => return_vector(char_ptr-3 to char_ptr) := "----";
-- but synplicity does
when '_' => char_ptr := char_ptr + 4;
when others =>
assert FALSE
report lf &
"hex_string_to_slv conversion found illegal input character: " &
temp_string(i) & lf & "converting character to '----'"
severity WARNING;
return_vector(char_ptr-3 to char_ptr) := "----";
end case;
char_ptr := char_ptr - 4;
end loop;
return return_vector(vector_size-return_length+1 to vector_size);
end hex_string_to_slv;
function oct_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR is
-- if return_length is < than instring'length*3, result will be truncated on the left
-- if instring is other than characters 0 to 7 or or x,X,z,Z,u,U,-,w,W,
-- those result bits will be set to 0
variable temp_string : STRING(1 to instring'LENGTH) := instring;
variable vector_size : POSITIVE := max(instring'LENGTH*3, return_length);
variable char_ptr : INTEGER range -2 to max(instring'LENGTH*3, return_length) := max(instring'LENGTH*3, return_length);
variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH*3, return_length)) := (others => '0');
begin
for i in temp_string'REVERSE_RANGE loop
case temp_string(i) is
when '0' => return_vector(char_ptr-2 to char_ptr) := "000";
when '1' => return_vector(char_ptr-2 to char_ptr) := "001";
when '2' => return_vector(char_ptr-2 to char_ptr) := "010";
when '3' => return_vector(char_ptr-2 to char_ptr) := "011";
when '4' => return_vector(char_ptr-2 to char_ptr) := "100";
when '5' => return_vector(char_ptr-2 to char_ptr) := "101";
when '6' => return_vector(char_ptr-2 to char_ptr) := "110";
when '7' => return_vector(char_ptr-2 to char_ptr) := "111";
-- xst doesn't handle these
-- when 'U' => return_vector(char_ptr-2 to char_ptr) := "UUU";
-- when 'X' => return_vector(char_ptr-2 to char_ptr) := "XXX";
-- when 'Z' => return_vector(char_ptr-2 to char_ptr) := "ZZZ";
-- when 'W' => return_vector(char_ptr-2 to char_ptr) := "WWW";
-- when 'H' => return_vector(char_ptr-2 to char_ptr) := "HHH";
-- when 'L' => return_vector(char_ptr-2 to char_ptr) := "LLL";
-- when '-' => return_vector(char_ptr-2 to char_ptr) := "---";
-- but synplicity does
when '_' => char_ptr := char_ptr + 3;
when others =>
assert FALSE
report lf &
"oct_string_to_slv conversion found illegal input character: " &
temp_string(i) & lf & "converting character to '---'"
severity WARNING;
return_vector(char_ptr-2 to char_ptr) := "---";
end case;
char_ptr := char_ptr - 3;
end loop;
return return_vector(vector_size-return_length+1 to vector_size);
end oct_string_to_slv;
function bin_string_to_slv (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR is
-- if return_length is < than instring'length, result will be truncated on the left
-- if instring is other than characters 0 to 1 or x,X,z,Z,u,U,-,w,W,
-- those result bits will be set to 0
variable temp_string : STRING(1 to instring'LENGTH) := instring;
variable vector_size : POSITIVE := max(instring'LENGTH, return_length);
variable char_ptr : INTEGER range 0 to max(instring'LENGTH, return_length)+1 := max(instring'LENGTH, return_length);
variable return_vector : STD_LOGIC_VECTOR(1 to max(instring'LENGTH, return_length)) := (others => '0');
begin
for i in temp_string'REVERSE_RANGE loop
case temp_string(i) is
when '0' => return_vector(char_ptr) := '0';
when '1' => return_vector(char_ptr) := '1';
-- xst doesn't handle these
-- when 'U' => return_vector(char_ptr) := 'U';
-- when 'X' => return_vector(char_ptr) := 'X';
-- when 'Z' => return_vector(char_ptr) := 'Z';
-- when 'W' => return_vector(char_ptr) := 'W';
-- when 'H' => return_vector(char_ptr) := 'H';
-- when 'L' => return_vector(char_ptr) := 'L';
-- when '-' => return_vector(char_ptr) := '-';
-- but synplicity does
when '_' => char_ptr := char_ptr + 1;
when others =>
assert FALSE
report lf &
"bin_string_to_slv conversion found illegal input character: " &
temp_string(i) & lf & "converting character to '-'"
severity WARNING;
return_vector(char_ptr) := '-';
end case;
char_ptr := char_ptr - 1;
end loop;
return return_vector(vector_size-return_length+1 to vector_size);
end bin_string_to_slv;
function string_to_std_logic_vector (instring : STRING;
return_length : POSITIVE range 1 to 64 := 32)
return STD_LOGIC_VECTOR is
variable instring_length : POSITIVE := instring'LENGTH;
variable temp_string : STRING(1 to instring'LENGTH-2);
begin -- function string_to_std_logic_vector
if instring(1) = '0' and (instring(2) = 'x' or instring(2) = 'X') then
temp_string := instring(3 to instring_length);
return hex_string_to_slv(temp_string, return_length);
elsif instring(1) = '0' and (instring(2) = 'o' or instring(2) = 'O') then
temp_string := instring(3 to instring_length);
return oct_string_to_slv(temp_string, return_length);
elsif instring(1) = '0' and (instring(2) = 'b' or instring(2) = 'B') then
temp_string := instring(3 to instring_length);
return bin_string_to_slv(temp_string, return_length);
else
return bin_string_to_slv(instring, return_length);
end if;
end function string_to_std_logic_vector;
end conv_funs_pkg;
| apache-2.0 | 2c428c1574c757e7bd0b99b64a97300c | 0.501828 | 4.08209 | false | false | false | false |
rcls/sdr | vhdl/phasedetect.vhd | 1 | 7,140 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
library work;
use work.defs.all;
entity phasedetect is
port(xx_in : in signed36; -- overkill, could have reduced to 18 bits by now.
yy_in : in signed36;
in_last : in std_logic;
phase : out unsigned18;
out_strobe : out std_logic;
out_last : out std_logic;
phasor_last : in unsigned18;
clk : in std_logic);
end phasedetect;
-- The main phase detect uses a pipeline, 16 iterations, main usage is
-- (iterations 1 to 15):
-- stage1: yy_div = yy right-shifted (by 2n).
-- stage2: trial xx' = xx + yy_div, yy' = yy - xx
-- stage3: commit, if yy' has not underflown, update angle.
-- We want to reuse the first time through the pipeline:
-- shift=0. If no underflow, then swap xx and yy.
-- We load every 20 (?) cycles,
-- and ship out 60 cycles later.
-- The phase detection is bypassed when out_last is asserted; we take
-- phasor_last instead.
architecture behavioural of phasedetect is
constant width : integer := 20;
subtype xunsigned is unsigned(width - 1 downto 0);
subtype yunsigned is unsigned(width downto 0);
signal shift : boolean;
signal x_shift, y_shift : unsigned36;
signal shift_last : std_logic;
signal xx1 : xunsigned; -- Real component.
signal yy1 : yunsigned; -- Imaginary component.
signal last1 : std_logic;
signal angle1 : unsigned18; -- Accumulated angle.
signal positive1 : boolean; -- Positive adjustments to angle.
signal xx2 : xunsigned;
signal yy2 : yunsigned;
signal yy2_shifted : yunsigned;
signal angle2 : unsigned18;
signal positive2 : boolean;
signal load2 : boolean;
signal last2 : std_logic;
signal xx3 : xunsigned;
signal yy3 : yunsigned;
signal xx3_trial : xunsigned;
signal yy3_trial : yunsigned;
signal angle3 : unsigned18;
signal angle3_update : unsigned16;
signal positive3 : boolean;
signal start3 : boolean;
signal last3 : std_logic;
signal count : integer range 0 to 19;
type stage_t is array(0 to 19) of integer range 0 to 19;
-- For pipeline stage 1, map the cycle counter to the iteration of the
-- calculation.
constant iteration1 : stage_t :=
(0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19);
-- Iteration number for pipeline stage 2.
constant iteration2 : stage_t :=
(13, 14, 15, 16, 17, 18, 19, 0, 1, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11, 12);
-- Iteration number for pipeline stage 3.
constant iteration3 : stage_t :=
(6, 7, 8, 9, 10, 11, 12, 13, 14, 15, 16, 17, 18, 19, 0, 1, 2, 3, 4, 5);
-- Angle updates. Exhaustive testing indicates that the odd first value is
-- best.
type angles_t is array(0 to 19) of unsigned16;
constant angle_update : angles_t :=
(x"fffe", x"4b90", x"27ed", x"1444",
x"0a2c", x"0517", x"028c", x"0146",
x"00a3", x"0051", x"0029", x"0014",
x"000a", x"0005", x"0003", x"0001",
x"0000", x"0000", x"0000", x"0000");
begin
process
begin
wait until rising_edge(clk);
-- Preprocess each sample for 20 cycles, left shifting as much as possible.
-- This reduces the precision required in the main calculations.
if shift then
if load2 then
x_shift <= unsigned(xx_in);
y_shift <= unsigned(yy_in);
shift_last <= in_last;
else
x_shift <= x_shift sll 1;
y_shift <= y_shift sll 1;
end if;
end if;
if count = 19 then
shift <= true; -- Same cycle as load2.
elsif load2 then
shift <= xx_in(35) = xx_in(34) and yy_in(35) = yy_in(34);
else
shift <= shift and
x_shift(34) = x_shift(33) and y_shift(34) = y_shift(33);
end if;
if count >= 13 then
count <= count - 13;
else
count <= count + 7;
end if;
start3 <= (count = 7);
-- The default flow is just to cycle things around; override later if
-- need be.
xx2 <= xx1;
yy2 <= yy1;
angle2 <= angle1;
positive2 <= positive1;
last2 <= last1;
xx3 <= xx2;
-- Include left shift. If this loses a bit, then the trial will succeed
-- anyway, and get us back.
yy3 <= yy2 sll 1;
angle3 <= angle2;
positive3 <= positive2;
last3 <= last2;
xx1 <= xx3;
yy1 <= yy3;
angle1 <= angle3;
positive1 <= positive3;
last1 <= last3;
-- First pipeline stage is the right shift. Note that for the start
-- iteration, the high bit of yy is still zero, so the high bit of
-- yy_shifted will always be zero.
yy2_shifted <= yy1 srl (2 * (count mod 16));
load2 <= (count = 19);
-- Second pipeline stage is the trial operation. It also handles the
-- loading of data into the pipeline.
xx3_trial <= xx2 + yy2_shifted(width - 1 downto 0);
-- Note that yy is at most twice the 36 bit xx, so if the arithmetic does
-- not overflow, then the result of the subtract will fit in 36 bits.
-- Except for round-0 (where we normalise to the first octant). In that
-- case everything is 36 bits.
yy3_trial <= yy2 - ('0' & xx2);
angle3_update <= angle_update(iteration2(count));
if load2 then
last3 <= shift_last;
yy3_trial(width) <= '1'; -- Make sure we don't adjust on next cycle.
-- 'not' is cheaper than proper true negation. And given our
-- round-towards-negative behaviour, more accurate.
if x_shift(35) = '0' then
xx3 <= x_shift(35 downto 36 - width);
else
xx3 <= not x_shift(35 downto 36 - width);
end if;
if y_shift(35) = '0' then
yy3 <= '0' & y_shift(35 downto 36 - width);
else
yy3 <= '0' & not y_shift(35 downto 36 - width);
end if;
positive3 <= (x_shift(35) xor y_shift(35)) = '1';
-- Our convention is that angle zero covers the first sliver of the
-- first quadrant etc., so bias the start angle just into the
-- appropriate quadrant. Yes the 0=>1 looks like a step too far,
-- but after exhaustive testing, it gives better results, presumably
-- because of the granularity of the result.
angle3 <= (17 => y_shift(35), 0 => '1',
others => x_shift(35) xor y_shift(35));
if last2 = '1' then
phase <= phasor_last;
else
phase <= angle2; -- ship out previous result.
end if;
out_last <= last2;
end if;
out_strobe <= b2s(load2);
-- Third pipeline stage is commitment.
if yy3_trial(width) = '0' then
if not start3 then
xx1 <= xx3_trial;
-- yy got left shifted at the previous stage, but yy_trial did not.
-- so take that into account.
yy1 <= yy3_trial sll 1;
else
-- No overflow, yy is bigger than xx, so swap things over. Remember
-- that yy got left shifted, so take that into account in the swap.
xx1 <= yy3(width downto 1);
yy1 <= xx3 & '0';
positive1 <= not positive3;
end if;
if positive3 then
angle1 <= angle3 + ("00" & angle3_update);
else
angle1 <= angle3 - ("00" & angle3_update);
end if;
end if;
end process;
end behavioural;
| gpl-3.0 | fb32a8164a83c411501d8b2ab6d1d8e5 | 0.609664 | 3.4 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/daxi_interface.vhd | 1 | 27,637 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
miQL4XotyN7tNzSrSPmOnyGZeeQ9Kg3c7CuYW+P5JK1F1bQ4K8qCm6AQW9PLEYoCU9wXohy1oqSb
Z1ZXM5s8UQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
W6lwHXSl/wz6VqmJ9/aBUIl/FkmBQb/yegT1xuuvLYBS3eklbuEZxnjRf+FweRRNMy80eKg5OSEt
nBvl+vEgQOKe9KtUJZLRFzl30dNpgbT0MBEYcD6Ol6DHgSd8i0HQJnecnsDZmPDFDzJvSgYi/2wS
h3dmKy1O6ABulomGnbs=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
MrAqG6iyirRPIuvMTbclM9njyWPrVv5gQqsEHA7qGw/T+pR7vCB+MNmQwAu7kCFgBZLCkGJhEdqA
+l9G/ao3fPrtaOoio8e8687uN4b6RcyKcIaQ/dxS6ntbaZlq+VmhyuBMAxbzB37LeQ8SSGreNpFm
R2YcRuEU1espifrCUX6qDrgIuQkOE3Z9crAaaahprSaMLcijL/+BBXGm+B+KG7iQq5nHeCEIq0yQ
305i51AKcSkPa7L5Wo7B4FKd1r9lgjQ7H8XibwTP2W2kBmaVeyKfo2wpTQwVdeK8vzi+CiZAEr0d
ZCJ9o19AfkWwxvo4onjQEd23eGZeuX2Aj3KDww==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
jTcsFCno4SxDHYCCTEiAZ6txz+auAl21wJ4gd0SdCNKdU+x+6x291pnq+nmrJwQK+Pm+EaQGPB9R
/gojd0wSNZFaU8ionNlXJ1RGmC0i+udhSATCKY9g/rUmLjS6M2ukKI6qFrtkDlpfqJySFR5giVWB
l2SPyWZK337FjNVYLds=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
m3QP9o7BG/l1EtvTLfh3OzemMjFaqd1/mGYu2ExuskbZPdavr3Pu+gN1bSp8h797fe/P4YNdEV6S
pzNsw1izjIB5dJ2MP1UxET8IhaJw11bSLYfvsU31dr5qq3HCTQe7m3kC0dSEMjI9h5CkRCLf8/Pe
WHnlrzfC4f6ECamZJn/NzKu/QwaKTdZNRgZ+VXWrrBTj12eTfYVz4w1sTZZwGNwULNfs3bxgCZbj
F3esuL9Mpv3k1ILQJ4HBRXkk+xiIKqM5DbYh4UbwtsdXrhlszm64egQkB6hIweKrzMypI6nyRaAc
4RY6vqnYpulG+sxmK6jwV9ZhBGU0HU2eU+FwUQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 18720)
`protect data_block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`protect end_protected
| apache-2.0 | eb5dbd2113e30124c7af3e951fd4eee6 | 0.94511 | 1.841485 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/byte_doublet_handle.vhd | 1 | 57,553 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
FPVd9I0cLJcs8Iv9OB+ZhTOgkScya37k6JZACujAqDdDLCxWGbM1K2En+/KOD6IouE3om//tg2n3
KSdp/6cwkQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
XX7dCcc8OfQ7+4GEdKyQ3XJiyV5wm7spluAtGcHmlfwZPvdt7wR7Q9WcbQAIUf0vBjB029YxXt8Z
TFWswxhWPH6XYEv2Xkivqxa8LaX9LB2prj8btcScHPi41Fi003vIDSHOD2whSKG+lJpn/xxMdUJ3
zN1uZImmo0RdDoAygCw=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
k0krSlfzKCy55Fju5kI/IOTT3akxsGbPXsSFxlD1OCOX5fMpw1r9wGp2Vi4uyMIWPtAMGstYcd1m
LMQSAFOqKcl1O1OxOT85G7xvMYymw+4OTfqpu57fbLlfb2Ynjvd+aAS/mlKECZkFddIUmeDlxrLF
oL1iXbP3Div6vXwTzl3HwkA4YpTM5RNk1TK+m93e2aS8M73KlaJt1876QSks085D72bLeEDyGpnu
X+aVwHHIizX+NKGZ7wwicwXWN6oZ09sXkvOssVKY/ky0zlJXfUbcBg6M32tl+JASmD8FNXs+tAVA
EEPtzEOPFTD9x6LL7f2x7Whf2yUy4sFpaw07rQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QgGuG2MA2nvf9UubOXsejGfKMqAWTamm4ROHdhPs/hJtDx88tl4L4BRuTmP0YUJgYSz1dhxdVvuL
RgnYTFedCt9p8lxSTW6jSMconMa7/uuKxw91mc2c1QlCJ2Uy7n3xLGYZO4eNkvrtCNpl1FVfLHn1
ktMr+cnTW4P57etG5Rs=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
oqROqd/679qQApkxaISGFKpXFUdsFXHe8wlB91ulqlN08jgwK/Z9t9vHVhNk5mOgx+JVgke71ACj
Cisu9Rt5anz6fi8urfI+KLg8OUSLxSlmsbzRq8js0VccUgV8vbuyLJ/M+ubl8mfWCyLNIcUcMy4w
JJPp6/y2ujwQcZWmx63ahheYQMhGENiIoYoSCOCRsLIcUgj20YaFSbD6OilHkS5S9OaDj1Kpk1ba
yseGiAKgwzICoEwFwm9NZl4WZbGGH6/FeZLYWv4LqUbcj0iT4svVvWPEfgtgaxO6+zVS2fWGOaPD
2fQb3Or2yadYbJI059tYvrotcxrCCiesy0dApA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 40864)
`protect data_block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==
`protect end_protected
| apache-2.0 | f4524bbe3696ee0d544e8fc565f3b6dc | 0.95121 | 1.822162 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/cachehit_detect.vhd | 1 | 30,555 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
MN2uqUmnHXUDHLertqmMPOjkGlDvqUASmmEgxuQ8cLHKzs9qAw0EyOqiKbnwu3rdwgdRGJpp6oxm
lp+sdkX6vQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
hEipokjvwpTyKuLsxj+ZKZLwe55i073IUSgqQ9UjbMnDgje6WTSo/hjrhHyO3N3ew+gMTz8R4nJV
p4um8Y52vV5/QgiK5jljm8g4ed0PEiL6MMhik1ph2MQ6BZKCAZtVUR9EzNakY8IpAxweonY1KER6
ytkApMYAhOgyFzrZ9EQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
h1fiyG/862FesT4EkFTgL+wi+uBQz1AMn2zQslbNAP1JW/zqdxl7xxRsnQPjMMI68qYQtUxneWyX
BfJDdH1ndplaL2ztPYvsY0eN0fUM75YMNkD5sLt5axZlcW77rdj7h29OASeTmLQGv7g/vm6LQwI1
D7ND3vJ5e0WFwN/FBiC9dlcX41/LH07f2epLLg5ik1v5I57lW7NYPK1zPWxaZ99zWtYxuSi4XZ0w
/CYcARrNDpYfwy+ZLCsLAXgHRWtqqHAMvHsszxmcOucpWerOv2bxxy1griF3aH9HCIX874xnCgAT
vQNeWsWECZ7XeFTl/KitADd3Pfl6rX4CD0ZaoA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qbTM7TK+LMFa1gEwaGlogmmomsK/pTqvqSdOkmoMs+VXgDye7OFqBZtqjQh1uwJ07h5qTVI/LIHA
1Vc3SJ90ZeltqfTUnP9Y4tLPYJMwBz3OkvpN7LPlCk4H6sFCBlXj7eYQezeusVjUgOLHFDOlnXLT
r2LSf/GuXUKzNPEMnCA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
hOcniRm/QVswOJAGB7do+R+MlnXW1VFWolHiA2v85Da3kBfdtWEBX9LlNgODUBzlb5NNBZBj6mIO
itjQCjOJWnyX+O6WPA2NR95QcMk/4VYX4u3jgdKGAfrtMBfDukzeMu539ORt8+t6xFlRkWNDzWZ7
KhezsRmG0OJLgsd7GukIpjmGdahEoC0qYOCfSo6zturZNQnoV1LWT9QFgfITWq198cqcjcP+mbqm
yBbogacIjp1eSuBpyho+5DEmk8fwVDdtuqxvHg/cAdXVPiPgvXtydNMsOi4cqpvCgmGjkB4P9t20
7ScNkEHd5H38ccGMhijXP0uQOuKg8vnik5bSdA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 20880)
`protect data_block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`protect end_protected
| apache-2.0 | 369a351b49c15964bb16aae13dc864e6 | 0.944919 | 1.829422 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/microblaze_primitives.vhd | 1 | 13,415 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dzhRJofizJr9nQvUWyLOT6VGgfpSyWtLBluYFoBoYeXltYkLvzM4SRW4QkbZBIhh47AMTwod8cHC
N7kx0eepvQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Lj3DetNAnyFluzwYILvrEbld53p3iG4I+LJ0nSsrkMIkMRRDa0Z+pC+RBgs9mb6S00UX2b+zP8/C
hyy9L0ChciePLDhASQ8JbiB41xgUq8wjssC0IsVHc6YG7v7Z8b7dp4DHxESQuyVCrygzP0JoGHi2
2BhJaBg90oO2qadEaIk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
EstjUvzYxdXLznYUSHRCrlOa/IZnUKza4e89zWM9MQxMYHK7QC9wpLgDZiTKlykujfpFoaTvI7PK
13QEhPuXNT5FDUJ/e2qX0F+AgIrRcKKdITgI+FanGDiw4Kek4teQoChlQUfZC0OfarCtL5dHsjGZ
Ig9y6ZVhPLnt7gqayshTZaumlFQWcuVCNKbWqefDyY08H2t8gEpLODa8BaABaVlVR3jgaM4uUtyc
FPDugF+9HaTJVyI1n6EHccGOU8zgtox7thljv0FanOZ1OaNLScVzi0OiIRmyuG4FWYzCj16gRdQi
qmxH9jJmafkjt6cnBLSJENU3fQ6cp7ZhQR6EbA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
B0X5pXDWDnhXXUYurwSZC3zgfnZrpcLCbKB+YJkfCM4N8z0BS15KiNEWWYZ67jypYN/FVdmRKyOO
+WhTWqcfhyxDBe1Oq4/7EKGsRPH0W5FsNQ0P/fGIbPO+onYBsotoitORCMV7faQdrzk/kGusCqnL
62URHA9hh4s7FEzvLvw=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
PjHysP8WdGLwitK955UDmOXLXsNyIbhTptvn7sn7h9XgV7YNnH4Z2iCe77oKAgJzmpOUuHOijSht
TZRroVVMjDJEJs7VREGd44Zry+vYPOYHkWbis9poKpsHwbx792dfov5QKSqlXksh+fEG5ZcExv/1
cQJ4E9Eb7isV1K7frqK8jIk9XTiWbiCDb3q7JAvJNR6JdeGgd/I42uwb2hp46VeEwXq1vUMnvxUn
Bu89rInonUSo8FiCqVDVsS4DaN4sFHeEt9HUTYw/z6xZN5qx9uUpRjoN5pdttSn6EYa/iSbaL9cH
HUwBSzASY1sABXOWWBqxDKipjE8Fs3LAVcGaiQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8192)
`protect data_block
eqcmLZ7S0W3I9Ne/4JNoMPzz0DvNdn3czRgZbHosVJJNGdbU0CEw2Fe27PDdy4br1VwmB/B9Qh2V
TKdDwe4otUV+WjW7w+yoAHKOyI2YlRPYFz4z+2zV1KDyXUvqLb70qO3o4BvhSILxP6+F+V5qyS83
2RWO1Iyd2gqS7t6hNc5zKUHvS/oHJw1KUy12C5pVGIB6F5FoN4vFqgNbWOqy83rjqs/8tR9KnbTN
fSpf3q2xjIGBvuvZvGGDeFvRc5M8QsnzcGUfPPaeMfOeOGZlBLqTYQvATNQKcFrSj/b4+LCbFm0n
b2FDGNDrXwxu813JVRVTnr+XtbEL4WP8rUhJS0vlelw0b0DA0G/Nuw0lpZb7KIuUp0WAFTx+qj3F
sQEYu9g+1AOIdYAP+YOO3vfHcg+4kk9q3cKR0uNZH1Zteu83gXzGgMzBFZlbu3XW4fwff3ru33mb
S9XjeITTBRv14PdpPiivdzUoMXgVXpMdJhNyNCIIE8tmc8U4tSNiDUoIivMp/yO0VAc3vPxBotp5
8B4OqiDwow4w565u+sgkf70z3krawBmi8qjYRSqPPERj4RKeSwJ2KCjFEZ2uLFRXaPXL9M7Fig26
Ih/abIZblExQt2Ezti0UWE5Jy8nsTZ/5ucknytjbUmSSM7LifcUtSBz8WoLXxKradZQUJMb9SUv8
EMO6t0pYRoEGPkgkx45tZfpgZiWBQAccBEH32IPNACk3IYc3S1SourB+rGrdwvgv0VXCttTwKS++
hlWOC0Y4wmej0roC5CNthkoj6YxNTS6xP8pkOwmUx1qGK9GtNagFZXjmdIIsHqz7z8fok/B/Litw
nmjoVCdHyVm1meukvFyCvQhYHjrzF798DFv4GS1soAWzpwzi5AohgyNxuCb5hwyJ/GwLbttFRiQc
BDN8na+cPZBZdcS6ZkTjgzByd6xz90R6eciDOpf+TvVdUS/dU3JOiVR28RQYmuqMtkDSd+AlLmjG
N6IONNWAB15GfjKDx4GN1WzE2KsijNl/NlbMSoIi0mOkJxCVUNADcmJeDOVx1o8bSalc+QOsIFPx
MD/zNvCtxW3lzj5cenmXN3UzbnmQzc5w7gyEmBf7xXIfwq6NNYiCkGXz+7fOvWZOUTOEEww8e3kV
XecwjfA2u8/UmjkSgnDzYXRLNkOGcZcTFF+vDq0qZPg5yF+h4r8/aB0FZMSi/+AlV9Q2+PZEYuMt
xQJ+MPzHmXrqjmNjh/2t7f/aiqIbxnqsQ12d0kkNSkWmOHvcb/uynnxe+9hYhAKQczru/7nzt3Jm
6VMOYhxwe6RN62NCUhqbPZvXh2v8LFsH6BUBeew8+olBp3Pu5wY1vKvqdt4eWEHBQn67xebp1+A5
xNXov4PeeM+RzuxeEo1lIr4Bt5EWtn0kfn6N9g7cpsu9L6ns4XtrZFe6Qhmy/d5nnxSPmB5UKyVN
nt/EhBwu1uX2pMceNvsL724YCpd7Vpok6ZzgT5BzuhYRz/cM+J/ysXEp7VrqiaV+wJ1Mb4u8bgET
qU19f0CvKFMXA8W4/oba0oFBSw0//APXXkgMBUbuiPZRzbDqrQ0zoZ+Vhj3H6qdhfxPAIS6ODKmX
1Fi6ileIZ6XV6U4foc9PKZNlnqlgbIGgGZlZ17UP4IkBfVJPt5Wikyr/v2zTacnOHl8AbvzgyZbd
OdSRhwldbdha4ylL76MrNqE/3pLd/19iPopM3eiUZiT0hfzVMcF/sc8mSfHSdPWpOUDu+0cqNO+2
ol7FaEQdHH1Nquf1+h30xN0nXW+CZzTx8/Blp2lJU1sH24b8RJoHXtx7p+R8rSsnxxWShhE1MxmW
7ZfjJBVgsCk2u/vaFCuDF+G4c6hBljiOqXERg48eQAryft/7tv5oPv93JEX/kyALI9ewQTbyZtuK
qJCKViBvXt2K7v54GfUuiuQKuz1mBEGC5fiACD8atgCVfoPatPftbpWlI4loTF7hy5yC0tqhP/MJ
5AFpuIKLVwflTj5WJQnU+SJnABksmvDmUnMD9HgbDSxIE7js3ikC+hDICirPpfIkgbHczto+/vu1
0ID0s5l0WTybv7jZIAt6pJ5s3QyVArRkVBcg9Esl8Ug/E2YCH8Mp8cNbImzAPkQZ2Mr3MRTNjBu1
B2BEEKgwZpQmwBbv3J64PCbc9zx4s7Ua9qEcIk9KnHb1RPDhCWRkShq/Fr1a6Qnxmqc0ZZHaLVEh
8BeTrYN3b4/+cdCUBLK+gs4e0+2+jLA8v8QLYo32M0e3UpLUhjd2rt8OSbJsyjcsis5no4uIISW+
zBvGH12hHxMHy0FlQtxTBHumiKBCo5Bf6G0rL4HNtXS5Ltq2o0u36npbBCR4KyxdpGaNiWTaWOUO
1hwuYLu9G4KCW7w6UhCH+BbC11RD/+mOnH3e9AAp5xSZ84w+ix8igLQFQrylaMFb8M/yjp4l6R6F
PjTfMKYiUkiRq+x+SY3ym3HW5701UQNMOALyH8gL/+gBr9u1Lz3fR8MiHBIFYvHstR87hb5b6A2R
UldSpBmiutOUqArJ0vYaluqd9adKbxRpzEX42ukgh9FUbVsiotk7Ag7beq860tKnp0cAHqmnTiK+
J0nzNvi6s9i7x1kzocSy7Lkou7qSm/NQctmsk/UjmOgDQCxMcZzemrNJvGpgWDOqS1D58kehtzP4
oirJkMc68i24q2PBb24QpjC6+zX1OPEcpB3a46x0j5+eEM6VKHCBxJXRJYlFASIi3rjRvFh0xj86
KPo5TzeM3DieBuYSXK6VFcey82hsnJ6g13WqpvND+qY2SNqWnDVXZrqpsJZ5bnh7L9Rhn06OXajz
kadcTQVAgUaFMzBg96YV421J9Fc0duJycvifqdSwRJyjSAJmAHykIMr4TorY5zhMrX/oJ18SD7ba
GY0Sj02+b9a8Cr3zV1y1X1ovqQ0qtCCeiswINSuT3nt8zyNhIxCKWmflsfV1g9lqwWx3+ivm2xmE
riGptMFfrPZCsTqsxn1rt2K1UsOZOc4VdGr+QYlHEoWP0M701pPVHPnyulWeB3fMA+fMILKu4oQT
Yz9S1WV97xnjsquaKzH/1SBI/5EEFtxCYaKzO2KqNnt7teT1uHJp1uTj4ADTPwxAPuQWbGj1uIJT
VHVF6AhT7jHkD8iXdQt9FgFKg9tK/Lr7a9BI5FwnyAjYkeLrg93KMQ+uocw6DdVJY9o/R/BfYYSz
IL4IWAw4eED5Ugbd2U2Y1XJ63bAGnuMjc1zlc/x05G7XvJeHrp3owqWgQjFNnawQvSDbMRvwPTTX
hXTCVgODfAg3lrQNTNSZMJUu6rmh8H1DlUAdYHZ6vixROlGubFmW3081m09vHGozrkcNaeMxm1XE
1htjlCMoVUVXKvl0xqs4rDPBmsEFuK4Yujwu/3gfRTXNPSK4Z6vuGAMbRECmOqfXXXUdH98Exl+I
EeIsuxX43QVrY0HMtMJp2THOST0ZWiVjyPF1UGhsizRVfuGvqzP+LwIPD6M31UEV/T9blRzxyVxX
nCdYHmbGM+0m1reINQCSv1uHUv6NAwsMxMjyxF4RYoIVpM/tMnvUOGHgYWYQ3kkTATNOhMaykvWg
tLfz1kAyAfUZ5+F1DAHCq0USTUTwnHOq5H9FPM003hEb/bokxXEUYNhQ+UuGftGoOVENlhCoCmUs
QFX7xsXC3za3mojJhj8YtfWWwtKgfbBXG899JZvuo8hQPSUOFW0KMIFM6DeTSqYr1tOa3kqLvwKR
P/N9CxT6VrOMoRvNkQVNU/40tftYTIZIq4NFrpRKNj+gbHPoeAnk4ChOWKEMtpDYA2FD9z+dElnP
JtwwqIu+PvgnuRo1CCuySpfzVOctNZ+Gz5iEjMsMJlEJov2Jk7gfzfxoaXCtu8gWbHYDiJav7WW5
fkiAbct7VKjvT5+6bv67/fHN9iyhMf7Vfmf3kjNuFqErkuULLzpqJvefI2CkjryHCzFhS9TlT0qU
JYRh7/HgU2i/i28hA10pdMTuQ4/CIe8IHRkio1bPko7HmKvofnrWxSIJW/KvuccNgl5u+Zz5krzS
NM7bGY+YgRjFsC8i0Ol2cgJip6nY0HTINVfEBlnVLVMoL1nqtEAf038pv5C70YtUAZZAYJjq1/9B
dbGN3cHSgs7+b1goLYBrX/WqalTIlaIBKmRe6RwjlQBmCMfO9TRzDOiT2QM3JQp5Ed17QdqYGnnD
pez/MT4jyPjcSrJE4GJd+zJA81Pks0ohnanx+jxyWYAn5G3UOGse4ua0MJwgsdjwzlt+QTEXwWkm
PBSQRTMp05qUSCXlLcFNI9apqsFOcYFp2pOr17Y5FGao5/lFhrr8AAScbyLeidktQIQS9O+2+U4R
IilWhxURSv7F23W3esTkLst7qwEhA5Qu9s0Kq32dVz3KqB5ysWw9ayiJEuVR1TO2alzegXgDqcTv
zm1p35cXDDhvHLuTyKGpfARgxw7spGzhLImKQgZpTd3eeuvK17SxrmJwe205gRUkXewH4QiXv39/
NN0wdsImzbPiEY311/HmcOHdyKzKLDv1VFXbuMomxgsuWU6JctGWaG9A+zTbmdgx1fXxEBVDTd1G
OWlTZ6no4A4iKNuUAO4xkZpkRDniS2u4IdwtJXh/pMOWrCsGpt2I/DVR1jqoJtqieOpJ4O8IrXxc
owcIoMBkhaNJ7Q05PiiHWT1ciARadgz3rNfw0Ym7h/00xDlIDRWWO1F1ML2P7cEjIEZVsnmoMWgk
+4CYDYJC60tM96DPvrV0n3f62wAD8nHAEmzFZC5KxH2uAow18TlysmM5iWezB+qLob3a5vW/lcui
oreSPxgFCBF7oTqxktYetnWoZ8dHNCepb+risropyGWg6ej8GOk5VLP9sAgVk4Uf4EsZ5cw1ahZz
M//PJmsd59dTIyt2c8bDfs8qB8madtkNjf3rBka+0PFoMf7NSAbAwAPT8bxDMmd9Yho3MZQMSSkj
wv8H7h5oXx7T4jt9xEgSqND0lHL2+cZjsI8vroU+jS/hdCi/oAcg4v3qC6WZPqAu2L3r23/3VaKF
UOXqZFxe1+1jRfVE6Ihp3hzcj2Tkf8sqHuE3SQOZfueaD9WIa+T2Cltsp8e4tVFmY9b0iLPf0yvj
hjzV81Cx7orKhXALUQJt6HHAfq8F1KwSpox0Q0O9qbyMHbDNnOVvqI8MsoH4NtsM4oNYd6R27+qD
qkXWtCIPLEO3NKXe7ZYzxCLZFgLJitC6DPnX0PmtBOEP1DQwel0vq9PXk9hwh6x4qC8d7yci4KAw
7pFcLGQEhkJBAob99CE43fBR9sZ8jLp2KSpWu+9Cu94b+qZZQmzqgxYqTrsT6hUIjIqBZLFIsoWq
jRVIH1HvQ9JQ0f2WIL1afGh53tUo3BPAaAsrRYozyz79Z/7ltAnJY67KSl1G2k1TxDSJyesJjnhx
ZV8LaHBJuUin+rLcX69w2U53M0QdhVhtk2Izf+10cmkoLSXQSX5NjcxuVSxOgmrJXpk0fwsLykod
sFuBDzcoQsKF+3bYGU2jQAWgKb4tRtraD7aKTpd8LwXIAWNL5oFmemYrqL9Igd9vRySlxuuOlXVE
xP/Y7WhVwnkPuZ49+SPV/OGn4YFTbmnfX1TD329PFJlGCEzaw8YRLd35pBFOE4PLSSpmauRLHHM1
G6uk5+0JXMT0zbBg2mZgygPP0HUrnZ/5NTeJVGNB71wt6kWwAxFiWOAABr8tCyu69FgxL/Rrfdng
+byF1a9YUOf/M2GyISDL4u+9pxiZfG7V+B169xNl9o9yuv2m2sRxAHp9YtDl/VgYO+Z8ISh0pIaV
4BfxW3pqVPMiVwrGfXI9Cd1uwRZTn4SdnxePNg2Wm48V1/XuBFOkm2j9pwmuWk7MwjxdqRF61GtB
kP/0XDHhfb9jhKl1ksM+EI0g82HF9rfmcZJZJrm5fA4pQgUXjmw6XJb65yReosMQPHGiGOxA9BvG
TdK1eyYrmmIp46/CnevAt0ONq16QOLUl6QuH5tUGzjE8meJoG6FBfMu3YLM1Wqi00DhvdHvT/MOo
GwxSrA1OwBMjFvv4UfxbcMCbG9YAJXCRfKCkLTZeLw4FuDx3NHHahX+JTHjZi9Rvw/UceK140O6F
35Fk6g9kKC2ZvfiRaarktqAjeX9oHlGRfx/HeuNLDlBodGS3DnihQO22piFcEWEHXmY3y2XJaApJ
RvkQxcQx7XfCExrEwvML4WCrbqapoEROEyHQVEhoZTseTxDW9cjly2SwIjb35fJPgtofU4yTjgLZ
RHBBzW5Mb70K4jhuxTOYlxz27W7MTgk9Eespco/uGoviN9GlvH+azM3irtkZehygohmdJDvBsQ1y
tjrOlSF7I4bf2vjOJfargcCvO9SOpvePkkXFqU3GNBh1o4dIjBXENWUXwcKPi5sXUtrIyIo2ZDeg
hdtTi+23h/3cAOQ27Cgc0zW3z0/e6uvDyGKpczF8P6shvqGTkHNkoEql3iHcEaixJwGd8S2l6GjZ
fXdQg+supSKa9DAWQGGxW1/qSFIrpeOpKa0miCwBJbwTHED4QXlpkfduAwEGZynQtfWzGAQN9XXH
04SkE3owtHyRJz4i7okmLyqTJn5SmFBLMXGShgxHFYHbCDd+sOAohLWlk7NuAV4xPl3ig/EVtYTW
DwF5xBV1ppsJwpx3NajX5aJ/Ljj34/YAInGFguTIoJtfklA72fGd/g+Ntx/wTWXeHIRyxEAuXgC+
urIjvuEkLWJvQLCA4307CYX+pEYgcaUhUEB0xxk/EV4JHmcBDXslpxq2zV9wmgc5NUcBYqtLK88V
d0kfwjkU2rRQrD5OIRn+5dF7zKTw4DTGff4qFiiStM7JLuXOOdfL+YmDAHNPPbfTPnK2REhElRyO
14+iQ0aVf3HQQZYofuUtXtRsBaHI/j1zy/J/aQ7eUCNyUhuMzfFvKEhLe8bNTqtCu5hPH21IuDev
C/a/DscfA1x+HNQm7IwB8oAIB9Dkma0GMBSZaIKWsNoJxNeaWhIImSfexdlU6iES1BlrycYi4QAZ
uL5k8xkjjIA/E7rDmAJV3rwEF3tiIEZzGyQ1ynQsSeKFZU3TaryjSLoI7bB23A3iBmNH5ygHOM+P
z83H2hYLg5UffLvsZlo9GGVUiIZhW2n+FOP6+vlT+o4pLMAjfg14uxRQmm1sOhKvq7gzuPVu01ZT
sd4B4v1OFfOZaHoWxd49oZrelkLDOfDamI6QO3vbo9VbFe2nw1X0ocNNJ2vi3O5dobwnWj7YPRJP
f6FuFQuj1kmc2ENtiHOSOPy5T9fcw6Yb4TqsiIMgMgjefxiKEbWce/BFaNt/wsUgFqNwGRFDa0o7
JUOv4rjyf/zJ5CdHIv9W7WxL2WDioltQ6/MZPMUb/Y76Ew8SgwzC73P1QcmLkrSIa+fw8i02MKqF
qVwp/saxJuWMDfLAQkNoxOrZj5AqcTzaFxhPYSpLnwayJ+zvY9a3mUHHQ/oNZ58ds0obyy3kmLnT
DuMohYPd0i3tc430HWLa1HqOc1C9q2hGgq26n49BPnYR0BwaloEC0Q+TVPf4JefByheH1qtBY1cN
BrtKhZnF+vzftyOOlas7zgED8721057M5yqyQaYwlKToKbQXwwz5188Xlq6mM9+bRTsIv7cTpErN
t1sASlFXLnUcV+e6EN4elXFiupKtNw1Aah5HDQ6qslKjJM9YiCLmO6pO9VoAnDriyE+ZqxuEtAfa
TVkeVWVAl3I8gRh2sfR+x3JEJFBGyjMWlvyeaxYNNzIgt/e1iEy0EePcM7zIAAeQOyKSuGW/Z4NU
9uqqku8XALiPFqAS0spbHSPxcrGSlYDbPfKwIcepi9S30Wkz+3v/ebl886WUBnyrSO/VvQVmijKF
f2sVrJp8V6cf5IUMNVcIYfO8UHm6PXvSTfBm2K48bM1ZAgRfq5peWEneEE+KdpW+5JSDn5q5UQth
90DCY6aezypV0Yl1SVhkjBMtPvPpxZlq5RQxMOD5W2dH9/8qhvwnNeYpjR50l7yEucgujALyc4ko
OYcOsi/zhitD4WCyak7swvyxv8yhF/pwu3cnTQLxGzcrvqVHrhCFKn/mpeLmifb9anARWnnhe1Xr
DghlJLURreL0Wgsh+0ePQXZpjqx0oZnFA6UVZins+aICR4X7a8xif17iX1WVnblbKm0pSPTOjSG3
z2w7W5WtUanPuFMLUgBkTIkD02JSwC0VC1Sd2mKVDuMXxGgcIvGfg/iYMkdUtYPAlREUGyLY6Xlj
SESspyQfJC4YCUB3iK/8WcfxXNT8+vHEemzLC8yqAYrjv7v39BncvXH2zTM1XIcmM4hWaHHqR1as
bW0kcYuoA20vK5WGewiJ2N8HkVQUslgmLoUHbgQX/+4rdy5ilQE2EpiB9Tt8G3yOMRJ+e74U4Nvf
+1GFYA/0ZIGRjJg0c8dc3iynyQqb9zLjgz03j/TjDY0zGr67Y4co5ewrYjdFAGLgGPS+WS1yBRWv
g29kuuDvODFaA09X8Yk5YwpR1yOtI4eRx8kZES5mJUMwHlfxsks8GxUNHTOrLs8ckWLzr+qDrVJM
HZHesdJVEjArelXTKfBstu+qHPPLHgSOxrO04cL85ppG/5WaPUM8jkmb0AflUomanTCF00FWEphq
WFN02ij4HNZBpFnG2TFebwWdUWKO7RNSj2mnLW4nGF8RktKgQBpw1GwNMUHJHlV6jOrvECQ9y7xi
bWCHQA9qLQ+sCTzU/HMVRMZz0xbxOhSIJS47XHn2+O7tpy/jtq4oGzpPnzji6ziQ3AKd6FLZcZ21
quNnrdeg0WtHZOKhJl6tgMBsI7xU6Ys6EzDTyLHi54lN/prSyVZQC9KINfLmVotl0EfKZmSxvH2X
j9gUVmZ7AhMsjOuE8t+Toz4SQJojnfgEoisr2lgqHE4rMLEIofs+ZCo9RqEI8RjgoONqhkP8sA93
35UG52IW04eTmLvGd1PDjZN0MxFCd+k5CALlnkadZS3vCncvD4T8rWF79Z+ml/h4wMOVNH315Q38
T4q78REpJ0jlsBwxLpOQbD8TYUhz1mrNfHBly4D7UPrdNURQupPTOK29S4evuwXLmK4TomxEAoC9
CCqu4i+bsbb9pdYz/oJCa37Qs/+KT95m68zROZGCLuUzFnwnnxQeFXaH5G62LnLDKTwh84T3WTJZ
w3R0OuUTCbIR3eqTi9YmvjAFcp5Q50ov6Oaj3eHtQBDXBmvcLNuraB9iAvNjlZiywZGrrDY11gPi
duL7UEi53WAFZ233KW3WeDLovZzbXgb/wCAY4cshsZaVU8lcSwyUOtNmvsPQEMfhGKpbrvhReFSa
bLUPeLjzdGsT/RpAWU0Nf6CBSBbdrkbl58MuLxctRkexgW8bRjlHR9yllqKyDGBUL7vHtMb1wnd/
d0yIBGf0pXpQcf7TGm+JvQlzcT7p8pghd3sT0PPOBU4Q9QZMePpirFafGFijsf2LGwRKvvkgP4+O
Gm5MEyN53aCAYSbJ6haaPheiih7wMWrLFusmB+APrYTQNpup/MrNX/LX8IwyKq5taj0Ow5qJucKs
/bRM47Myhbotk8lls/uTAJ9GrzLGFkZJLpNEo4xmjwbQ/xNnNsAG7RK5Mw2B9Jj+wdIfYjMh9dX8
/6qsGArscY0PajEA9TPbDRTo7JlZngG13lp5Z1udfVNCIuz8oF2ab95XLgq6q+ddU8jI4MNZBsvB
FhnWOEudGqAmcxbBV0HuwZCXAG+2pM2fLmjj1AAx7CruQKy4TeqjNtq+IDSnxwley3Mw6a56jWF1
cXUMvDvYj2X9JCvrogs0ZEQgwxQ43WnwuvwSoMNXT/R4QM1w96O9d1M1KMjowm7gh8Ilh64c0iZ2
gWxqlZEj/VYifWWNtCtbekBhg5/UdAVpEjtynqelaeGYeTd9NspFz9nYoJZZah3MR1eZj5U+SCsB
Dfcglo0agVEkAh3k8uT7JgffnLggACZEMWtqvuj6G4tjt19jOgOXTnXZgTK1Nig71RJ6uUrPfOTO
a6UgxXeK3+CZ7Hmw8VR/2iueTFOLi+CibBTpbPlGiXPU9rxoNcudSrG3pgRUHAbVeKhWRJ+CZwij
WBxWkXJWgctEJnYTENNU9GbUF/Diyw2P075d+8p33+PzxvLjGpONEDU6c0pE3gVXI9nwti+ptY8O
OgUOp4jmflX7HCEGQv2oVAhl+jt5f3nH/82mGa/XXd7rrzrMoeUCi1bvCiM3f+iGnzl2N0OQ7LlM
Mypzvo5KnO5Lbf3QNR9XjxC3a3b+YzGwdBdlWOtaakz0VDuyme1BpFjOBAxT2apl1wJXmFKCH6RV
BrjBDblMr8ZadYQBmyB2pI3Bp9JLd8uj3ta/y98bbSATUdhrw5iPrdhJr0b+cTJgFfKc1PdC+/yJ
LLdVB8PWs2UoYePbukTDvQ6r9LnJN6WjYHJDe9behhHeafMGY+mNhGSQBa/MKuuthBIkPABJa5FY
eDUOEg619edSBnCj+QUvVOjPahstwwZcRcjkx39iF34OdLh4LqHiWjag9lCUP0wWHTe2pUoUd+6q
IirG14AYcJIphS7bMHETO+srlxuS4/NrJdBWAO8qtEIJ2sQJ1xvIv2oXsbdJdH8qBzN6GYVpO6e9
ObC81gwZcw4AdyyBGd/02p++NpJjAXwFkEcNNa/bmksY9AUGJcTdxoVrputANLZe7xNP+6TlvOIm
tvK0m6ym3WLi/t20kBu8ZP2VQ7KAXtDATKHz7gSsJekzttghfL2Y2DxzojbVFp21v6/5Wl5zjBRv
apg7/SIIkqvZ8gTMxGwz17CIO4SoAtmQLPtbQdWlq+ZWQ0NHWBWSZM01zwKc91m24MnbgFN2qZmR
qvwE51u9Zkv41ERuGng7VwXdY4v/OMajfbAlVCiL7k97zo4FXqBJH0e2hIiSYRcgdDex+y3RJiP4
ox2PtHTQ/DTPa29i1aOtl1Lqn4+/KuKd4DFut8GZInbbmXl4qPlpKdE=
`protect end_protected
| apache-2.0 | 0976abc38440e0d8f91fdff9b45f24b6 | 0.933805 | 1.886779 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/clk_x_pntrs_builtin.vhd | 5 | 43,418 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
GdkbOqEHH1/OPqsOQJgL4T8CXh1hCVqgPq7JqqsJ4KkkS08AVXAdMANNwsQPCkBLYTBp7OO2I9P6
h5RgZG4Ulg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
gMBJWIaHc2sBWElvaHj9h1q/Dd6sG9O6rjxLUnXBllbrWhqyOz4E3VEcVrxfp4LznF8b+di4VTKT
IuGAUAosLEhkRr+tO+K7NsM6eO59aFggAndoIGEZUMuWaZXguP8z1S9xSKBed63Od0IZu/EDwkom
c5AWr6la2WH4+kGWfZg=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
q4V75V8xK742Ik2kJ39rCuWV0xmc0gt2n+z2QG7fVm3iXq0JwNFZxWYmGTewTtZ6QQxcwF0b88T8
7fo+HAEU6A0/ERVEX4vdA2mg5f+yj8P084Ylo0mNWjiQPqFHdW5MqQFr00dLBLSULsji5kYB4qAV
s45qlN9YEe3p94tzQYX19O9XaCQfTsfdoND7VDlMnZvbxhzaLzoxajRRmqIyIXl4e8Aup/sTZqGO
3iBGVqYmEllA7rXlY52gItN9ZVStLZSR9Z4H4jeDcSj+j2J7pAR0GlQTTTFgzEicqJYmrmTV22y2
mUXaqJN2U1HzlUzOFJh1+rOu72tJ/fuH54HHEA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Pqm1pv/HcEOhPJR49ucG0Xrc7T0Ejg779jz0pHpqnlN5qlQ/7ulfd2PeEFdcSUpxXQ3vzcwamBmC
suRYYGQFAuiTggz8yk32tMJu/Sl9ZsXFD+Pka9qUv3cDLDqPi4Kp7L2oIRvdqzSBE6zmxyS4BQ2H
QtyMBPpQMZkfgOA4zgc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
lPV++dRwlGlowsWO4jLluBd7zpn87Fiv91V9Zm4SaQ5PYyWK1ryFg3vewUhmnZByzknknkMepnXP
qT8VZ5hVJ/VWZSzYTEdGCuZN66R6VpiSMBi6nOKQn3NmVujHuAEids7890JGgJ9YRmrwgOAs+mKJ
hwFz9RACZ85rX3Agba0WhKAWQCW4tV7xYOlbnGjxr6km5syu5rm6oo7B4lwEFbPkYbFCZsjbDPhw
RhMFk9dYRrYJN59qOgjq4sFQuoMKusf8GpD7sxUfBpTW1KKrWOj0FGeSmdGFLfbpOASMdRLrwTlX
tLfdjhTKnXkH76AYLs1XnInryJFJce4Oxzn9xQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30400)
`protect data_block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`protect end_protected
| apache-2.0 | 9041a3bc9b7cc10892b1cf0e40c3a4b8 | 0.948708 | 1.822677 | false | false | false | false |
marceloboeira/vhdl-examples | 008-state-machine-calculator/_example/hex2bcd.vhd | 1 | 2,692 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
entity hex2bcd is
port ( CLK : in std_logic;
--PUSH BUTTONS PIN ASSIGNMENT
--SWITCH PIN ASSIGNMENT
sw0 :in std_logic_vector(3 downto 0);
sw1 :in std_logic_vector(3 downto 0);
sw2 :in std_logic_vector(3 downto 0);
sw3 :in std_logic_vector(3 downto 0);
--YOU COULD HAVE ALSO WRITTEN
-- sw: in std_logic_vector(17 downto 0);
-- that would have worked too. But I did another project, SO I just kept it like that. Quartus is a pain in the butt when assigning pin. Its so time consuming and stupid :P
bcd0:out std_logic_vector(3 downto 0);
bcd1:out std_logic_vector(3 downto 0);
bcd2:out std_logic_vector(3 downto 0);
bcd3:out std_logic_vector(3 downto 0));
end hex2bcd;
architecture behavior of hex2bcd is
begin
--You cannot have variables here
my_proc: process (CLK)
--Variable assignment
variable random: std_logic_vector(15 downto 0) := "0000000000000000"; --16 BITS
variable one: std_logic_vector(3 downto 0) := "0000";
variable ten: std_logic_vector(3 downto 0) := "0000";
variable hund: std_logic_vector(3 downto 0) := "0000";
variable thou: std_logic_vector(3 downto 0) := "0000";
variable tthou: std_logic_vector(3 downto 0) := "0000";
variable hthou: std_logic_vector(3 downto 0) := "0000";
begin
--ON RISING EDGE OF CLOCK, DO THIS FUNCTION
if (rising_edge(CLK)) then
random := sw3 & sw2 & sw1 & sw0;
for i in 0 to 15 loop
--CHECKING IF THE NUMBER IS GREATER OR EQUAL TO 5
-- IF YES, THEN ADD 3
-- NOTE: THIS IS NOT THE MOST EFFICIENT WAY TO DO IT. But who cares :P!
if (hthou >= "0101") then
hthou := std_logic_vector (unsigned(hthou) +3);
end if;
if (tthou >= "0101") then
tthou := std_logic_vector (unsigned(tthou) +3);
end if;
if (thou >= "0101") then
thou := std_logic_vector (unsigned(thou) +3);
end if;
if (hund >= "0101") then
hund := std_logic_vector (unsigned(hund) +3);
end if;
if (ten >= "0101") then
ten := std_logic_vector (unsigned(ten) +3);
end if;
if (one >= "0101") then
one := std_logic_vector (unsigned(one) +3);
end if;
-- HERE I AM DOING THE SHIFTING WORK
hthou := hthou(2 downto 0) & tthou(3);
tthou := tthou(2 downto 0) & thou(3);
thou := thou(2 downto 0)& hund(3);
hund := hund(2 downto 0)& ten(3);
ten := ten(2 downto 0)& one(3);
one := one(2 downto 0)& random(15);
random := std_logic_vector(unsigned(random) sll 1);
end loop;
bcd0 <= one;
bcd1 <= ten;
bcd2 <= hund;
bcd3 <= thou;
one := "0000";
ten:= "0000";
hund := "0000";
thou := "0000";
tthou:= "0000";
hthou:= "0000";
end if;
end process ;
end behavior;
| mit | 9c6fff009452af333a86dfa306de398d | 0.639302 | 2.842661 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/srl_fifo2.vhd | 15 | 14,428 | -------------------------------------------------------------------------------
-- $Id: srl_fifo2.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- srl_fifo2 - entity / architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: srl_fifo2.vhd
--
-- Description: same as srl_fifo except the Addr port has the correct bit
-- ordering, there is a true FIFO_Empty port, and the C_DEPTH
-- generic actually controlls how many elements the fifo will
-- hold (up to 16). includes an assertion statement to check
-- that C_DEPTH is less than or equal to 16. changed
-- C_DATA_BITS to C_DWIDTH and changed it from natural to
-- positive (the width should be 1 or greater, zero width
-- didn't make sense to me!). Changed C_DEPTH from natural
-- to positive (zero elements doesn't make sense).
-- The Addr port in srl_fifo has the bits reversed which
-- made it more difficult to use. C_DEPTH was not used in
-- srl_fifo. Data_Exists is delayed by one clock so it is
-- not usefull for generating an empty flag. FIFO_Empty is
-- generated directly from the address, the same way that
-- FIFO_Full is generated.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- srl_fifo2.vhd
--
-------------------------------------------------------------------------------
-- Author: jam
--
-- History:
-- jam 02/20/02 First Version - modified from original srl_fifo
--
-- DCW 2002-03-12 Structural implementation of synchronous reset for
-- Data_Exists DFF (using FDR)
-- jam 04/12/02 Added C_XON generic for mixed vhdl/verilog sims
--
-- als 2002-04-18 added default for XON generic in SRL16E, FDRE, and FDR
-- component declarations
-- jam 2002-05-01 changed FIFO_Empty output from buffer_Empty, which had a
-- clock delay, to the not of data_Exists_I, which doesn't
-- have any delay
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
library unisim;
use ieee.std_logic_1164.all;
use ieee.std_logic_arith.all; -- conv_std_logic_vector
use unisim.all;
entity srl_fifo2 is
generic (
C_DWIDTH : positive := 8; -- changed to positive
C_DEPTH : positive := 16; -- changed to positive
C_XON : boolean := false -- added for mixed mode sims
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DWIDTH-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DWIDTH-1);
FIFO_Full : out std_logic;
FIFO_Empty : out std_logic; -- new port
Data_Exists : out std_logic;
Addr : out std_logic_vector(0 to 3)
);
end entity srl_fifo2;
architecture imp of srl_fifo2 is
-- convert C_DEPTH to a std_logic_vector so FIFO_Full can be generated
-- based on the selected depth rather than fixed at 16
constant DEPTH : std_logic_vector(0 to 3) :=
conv_std_logic_vector(C_DEPTH-1,4);
component SRL16E is
-- pragma translate_off
generic (
INIT : bit_vector := X"0000"
);
-- pragma translate_on
port (
CE : in std_logic;
D : in std_logic;
Clk : in std_logic;
A0 : in std_logic;
A1 : in std_logic;
A2 : in std_logic;
A3 : in std_logic;
Q : out std_logic);
end component SRL16E;
-- component LUT4
-- generic(
-- INIT : bit_vector := X"0000"
-- );
-- port (
-- O : out std_logic;
-- I0 : in std_logic;
-- I1 : in std_logic;
-- I2 : in std_logic;
-- I3 : in std_logic);
-- end component;
component MULT_AND
port (
I0 : in std_logic;
I1 : in std_logic;
LO : out std_logic);
end component;
component MUXCY_L
port (
DI : in std_logic;
CI : in std_logic;
S : in std_logic;
LO : out std_logic);
end component;
component XORCY
port (
LI : in std_logic;
CI : in std_logic;
O : out std_logic);
end component;
component FDRE is
port (
Q : out std_logic;
C : in std_logic;
CE : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDRE;
component FDR is
port (
Q : out std_logic;
C : in std_logic;
D : in std_logic;
R : in std_logic);
end component FDR;
signal addr_i : std_logic_vector(0 to 3);
signal buffer_Full : std_logic;
signal buffer_Empty : std_logic;
signal next_Data_Exists : std_logic;
signal data_Exists_I : std_logic;
signal valid_Write : std_logic;
signal hsum_A : std_logic_vector(0 to 3);
signal sum_A : std_logic_vector(0 to 3);
signal addr_cy : std_logic_vector(0 to 4);
begin -- architecture IMP
-- C_DEPTH is positive so that ensures the fifo is at least 1 element deep
-- make sure it is not greater than 16 locations deep
-- pragma translate_off
assert C_DEPTH <= 16
report "SRL Fifo's must be 16 or less elements deep"
severity FAILURE;
-- pragma translate_on
-- since srl16 address is 3 downto 0 need to compare individual bits
-- didn't muck with addr_i since the basic addressing works - Addr output
-- is generated correctly below
buffer_Full <= '1' when (addr_i(0) = DEPTH(3) and
addr_i(1) = DEPTH(2) and
addr_i(2) = DEPTH(1) and
addr_i(3) = DEPTH(0)
) else '0';
FIFO_Full <= buffer_Full;
buffer_Empty <= '1' when (addr_i = "0000") else '0';
FIFO_Empty <= not data_Exists_I; -- generate a true empty flag with no delay
-- was buffer_Empty, which had a clock dly
next_Data_Exists <= (data_Exists_I and not buffer_Empty) or
(buffer_Empty and FIFO_Write) or
(data_Exists_I and not FIFO_Read);
Data_Exists_DFF : FDR
port map (
Q => data_Exists_I, -- [out std_logic]
C => Clk, -- [in std_logic]
D => next_Data_Exists, -- [in std_logic]
R => Reset); -- [in std_logic]
Data_Exists <= data_Exists_I;
valid_Write <= FIFO_Write and (FIFO_Read or not buffer_Full);
addr_cy(0) <= valid_Write;
Addr_Counters : for I in 0 to 3 generate
hsum_A(I) <= (FIFO_Read xor addr_i(I)) and (FIFO_Write or not buffer_Empty);
MUXCY_L_I : MUXCY_L
port map (
DI => addr_i(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
S => hsum_A(I), -- [in std_logic]
LO => addr_cy(I+1)); -- [out std_logic]
XORCY_I : XORCY
port map (
LI => hsum_A(I), -- [in std_logic]
CI => addr_cy(I), -- [in std_logic]
O => sum_A(I)); -- [out std_logic]
FDRE_I : FDRE
port map (
Q => addr_i(I), -- [out std_logic]
C => Clk, -- [in std_logic]
CE => data_Exists_I, -- [in std_logic]
D => sum_A(I), -- [in std_logic]
R => Reset); -- [in std_logic]
end generate Addr_Counters;
FIFO_RAM : for I in 0 to C_DWIDTH-1 generate
SRL16E_I : SRL16E
-- pragma translate_off
generic map (
INIT => x"0000")
-- pragma translate_on
port map (
CE => valid_Write, -- [in std_logic]
D => Data_In(I), -- [in std_logic]
Clk => Clk, -- [in std_logic]
A0 => addr_i(0), -- [in std_logic]
A1 => addr_i(1), -- [in std_logic]
A2 => addr_i(2), -- [in std_logic]
A3 => addr_i(3), -- [in std_logic]
Q => Data_Out(I)); -- [out std_logic]
end generate FIFO_RAM;
-------------------------------------------------------------------------------
-- INT_ADDR_PROCESS
-------------------------------------------------------------------------------
-- This process assigns the internal address to the output port
-------------------------------------------------------------------------------
-- modified the process to flip the bits since the address bits from the
-- srl16 are 3 downto 0 and Addr needs to be 0 to 3
INT_ADDR_PROCESS:process (addr_i)
begin -- process
for i in Addr'range
loop
Addr(i) <= addr_i(3 - i); -- flip the bits to account for srl16 addr
end loop;
end process;
end architecture imp;
| apache-2.0 | 622ca906899a9ec6718df3b2bfef51fd | 0.461949 | 4.340554 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/wb_mux_bit_gti.vhd | 1 | 24,873 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
a6Ydf4s6/XPtE1Pxur2C8JpUeFqBoDYi51L75z7YGcaA0QESEzWPHXM8qB++HDMGkJjAWu7Lf0ep
gOIvf4VkbQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QDfhVJDGUpcmrBAYkMr+3fOrKp//Vw0H1BkoIHbGfbFIiIMQcTzkO8DsxVLFDHIFuKCljYKdlZV6
4dUDPoHRaSV8h/KNxCX3q/M4DEJLvgMZNkH+S7q+nE++W9Z6VyDWA8Hh94PvpFW3+UZyn3+80Vn8
dP7AboKYiMCHTQsqla4=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fw6Ui7zRVdq9ukpfNp9SVfJlRMalAWvM3n4rWf0W8chReCOuzUye+z2WiWGSdy03/IFM5hV+4nHw
92env5BGDDVUjZnGusFpdjBtodjnoyqhEdz8xYwx4oiwTthB4lH1Oh+JmumThX2K02UDFu4cDe5u
PO7QFYU/WAZJf3K9imWNINLbn6vb74cP/ePGeqaFlFDpQwD9H8k1NinP81oislIlOAz+1LbWMoH1
CVZNMVzZAz98jWNKdFgWH/eNUzsZEIASLvhsV9swK89HekrmO3DRXTn9fbXn/5HdBLWDJzvdx++5
4Rw95K0MTLOw2bXhTSixo8C+A7i6R2fvSd84rA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
2pDuUt7Cjac5Iz9J9H9LIR2ErBLa48DriOc7Ioj1/1ca/c3ntVp/vnE2uyYbIX4pd7sgGV5nQKzV
9q4zYakkbxn2KkkzNbBT5lqknzpxjw2TddDtqvPuMbrbsf+N4J+Tl8FZmeBxankivji8ebrsqmZt
/B/nkUR+i/nXDPj4ASU=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
WWlux38YFC8QbFqs/b5FZYxLPbft+fJF2ARee0dr0+EgMevhTjwL+oyvPjRNrRatn7VYGMEhMUzK
og5cobKZgZvXWiVgxx2hJ+q5JxYlQDWP/gDSiX+9qo2Ksk3+5Qmqb8PserxSdMfqnlJlNCG5RAS0
arbG46Ou/YhiiAx6ZQBlx6PcNoMuJEGUnuNDO9gRVPEvAGVG2hBhYhwZ/Rw9QHG/c689NxLkeBrW
lRN7XeiYqB/Ibqc+1oGWb3dwGJgSOyNMlBIdvlCS/lt6DTqFto40ZMl+SmqqJLqdSEBgO4neyDD2
dm3Y11ZBGxo6JQ4Uc+ZN7RSh0gfM/eabw1FgOQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 16672)
`protect data_block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`protect end_protected
| apache-2.0 | 358e8bc992d305e1469b64253d06ccdd | 0.942106 | 1.835239 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/rd_fwft.vhd | 5 | 38,466 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
SUXCb2jBIUqUBHVlN0KPH+td3p2TKZFkfevOFlKZ2ylGNwbKusPtMhbEawoW9JJ0K9Eiyz+toT/p
7BwBjMnW9Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
BcT09+p9KTltwYQFP+cWp6ldOVhCR3aeYMfocuXkweVU4J1pKGI3DEKzmhz5NU7r9XQc7lkMMb1t
Hn0hTUFQVI5e0mSUtCkS8sen0DLuGCCmCtzblkhAK+/QVoPp0mrt4JcZLjmR7n45JcA8hZDVsKvB
WRTNHU2saP5hajEOils=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DOrZ3ipc0lp5XyWYVtHWdLeAzigiDawQXTBrb7sjhbm9nv/ZmWoHNirIqbodPnMJ6e/tWquICHfZ
W3RYlxL2QzrlClDCNMIzCVaFqVdGVVVxQ1CJOALPvGG3dltR/Rb24nT0npXJAs7ffleb1kqf32I1
XtNO7gKq7nKKW3YZ6qAzjjtnOcaX20zeVWRBOC6SKJtT29FQVwapEUEsFeZyaRCXwgyJAlnsyi4A
weN/uNGaosxTeyUi3CfGTgwoX48cmI1bJWYaPt5q+UkLp7oRJ5grLNaPafzQniTGGFClqQxSMwzl
bG3UJHLqkTWALL2O7W/uhHiwpXdhUDcqNOh4Ng==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Xjlzt8Vc0gLNoLfvlgzWUtUEK2+RCkp9337xEPMzz1K9oxxwYuLXr6wg6IfA2Zr4kxHLpN/FnvAb
H3kZfyvE3gmi+BXT89f3QWXABVeRQliOMv+mmBn/OLrjSceJoBB2E1BgixJyqMFMZlST4UnoaLxf
n+GhuQ7Pz1izATR45j4=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DQKDJqOXItRBwnrst9GQlPLjJsR1Xdplvzd8+wGTvl7oPLUIf8+bosi017W3UEjnil2tJiF5Bc4Q
SHMlS6sr4EvjpFVXDCxap52Ze7PXfGkdq5RFJg22dsmozjQzAIBN0eoo7J6WNMFT4ezK/5ILZbhD
s1ASzUJUaIw66Y5bcGrVM5w6STHYbmYPwjr7fDTbppkcamsE3fx6eFcDB0P5vkoeZ/3Hc4vWG/WB
RE0JJuXLcoE4TM6Yxvt6flzNyus/j/ixSZyA1wdjP/QnLoxGE+wrRsbdw28w2/VpBJmOHR5yGx7e
/IC+kPGNoygynH4b5EhwgTJjZjQZJvKmfH1ifQ==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 26736)
`protect data_block
i91Ajb1vzx8Hv7uEUoeJjrdn0kzmcJB8ecMMfO+iUabeludcx5u05pPnKylq3Nw9D8hrIS5YSfIM
DHH21+Tld1ZgtGsxliDpU2pVLhsgElz9FUjnDKqt3vxr7i4fCGULgBJUmGskS8h5VqHg6VzshtR9
heBPTlj94l3jFviNru1sz91Rvw6lrtZg2AqvbL6cFRMZFE7YTtu6qo49MjSACyqkNwT6ioPkDwr/
3j52Y4i8QzC57kxmTzb0rFR70BMvbgAq2UgxWjdIs/55anRuTwdpJOm6qCZLRQZsCm/0gxHePBx5
fpaE0jHoppDDBZhzZrkgr/OGNXDqtIRveNVqaKe8b/7nhILYewzqCQoHPoLSKuXgVS+pWac9Xh4W
78rwdk+Yzrj0oaBs0pdTyeiDKfsHcwpF9CVs3DeLSW/1Bgk2LKpkOvjgOGGu9rlZiRICg1R7/LqV
vlMXyIXCE/CDEHvDBcFtCB4KmHlxP+ABiAZWejGUkcos+uYIH8CKHxZbsGEAQ+Cfl37TSKXi+2G6
GykpixGN39MIMqDh5BAROo3aOfuIxpRh5kqIXErg2gThNS/nF1eNh3XmgJ/qJuvW73m4QwzGXJ5G
Pauvo7w9HzyLDR6r/E9ZInJHennTFPInfNDI5hKHhk1PeL4eMxcugOlxUz2OhEGwev1mZP8DoxyE
vErn+UIAJnAUxpfZ/gGBFU312ZtwpzEwv0/xRTzXlV57VtFsHJ3xeYJ1tid662zaXbLdplc5emzE
NDDCKT5crzm4jaow3z2s9GoUwibieab2m2d0UeZjNfYiBZrG9hR3zzi3Gjzpf1jahi21L8DCTCxv
Di9loom1XSumIhZu4fJMQVQT2lhagBbYY3RtOQ60jGP7LB3uWbhqvpbd0JzTOfSbv1bhFZr9rBLz
oOKNrYTjQJ017IlwfPfuJdhQmukqOzPH6Bz/v2LvgtpTrx9q4DyDqXLsEM5Lmu8fHDgysAQvr5S0
rXNE4Pgji8J3QqCZXhMXnuBETQ66dIffrqLJUhTE6ZJc3WaKEKTK+kTIGvjjV1I5G0arT92GV9aT
veP+LmDERP3py4h8Hbfh54rfr2UnDF3f92OOR5hQEw48q5hLe4ye1c1qxv2sEZtslxROz41G/jeR
ioghYzuBrCtS7XmWRBWkIdQEUaoOqrVr1aYZbQLPJGSt7xfPUua8wMXF6udumbQ7tv1BonsiPdza
axgbe98I3j+XgU2tV9DtugtnpxFLkTujJQRWNn41uQ9v2Xf8Ex5UZ040ZgONhZmWRvkS74ms13n4
nrKekO0XdAL+ImrWO7XUjLsDLQ/sz6lxt65Xi0weXiKNw6rj2ldSdqyYQsmlsYuMs/32iXo9p7Wj
F1QRJOVO+JvIFa9xt/vQBjedjuwjQWkKaBV0ZI2+BeWRbs2Kkxr5YK81m063Fbu74g4/czzht8uK
8gMCiWikUVY4FAt7orxTGoVOFsa39b1uIENDkUXx3GmZwLyOlIDAilRSXPWIIdy0pTRUFdYGoP2f
/mSwWpOFHeD1x8kePO8mlohHViPRm4DZYGvy5Yb5o6w5Wsc78LLn43PjuqmRYJ2FdMYHG0j17wyH
84jQA+LShsiuEWRZwxpGL/WxvqLThNMoGToso+I37nBbFVQDgGUU7YDrXQhoxXmBA4kVqGMhYSRS
nLM7roQLus8ljViuxQWmoAWyDLyTlw47SboC96Z+fGMuZNGE2xN7vzuC4SkJkEO6FcG+nL5gB3zC
PTzgbniSXuvb6GxygIA2K6eCZ/bFQEZ6yB8DQg5B0sQ0noKHNunQiyHwI0f1nhTNL5veNU3uH9KN
3lFNwSKIZ/5nC80260orqgMSyY9LzA5DrG/fD1bxKX2rcg4nukkb2+IlV5aztaLkXLg33KVL8q0y
0X+0FO/BFKLXv1ljWM1t+MXUl/wT3ST1ae245IwKLwskDuEDDBZjIzoeAmWmxsm41SGuPHg8VOHd
LIwUoIJPoyRFEei2yJqr4sqyO68l2Pi481VtmzVYzRbnwv4qvD3trUlFMPY/N+lqOmGbsqkjpi6b
x0/0WgojMe/XpxOAX8LMkwlDGydy7bIEaUHiKe6DSb5aDAxqhYA3KjFI8cDqKhEX8MG1GNLVYqlf
ewuVa3UDJIgr72BdYo3dpt0eMguQau+X2aXhbiEhd1jayl1By5sHxj97Nrnu0QvytFgQHC+HRIYo
58pR/LuQ5Vp4jqzVthxqcVFK4twi+Zn6Hw1/Wgc5+2QWTdSmOcSOM0vZ6sL8V6BNmxyyKip1SW/Z
Np2SRd/WBEhGU08weIH9PSApOTWheOSX1jQ3NF2ojkHE+nvjv+2yUZFuGW1TEQ6NzqIfvnED/HZ3
XLKktbNP2BHc+5uzZOmI8hbFzTqSjUHcCoHO3jcflRMrVEZeJm0WiAYKVLynv4EP24a7IFcioMEa
BV1Lc5CA5Q9fo7tQe+bJpZK0R2Vra/oiitquyG3Ee4O6AbR7mjwoD7ttc4/VUvpJr+rxZ+VvC8Ap
s8p5yPwYEisbHMMWg/yWXXuunBXN1piCJGEP+2L4v1IW/csFz9v2cAHgVbFIe6kOrF9Jye6j/Kcf
6hdiTugJDytDbhdCQDm5JJkmRU6runoLcWBp4oGoMN8rsmmVxhlpk75g51PvHSbMvKsU3a73KMXx
vA6ZS58FZdDD0+65o9vb2r5aqaAjFhlgqCg0/Ch6k8rw9kMt2LLO5DbAVBdoLIiVEt6BwNkCjm5Q
rEZyFjZC5B+Ms3+g5GSlopuOob8z54UrdRWMGyouF8G56xazH5XdJjG9dDmegd/92ejMsuC/gzVO
QLJya8GSptv/ZLG/6jzCK/bsOgdQ1kHrIq2bLWZSGXIul8IfX6POqmspyNlT1F82lJlDJqEf7csn
IqLGKdHK4b0RldXd4+LH51u6CoBa2GABlCtrpvcloZKfqLhUUJrU1AC7uM2tmawHMTS6HcvW6q8t
IHgoOQsKVGdsuUUlebv4VO/tj5efiamzlZWnCCOKPsOgNUXG/ywZQp84yZJBk7mboCB8u9jzuvvT
RAIWFjEMQvgRMhK0N9zkSLjFBIkUHuWLV9ofW1CEGjkFamy0ZP5+/3KoiWJux0jXCsVuxNIV114e
Rd8QyV1Eb57GejasC5/4+frKc3HXIlh6ALYsr12CVsyc3WXmTw4Rh5TOro/8ZtWySIeGT3qoKSKT
ddqXReOe1zO2Y4Bxs2ht6+/2WOIvSLXe6G1AHfK7eMXEcGH8oJ4PD3SZTqcDsKoJ4yAp8FiI4RN9
VggAa+Ii4onxsddULrINr4Euc1vShQ4U0jqu9YoflpPiBsQTlepuZRrKp6dv4ynIWzjIQ0SO1WlB
riJ2C7+QVOBNZ78qRDeLZkWaCH1p6p+9WJq7tZLygEmlXcTdwepZIw5bPmPTPGjlO7sX39gZlmlb
tG/zTb358iQUNRdu5p+hMw7nfsL4hOlgHmGQNbDEJ5KMLz3lkEN2sBxBSr2hK1ra4cCqqF9zp0jQ
tvtdfMd0GGCqXiRKPsi9Pe9wtUhP5WbAzNLkrovKDxJOSXJ8jSLsC7c2PnwwVPU3F9NnpbNloXfR
M7+4GNZOEsRoV/v03pjTiVXkISiWBGJQs2IcKcwaKw1XiAQX9H+X7i6ElusmW3wclayBmnJsFOG9
2wMJEFeaWuOifBR+ui2UV6k8oheAo6nB1LPLD6D0STzYk/hIB9p+4cn2M9MIS0enauYbEGN+FgWX
eBWOGrmnEVXd3MZj5qZiFF+m1EM+ztKo4eYguzIP+uyfSS0QWYgzkDjPdfNcEY94R61WUKKyzyrE
Q0JVdsbfE+NuyKOm5Ggv+toV2IOIyzJR+Y0fC3uH14ETrEUjb1XovOeRWWTvqTJYgxjDeUPCLlA7
N8xI/8JBRzprclk2uSuhx7Z9F3ZeeGS+vjs5yoHK9/0OV+w941nKHL2YJ141+XDxj07D27KtbTRA
i6hgnlry+GkL06hBkeenweIfRxZW08jBixXg6NaQ/ftDNC7ZB8IvAlFB8382YKgirHqQzeDjdkl2
XwX/rdIfVovmORc54mAdImlb+P5v3ucjRt3x4LyfiEdW5zqOHY76WUt7t4IB22CEd6OC/TI7ABbB
VL7SU3GRvV8QN0MIpXdxVYDoS3Y0aRovHLsonErca1pBR0ZyAFQJD7pYNVb8eMl5rKzeMu2M4Zim
GKLDSNp51wE5MrljDRU68GYAynL5bL80GYabH/WP7/v7MqpVncefqwnlbN9sDBzKP/+BE1R1Jzml
f1QflOQJBWmR1w2M9tUFThgbMo/U3gTo9FqUKpG4uOIOGUzSgGJ0PTLE0+UAAuCzvk3T/IAIjuKV
DHhTqC6+yTvsHhfEfbz75SdhZovTlpwOobzE/URLkW1vkr1a9BjFcLJhibUUaFW2Pq0cx6S1/ILz
2GxT/Nw85qHcjTE3o2uDNL/GGnnIfVtCK+9nWBA0KJ1ookyWovwOzUI08wDIJRQJe3dc8L92PUnv
kNVdcD/KptYckmFWyVPkR+C6h5KMOAQEqzW98oxbtYk6sVGo7hML5ZBrC5V1H9pgx3acPt4YaizK
zxgjpoTL/taV5rOlzf3ZEFNee+Vr7L1MElZQ53zon15eXGa8sgX8DGTm0r5nQPzw62EEHFKvIr6Q
w5psmSTk8TA1gz+l71OIC0A0ZgaPHBnV2b1L1ldB8j2N3Dxtw/GONEhfU3T1f8d82VKKMJ2zxxFW
E/flOEI9rcD0RZWoFnDYmrgRe8FfVbTp2UMO7yhASr9J9OQDbC2oAtaJsWA0vDS3psXqlIu7XCeH
MVXCSE4xbZjgP/YnX0h89k7N9S2ie46Wt2Ou+oVI/jqhsXrFpdjC31pgYsWXcOMhNd9TyCYVM3nN
6MQ2f8auLfrJ3G5kuSeSL8lotBltF7M3AdXnsg2I+SJ0iPxThN+EibssxvI8fC24cNhkBL3mnOqe
cafYAsUW9lnQqUztVBfTurm5rDB0cx4/JRAuwSXEwwlFtI0s8yh4bCdc4+JJUalG8KKrH4tlInvv
w121V6+0iXLsMQ/q1hNIvdJ1xA0yod/n1W/KLo6UsGQsPa+0RZ6v0tklYwbi+pgks+sc910PF8er
ZocbIAN5o1havgNx0aeZ72c2TXas8ekrK6jtNVBepwz42KxSBN5K+pcAwr9ob/nuDZ37eX8MKJxM
eLTDjZIEfCrCJNjFxeqUc4i7JJXiZJ3kB2zB2CDG7B1sBJmmqJ4g3P1qM2oSmMGwZ6LTuZcaSmIT
Lgzi8fsjBxT/tFOnX/9AB6PJcrTHdDQpaHVjW/Y+ARJ3bwxG5VwkkY6PrZoQzyqU6NY5QVpit42U
Kwdci84ZVbVZmtQB6IGeC5nCe+yF8YGX2g/9nivGT2K/FF5flBYnW72zN10cxiMdVu5RGnulJJDU
VC1L07suwBMaMzhiQi9jYBkO9UnF5k5uxMGUeaIIoComdw/tpmBKLvuqKYoWG2/r2nGn63Ysp2qA
1b3VN8GZUyc7j/NbdVDU20Q7sScwXZhICN5hsKZi075hJ8b7n/MerPEFJmcyI8X7Q6KwWD0wGxRq
jcM5mVI4aGka+LPwt4W5ZQbMJ7FzQukPtPuTrLn8WLgcg6y3Aj4A5m9YVjsm0KzLYFX/LNgPeywf
LOk6E2xPV/jwluqQiphU4wyoFcVk62XDHCfbcHSNLMNcI/6+9CBehhwSUP82f+UjSBnjm13e267P
QGBr8x1xEAZbDJ8LW9ZnjaPxE0IEvPTpX3EHHYQPDwoiEOf4dKl4MdtcEZ0EaVMObuxpuVSg2++a
bwBK0VnfaPB1g7HjIEA0fWQsi4ysxV71kNH+b8Tl1VvTxep6QynmaVE4oTlJ3BWYq2b6hSMrshCu
xEzolYVZTb2TvxrkYYtH2lCpTroTgXIU4calCrggQ7jLxIJmGcf8xwMO3xPe61kO1XhNCSx3m9+o
vEHeBgiHjkIJeu6f33SwrlOW8WtHeIATLZaq9a8OEPL8bG+6PDXXmYZd1bp5Ly/CnTbJ8HjaRFCF
yPuQ3AyJaFcXm/5VCPFNbWe9uqrwKHd1wHnPnM90YV3bgxB902ZwpqNv/ko5TPYrPJKoCjD/Ln5/
XIebL9ttnmTXB/ctbk8y/F1kVELJBKNrcozxjhy2bpiX7McKsfrrXmiCFkKN8PFwIRWkbnd5Irhe
9E7lYFuZcocKiGGvIduHigkfQ82gO9qNnHWEsGDdbGRziXhJCZrtNzrM7WLajMuOT5mzQqb97Q2e
mUnDRz/Bq371mYn2N4ru4PYtVhYbBOVUbS/I+Xj3uYM0m5HI6yOKHPUqjytdbk2yQUxFUnh3FOiF
6qwAOLXPQCR2VeK7C+/I/E24+irf1cTMIxMWKR9YT5ppiC4JvG21fHfvIu6e2dCXzQmAYweD8rZD
587gHZaY2VcGxFVin6P5oHuGyXIt1xTovK4QYYdrgiCpUTzkpNmr5UrV+wylTo2nbdFEut/8jnlY
4nH6akbWVAAEokG21paC3wfpuK8QoBkHz4NcpQZB+I1ZDwAJWoC89JtnDgKK7mCPImujPHJ5lGma
qAqHMZKqW7jFVwPkeNk5M29JUq0M630tz9mwRURNrJS0QmWWv0st4nbaqMLCDWqu2ngHuEJXsf6O
ckJiBVnAACdJli+UC8j10sN2gkwl6puU0tUFyYjHgdg72HSxT/eby4uqWf8aPPklFrPfIq3J1O9J
k60JwSeAGyyiz+g339OnuT4pTd/HJmFbV9NBbPNxCMQlNhaqXSYyy+uXC6WfBoo0cy7f0X8/y1Fh
OMggylljJhDnvllc7z5T91qp27pulX/7LFNBWygmprHMnhkpN7DnciPy3m5HVd8gkakvv3tF1Wer
M7gt94VrCiFY5VtjY2nUmfasj5+sxM4VvOWWCCoWqI8mLVbNUPwbnfcm72T3ctpCD+WzmlUC20pv
Y+5NjrvkPyCwmcG1rHL15W7gfwfbJ9weuHGJhCQkSofHIKDoANAFhaXD2pq35vQtPtq5xMlrDsHa
u1C/251WckjRnKafEG/D/W4R2KNpTEISOGZy1+J5ZWGVNX53+rQpo8UAbzczRmcZKGk4hEghxDKA
S5Jm1OR5+0W8s86QsRkdoXiE3kQjh3wkwaV0lPnLedv6XmXYYS0cflQE2RjsQXTwCqe7mJKQF6iW
SdlBE4roblfCDyQLZkLGZmPMIO6d+rt1JM0IBRoU0/GUCdl79b3UtLMuvgwvGSGuQnb7YBGf7yWn
Hs6Iv3h+aS9jIuTMRx96fuH2WyhCyYKBvV1DCNYK9g6umKk0hhyyVNthDs3ZiK5+wu6SiV0YLlpI
mi5DVH3d8/ozB5oQpa1hiikHY5WS+yXz2nGuC18g8Y1Oykw+bGB4bp2sIHVdwl5ThucI3U2PPFuT
6kdEmUdxvquxS4zQA3FFg63wS5+12eSlIRb4qYVPVAvD1d7nKrN2xOKyJP7JEayC9FeAeg9pRnYt
Z4vELfW+5Ru18v9yM4hriQoPnU067cNSAr8kiipmlqTTTULUhUnvaa/WtvKFIzvavalTnkdcIcwg
h4gfD4N1Lt0yDfX1xHBqwB5n9TC7DV/miKg8byz7+tlxwnTItH0hTgotKTMy4v0i/X3/xgz6FkLB
HdtY4HrD/Xud3zLfphtqEGXNmM4C24Po7WYYonnBQfRbtCv1e0L+FLKsYpOpHfc15rTKFyZW1gSt
FGGtEhKo39aG8vC5yjkTYFnXuShdDCveyOaKCETnxdMky76Gb6ZhZ15LHGgsD38F2V0ysSikOBRD
nd0IsArwKcz4WtE2c6MVNb0a/Yaxo6m1ufsYLNBts3kBJwcpl0NXmzZk1ltAJbrhXZJ/+93A2k3q
ALdfSI/v2brSB9Z/7emvR+l7cppsLk82n9+DZ1QhC/fZB76+iGaV4mJ8ycxdElOKLtPUZMxj+w7w
CcbkAsLoBAiQ1OtUseoIvwuITf/4x+Q4EJmW3EZybWUveSnAbmP5kdac6V6yPUuDIjVX2auH96ki
8Y5MwPX2AlbieGdbLdF0g0Y7O1WFNHL8RtexMkXrtq7Oxcm/VNleKvApcqkTsP9AKbTDqjlRa+Rz
C0fcDGIUe3z+vWj8t6AVjA5yNI22Jh4eIse/g6pDrT5cQ1wGNZ1XYf2l1mVDmvfKCB32anHLEfyo
aHsE/0oi7JaAQJU9FcRUJfxSymJj6HwRexJE2NxFI7C3DVc1DMeFQ3JVtY3QEv5u0Id3HUV71Lvr
2lib3bUPi8H97GyLRJh38YZAnNG8U3whVB5GWiamkD8nKRQiAFxSqljKgs/GeJ2ENRdd4qZdSTdr
xDMSGIMKVSvLD/pD/dFx3Apn+nxWDzodPaSD4XDsqoFLKD9XJS7YjeclLN+bUHkrHHKhZ8KnPyKM
mhWYnQDI3YA2RUG0gcth+aTCYydDECxSzRvu0mvxtF4rVTE8qrrcNXhpt5eBSWcr7NbJ6LQz9KdW
0BTWkdlRrzFcmFwG01RkXW4j/7D6aedBKUJOK5cwOgU1hOoNXk4zORjM68lY+w+TML5kXtoRwrEj
AL7wqVClycUi2VHvVcX9i17+Qp+Q9e3/HaieMB0DTKfmX+EAi1PipTgmnSG1WM7Soqv+Tc42PfWs
F2hok8GSYUyQbbZ8Gg6UodxI1X9OxRkwno1FXHxvla6dJzZywHMZganOHkcBgCSf5JuabVsgcwwh
DubDqanLm0txQ1kEKqS9zK81foru8TaQz6bnkXOo+AiThwgozKjmRSIeNsKB82xa07LyBP93ZaCO
oEAtbEX0ULYBGDzD8jiXRZy0xj1R6dHYiNQj3Uz0cgK5H7vGExQu4yuTluTdciTMtt+XysPhCSUw
4VkcfbQnbuxPr99XE3GHlSJNxDJYVMAMap8w0WYkH5VyiO4waigo4GPakAf3hiCxwjdtolvauRjy
3zpqa75fPhmD8DH+0sx/kNBiWZvic7xOVuMFxe+Gns/vL/Q1B+LvHSd91ZMKvlDL8TC0T5nq/RM2
arcVcy1KTDhN4EppzNYZHy5WOLQyazZNKD1SQKw0apzUShce6+yzsjj67ukk1EospCweWV4459r7
lbHbwbvF05ik5s0dQeJsVcRCSl1rtGxSNhMQs8EF+HnteKAxyWeeum3KfGKlaU3+YUqg7rvexxhc
C7JShgMjDWtfyIxiymL/xmi944c2bbSUut3GoKX2BicP0CqyTbxTVuTPLQL8JFv8VwZuQaIRRoSc
Y2DFAKoWWorcXUC/V9APWgh8aEoLPg2zCX7oejxxKemTrAqn50bgc/3Ph81OYCxoTw/dYpGWOmwU
es1WMyTKKfZMMxHCVSrMU2N+ib9mb7c/rEwL+EBJDy1Jcz9qqzUhFfKZrAI67VWPu96MQiI9tyr2
FFrhCsxLDyJFH/QSrAchcHcd7eDTqpN4yiAEtIaNOgWBi80lv70dVDLexrftX8rJ5+qhU6FhIm+/
eDZJme9nurjTpzU728JXGB/L9NN4hELjQCcRDky8eXVBWfGd2FzOio84KOjV5esAQCEQZatNLZ1v
xNP+kWQmRzHQVyWZ3wPDD6uAmClxSLzTlkrCpPukc0L8KV+88taAWtdn+lcd8MDJmVAtkAeNwH6f
I7lO04rdj8nSylJFZNeJU5G6K4w80bAZhF48rOkqTjzx64iVxWc/eSWxOWZ/cyAv8unyCO7/UvL4
9t0VJXB1R0SIDOpjn5J+R2I6gsvOAi71x9cOaHk9d9MNm3Jz+vJJ7cosO3EMc3DTv3jHrBaCDpeg
kSNHcTrOPC1o/zqKXjylAyTaWKDcOQBvoFtSlzcV2fWa2y3bGFghk315sFLpIxjJxBmS8CSf4yKj
0gE5Hl7JwiYcoefNINyknoYTIcf07i/fvfjoTRbKGbJ7dioJXhX97/D2fw7TPKGvE4pm7sBuHxYX
jsQGKCwmJs13S5rYrz7Jeju04EeRCMRSbl1t4QuE4ma18sI52QLZsqon07+ThRThHjNDOjxpkJfS
5HySuZf/GzPCgprjCPFpX23+PRUDknLqH5R6s+izzkvbaNt7EoImz1p4pBLmGKvxHF5tQzua9u2S
nITlKTSt0QY3WlluMiZksMyGdh2XNnI+/DjT2PqqDaWAAUVVGrFW2gghjXgzC8qWr8fh1/5lE/wl
qj/2uM5LEYm28a8mcUjmiR+z01iNMLEZd0tIj1SXBf1fVO5woRn2YAQRCVWn+MRmBdUz9EZiXDbB
8NbPkfoP0v4+vGTSHZkw4SguzOLIpFOKDPy4lKJNo8tiwow2cTGYFR3uaaZRONZbIfQEjsw92O6c
kqTjOGwhhXrjtzjN2fjZzGr3H5j8lMo3bO74WoTCutj15Th60NoRiHZM5baRoeZJTaDL+OZodS4q
PrAr3hyDjQOk8cNocCfJJfq/bUqZlpyOx5xDuhDQt8gys9QPwEmz+UwsWhz6LbQ05n038i+ha+LE
SIVqQrnulTmfBrjE/tLCgerB6tcmG7aSV0rCnExuJ22xVSjpJxuYBRVdIV0vacdibbDkRdckpB9V
zZWgdTG6a3nDo3w5GmbeYq1YdcCfUBQ8bJJHG2fYOXVOEacbrfvcxrJdjDMqWXHz5zKbVFbwU5IN
b8s67ChT+9xn3cntthP82v8IaJ89k0D/1Z7VJTdRXJ/fNBfGHmK4GSbn7CvjdTFae7FHpCnnkQB8
FVx9HCtO5gyDDVi4SviiyCkzaQxflFxIj7ajDTlh0WTZGyA2QQfPn6XJMKLs3cX7QWBSB4QqwDi4
Pm069m9EPSry5uC/TMi6bzl5xfTyibFTjelYw2mDXCvWhU6PcT3wvPDu23IeuHJ2eZx4fWDbq+KN
rCImYvNFd++E47i0L0SHemrxV+KfIfx6sIwoAz7ohVo2dIb573X7G7MEj/v3N19DICME0AIAIQDC
9PP7rB8P+pW97NIF4EbSHhjl8b94kND1N70VwkWzmKlt5IljIZx8CY6NUwWAWVww+mKze9KwFN4h
6sPpDRiiAEUuD9TErtvmQGqga2vcU6E5JOL2E+t0y/26xc+hMwCi5q9TC+D2bdxlVNcjminGxN5A
xei78lcBe+559OTFKoR6uO6Uxb6ObWq704/y+kN9htXqiKO+EItVU+vsGVRffmeHQJdjzrDYFU2w
GjbO1Kb9HdT1TMfPPLb8H9DB+5Cg+T5aKvDN6xKDz50vdX5PejiSUw3sx5QvH+Zvi4+yfl7feO1X
16ItQYOf3Q0Gyqiv6sou7hc6FS2DCjJPZ7EsAxDpeAavDqjVwmQ2zJw2CgkqEiDTOCOeumyGa49I
pwwwjSOit7rS44v9mx04yh2BIbWAta42MVwtPVEmK4ZqvKlQTXYnc17zVDa6oWBR79Ju+JvXe42z
+qhvKmYjdBh2SsC4oveGPoHvld4MnUau55AOe12K9oU/EO07snjjPFTQQL5QklwgdfQpLxfgrgIN
7pfO493TdOa1JruoIeeyQF3h2whOfYwHAlZ8M+VKSbakbJGcjDzqMhNjGE7u5BD+cSw+1iKU+her
1YYjWMzFwadkftIm74YodBn5/R8M02SezmIZGZ+tAO2aDbJQMDVvFLYOcyemqoy9+YuXby4lYgIr
2fki6+I7qmQiWbFfN2oO1OJK62vTKqcBrKYXPvoznFDGwX8on8bu5liJZS5CenTPoyOLJmslCTXR
c0iIXSg20ViMhhYn0NaVoa93yEGpNpwlS1mTNyYnevvPBmzflREUTW0lVCOg7jZzP8ojWpc8wqZK
WVWeG16VR3G+LXU8nT7f+i1lH+S0FGAIw0E4TIY+ivFinMlYiLwUrDC0KOiX0oy09Whl6KL6/Puu
ajBcL4DkzxJSvxYNTettHQzw+pKarbgywMKwp9gjZEpj/qvgj2YN8zERdTu7SZWK8T4vrCguDWpe
9HI1G26Qbcboket/bvBfUjnAtPbY1v7Hl0hLjcUkRz5Ezq88jyKvY/8tPUz5D2GhCvy2xdcHIHmv
bTWhblZP5e7wX9JHSKung0RE4Tv8+xIQJtTB++Df2/ySkz8NnLacmnJluBl1A6TjkknHIDa6Mgn0
6yaxsSVvPTbJfqL85YbvyYJd6XZLSPrm04wsJL2VIYwUQAnwzqALhCWatMCajk5swrsMHrZcNM+5
5lwR3il706OApQ+T/1NLq6BQwnFDsKkn43SuFICR1xhDccM7atl41sxzrgrZ13VU+Idx6PHK2QJz
I16UF8Q/yi7QkeD6fm7kVujsL+2KWpfUqpgicvoYvKU0u5HUAQcBRGgFCxoEZYStir8WR4Ki3jhR
sn6G2tCR3tkL9D6BYWp8Kh9fcJiCLQg9zVZPlblBBvc3vfRRPtokqUwEh7MyI0R0oJu/ZWvMx9Lf
N0MO+sx98ekKa65p0cALO9KwoaYZrGssdSN5dhjGkCxI/ikjdgrOLTeWS1cTiCx+Vk0y0AEeVCwW
fvRTgCEn03S7DGdiP7/fyqA4ldvRfrY0k1IEY+JK/WQx2E0BLpnoMTrEO7ZdwjNmtw9/1Nafgxd+
LEi6sgTqNDaFIrzGLTrJaOsy5QvreMcKob6ogkjL/m/BcRFD/3MqlDZ6zuSrOShebz6he2BdpCcR
RDRHohSBpqkUrU6j1IG31a49A7IOQCqriURAFWVdDXQL/DoEyIId+vkV1Zrz61370Du6w9OhVMfF
sP2iO7E17IEOYep6NAOfxP7wc6chphR4SoZLcUmDUpPrRHarbK2PMjUjiM8X+BjuwU4fB0qyrxDW
V4Gdd6I8JbEx9WF0iqlMo131Ir2r2pdu+fDzJOmtjJkHwYLVYrkngHuBB02cSnXCvzvAe1QWbebU
VrheC2EIr72GF7JZVQ8OF1i+srygFKwmhg/nVrL9/f8LL1UBeUY1oDxVV0mHoiot0R+Up9u7z10O
SiI9XGzKu3pdI6QmbWP1xz68YacTjQ30VaSbIzDI3YCFswkSXYQg09XgJPySWjgxnTDw4jmcpWND
TRez+5z7H+SHTqM2Xp+bmchXMU7C9FEk4N/x+tykg87IG3TP83UN3nim6SKW0waPvk4yLXENE04B
kaa41fd0yvTTziI6qyQgvr6gZ6GpaB1WCC16799gX9EBkXKT0u1UPff3ejL0plRpb7BAc/RIwCCi
h/PrBdjiMy7VjpnE0kDQu1RIRHEvJT9ZxSWOVdVmovbqC74GtanF0jVosKuBnYo/JGst6Y7JANei
TuP/rh5dxRrg/mDNgoBkg30ckhK9OVFQllb3re0gRwz/f8FdMNdGlKmH2URGos5VnBZiTenkeGse
uP9RCmQ7ary9kCXEFIn12ADkmSopSe2XEhyBw92K4BcRbZZGkmxveBX+WyJhg5Z8FZ6bhx3G/nCU
HkqWCrCY3yvuT1P6E31k+atyRvyh6cnJ8yJdq5U5mHh+u24sv7WxzK2URm4CXOk3lV6GPi0RZUqe
oBnrXQumeu/mo3KrCOgvAHxzM6NlQwYtB7x9cdtF8IRO3VzLO1AUI18ZlHiYyy9j2cL23ZbyabWt
72S/wgbOiR5kULkaHqOEp5T293u4m0kf2XkO9HST8v/GwkK24xyGphYfJfAAbm3zNl4qJN2ojRk8
+RPcmyoZ1UwmrVk59Omib5VZJ0hbItVQOl/kZ3ejY0zP2Egrfu0Lk8DcvogFCwm5xSGNCkxQuWgn
EB15SvuF3BrAyKkhBKzv2KFv9sUiypUObyJPIKm05l8rxpnkg3kHlU7zf7MnQCMVWyzPK63eK9kt
Ft3itHE5rmCHGlBi4ki4CiS/X4sXr0abI2f+bv5LwNZ5aHGTnFy+gvSGh+/BEhDEAGodFfV4fU8t
Drrlzu6K1kt8fdMHc+MDCEoxXW9LptZgR59L18hzDHkvkGLdRQEUT4Yk785gn/TQTzcK9T/hhQlw
WRu4WqoHSPuNT2NfD4vuyxbOHe40/QsVBl4Nsy7rF86/pNS64fN5E5oPXCq7/VUqFzcVgjJMr8zZ
EHHx9/D3t7yYRstY7iEXSOD+DaGGIErORQrvPgBkqgCy35luy6rje1Ggtqx73x34I0hX2AJ5YKSi
g1CiIJFMhdhQzu+FqUa4zs+HUgitjdEdAv00nj9O3FJEjtA/l3azgU4br4Fw+lB8j3Yrz+nHH4Yj
CJ1IQhnopDyFMZzJXdFxb8IPDvYQ9WYpI95KzAXR0tnMyXWTxVxIIpnfwAHoEuBL1+DiKNP1qFTP
+Ca1/aCR7HztOJJVphsFv9r1NX0CgR0PapiZPyrHppMuYasGo80EGY/uJE5PjGqKJ2mfXQiv+29c
wZ88v/Q3UdthjGBdJ3izQ3T5Pgnre3OFtUzUObEMwf6MDSmumHkezuY5/CMafFZ7/WKLNHoxviqq
oXikptu+Zuxl0J/fSG9V9u9oEnx0muJYzYFGpWXkdZJhD1jKL3jL98VDQRvJtUPffzcI2TkmL9kJ
z8aIB0II0sHo3pAHbZqSR/5O5RJp2IaQVFqU54W8pnFxC8owq9KvInse9dNbyPP0suBp9b7dPMux
jIIjWsvXpNP8zHwuTm0QhngaTo1OFGnLcN8PqiBJ9iZnmVEXr7zafj4+4JhtLAwXDCORrj9g6MuG
xNf6ettDbWK6+k+pMdfo7XNTa7NV7REzt47wwYUZL8FNoTR4r/yjp++eO2AkMHcnyo7Z4SzV2pTY
0jkZnD13MrOTLrN9onO/TV5uPTjwkjaJF38r8E/RcI4BKPu8Q6Rvz9XW2TIV1JnDYBVsZZnOtWTX
h1TbspVwB7FMz1n1uouFBVzKM3IG7P2Cfd1wV6zt8w4TKRSNHTGQ6qEKDnLSWtAmclN4ZUxZPQnb
qxkFTHKC5A3As/5Xw/rTDS//RAql8AUMe2kTGh4aNbs2nSg47TIZ+55X1DBD/FPZsb2HixRYtwpr
3lOfYTMF1VV/j/FbclUzNcGnv53ttj5dISGnWMFDor5/GH5fG6kV4BHdVutXtABrv6zlvvJHA2NB
zJnV/Cw2TkFM9KKm3yRiEEzAk8O3lnwesPDMnOaL2mjNXE3oA+EnZ5a9PVaOzU7i5G32mbRO/fal
6hz7MZPESyEDelWoRcY/AucwyNg325G7eam1fVrxUEsjwczxDy91/ZoqlZV853E/xF0R1bYmj7y9
usrO/K11mAngdXc7sTl6uxXezPcgyVEnLJUkP9ybTB3jf4WLRwc4kxWYFxq2+3B6oZ9NocmF+0qq
h8583ZhJ78hUWJ/Og+/MmxxETst0dXOxAL6QHFvEMuJORN8rqYfVCNZGWgz2VK5XrPqtRX3xT/ba
H0X1v8kFBuu1Tl2t6zcuDOoZsIZPPLhbscBgHD7bp28gF5EpRiPe6XOpc/dgfBhCbn3QZyHTeuBK
3jb0KujM8eikCydYFMNOFKGywRAPMkAb5sC64saM6NLsSPMvdgdCUjdkQl8pQhMvxwaW6In6+lNN
n0iF3lxXyHs7igO0uqpiw4mWmScH9iyYkHg8Q3OIk4qPqZCgQTk2G80+lVsKViB7RHjuJ2eJRuPr
bcknYxja8UQKdydmChkw8VYKr+sSbTntaEIbdVXmKosm/MZA+kgfYl8QFSvrNVnIpwXBCvN7ip7m
MC0/jki1lECuWwEcYxNpk4DE52de+tbH4Fj38RazNK/fWgso8EM+aasFnTuADdoIm4XNWtw1u03w
jUNksk2LqRnUI3jVkwAsf74RZKUL+2fAx4LUvXUeT1Zr2LeNEcxDwBXGHooMg11ma5HmINlNgsWE
m0WCpQqDBA8aZN7wG5qh2bwn7QQtzCsL129ulVLF+ZpQKbQj+7v2s+XvTTurp/on7WKQzRi+Taf9
D+x/bqDHK87rdd+2XaGqTepTLFlQwWjC3Zb5atgE5nUlOAXVrRYRzuIb7sdgv34ydEtfOMHZODAy
hGMrj/xl2B7Z26070CXotbLwLZ2S1L3PW6+72UZ0rOp3BqwJXVzu16jDpG7GXi5xgeNS6SUPE57f
EVEW36LnUOoiWwVMrxIRH6EaI2qc0LOGbwqkqb5rllVwm4/v6LuE5nhcmoEz9rFyJwB5+kJpxl1U
J59jGCBdIzMEidMDoENiIkBw6o+oFJwm/TivGF3XNQg5uk+Fd4DtVQMZX+AnXY0Fx7f9krncpE1B
Nv1YDg/I6jgC1lF+iH6wEEEEOjCow+cIqZl9pYsgvco++SLZNXItSY1zIY6MxywO22LBRELdtLsg
SoXRKVWkZDS+XbFxxQxUqqqPFifhN6EVZKB5CDN2maOH13S7X9nUpEn+kKhAfRDWGZv2wTyJ2K1k
aeLxKmWaDFbSOW6MsvqumzKYaPjTiE7be3n1Y0AgbS4aWfXG8LOhbvhSF7UVJD/2gGDaxOwBCyio
PjxPKGFfUh7uIG3TuQdwPwJygoYdqjDMcUkPxYiNDIEd/X2UCac3cUdDezd2Wkl3LVg2jqx7Wtdt
fK/tZoT4BNG0TZhhitFFBC3Rcpa1ZCkdPCHFazVzka9aqITvKQ5o1U16e0QP2vL+WGzqyQ33Ohnj
op47MvAp1UwxYwOW5CsgAoOwMEsqKiK3OT4lLZRPk+4l/A/10YHsAmuc7EIyDew/JTMZtt1JCO4Z
xjv99VmDQPq6Pfyoh0iJ6hwjDJCJcRi4hTYrMyNoHAtGi8UTS0BlhGXDOkB6E7bgeKMKcB6+11EG
FZHvB15iuUuHSAlMCmIjak6lhQe9c0UAqhc9Th252ltzSAlkLyk1aF8Y5vv9peAAa59YteW8RNbQ
b1E/N0TxNh5/lQEM30XrZ8QcFXy2EAizHWPhZfEA4G6JqPzUOh8qCQAOsVMRavP/6hLP62Dnlczt
Tt30DQUFTugWjQicoWzE6fM5fmNkkfmiAZ0PKeyZo2RrxFaFJm+oVG4nEikDvPDw8MT1PKLS9KVZ
tJdudH9itmVBrE/fmOsbSTtKGut797rcD6z0dQWSbAs/vJPVkuBW6I6bhViOTFbrbDCewsdUIu3l
xwMS2gfQUB9Tta2vj7kTlbA7scK4R5BtvtA8HlQRKcUT1f2paMa/6meqwpAqY0oSxXSU71PCLRjo
7S7A+gAjHYL1m2jyjJmUzsMssboylsr6/+LFi/FamsJ8UKGJBb/psWW1w59p6Q1+T/Einx9PEsmg
ucAF1P2o1zU8vGVVmjAGUG2f2070DfafNw7WC772xf1o5bU2jQ7i27an5g8/o43pAam4OqHupTzu
ghXgyeGI4cs2mF3gm+kx002GyIizy7TjCFXhm6tph6XRU7Tz9Oxebfs7zRWEng1QreTlo1vniO6o
nuQHs3aq5KWw9BzxErvavE9yGZ1IsQqxiapaZWkMLSGNIXd3nScJIGWLZQZ3VRTYDmVu2+wk4L9k
Y8MVx3c4xc4mAEefO9lCZ9H8DF8rSE7jJTTF8/Kx2EumomL4KTPqPkTOdDNFOkD+4pyne9kLmJvv
ibdv+aIMoK7j+aWFxj2z8ipm5GrZwbbIitlvz1WzoadfNm1zo0/SGww/s0ZZgsJPPDT/xLuUsBzc
HAOWcETQSh031vu9rGY0/0YtFhlAJDaK7dz3/2ZGfR4bQrfdUmhyoX3DBvqejXtdrWId5fvzauhF
Y27LZQfk1Ds1sH2hMo0C0GKSJUufC7GIab+w84mbiqtMSuwB0fVa/UaRAHw1MwpiO7oIJQM8eBP/
bpIyFIfEO3iG6I6xN036HhwYiLZn+o/HSe6V3WR68xlspMFLe09/2GxroD9D7s8vWJH0Z0HFH3s4
rh5vzbxCREokBovCeh6Ukpoli0egThPgADezoMDAPQ9WAgTRs/YysCJHunncrchwpevk4Ygbe7xv
5jWtnY/k28XLfdn8Rio/8Pi3ucz/gNjZmuBHv9Tzrsn2m36l3Z0963KGsGCxCrn3YgrhsZ6u9e78
ieC3ZB/Ls1jjsdCeacacqOosvIH4co89rO4ulWX75ngCPBpxdaLfXg99Wisy85V9Cz3GgzMit0FK
2ONAGk4DqTjKkd+uKyOAES0SsRgGxV0VgZKci3w70Wnkpfkxg4w9LOUvOEGGEHCgovtlxY+vXNYj
xj6QVUc4BrkgaoE0iSAgidFcNBH0yA1ek1Sv2aa4LQVhvS2n/FTbqR3p4qMfsasxNXgFeHJcrVYZ
kjcDlV9viR5rm5YW3n+BoPZozLUF9iESbhUD1gdjjYvwBMgEoEsfg2lMcpfcD3R8ha0qEMEAMzTZ
wOK5dgR+c4Lj0WFDFETOBmaaF+gu49vekeSFktkbSJ7aalfnBq00SwBejGaVkZ7dJ0rAyAiXmVoX
0JuMr8FqJnPxDfnnre9B2LU56k0ZANN/7V2ZwU1MlM17o5jwT7cAwLjH19dmYQXNqrqijfkLhmsC
8TIthnX43IX6PZdBdV5HO+tUY9+TynZ0NuvFGMrh5dI90lDKqrwGwau6rWxdFQSeTw+BKNissJQw
1dUW3CUwbNpC6aofqGDmpioHUscppLepBsk2GGXj9ihQApM1/W14bJnR9QqkfdOYih9tfqgMmB8+
7PwCQMzC45cPINYnsKMQvW7cPOkl7tJu+VHnL6jbfE8ZUUeq9MAfTgVfz1Iv7pSBwK418EC8PP0G
Znqk/jkGenZAi2zIhI2tfuaRimWHQZ5q+GO6swKnSQKaOdV+s52dBAigaBckmT6NQFO0EspS9Utx
ASJMtBhZlnPquo8NPyOiSZd+de2Xf0EIrVw2pKx/okQJ/jj/TEfQu8GcGcujokFVFrQokVxszynk
KKD5YF8gp6fJG80BUBcbbJWWKY7QpBoAtifPKMnHSKqrNirULsaXR1CG5VzqJhz1M12oT6HTAbUG
XD8Xn2w9Mo02oT/ys1MmEe5vf6KqpKg2Gk2mFYg9/HWSjvcFezhmTl0ZDN0RigvUDtdhQOgWoMHa
2ZKmRzjatlw10n+zFbIfhIT0tfMjJb8MwmZECa9PGGKqECewAKvU6BeRN8mQv1WLiRqDggOmRj04
M840+kZSQk7ZjGVhJAmkxcURzxsuSKrfrhU1p8Xkll0XyvLaHijS4pSuO2Ip7e/o28pfF1N5lA3n
fqmvg3k8gLddGfY61Da1cqWS0NjzUuxNVPb8qsAO2rt7l/qqfX6CWSS8SPL1lGPFJIXelxGtMgJr
MEKnIFhy/ZxFdFFloff2PKeqGdfsXUWgkRDlphOokMcE9IkghFDRTO72SwdQITS4dHY8gw4MdIee
OfsEQFwBR4yzmcoW/ume3qs2M64QdHJ6oonRwj1Y4q82bCF9QqXuL6+2tNb1o41GStgqUrCp9bay
M1Ufgr+MNOkF04E/wTirGS5MZY9ctIpcpn50kxYlDxGZbwskIvFRC8mRpjPRjdLCzIWI0z6WYvPs
Oqw+s3KE8AUFd5V8c1+NNKnSrLDKjg8Iv4CodkYprM8gf/a7SkkUAP0/vrVtJd9X/ITD6dJq7nFM
DSj3u82+a+4KeXQzdnFPr0xqTw16nWzpTPt/0TQix0Js33527eCaOTySdYiV8vZ6egEVCYtxXB/N
qlnWso89x2mEgz1IGZgLxh23aWRUXtwTfoDth0Ctw3pIeHdBv2Vbe1Vn2EkDY4i60iJ1O8r0AVkW
LOhxqh1WVHBKWdRKQXfFu7T1SHgAf3VFPJxjcOI43BxOqFafkvTcKQE3PvVzu7Y4riLOpmxZs+OQ
DHojRkWhmcArkgcx1AwcCC4vBQJ+9vlckHiT8iQU2XghGf1CRPtQ54xihVSq1autFWWlu6MDC6oO
6fQPPity4/IbSWuG5fcVdZqP4zuiiAso68Ys6Dy1y2jYFT4dFjMwVtjfp+fiCHX0fIPOE45ca7v6
rrYw9zpbuvA5ZpUarShXzxJW2ZLn6kUW/2qfLglZAWiySc+GURdnKKckM73hrEXvhVkh22RcLdf2
0WqLwL/lhMXPwEDq7NnoqT6fWFBnXnpGivykiYxG/u5S8d7jG9xPKqC7n1G0rWBvxyLLJDGjGY5d
NAAz2yhisxz9ko/BKYkDmhe4Y2CaAGYJPIA6EbJMu254qahLVwAVRQ4+NRBteeQexzDFfUeEsMtQ
KO9Vg23O+D7SC8bJz0+aFVX5fgkEU1oC3psFt6G/yaLeKQ6pypGIF3rEIzA1AQZalIUVT78SuUCk
nPQt3TV7DzYHhLTUE1H7GgjT+yZp4bQNUkIOYqW+RVHEgXR1GFiLowf7c8Jkp4BtNarc9uYfp1iH
D00hU6J9QTMpX/Bix6sJwbZHg/Mn/rpdmiUIt0vgcgj/oseO2IXCkQTGO7qRnjPIXNbKLatymHpS
MfeRCGekry1k7Wyu2mBtQhOeCYiiOx3ypyZwyPewbx2t3BBhPEKVuwJwby++Z0j3l6Uh4/JaK4uK
Y/bTs1Qtj0k6pDLYGp8Hk4xgk2JN9KqTnu2DLvBwcYTyW7IAA6akxq2/8z3Q5uQt4e27F3rTWarL
rxqgo/Il10GbrBHOZYK2Q+zKiCxkNC4rtzjrQtvBSD01k0mWmiBw5mTd7Jxtj2lz70zb8IPrleRD
YWhASXmhBmsvpNgFwav1PzK+rtHbffhQLNcv4LPISuFbN4Xf4fKO8kV4WRCtqdHbutI5WtDJgHyx
YW4qmYX5pzxSpcLCOynKfVdDSwOeEg8L/LmAnlvE3jPS1cQpdA6xV8Tq+oGqHYBpYbMx9lKevbYq
te0MDUL/gYnMiwy9t1YIKSgAj78eFlXrOEGPIHw/xDNZL8uk2cUgt66sba6ST7sd90ueCxX3TgwM
PlFwzQwuZWaQp4JWefo+Hbxh90XGKUwHFqh9z7FKnMYKzeQzZWzQPS670eSiMgLrFaJM9tilQYax
yFGUH7vBKusjaYVeMadhDJ9O7u7NU6Db4/lmiMIXTn9L0aLbQz6HAKtS7QpKDIVwxaVCUNTx7jQy
IhGdQeFRYbR23iNJBZPOWyIyqjYwkP/Ujx644SVdwJ3xfoHYgLjwhzXg6Jv08G1uoAJZ16SJx75j
kVkqfBuD7EzCrGXo7jreU6thikkThW2oSsnHr/pm1VMrHoV7UvyrEOqts5HWMj0aKb66XqYDQIFV
acahuJAVj4ZG3cFa5WU9HX3XOd4SceMFqa7/VjGDLY0+IGcGMCCen4hHJKKhNSjrjv80mCtZhLSD
SfLjVD1b3FWz6yWaIUnzcyEL9Ud3e4uJ58RRWRnckZirYnRSyYmNo4msgezUAW25aoW5arn/hx1/
AIG27Lh4QcTdSDoh6VMewPoCghd8XcmM4f6Wbh40FMg1QU+bVALqpul1gO1PW7k064HSYXoujHH7
dp8ZoTknA1n3q1+LMBDYk7JPV71HY2N6VcBjWcSjbjNyjRJCFAyq5KZMTAHlmI/KDj074Cw02Eab
QKO84owx+5ad7W98oiMy40JsvZW/a+kRjYbd+HbDCLMz0dKQkR41PobRkcJJ4L85I+bcFU/FSuDn
fyEHB+WLR05gMqUyQ4AwDFMLK859lRKFgzCT44FOM97FwW6TvGBQs4zJb4Vgg1wbKnv4r9ulv33x
DXCgha2Tn2XnCGtjoAqvAydkbYtaatbvewPNdzFIhAu3XIh3u2HheRu3KvQlKlLRTyLTOvgeUBgp
Eb4xqbx9HNDdgN7vCS/QSF0UDLYsGKlDQfAnGEW6oVXFNeTYVZiIQY50K/FX46gGNbHVcgMUeLow
e8Y1IzvS5PRPApbPFEpdPqCytjrmI1DhPoD+V+wHG2BunY2YwlrtgOStP7Vef2xAgwINMAaoADDW
egCHSurantOWFOB4DAfR68ma8GrQz/GukwpZN4h6QUVMpK9XtDJaoWAPC+kk4SyTEHLIUBPS09tk
8h4Qo0I1/Z/hBedpQvhM1keNsaRrvmXA6cBP+5qi1EE7C48NqUEtZyodaVePZKeM5vh0dbEbCPI8
1LuvLSGDsSyYjD74q2li73EopFH0So2Ehu01dXHnEwtDZPrAYTCjgpuwYz9ViCtCRY+HEB/PJfj0
66DNb/Z0hg8CyYLGQV9v076YVctXI2TttKfO/qDK3rCQJGSJ3kE0RAybVH6fBvXMKeEdijdCM3cg
3OOwLUYwv3stFX3ASD2d8RZNpLb/yaxeMvOB6D/ZLzpBh256Nzg5YpJTiLs9/g2u7OllOtzDoAAp
MqDKNI4UdWj4NiQFrY3Nt5M8vKImNqnb3lvn6kRK8tLZkXRAkDgiks49ajWT6APGi/5o3zW7KDF1
WZESTC4q/2jaGdAUzl1+STT+IRGp2GN+jDLJ+UnS+MwIiXJUslaRsvdYrUC5ZQEf5xTjK/cL041+
eJ8nWlQK/qbssUa8UkXM5rLS4AsiDH1i2YfZwzzUpbK0FKC+vV/7TuXV9EJD/qOntLKATm05nZyw
XPH+noZNqRhEtBn7tB7tnF6cUw0mBfqyu9s4MtoTiPMwosuQKX/x7qelzimamqaxl5qGhkQI3lvS
GLjCQhOeO02AHIzqrEJuO9YYmjSM7mwqfaJh0QhHv21qloq4ximmBCgZAgcYDVjg+Ruit49a8NuQ
bkpnQdfTYVkl+xc+XX4nnQgo1tfDvGEiwCuVUrIA5ReBZND0J4VLwovuSQe2G2JuvZ9hhnbgfLO4
9FPPKoHaHUAO/eRIsS5yRI36C8X5av1CAtakURYevzlktmzK2AjhZi/lb2SLcaNZ3XWGB0WFGF0r
cUyYNxTHmIaOk3kPNxPFrOnu2uvduuzt4K+3FZ6DRSEVCIJmaKFqKQy3sx4zT6iOw425U1CaGynk
qRGLT7LpvyYGbfvwXTDQYsBP15SAwwnG4zx3km0gNLlumscXxmUN9jDVqShwK5Ar+v7iFDJg68o4
iR4mN9G8OASywtLaXhCrkttQpxf/Q7XyF6aH0joUR+/RmC3NoPuQQ+y3/UvxQOPxo468fN0l3zKT
F86PTTVceyz5jUl1AKkKJXZl8mhEZhLEeY6EThPzXHd2Fb4tMM9xunpEc0eSNhEwWcTFO18xyRRz
vH1gL9B0Ktps3yeNEkDFOOVBBLLXmDPk6mKTEOdN/MTNFzAoeQLWgtU5VP7CO34Kda5MJENoqMzl
PP7itLraQ9OHtHnPNIcBeie3ZOF6JRToEzLgwz7+UDWxTUAdk8T8f5wKjMI+eS03bpasTnWb8r9n
rqzAZDoLFJaGTTPiyGXIq+geb02GO6aFj/kmfQPy69732wz5pqJsf7ih0V9ESPUefZJAXVBohkdB
dg+lfjHaugPBECdpoOQxtvEt295fIfvjAg4RYR7lESsWUXcaScYjcLCDS2BUBPCT4y/A1BDaRbyC
hSj3HZ/Xe5vxLJqtHXkpTvrStzqjKdxQgdkFZH5XrXFTC/e9esb5AjWqeid4UmGVJRVAFrZoAoKm
8lxB/BFm2mN7aUzXOlpKQtSXWzZHyprn4uxqAjMjeu/BjfJka4HJVp+aKeoei4PFz0dLdTRVJMKv
Tm1uGlWJLbObtJxProzY+2Tsc7HO1NZkXDWGbcvtB7p2CovnInoivuTD1tNmUksHD3SSfBjqTa88
xMrdGVXbzi965VbIsaOjtAjNVq66vJlf2iTsE8YKoxxunDoZjV/wOPvcQbNLqNLwYbeSiWfuLqcZ
wLxaOKiqZLNLUA+E78xbt5PJSxzgKbVOWj9NJLs2i7q522Z23MgzHOcJGMAEr74Bfj5BaD7bRm9e
Mc982NhpsksSDwe3lBWYkERsrYgis+49Rh2dls9l3ejuxsvHd2DkLlwAmBE8zDCPuEnNOUCujA9y
m7YFpKFm+Tz68Km26QX0yIISYyM57GhIAER8RXdN/UAqXkqyybx6Tuv6GV2O1SLPC/hmGRi3au/9
kaP21q9kg63Oi8QpXgUQ5rmN36R81xblyVsT7HmdwMiU+9Sld6W/jol7vDx82Ykj59JwAKeOZVrN
VZcLWjOasX8M/B9xpfCFHx/7wml+zrXjl8/afWlnpouPfrKBVIu2sqKWq3SCiQu4apr+gVjQX7n0
Be42THu92vDtwxuQgI9qMF8h2N6EeEbX6sV9X0vF3uOmQk/2BPZGSl7GLkl8VjWugDAHq8Po6oWP
i2Vd2V9IpOxbZMP+s97eO0JFLK6mDHR9y+b+SKGicMH6Z+EvJT90cBC+qFstfo7Jrt93JZVxQ1Hq
mTTuDEZQ2ECmIqqOVuG1hb2XPOJM4XcRyJY5EaIvURb6TtJvzU0XMOQberstFyhgCKGFb4SegJuR
q4NQjQX0A2W63QLwIZ0Nlg7BJwzcNxU2/XH5MPSzSlSEGmS3cY0uuxER1ONHUavHy4WpsXUBoR39
r56BHU9d/LqPK2OzrZ6+fkqbQhgbBsK/5rr5UxK5zKxw1P+Cft7kYE1n2xwCtsX7wbCYfB3Xl9gt
PbkmjVqO/LvgQ8XjaoNB/TKBEZOT/+naxEUxZEW8FcBalCvAqyKvO2PcrvJBULouRpXP4Sknuozn
OkXa1e1lel4RxqnRZg8ecNqDnzDOwsptXgjDx0M1eE+JBcwxAzmH73rYhmQResKWyt08rj6XaDxN
BEU85CWXCFHUZQVD+w/BTsQZlU8Ubp4gnZflZqkC2nHZBFVWx37nTAqrJPbf7lAFRiIFoDQPn47R
dUIA7LLYVWk2rjl+EnuwsL+R1ED0PZEAknzC1AnvfwbEepBDkyRU5tgZ1ghwKFpec+KMZvxtLY1o
c9BpD2ao0lZzaMzCJy15I2FuUn/i1rQ7iV4vZWkNuSGbtuO5T1QU3xSMSfU9EMpFpwkezaKL/5F9
IFeaaASAq9A/xUz7Rc0QbesSQK2bAh34B6sc1b/IXe+Q6gkyYEwMuifevJDrqIwz58ljlVokBp69
LK7zF4RI4NjZzqiHfQl3gigFsYMhvFdcVmYKVOkmLtNwTA/d5zHvz7HH7vETBC3H1yh82gy2cMEj
x6K4XPwQxHGnCj8PN5qpPaOTPjvWOJ00jCRF96WCtISEpF+i6bCnMvZQe5ftVTksO40Oj/nnuNBf
eoN5tqzrGkeUctLBeUPKRBJDH3iKLOzLlPQsrnH7Nvw5xMr4mMURVgwfAeiuDDeY4zNd1rc0qhVE
yF7TdozrCRh/IeWhhr1t1qJoc7TEtgF7sJS4h50n0Y6+qLIQs0GWiBYkycfSQCqjijfP7P4HLfZM
jqY8ZD0+oqpyT2y1IjQbgVX7Wj2/c70Nsc/Dx/pAXjy/ORhBdxSydqUQMY/RuSk+c2lRmd2oz/Gt
7+MAP3ZeL8NSCeMSj1xwVCGhwz0+jy1N5jfTuNp709KQTV6VK2eM4bnLV/OrMg/R7vesH4P5A93p
NjbZVbSCCuahqSJaRN1SLBXV22LFjSDxGun/67yiFSY/EdqnRmKynd4iqCYZ64kPAIEVItCxJAZE
dox6YFvLywXA/SX7OpIrm2LEvG5h68ArAFq7r5gkdmKOIqGIsWMfs8TsqJQT5olySTBvau6ufWl4
3pOCXwxVTcnvRBx2TyumULEmuTJB8BCUPmIMul/npD8T+Kmv60exiwLXwmR5Hafr0sx1+rv0Y17D
3APVCreHf9Pw/v36HNLH0tfRfB6lX2MpbnX8Gvclm1izon/StjpRQkpMvwxAejAybt2Jv4RPRao0
hdU0N11BUl+N0NPRSwZtMqSeYx3tbCrDYblAzF/8Vfq6FowEUKp3uqt9KO7mbZYDmYPYXW4fxouO
no2qoKHLwGUP92tBHF9jyOXtuMEO4QeUDONo2yhaxrLU7x5xnHKVyioffp/wUJ5Luv3Uwx8+d/Xi
oX9ux7xAgfHRjVudBS7DsIMB35jORAtKGAydC2yrtn3Cbj4PDH9wGQKb6imHoljEvHf65mDepDqL
Kux/nW5bt+/qYFuW6jLWR8kRZN2ODgkCmu+nWt19dwmNQhQ8esp2ZY4eQAYJ9c5wxfYzKFXhtx1z
vAs17OSPWRMM11qdJZ0CVfH99qoyXMzBPLq1Po4/2f46PnABdWmYLGbkF9b3CuHdFd5AYD3tmUZE
49jHuZFI2gBaFgWck2jDdxg/Egk2OfaS1vkENBcGBPZXCI4JjZaYODJM+0YelwT/dME65veywWfU
Ji4j0QA6eapkvLzfdF3ms98Ns2BoKR2DotyOVFk6ErskCzw65vDY+013IKh9e9kUILW+8JLciB5u
vE38Th84EZ2yszVouPrS0LB2ylfd+6Y1UWL+CtnNK5dkXpDnkaeuC7Bggpwg/WP3YcJNQdj+xHqx
y5dqHF8dwzXY8YBl+78agUDLziLa3+aJrcDtOmkFFthuswzyMHzKR8MIvcn7wARAUocrkdaG1uxy
h9ZlahPhAR7rQXs0Iq29h38Tlzj5AqFYDwdEbgxC/jgVaXRSpFV/LqWLR7KDeic/H3zjiVspklFB
ssvju5HbkR1MR5nrhXOa7ZKgAE5WOVc112NscolQP6fSkocSyenzBECo70mD4w2BcUudxnS2V73A
9ImpLGHuhxuponyVDaz/fQTsAEIvo3VVBDfxYUjm6cL8kEcP2wydJpGKGziLCbnZj4oepbTgii/S
EY2/iUYOZuvThKi+cE74J+bEWmNAjZJsoax6azLCByB2GFU//QXMqlsQzmwVQHgH7HkR4FpcGd4a
Vbd7DZUDUZZS1pg/kBb8QCQmlfb0QBFO4mYJqOmuql4Klo+5umqxjEg0qvqBedG31NsG4XFXra5e
fTltwGetlbXBGO5vyT//zI0Wd89AIyHhpqfp028fmaVFp5CcJ623NdwBQ/EEr16GW4arfKUXniPG
JTvNOwBvJ5N6YTRjbJNqqYJ1/gRor7OVy6zSLC8/a90raBtcPFlcqkjzlmD7Nl7tQxSgVj82vZ9D
TN2aEhMMqbAWwvF+Cw+q8WUN6rCHgkzJTtKWifmHpbXNYohanhLBznYKzYBvngeOM6MCuhtXiYyl
J4K34IRqpfoWKQ4GwuW0WT0Y3UpiWmSBTOXasI7G0FT8Fp2F+AQ3ZSXtZLwSzLray+Aix1ZKSThE
OLr9sNJUBE8V9EOUpsE74WTzzEqwcQPJYaXClbsS6q8J3s7xZPqAI5rpD0w834pMSNv2LOjrK6EX
Wls/ni3vu0jtnP6NOhxIJX2FzgfwgHNc4Fb/AHtSsuuu1D4y6GBKQ4ZraEOA1FpGzwXD1wnQuYEH
VcNKop7RvDZosp3XSuR+0vLRSpjhC2TQKGNHbXycKe3cyb3mdPHOjtOwYKwCw2kUNH795+8NGcXo
0S2vgb6kAzNJuD6wuAYovDSeXVmBxa/ouYnSjfSJpgyDSp5JGxSYQOOpWtYTIPk2s+86jOJobGic
FDHJ4z7chCiFMpbsPOkFtbeUQBz4EbuFppNGhGyDsnYceJ88Ca+auAqm+D70vAUVUFOC8cuCcCXW
g9kAJcsfJvijDgMHqkMg/hf2wemGqPDjrDcdmWWptLyT+NC4/D2sPV7z93/UEQyTCO7+j1OaouML
2DlKebGoT3L6ybSx8q9whVrcZdkN3u+0cInA5h4I1nq24/i9wsrpUyCrEk3q3mC1xQwG/65v3z4H
F+LOF7QRL5XiRChDV6i/RJzkIp1T6TTTi5sHQwgyuuLVwLow291i85PxIe7MIO3kIJ4Li/lhIk0Y
ydnxDv5nKa8i/ENRFAzvGlrukXp2gkO6AonNsU6E+ybDzTXQ70s5zwi6unqdc3Lh9qiYPhQiCGY0
mMK6hfCc1ThGVi4fOyPj8rc4by5CXAtgCR/5eaYQxlWpl4nhbcVfitBjV6FDB0X4fK8ulf7Hul2t
+EcrI8masp8CVbXVdUZdkEfvJQn3Obg89qHZFdesqQUSm4uZ8eWHG4qxBd4U7DSzIrKu9PqUv2jR
GR2nTquYD/rjoD2JwrqmXn/30kL6kXmc8d/GJEryjYVBRryx9IWzZP2FShsE9c0OF7T1qcp8jeBx
TKUm+tPF8bUpt5d3awH3bjp6JXrx4a4KSOPzLwDLeZhh3AwhMQoL6jOPQovouCjHG0D9cgKhXkL4
fBLHjUlf+9HmpDMkEhmJ9+HIBGEnpRPMSC58CaicxoKhekmt/MqFWXkw4GJ1MqudfI2EYh45iysZ
z2GMDRc2UdI5sKw8p2pO1QlJ2h/JXoAW7uq3R/vJD+mXdaAhBNy54OaHBkZrTXuOUsta2VsY1uh+
N96CBxTdO4e3jwuYHS7zyg9gTukYCKtgrpEyAzustSQUKLvlwQw5JmuwT/TvtFC7dLRsnCZPm2X6
dfLPVZzQ3nzlyLhFI6W6MsWmqe8yAXFgU5cfRmN6rdVznxlyBHudM2zGuZQCPEXz9fsSK+G87ibR
t7uQ3Vd0vAauRnyVtq61839NfJaFFBi3el9e1he1IkaO9yVtjruRAda18ZAX6WJdpUf7mYALD+Nd
ueJo2AX8KXpSn0JxXHIcQOH8ajW078FeL8LUc04v91MbWeTNP5fAjQ97E4XbvI0H+EDdNsJEcnFo
XD3n7UGvLnU1H2Ms7NhEyuNheHst3MisJOeX3qKtqhmlgNuUGnDRjG1V5OAYq9bgTfqBYMQYT8e+
LRTQS40UAorKseCZL4/uvyLFgZAhtnmhNDObetSWUeGN6ZN2XeoJvTqo9I8aDkjsqK3UMMHsKo50
s3fgEL4pbE21dhoF4MvUsVakH7f1RRkRxeexqGOcjCZi1/uggvyPPJJI6zfpqmvJykADjqjIAvK3
uWKROSgC4uYVligqKIiwUuoNzhVGrcuhafbF8Rp60wl6f6PnkROtuI7o14yoklxXSqLPZhwjdGgt
7YokQIrJbNK4Nae5RgWrq6wlCGlCu8YqccUspVXFrJHOfaoVtLPpx6FaA3P1BHteTEUe5SqY1QhT
aX/KVVKeWpJ7kSPv8spYMRWlkBMH6QUvH8HTLsls4b9YURz8Zlku5/2qkSilj5hH2W6oADPOERKU
mtwi50rSldUNdsnopE+AgpnOCs4nHblEuDDWsvSdfYDQrfzWn9vtOCbk3bGEDhEyGlzSvInpIifi
HQXL2hHLvtXEPZ1vnVcNZp5kmM+/z+15uI1TOYplvR8M6Bx1hDeB85JYQKVM36M8kC+VmYJmjl6X
d2IKtyqX14ZEcMo2Z14aQFO3fIUryFYfkW+Q6pVck7cxuDH9DwdjbWjrW32XB7XVJDpR5+R5iLiI
E42eXNmSvcoIuQPdLtsS9MMT+34mYHIvwNb7sc5lzWnoGb+Ms72mhCwIZxJsZ716LgyJobwwHYzN
ceBZWCJIi/cMwifMIvqdmdc9t1PTXE0m3CR+SkcQ53RFkbQhWHUdzcPntmuQPVkdumTX+FQ17CFn
cAIC9+F5hBl8WLiRpqP25Lo94BSJmEssJb3fbufdu8XquYaGLEYvcM2RSKWj3LIZBZgdkdeaCLdo
HjjRkNB2icqINx3OLwHmhvEeEuv4uLWmdHdzoR+w/kmlaIQZ6DNwDMyMA9UOgwqIEyNRpCqeoxLn
96G7Q3TF15MAeaQq1QESvQl2I5rsDQ7sMavBEZV+KL3vfl8Axjk/WFRkutMTz7VK74OhydV06XnO
iX3U105NiqI/S7PyorOSk4vtDIWxGDM8Cs4qcu76f4z5mXQ/RvJtsxN8q84FZXANEV1pRlGePPSC
we+d5rdXvgzNVkzyoe9evQFUaKwiYVUuc9GBtjtpMiQVQ3DRISNQmj6yPglikHVQpOvn+B0jaV4G
laTx8ighNr8/Fo+yX4gysTy8bTCitsOoV3ANFGNG9EpfVaKAweuxwVq28TbKyXRBFQ4RitVwe9Ie
mq2DyW5ApJYX8PNa2sjk4BF8RwPA/JOMVLfsMtENvsh3Q4/jcNHje9fyDZW6zg16Vwu6El18BAiG
9jZipYc8NV+i2G5qCPJuTKxhl3+yXNXWnucbztk9vBbSd/TT3nr8O7DcXsR362Ll4eAaWqSZT3Kl
RoujaO/cR76hZjVAF7TgpGWSG+hHg8/HVI0DZY9yGNo9Ed1oo+t6b4el1/X4ocjS1VsPYV7y5YBS
h2FM8y7Haid1i5z7MvjsgkqNunoeGQ6c8uGPF7OpRQPVwlMAgtCssfPx1kUQKInPEXl7JPmGebpI
Medy9rH+UGfh2mdWpqK9Nt0gfzfIWnAfXRaPcoFy1N+erc0rhmjNT4JOfUk5i8qHQaFv8gPMGDqm
vw0u5RTEGWfIA92v3kDHC7H0gDB5H+X2cOM4WNLiq+lX6s5RKyk3CHRsGkTK7F5q8PdrBe1dfWWt
gIkYs5tJufrL7duVoUJW9/7IYtfnpth8eKWMCTxRif1njOquPsgQtjbAYV5lYUbd5SR5CTZ4Ro7A
+StEIqMZbbDKuAy252JavzuZbgZjEZ1ixBghJjfDWGhxmW7xMkSqbXJCTeQ3JEfGf0hQkC8Ua5vj
wDt6x11rckRDxAqcQxDCM7aY8NrVX/5tQawKc24xYmaP/v+naD2UxkGsGfcbonY+zdWr107LWXR2
aQ2s66PhCGK0Dj7O3tOD/RBCqxfZm6CrIAvqAeN3YmY2VDZZ9zZwAF4yFAF2kS81dqJEFr75luKy
IrdRwTGKcRZMVOjedFgK0aJOjKfnBr0+68L4fi6LwpHI6c0MOX4NfA3YMXHkspzU6j2r9+pS+Fzp
XG5rZhXkniX+tjhVWxryqC8eARvtSfsEYoXutubdbBSRASnzEBGp4YPDyV3nIQPunAdMH1GbuZun
fTirVPHbUxZX5LjpvBZhcGs9MrJ2LXVpnwl/ny4guei+MKaDaA7TLIF9J2FSKY1NMYw+fgoaCOW7
dg2k7RbznZBIbqHfAwS0o5zHANj6LaJN9ilL9Reo0RWcXBw844j8of/Vl+C/PQg0wku8uY3uzUya
98qXwt6iOTOLnDzaUZ5Sv7dyQkPmnyfbTTVpWfliiDxSwWSSPfrxyXmc8xLw1rw9aS6ejwsY3OgI
sB0LzuRh3szWnfSs7naKaSty6YPIlqsn4eagMMRZR9q6ZmhZnuySda7/HeOTeWvEw4jgZOcqBD2N
u3COPvcQEEwkK7ym4z7Rw+2GJPr9G4vm/OBr8rbW6ebOkCF1ezDmRnqeC5H/x6yqaZ1wHEhcwFQo
Q8cg56qOtwwCjppzqJlJ7wIV1WApJZ5i0erU0VwXbRGzg+Kg1aXbJsEajV4ozEStKFhPwfYnVZ9H
FKfr2XYiR/q/oO/wmTznf0uPCsLCaEuoLM5jDwtUv921bx6Nd7ipjkNCy5Fq256XrPACK6PkbIOS
xeUrXDUjbU2UraFDcV2XtbFLYKot+7OdwbRiSZJzmlXwQugYvdXgrtjeAakNIPQrm4TPDtp59goA
yfexi2Mf0Ga3nfXaSHSt8gOclzcQGDHiOh8GyFKaIq2rPaiULUtVOWvnA3ct8E/XAePo/jAjXFLZ
43gLL3fAIQ0jB717Sr3Du+JufIRA2J0XgeVbOnb2p10UQ+2dqoggrMlxFXaIJcR08n+hcf9onSA6
c1UAiroDQvC3W6itdmaw87C8ASBIJLNgaJn6BhuG6zmAvuzBz/2Ec0QMRrgjof0a9u2UqyY4PnkB
fID0aesun4n40v8qKKEi24utzosTLA7ARD7vDUxH4rtYzEXHFXKVbJjPUndC4D5P8j3S2CvQNkv9
ivR5WuIiQxreyK8rdLmcZcMkOwicXMhlLReeG+hjuGG8G2JLfL5PVawCWWKQORUwOfU1DG1tPkOo
ECpRlAMbfOdY3E1YzWQlKo2w3XkUVxbzdkbiT5fQoZjP8b32qpcSQkebJD4CPQfDbyecrzcqBs6r
eQ+qfjpyurDJ6XafyXWeY4hZbcLMEO18FLk1js0ZRifNo+LsC+VJfCx8UJDODfPfrCuuYlE/Ach3
NXbGrqCFrXRZRos3QwwJZWozc4tu5XR7nR8bwmxRp7zVdwr+ftgEmfpCz5qp+sm9GYehvXpbzxxJ
FRIRk4tF8D1UULopyraS9bugi0MYaBOMCqGhXRuL3Pt2rfM0o3HFpM0o8jwIAA6WtRBOHWEEBRNW
y+q8UG1WgTkJ7/y+s6s3s0kzkPfr5Dhjuhjw/lDIJgUWULmcaf0/VYtIcIOaYC8aUqm9+exytqoi
HLasfwJ97KL2ha7kUebPZIp46MO2Tl1cuSOS0fZiGf3rvPETjsAhSSMEK87OgDiPDODDdcV+U+Qc
MMMUBX2fzsEUp+M1lR4T9RqXKtLv2l+VO8JmiA5bCspb/ZuMFQ8OsuXG7R81BhVTi99NAzBMGfb5
8XlKZbIVfeUJHN13g0Q0gA6h83M+BLdV3f9qq+HewzC1sfpOGu4w/8ssg0poaAQpsRtjIGI++vou
lMk82mMuszbVIxXIP+VzMOhYQHwHkGYbugQd1beqVfR24UFFfe1pvHpkmBE7xn/AtF1eQUrVJR6i
VKnV7ZETrrxSDTpMuB2r7MNiGNH8h1yowQ2L4k2Gm6+3voUQxXEwLEiqM+aZhd+ENF/o6XXXz07J
LGmRxuXqUghEYg0x3YcmmkHbhSZEjgJS8W1+Mglv2FErQOt0yx7ztSTcBr2zMo54pLCPXZJI1rwu
35A9Rvfqj+s6+NtemcADETDMcM7GC/a0TziLUaIix2NBs95918w3vhZCIqtcQBZL1FR8b+skxqlv
BmsK87qEWVEPgPIbtw/UrG3xURgfvjugesAcXISqH3J9/esdrbgJv9/KD4eEAT4jEV9XM9LIo73J
U9C5BffiYrK9Eshn3BpbEcTEHwWwZi0ABgehV3DSzEdH7y5CVgFKUlyiShWlFZksPZ5COQ8xA9od
fU6TMc6gY9X00hRlOUGMmN2yXzrnkLasGiPc2+/Kymp3Ob4i927C7917n29dqlI4v4VITG5F+jBl
jbcW81TxYaOIsEO42S0inYfdZx1s9bjMYDVyzICYM8gi7m1EfjCb9bMCjkWleOiosKVnyJglB1LV
0iiL9hc2bTvbc61+i9oARK1CpT4BCFL3PqKNKgSYB3VzmG2NMEsxKoZ44GkK6vDhl7g31PcNnzGX
GjRJ0p+CBjLttynhA7s0YZuVMOvBbYtbwwWAStnx6tXVlG8RTs8wmaMSofssNtFsgVmcAU2vOF5b
O6xpjURUAnDoUGlooF0L7D77bod9scbp+s1OAI7dQeiaE68sBbI0t31hdkAKVZabDG9/eWzRlZb7
nKZoxUPGY7j+cW7v2qODJibJhdeB8W5VYrZcRW7C+7mb3f73C+n02FbJOhDBg/9+XT8AecXPWfjM
NbEZ1GBNQ7qgxhSkLTNYlFDxSb73Ee1gptmkzvD0FognvkKanvqSA2b5ZBuwcDxAw1H0uSsMSq+4
7GJm6r58ddN3nu0cM9t9JrheLLcFio1Lf1YMdkGnKAmf+9lAlriTRiYHR6HWmnRbceWfsAWpz/Ts
nzdMC2XvTbjdCMerHJkUrmW7IeOiCAuijwrhY8vqXR3MojAxSr6FrcPUpHyHooygpMapbb1/tSDP
+wQwUoI3dN/EFjGDidzqauCwf5LNTb0Him9itqbM3p1C6RYOMLc373lfOlpx/Oig2W54aXOnb6H+
jcNPKu3/UnZLn5ac+J6/sJN9nnA3kMnYRdsJHDC/azsuLbYkjDwmPLOLJQseTzUT7b3yH/KMYhbS
rmP7tbLgBtKQXYX71ztqKQYu402jHeWi+gwFiUMp1DFVmZPPgkZNQspZqK4OCE13xcf67avAcA+Y
onNglZvpXac+mDnqFTAm6ruQFhiIzdoGJGRXaHNZQ3L6S00hJe4/NpS2FvtKavTR3mq1yygtU7se
XmEjPPhcyRcDw4Nh8JSSykl0WVObwNLLg5IDhBkMBlz5WqMzWv0up42x4g6xgUIqxN/fxbLjoSkS
f4krw44AyQbppUeCKDW1bYr2hdqX6kgq9FL24Jfa6yP+BG6i5L/TK3x3GWTmQYXeP2bHRVRrQOfe
VZmANpvMSlxqQNUqaQPxqPbBu+/yIuFagUbiKGJnC3db5bKSkxgaojBA3F2ebJpV+7tKBM7nyai+
4jqXyIuVhVhBDCtSpRKCCvFkfhxIJADzS5ihJQF1aP23AvyS97yN4qSH8rhMpwlUnrvOwx04xjEK
OsCVLtR/XjnBDis9q6BdAjANz87UJU1unMq4UA7bqarfo/9kf/N6k+5KY/psVkwf+A8+GUJRPED5
KkAVCkk1qvshXnTZ6yAW+JwNJ+XAanOwV0MKHxuY4n+zUlktdv2qQopv5f1C1EyZg/DuCrcRTopN
oOyGhizy4VZiwAm9PZScAdZYtfa/yAZIBUujXOt4rAo1qEVrrKWlyR8isNuclec70P5I/vJs00Ts
1mUMwGJ7qNpudB6PIRAOavdUGi6GZtPfHyIt0XbWs+mOpvfzEEoqb6nfv7zvEQbn89xr3ct5kLEf
AEBVO/TYLW9QfEbp9PG20uKYfQVHKmX+8T7wghnn3FXoMfBIm2e/Dvup6i3VSpH/mA85B5VG0/Cu
muZsBe0mxcUXpV9ycghZIrUgMsK7HUCHiuv5XBeUsSCcaI/blcGGDzbS1Z4LRr0l1ta3U+vpyMBU
1dVV9xZu7ymo+LKIm7Q0bIFzYkGxWthDfbRryzmRre54bHXxG2gM6CKBN/QE+1IKNtvVw9HyWtec
U3UumZTlGLpJ86yfRoBRnlOxd0RHBZ/gHaSWJGO5AcELr0SrxdJdRG+4qm86A34WeduWpoB4QMMr
uYPph1feAi/qzcToO0kzHMfSUCyhdFaPX7nsAU6ZzeUV9Gq1hdYRLOIKeFm+ET+Gm7yHWdPrwIFr
kwA5QhWLXKpSw9wQwLZ0iM6OK9RB6YIUNa9U0yJZzrXuXjGXeeU9WhTh794iCpOYclYhh5JxicZY
RUPytd8+lek83slT+KNrAyjDBZpEt2of/jv6OCe/u+9lpgAJNvTg4dRzDvtXdmxFMsjJpBeTHKGp
Tf+qZh0r2aUwYkZxBsH2rXJhkf1Fs60Lawl14N/rBTGJmjPysnJqZKsVL4fB6Nz9msRp20Cwh/+X
l/U85E9h1pY752vqWfThCs5XpCxm+L80OwZDqoSDCW8PcTbjDT+GbajzbCLl64quVhdoaZdHCAbQ
R7xV3ApuHbs7nxGpMJnKvTj+5MRyng0EIU+w4i8xY6Y9oWZXCMwFHFcKB5VTOpGfh5IJpNJPBstT
4kD/SMmpbben8bwwUAfXEqf317//2Ru/XP9nMwjaLTwSQgNp4wvOdyv09+89CCxJD0zrI+T23qVy
rT1dPkbMx51erJ3RlyNlE84DZ2IFsDgSpvJEAPxUnWoANg9J4WkFyuN86aJJ/SnSFpbB/huc4JqU
ORnr/mG7b67n364RIpIIy6BAF0QgD+hCwAwefRT9hUvhFO83b2h4amhl7yH7nGT0K4q9pcoxUpps
zw44nZMj9WeAz8WZrUvsqVaRj6WIFj3xO5kBnl2Xk6euZ03gXCCSYaiTJWxLeMB+6+mXjtyLL8AU
c3iaV4/bjZ6oUbATaijCe+vyI9lCeXIKuuNsoU1aHQuO824uXy/EQOO2rd+xW3pDgAYGINw77be5
gBqgb7G0DANBomWhQ3MoJFudhmbsjKEPWptzEF6qxifwudvxil/UpUNeklEcrBNmsySQuDH1g1nk
EJkNKFS2/Ev6wBHR7pWgwq+GIboUpDibtFpS6DlGgh/76nq784TzzRN81Kk4eoy+m16PJM6bUB+x
UI6U6bbdBxNaWgFo69Lz7S7R0oUk79AlmvlLLYh7P9yTPTSm5GAJOntV/LaB3YzIdbXn8/C4BLra
G2emUsIiNRGZzhC6qo2nuUsNF9qKO4BOEZ9AkxA8jatl/oF0+35yAWlZmk1MpNoGLcy2aY4R0rL6
qyAt3DlhE8ladOS3cQBw6od4z1SgZnCiZ0RJrkyFBPlzECMfTtpI12dKsNVKk+ghzTkPelApktBz
S7Z38ouqWDyfvo9oT0qmJr4gag1hNyzAHrCam1aL/nFNn59zhHrvGLSfcyeNSe2B9jCbQzF9Wn1t
BmEN
`protect end_protected
| apache-2.0 | 0ee1b7bea19d107204301bc1a1fbf957 | 0.949696 | 1.83032 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/7-FIR1/asap-alap-random/fir1_random.vhd | 1 | 4,073 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.15:19:46)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir1_random_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16, input17, input18, input19, input20, input21, input22: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir1_random_entity;
ARCHITECTURE fir1_random_description OF fir1_random_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register9: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register10: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register11: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register12: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register13: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register14: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register15: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register16: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register17: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 and input1;
register2 := input2 and input2;
register3 := input3 and input3;
register4 := input4 and input4;
register5 := input5 and input5;
register6 := input6 and input6;
register7 := input7 and input7;
register8 := input8 and input8;
register9 := input9 and input9;
register10 := input10 and input10;
WHEN "00000010" =>
register11 := input11 and input11;
register12 := input12 and input12;
register13 := input13 and input13;
register14 := input14 and input14;
register6 := register6 * register10;
register10 := input15 and input15;
register15 := input16 and input16;
register8 := register9 * register8;
register9 := input17 and input17;
register16 := input18 and input18;
register17 := input19 and input19;
WHEN "00000011" =>
register14 := register14 * register17;
register1 := register1 * register2;
register2 := register4 * register13;
register4 := input20 and input20;
register13 := input21 and input21;
register7 := register7 * register16;
WHEN "00000100" =>
register13 := register15 * register13;
register4 := register10 * register4;
WHEN "00000101" =>
register1 := register1 + register13;
register3 := register3 * register9;
WHEN "00000110" =>
register3 := register4 + register3;
register4 := register5 * register12;
WHEN "00000111" =>
register3 := register3 + register4;
WHEN "00001000" =>
register3 := register6 + register3;
WHEN "00001001" =>
register1 := register1 + register3;
register3 := input22 and input22;
WHEN "00001010" =>
register3 := register11 * register3;
WHEN "00001011" =>
register3 := register3 + register7;
WHEN "00001100" =>
register1 := register1 + register2;
WHEN "00001101" =>
register1 := register3 + register1;
WHEN "00001110" =>
register1 := register1 + register14;
WHEN "00001111" =>
register1 := register8 + register1;
WHEN "00010000" =>
output1 <= register1 and register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir1_random_description; | gpl-3.0 | 455d7e0e73e23cf141d09c7d51801738 | 0.683526 | 3.295307 | false | false | false | false |
jdryg/tis100cpu | node_port.vhd | 1 | 3,168 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
use IEEE.NUMERIC_STD.ALL;
entity node_port is
generic (WIDTH: integer := 8);
port ( I_clk : in STD_LOGIC;
I_reset : in STD_LOGIC;
I_writeEnable: in STD_LOGIC;
I_readEnable: in STD_LOGIC;
I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOutValid : out STD_LOGIC); -- TODO: Check if this is actually needed. We can reset the O_dataOut(0) to Z or U instead.
end node_port;
architecture Behavioral of node_port is
type state_type is (S_EMPTY, S_WAITING_READ, S_WAITING_WRITE);
signal state: state_type;
signal data: STD_LOGIC_VECTOR (WIDTH - 1 downto 0);
begin
state_proc: process (I_clk, I_reset) begin
if (I_reset = '1') then
state <= S_EMPTY;
O_dataOut <= (others => '0');
O_dataOutValid <= '0';
elsif (rising_edge(I_clk)) then
-- Always reset the O_dataOutValid output. The reading node had its chance for a whole clock cycle.
-- Hope it's enough :)
O_dataOutValid <= '0';
case state is
when S_EMPTY =>
report "PORT is EMPTY " & std_logic'image(I_writeEnable) & " " & std_logic'image(I_readEnable);
-- Port is EMPTY. The worst case scenario at this point is one node to write
-- and the other to read, at the same time. Favor writes over reads (1 cycle less to complete the transaction).
if (I_writeEnable = '1') then
data <= I_dataIn;
state <= S_WAITING_READ;
elsif (I_readEnable = '1') then
state <= S_WAITING_WRITE;
end if;
when S_WAITING_READ =>
-- There are 2 ways to end up here.
-- 1) The port was EMPTY and the first request was for a write.
-- In this case the data is valid (already stored in the EMPTY state) so if there's a pending read
-- we can safely output the data (and set the valid bit to 1).
-- 2) The port was EMPTY and the first request was for a read.
-- In this case the port already got through S_WAITING_WRITE, the data is valid, so if there's still
-- a pending read request, we can output the data and set the valid bit to 1).
if (I_readEnable = '1') then
O_dataOut <= data;
O_dataOutValid <= '1';
state <= S_EMPTY;
end if;
when S_WAITING_WRITE =>
-- The only way to end up here is if a port was empty, and a node tried to read from it.
-- It might be possible to do everything in one cycle, but in order to keep things simple
-- I decided to delegate (is this the right word?) the read request to the corresponding state.
-- There's a chance the reader lowered the I_readEnable bit in the meantime (it's not correct
-- behaviour but it might happen).
if (I_writeEnable = '1') then
data <= I_dataIn;
state <= S_WAITING_READ;
end if;
end case;
end if;
end process;
end Behavioral;
| mit | 91d1ba844ca310bc9f12c5ce3af8aa60 | 0.588068 | 3.920792 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/data_read_steering.vhd | 1 | 13,825 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
O1Ea1lFQUhaHmF97sdcfjBfBJtH7aRlzoc3JDqDFfLIvb97rWqGVYpYwtz4fxw5OehVZo2zeun6m
TgMOE6Tg6Q==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Mb8VP49Sj0A0QmlThMZdbd9F6x8Xeusr/dclQGVQHmWmnKtxa3eHJgeVSDD7hs/7lW4P01aebv4E
O+LgXxBNmcSNx7oyo5Fbm0kyKVE7pMlEQr6rN+JGpNMeTfTFRJ0273JM6NanNo2jT5I9FCH795g+
8TZ3uVGizyZgN07VxeA=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
LNmxpLHPJv3D8s1Y6T8xzpQ9mAgJrssBOSGPXaSCPuEXLLgKCI0S1ugeILreIrjQQzpqz9RMIIBS
HECPdpkk9Kx7n6eeoUSnHlENhmv4uibdgC9O5EaKsHhD0a428cxWEyDk8dviuNK/sthF0gRznSW0
vTdYvboUNVHPHYOquNUKVccKxlPOSaIm8RnmvMiqKzAGTvXKLGNTCkVWIl52zt/bpKtRdFB1g0wG
lLDlRe8fp0tF4PCqmzG8NKm7Wc8ozc0wIX1t9bBGrS763YwnstaogpuAjd2Zk+r81MgJ3NRFmoWm
iPDYmFTSJcaKSY0M+nOs/9vwcfODF0q6AMWB0w==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
bpayqd32nQFYWCP/XrJPR4zjrFnNzUeM9UqUZ+J+NmKrfrpXfAGYnQXCNMoS0fFm6Y192h27/jSw
wyJrL5NmEKgOsPg1vQrAhqBusIiAba+aAJDKh2JwsA8XI9Fh+Oud3Rbov7chCZdDL2XqntO7VxeC
MAVLz8q5rJ9WcdNSBk8=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BdT8YC2Az+FvF6kQemyu4Dh7dEoGr8oqAp+TkPOp1kQjX0sgmacdUvBuCJ2FO9CAYaSArAJigTIh
94qCf1ZVq6nSOiUAeJP6uY2fuqcbEeDQIMdzL+X2cSS/p26832We2btxDf2WFH/LrWiAlDioZ51q
G3yukGliByZnQy9zjD0m5w4rVApCj+sLCW/AOn2XtmcBhAE/NlFN7cD4QeChTGZ1MYkyzig7oeEb
9pkNIe+RlooLSrV3zFQvIOWoZSzA8bWfqcVmKN3c2CDXHNLo9kFuj2y8PSuYLKTXNvTFIv/KDbAa
1oVZ+k0IgJuw4UsdtAsjkaAOTPGq8/GO3HzlPg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8496)
`protect data_block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`protect end_protected
| apache-2.0 | 1d15a375d8d32cd79d8fe4cd77702523 | 0.933743 | 1.874576 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/lmb_bram_if_cntlr_v4_0/816dc01c/hdl/vhdl/parity.vhd | 1 | 9,600 | -------------------------------------------------------------------------------
-- $Id: parity.vhd,v 1.1.2.2 2010/09/06 09:01:24 rolandp Exp $
-------------------------------------------------------------------------------
--
-- (c) Copyright [2003] - [2011] Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES
--
------------------------------------------------------------------------------
-- Filename: parity.vhd
--
-- Description: Generate parity optimally for all target architectures
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- parity.vhd
-- xor18.vhd
-- parity_recursive_LUT6.vhd
--
-------------------------------------------------------------------------------
-- Author: stefana
-- Revision: $Revision: 1.1.2.2 $
-- Date: $Date: 2010/09/06 09:01:24 $
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
entity Parity is
generic (
C_USE_LUT6 : boolean := true;
C_SIZE : integer := 6
);
port (
InA : in std_logic_vector(0 to C_SIZE - 1);
Res : out std_logic
);
end entity Parity;
library unisim;
use unisim.vcomponents.all;
architecture IMP of Parity is
-- Non-recursive loop implementation
function ParityGen (InA : std_logic_vector) return std_logic is
variable result : std_logic;
begin
result := '0';
for I in InA'range loop
result := result xor InA(I);
end loop;
return result;
end function ParityGen;
begin -- architecture IMP
Using_LUT6 : if (C_USE_LUT6) generate
--------------------------------------------------------------------------------------------------
-- Single LUT6
--------------------------------------------------------------------------------------------------
Single_LUT6 : if C_SIZE > 1 and C_SIZE <= 6 generate
signal inA6 : std_logic_vector(0 to 5);
begin
Assign_InA : process (InA) is
begin
inA6 <= (others => '0');
inA6(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => Res,
I0 => inA6(5),
I1 => inA6(4),
I2 => inA6(3),
I3 => inA6(2),
I4 => inA6(1),
I5 => inA6(0));
end generate Single_LUT6;
--------------------------------------------------------------------------------------------------
-- Two LUT6 and one MUXF7
--------------------------------------------------------------------------------------------------
Use_MUXF7 : if C_SIZE = 7 generate
signal inA7 : std_logic_vector(0 to 6);
signal result6 : std_logic;
signal result6n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA7 <= (others => '0');
inA7(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
XOR6_LUT_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6n,
I0 => inA7(5),
I1 => inA7(4),
I2 => inA7(3),
I3 => inA7(2),
I4 => inA7(1),
I5 => inA7(0));
MUXF7_LUT : MUXF7
port map (
O => Res,
I0 => result6,
I1 => result6n,
S => inA7(6));
end generate Use_MUXF7;
--------------------------------------------------------------------------------------------------
-- Four LUT6, two MUXF7 and one MUXF8
--------------------------------------------------------------------------------------------------
Use_MUXF8 : if C_SIZE = 8 generate
signal inA8 : std_logic_vector(0 to 7);
signal result6_1 : std_logic;
signal result6_1n : std_logic;
signal result6_2 : std_logic;
signal result6_2n : std_logic;
signal result7_1 : std_logic;
signal result7_1n : std_logic;
begin
Assign_InA : process (InA) is
begin
inA8 <= (others => '0');
inA8(0 to InA'length - 1) <= InA;
end process Assign_InA;
XOR6_LUT1 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_1,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT2_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_1n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT1 : MUXF7
port map (
O => result7_1,
I0 => result6_1,
I1 => result6_1n,
S => inA8(6));
XOR6_LUT3 : LUT6
generic map(
INIT => X"6996966996696996")
port map(
O => result6_2,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
XOR6_LUT4_N : LUT6
generic map(
INIT => X"9669699669969669")
port map(
O => result6_2n,
I0 => inA8(5),
I1 => inA8(4),
I2 => inA8(3),
I3 => inA8(2),
I4 => inA8(1),
I5 => inA8(0));
MUXF7_LUT2 : MUXF7
port map (
O => result7_1n,
I0 => result6_2n,
I1 => result6_2,
S => inA8(6));
MUXF8_LUT : MUXF8
port map (
O => res,
I0 => result7_1,
I1 => result7_1n,
S => inA8(7));
end generate Use_MUXF8;
end generate Using_LUT6;
-- Fall-back implementation without LUT6
Not_Using_LUT6 : if not C_USE_LUT6 or C_SIZE > 8 generate
begin
Res <= ParityGen(InA);
end generate Not_Using_LUT6;
end architecture IMP;
| apache-2.0 | f60f8fbaad1b8d20dc87f99a61a5be1b | 0.470417 | 4.20868 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/io.vhd | 1 | 41,531 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: from_stdin
-- read a signle character from stdout
-- returns LF ('\n'=0x0a) if there are no charachters on input
-- on the first ever read, returna LF on the empty line read
--+++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity from_stdin is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : out reg32);
end from_stdin;
architecture simulation of from_stdin is
begin
U_READ_IN: process(clk,sel)
variable L : line;
variable this : character;
variable good : boolean := FALSE;
begin
if falling_edge(clk) and sel = '0' then
read(L, this, good);
if not(good) then
readline(input, L);
this := LF;
end if;
data <= x"000000" & std_logic_vector(to_signed(character'pos(this),8));
assert TRUE report "STD_IOrd= " & this;
end if;
end process U_READ_IN;
end architecture simulation;
-- ++ from_stdin +++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of from_stdin is
begin
data <= (others => 'X');
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: print_data
-- print an integer to stdout, 32bit hexadecimal
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity print_data is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in reg32);
end print_data;
architecture simulation of print_data is
file output : text open write_mode is "STD_OUTPUT";
begin
U_WRITE_OUT: process(sel,clk)
variable msg : line;
begin
if falling_edge(clk) and sel = '0' then
write ( msg, string'(SLV32HEX(data)) );
writeline( output, msg );
end if;
end process U_WRITE_OUT;
end architecture simulation;
-- ++ print_data +++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of print_data is
begin
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: to_stdout
-- print a signle character to stdout
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity to_stdout is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector);
end to_stdout;
architecture simulation of to_stdout is
file output : text open write_mode is "STD_OUTPUT";
begin
U_WRITE_OUT: process(clk,sel)
variable msg : line;
begin
if falling_edge(clk) and sel = '0' then
if (data(7 downto 0) = x"00") or (data(7 downto 0) = x"0a") then
writeline( output, msg );
else
write(msg, character'val(to_integer( unsigned(data(7 downto 0)))));
end if;
end if;
end process U_WRITE_OUT;
end architecture simulation;
-- ++ to_stdout +++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of to_stdout is
begin
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: write_data_to_file
-- write one 32bit integer to file "output.data"
-- if( addr(3 downto 0) ) = "0000" then write to file
-- if( addr(3 downto 0) ) = "0100" then close file
-- if( addr(3 downto 0) ) = "0111" then assert dump_ram
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity write_data_file is
generic (OUTPUT_FILE_NAME : string := "output.data");
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in reg32;
data : in reg32;
byte_sel : in reg4;
dump_ram : out std_logic);
end write_data_file;
architecture simulation of write_data_file is
type uint_file_type is file of integer;
file output_file: uint_file_type open write_mode is OUTPUT_FILE_NAME;
begin
U_write_uint: process (clk,sel)
begin
dump_ram <= '0';
if falling_edge(clk) and sel = '0' then
if addr(3 downto 0) = b"0000" then -- data write
if wr = '0' then
write( output_file, to_integer(signed(data)) );
assert TRUE report "IOwr[" & SLV32HEX(addr) &"]:" & SLV32HEX(data);
end if;
elsif addr(3 downto 0) = b"0100" then -- close output file
file_close(output_file);
elsif addr(3 downto 0) = b"0111" then -- dump RAM
dump_ram <= '1';
end if;
end if;
end process U_write_uint;
end architecture simulation; -- write_file_data
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of write_data_file is
begin
dump_ram <= 'X';
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: read_data_file
-- read one 32bit integer from file "input.data"
-- if not EOF then write data to file
-- else status <= 1
-- on a read, return last status (EOF=1 or otherwise=0)
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity read_data_file is
generic (INPUT_FILE_NAME : string := "input.data");
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in reg32;
data : out reg32;
byte_sel : in reg4);
end read_data_file;
architecture simulation of read_data_file is
type uint_file_type is file of integer;
file input_file: uint_file_type open read_mode is INPUT_FILE_NAME;
signal status : reg32 := (others => '0');
begin
U_read_uint: process(clk,sel)
variable datum : integer := 0;
variable value : reg32; -- for debugging only
begin
data <= (others => 'X');
if falling_edge(clk) and sel = '0' then
if addr(3 downto 0) = b"0000" then -- data read
if wr = '1' then
if not endfile(input_file) then
read( input_file, datum );
data <= std_logic_vector(to_signed(datum, 32));
status <= x"00000000"; -- NOT_EndOfFile
value := std_logic_vector(to_signed(datum, 32)); -- DEBUG
assert TRUE report "IOrd[" & SLV32HEX(addr) &"]:"& SLV32HEX(value);
else
status <= x"00000001"; -- EndOfFile
end if;
else
data <= (others => 'X');
end if;
else -- status read
if wr = '1' then
data <= status;
else
data <= (others => 'X');
end if;
end if;
end if;
end process U_read_uint;
end architecture simulation;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of read_data_file is
begin
data <= (others => 'X');
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: generate interrupt after N clock cycles
-- Generates an interrupt after N cycles, N <= 2**30
-- Counting stops on reaching limit stored to counter.
-- data(31) = 1 enables interrupt on reaching limit;
-- data(31) = 0 disables interrupts
-- data(30) = 1 enables counting
-- data(30) = 0 stops counter and delays interrupt (forever?)
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
entity do_interrupt is
port (rst : in std_logic;
clk : in std_logic; -- clock pulses counted
sel : in std_logic;
wr : in std_logic;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
irq : out std_logic);
constant NUM_BITS : integer := 30;
subtype c_width is std_logic_vector(NUM_BITS - 1 downto 0);
constant START_COUNT : c_width := (others => '0');
end do_interrupt;
architecture behavioral of do_interrupt is
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component registerN;
component countNup is
generic (NUM_BITS: integer);
port(clk, rst, ld, en: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector;
co: out std_logic);
end component countNup;
component FFDsimple is
port(clk, rst : in std_logic;
D : in std_logic;
Q : out std_logic);
end component FFDsimple;
signal Dlimit, Qlimit, Q: c_width;
signal ld_cnt, ld_reg, en, cnt_en, int_en, equals : std_logic;
signal i_ena, c_ena : std_logic;
begin
ld_reg <= wr when sel = '0' else '1';
ld_cnt <= not ld_reg;
Dlimit <= data_inp(NUM_BITS-1 downto 0);
U_LIMIT: registerN generic map (NUM_BITS, START_COUNT)
port map (clk, rst, ld_reg, Dlimit, Qlimit);
en <= cnt_en and (not equals);
U_COUNTER: countNup generic map (NUM_BITS)
port map (clk, rst, ld_cnt, en, START_COUNT, Q, open);
c_ena <= data_inp(30) when (sel='0' and wr='0') else cnt_en;
U_COUNT_EN: FFDsimple port map (clk, rst, c_ena, cnt_en);
i_ena <= data_inp(31) when (sel='0' and wr='0') else int_en;
U_INTERR_EN: FFDsimple port map (clk, rst, i_ena, int_en);
equals <= '1' when (Q = Qlimit(NUM_BITS-1 downto 0) ) else '0';
irq <= '1' when (equals = '1' and int_en = '1') else '0';
data_out <= int_en & cnt_en & Q;
end behavioral;
-- ++ do_interrupt +++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: simple UART bus interface (a wrapper to the real UART)
-- 8 data bits, no parity, 1 stop bit (8N1), catches: framing, overrun
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_wires.all;
entity simple_uart is
port (rst : in std_logic;
clk : in std_logic; -- processor clock
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector(1 downto 0);
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
txdat : out std_logic; -- serial transmission (output)
rxdat : in std_logic; -- serial reception (input)
rts : out std_logic;
cts : in std_logic;
irq : out std_logic; -- interrupt request
bit_rt : out std_logic_vector); -- communication speed; for TB only
end simple_uart;
architecture behavioral of simple_uart is
component uart_int is
port(clk, rst: in std_logic;
s_ctrlwr, s_stat : in std_logic; -- select registers
s_tx, s_rx : in std_logic; -- select registers
s_intwr, s_intrd : in std_logic; -- select interrupt register
d_inp: in std_logic_vector; -- 32 bit input
d_out: out std_logic_vector; -- 32 bit output
txdat: out std_logic; -- serial transmission (output)
rxdat: in std_logic; -- serial reception (input)
rts: out std_logic;
cts: in std_logic;
irq_all: out std_logic; -- interrupt request
bit_rt: out std_logic_vector); -- communication speed - for TB only
end component uart_int;
signal s_ctrlwr, s_stat, s_tx, s_rx, s_intwr, s_intrd : std_logic;
signal d_inp, d_out : reg32;
begin
U_UART: uart_int port map (clk, rst, s_ctrlwr, s_stat, s_tx, s_rx,
s_intwr, s_intrd,
d_inp,d_out, txdat,rxdat, rts,cts, irq, bit_rt);
-- a3a2 wr register (aligned to word addresses)
-- 00 0 control, W+r IO_UART_ADDR +0
-- 01 x status, R IO_UART_ADDR +4
-- 10 0 interrupt conmtrol W IO_UART_ADDR +8
-- 10 1 interrupt conmtrol R IO_UART_ADDR +8
-- 11 0 transmission W IO_UART_ADDR +12
-- 11 1 reception R IO_UART_ADDR +12
s_ctrlwr <= '1' when sel = '0' and addr = b"00" and wr = '0' else '0'; -- W
s_stat <= '1' when sel = '0' and addr = b"01" else '0'; -- R+W
s_intwr <= '1' when sel = '0' and addr = b"10" and wr = '0' else '0'; -- W
s_intrd <= '1' when sel = '0' and addr = b"10" and wr = '1' else '0'; -- R
s_tx <= '1' when sel = '0' and addr = b"11" and wr = '0' else '0'; -- W-O
s_rx <= '1' when sel = '0' and addr = b"11" and wr = '1' else '0'; -- R-O
data_out <= d_out;
d_inp <= data_inp;
end behavioral;
-- ++ simple uart +++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: system statistics: gather statistics in one place
-- processor reads performance counters, on word boundaries, adressed as
-- cnt_dc_ref when "00000", 0
-- cnt_dc_rd_hit when "00100", 4
-- cnt_dc_wr_hit when "01000", 8
-- cnt_dc_flush when "01100", 12
-- cnt_ic_ref when "10000", 16
-- cnt_ic_hit when "10100", 20
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
entity sys_stats is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in reg32;
data : out reg32;
cnt_dc_ref : in integer;
cnt_dc_rd_hit : in integer;
cnt_dc_wr_hit : in integer;
cnt_dc_flush : in integer;
cnt_ic_ref : in integer;
cnt_ic_hit : in integer);
end sys_stats;
architecture simulation of sys_stats is
begin
U_SYNC_OUTPUT: process(clk,sel)
variable i_c : integer := 0;
begin
data <= (others => '0');
if falling_edge(clk) and sel = '0' then
case addr(4 downto 2) is
when "000" => i_c := cnt_dc_ref;
when "001" => i_c := cnt_dc_rd_hit;
when "010" => i_c := cnt_dc_wr_hit;
when "011" => i_c := cnt_dc_flush;
when "100" => i_c := cnt_ic_ref;
when "101" => i_c := cnt_ic_hit;
when others => i_c := 0;
end case;
end if;
data <= std_logic_vector(to_signed(i_c,32));
end process U_SYNC_OUTPUT;
end architecture simulation;
-- ++ system statistics ++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of sys_stats is
begin
data <= (others => 'X');
end architecture fake;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: to_7seg
-- input format:
-- b14 b13 b12 b09 b08 b07..b04 b03..b02
-- red gre blu MSdot msdot MSdigit msdigit
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use std.textio.all;
use work.p_wires.all;
entity to_7seg is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector;
display0 : out reg8;
display1 : out reg8;
red : out std_logic;
green : out std_logic;
blue : out std_logic);
-- 2 decimal points, 2 hex digits, 3 leds
constant NUM_BITS : integer := 15;
subtype c_width is std_logic_vector(NUM_BITS - 1 downto 0);
constant INIT_VALUE : c_width := (others => '0');
end to_7seg;
architecture behavioral of to_7seg is
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component registerN;
component display_7seg is
port(data_i : in std_logic_vector(3 downto 0);
decimal_i : in std_logic;
disp_7seg_o : out std_logic_vector(7 downto 0));
end component display_7seg;
signal value : std_logic_vector(NUM_BITS-1 downto 0);
signal middle : std_logic;
begin
U_HOLD_data: registerN generic map (NUM_BITS, INIT_VALUE)
port map (clk, rst, sel, data(NUM_BITS-1 downto 0), value);
red <= value(14);
green <= value(13);
blue <= value(12);
U_DSP1: display_7seg port map (value(7 downto 4), value(9), display1);
U_DSP0: display_7seg port map (value(3 downto 0), value(8), display0);
U_sim: process(sel,rst,clk)
begin
middle <= not(sel) and not(clk); -- to remove spurious reports
if rst = '1' then
assert not(rising_edge(middle))
report "dsp7seg: "& SLV32HEX(data) severity NOTE;
end if;
end process;
end behavioral;
-- ++ to_7seg +++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: read_keys
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
entity read_keys is
generic (DEB_CYCLES: natural); -- debouncing interval
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
data : out reg32;
kbd : in std_logic_vector (11 downto 0);
sw : in std_logic_vector (3 downto 0));
constant DEB_BITS : integer := 16; -- debounce counter width
constant CNT_MAX : integer := (2**DEB_BITS - 1);
constant x_DEB_CYCLES : std_logic_vector(DEB_BITS-1 downto 0)
:= std_logic_vector(to_signed((CNT_MAX - DEB_CYCLES),DEB_BITS));
constant NUM_BITS : integer := 4; -- four bits to hold key number
subtype c_width is std_logic_vector(NUM_BITS - 1 downto 0);
constant NO_KEY : c_width := (others => '0');
end read_keys;
architecture behavioral of read_keys is
component FFD is
port(clk, rst, set : in std_logic;
D : in std_logic; Q : out std_logic);
end component FFD;
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector(NUM_BITS-1 downto 0);
Q: out std_logic_vector(NUM_BITS-1 downto 0));
end component registerN;
component countNup is
generic (NUM_BITS: integer := 16);
port(clk, rst, ld, en: in std_logic;
D: in std_logic_vector((NUM_BITS - 1) downto 0);
Q: out std_logic_vector((NUM_BITS - 1) downto 0);
co: out std_logic);
end component countNup;
type kbd_state is (st_idle, st_start, st_wait, st_load, st_release);
signal kbd_current_st, kbd_next_st : kbd_state;
attribute SYN_ENCODING of kbd_state : type is "safe";
-- signal kbd_dbg_st : integer; -- debugging only
signal cnt_ld, cnt_en, new_ld : std_logic;
signal press, debounced, rdy_clr, ready : std_logic;
signal keys_data, cpu_data : reg4;
signal d : reg2;
-- signal count : std_logic_vector(DEB_BITS-1 downto 0); -- debugging only
begin
data(31) <= ready;
data(30 downto 8) <= (others => '0');
data(7) <= sw(3);
data(6) <= sw(2);
data(5) <= sw(1);
data(4) <= sw(0);
data(3 downto 0) <= cpu_data(3 downto 0);
U_DEBOUNCER: countNup generic map (DEB_BITS)
port map (clk=>clk, rst=>rst, ld=>cnt_ld, en=>cnt_en,
D=>x_DEB_CYCLES, Q=>open, co=>debounced);
U_NEW_DATA: registerN generic map (4, NO_KEY)
port map (clk, rst, new_ld, keys_data, cpu_data);
d <= new_ld & sel; -- new_ld, sel active in '0'
with d select
rdy_clr <= '1' when "00",
'1' when "01",
'0' when "10",
ready when others;
U_READY: FFD port map (clk, rst, '1', rdy_clr, ready);
press <= BOOL2SL(keys_data /= b"0000");
-- translate key position to key code
-- code for key 0 cannot be zero; value-holding register is reset to "0000"
with kbd select
keys_data <= "0001" when "000000000001", -- 1
"0010" when "000000000010", -- 2
"0011" when "000000000100", -- 3
"0100" when "000000001000", -- 4
"0101" when "000000010000", -- 5
"0110" when "000000100000", -- 6
"0111" when "000001000000", -- 7
"1000" when "000010000000", -- 8
"1001" when "000100000000", -- 9
"1010" when "001000000000", -- *
"1111" when "010000000000", -- 0, cannot be "0000"
"1011" when "100000000000", -- #
"0000" when others; -- no key depressed
-- ---------------------------------------------------------------------
U_KBD_st_reg: process(rst,clk)
begin
if rst = '0' then
kbd_current_st <= st_idle;
elsif rising_edge(clk) then
kbd_current_st <= kbd_next_st;
end if;
end process U_KBD_st_reg; ----------------------------------------------
-- kbd_dbg_st <= integer(kbd_state'pos(kbd_current_st)); -- for debugging
U_KBD_st_transitions: process(kbd_current_st, press, debounced) --------
begin
case kbd_current_st is
when st_idle => -- 0
if press = '1' then
kbd_next_st <= st_start;
else
kbd_next_st <= st_idle;
end if;
when st_start => -- 1
kbd_next_st <= st_wait;
when st_wait => -- 2
if debounced = '1' then
kbd_next_st <= st_load;
else
kbd_next_st <= st_wait;
end if;
when st_load => -- 3
kbd_next_st <= st_release;
when st_release => -- 4
if press = '1' then
kbd_next_st <= st_release;
else
kbd_next_st <= st_idle;
end if;
end case;
end process U_KBD_st_transitions; ------------------------------------
U_KBD_outputs: process(kbd_current_st) ------------------------------
begin
case kbd_current_st is
when st_idle |st_release => -- 0,4
new_ld <= '1';
cnt_ld <= '0';
cnt_en <= '0';
when st_start => -- 1
new_ld <= '1';
cnt_ld <= '1';
cnt_en <= '0';
when st_wait => -- 2
new_ld <= '1';
cnt_ld <= '0';
cnt_en <= '1';
when st_load => -- 3
new_ld <= '0';
cnt_ld <= '1';
cnt_en <= '0';
end case;
end process U_KBD_outputs; -------------------------------------------
end behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: LCD display controller
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_wires.all;
entity LCD_display is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic; -- 0=constrol, 1=data
data_inp : in std_logic_vector(31 downto 0);
data_out : out std_logic_vector(31 downto 0);
LCD_DATA : inout std_logic_vector(7 downto 0); -- bidirectional bus
LCD_RS : out std_logic; -- LCD register select 0=ctrl, 1=data
LCD_RW : out std_logic; -- LCD read=1, 0=write
LCD_EN : out std_logic; -- LCD enable=1
LCD_BLON : out std_logic); -- LCD backlight on=1
constant NUM_BITS : integer := 8;
subtype c_width is std_logic_vector(NUM_BITS - 1 downto 0);
constant INIT_VALUE : c_width := (others => '0');
end LCD_display;
architecture rtl of LCD_display is
component wait_states is
generic (NUM_WAIT_STATES :integer);
port(rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
waiting : out std_logic); -- active in '1'
end component wait_states;
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component registerN;
component FFD is
port(clk, rst, set, D : in std_logic; Q : out std_logic);
end component FFD;
component FFDsimple is
port(clk, rst, D : in std_logic; Q : out std_logic);
end component FFDsimple;
type lcd_state is (st_init, st_idle, st_n, st_n1, st_n2, st_n3,
st_n4, st_n5, st_n6, st_n7, st_n8, st_n9, st_na, st_nb);
attribute SYN_ENCODING of lcd_state : type is "safe";
signal lcd_current_st, lcd_next_st : lcd_state;
signal lcd_current : integer; -- debugging only
signal waiting, wait1, wait2, n_sel: std_logic;
signal sel_rs, RS, sel_rw, RW,lcd_enable,lcd_read : std_logic;
signal inp_data, out_data : reg8;
begin
n_sel <= not(sel);
U_WAIT_ON_READS: component wait_states generic map (1)
port map (rst, clk, sel, wait1);
U_WAIT2: FFDsimple port map (clk, rst, wait1, wait2);
rdy <= not(wait1 or wait2 or waiting); -- wait for 260ns
sel_rs <= addr when sel = '0' else RS;
U_INPUT_RS: FFDsimple port map (clk, rst, sel_rs, RS);
U_INPUT: registerN generic map (NUM_BITS, INIT_VALUE)
port map (clk, rst, sel, data_inp(NUM_BITS-1 downto 0), inp_data);
U_OUTPUT: registerN generic map (NUM_BITS, INIT_VALUE)
port map (clk, rst, lcd_read, out_data, data_out(NUM_BITS-1 downto 0));
data_out(31 downto NUM_BITS) <= (others => 'X');
-- TESTING ONLY
-- out_data <= b"00000000" when RW = '1' else (others => 'X');
out_data <= LCD_DATA when RW = '1' else (others => 'Z');
LCD_DATA <= inp_data when RW = '0' else (others => 'Z');
LCD_RS <= RS; -- LCD register select 0=ctrl, 1=data
sel_rw <= wr when sel = '0' else RW;
U_INPUT_RW: FFD port map (clk, '1', rst, sel_rw, RW);
LCD_RW <= RW; -- LCD read=1, 0=write
LCD_EN <= lcd_enable; -- LCD enable=1
LCD_BLON <= '1'; -- LCD backlight
-- state register----------------------------------------------------
U_st_reg: process(rst,clk)
begin
if rst = '0' then
lcd_current_st <= st_init;
elsif rising_edge(clk) then
lcd_current_st <= lcd_next_st;
end if;
end process U_st_reg;
lcd_current <= lcd_state'pos(lcd_current_st); -- debugging only
U_st_transitions: process(lcd_current_st, RW, sel)
begin
case lcd_current_st is
when st_init => -- 0
lcd_next_st <= st_idle;
when st_idle => -- 1
if sel = '0' then
lcd_next_st <= st_n;
else
lcd_next_st <= st_idle;
end if;
when st_n => -- 2
lcd_next_st <= st_n1;
when st_n1 => -- 3, setup for Enable is 20ns
lcd_next_st <= st_n2;
when st_n2 => -- 4, keep Enable=1 for 200ns
lcd_next_st <= st_n3;
when st_n3 => -- 5, data setup is 100ns
lcd_next_st <= st_n4;
when st_n4 => -- 6
lcd_next_st <= st_n5;
when st_n5 => -- 7
lcd_next_st <= st_n6;
when st_n6 => -- 8
lcd_next_st <= st_n7;
when st_n7 => -- 9
lcd_next_st <= st_n8;
when st_n8 => -- 10, can read now
lcd_next_st <= st_n9;
when st_n9 => -- 11, data hold for Enable is >40ns
lcd_next_st <= st_na;
when st_na => -- 12
lcd_next_st <= st_nb;
when st_nb => -- 13
lcd_next_st <= st_idle;
when others => -- ??
lcd_next_st <= st_idle; -- Enable cycle >500ns
end case;
end process U_st_transitions;
U_st_outputs: process(lcd_current_st)
begin
case lcd_current_st is
when st_init =>
lcd_enable <= '0'; -- disable
lcd_read <= '1';
waiting <= '0';
when st_idle =>
lcd_enable <= '0'; -- disable
lcd_read <= '1';
waiting <= '0';
when st_n | st_n1 =>
lcd_enable <= '0'; -- disable, waiting for setup
lcd_read <= '1';
waiting <= '1';
when st_n2 | st_n3 | st_n4 | st_n5 | st_n6 | st_n7 =>
lcd_enable <= '1'; -- enable, waiting
lcd_read <= '1';
waiting <= '1';
when st_n8 =>
lcd_enable <= '1'; -- enable, still waiting
lcd_read <= '0';
waiting <= '1';
when st_n9 =>
lcd_enable <= '1'; -- enable, still waiting
lcd_read <= '1';
waiting <= '1';
when st_na =>
lcd_enable <= '0'; -- disable, still waiting
lcd_read <= '1';
waiting <= '1';
when st_nb =>
lcd_enable <= '0'; -- disable, stop waiting
lcd_read <= '1'; -- held inp data for 40ns
waiting <= '0';
when others =>
lcd_enable <= '0'; -- disable
lcd_read <= '1';
waiting <= '0';
end case;
end process U_st_outputs;
end architecture rtl;
-- -----------------------------------------------------------------------
-- -----------------------------------------------------------------------
architecture fake of LCD_display is
begin
rdy <= HI;
data_out <= (others => 'X');
LCD_RS <= LO; -- LCD register select 0=ctrl, 1=data
LCD_RW <= HI; -- LCD read=1, 0=write
LCD_EN <= LO; -- LCD enable=1
LCD_BLON <= LO; -- LCD backlight on=1
end architecture fake;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- peripheral: SDcard bus interface (a wrapper to the SDcard controller)
-- base + b"0000" -> address register
-- base + b"0100" -> data registers (RD/WR)
-- base + b"1000" -> control register
-- base + b"1100" -> status register
--
-- Software must ALWAYS check status(31) = busy before reading/writing
-- to controller. If controller is not busy, check for errors.
-- In case of errors, reset controller by writing 0x10 to control register.
-- Wait states (rdy=0) are inserted as needed by the bus interface.
--
-- Control register: bit(4)=1 reset the controller (because of error)
-- bit(1)=1 perform a sector READ
-- bit(0)=1 perform a sector WRITE
-- bit(0) and bit(1) shall not be both set
--
-- Status register: bit(31)=1 controller is busy (busy_o=1)
-- bit(30)=1 simultaneous read and write commands
-- bit(15..0) controller error bits (see SDcard.vhd)
--
-- Address register: 32 bits, can be written to, and read from
--
-- Data register: data write (sw by CPU), data read (lw by CPU)
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.SdCardPckg.all;
use work.p_wires.all;
entity SDcard is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in reg2; -- a03, a02
data_inp : in reg32;
data_out : out reg32;
sdc_cs : out std_logic; -- SDcard chip-select
sdc_clk : out std_logic; -- SDcard serial clock
sdc_mosi_o : out std_logic; -- SDcard serial data out (to card)
sdc_miso_i : in std_logic; -- SDcard serial data inp (fro card)
irq : out std_logic); -- interrupt request (not yet used)
end SDCard;
architecture rtl of SDcard is
component wait_states is
generic (NUM_WAIT_STATES :integer);
port(rst : in std_logic;
clk : in std_logic;
sel : in std_logic; -- active in '0'
waiting : out std_logic); -- active in '1'
end component wait_states;
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component registerN;
component FFDsimple is
port(clk, rst, D : in std_logic; Q : out std_logic);
end component FFDsimple;
component SdCardCtrl is
generic (
FREQ_G : real; -- Master clock frequency (MHz).
INIT_SPI_FREQ_G : real; -- Slow SPI clock freq during init (MHz).
SPI_FREQ_G : real; -- Operational SPI freq. to the SD card (MHz).
BLOCK_SIZE_G : natural; -- Num bytes in an SD card block or sector.
CARD_TYPE_G : CardType_t); -- Type of SD card connected.
port (
-- Host-side interface signals.
clk_i : in std_logic; -- Master clock.
reset_i : in std_logic; -- active-high, synchronous reset.
rd_i : in std_logic; -- active-high read block request.
wr_i : in std_logic; -- active-high write block request.
continue_i : in std_logic; -- If true, inc address and continue R/W.
addr_i : in std_logic_vector; -- Block address.
data_i : in std_logic_vector; -- Data to write to block.
data_o : out std_logic_vector; -- Data read from block.
busy_o : out std_logic; -- High when controller is busy.
hndShk_i : in std_logic; -- High when host has new or has taken data.
hndShk_o : out std_logic; -- High when cntlr has taken or new data.
error_o : out std_logic_vector;
-- I/O signals to the external SD card.
cs_bo : out std_logic; -- Active-low chip-select.
sclk_o : out std_logic; -- Serial clock to SD card.
mosi_o : out std_logic; -- Serial data output to SD card.
miso_i : in std_logic; -- Serial data input from SD card.
state : out std_logic_vector); -- state, debugging only
end component SdCardCtrl;
-- use fake / rtl
for U_SDcard : SdCardCtrl use entity work.SdCardCtrl(fake);
signal s_addr, s_stat, s_ctrl, s_read, s_write : std_logic;
signal continue, busy, hndShk_i, hndShk_o, wr_i, rd_i : std_logic;
signal wait1, waiting, new_trans, new_data_rd, sdc_rst : std_logic;
signal ctrl_err, set_wr_i, set_rd_i : std_logic;
signal do_reset, do_reset1 : std_logic;
signal data_rd, data_rd_reg, data_wr_reg : reg8;
signal error_o : reg16;
signal addr_reg : reg32;
signal sel_data_out : reg3;
signal state : reg5;
signal w : reg5;
begin
U_SDcard: SdCardCtrl
-- generic map (50.0, 0.400, 12.5, 512, SD_CARD_E)
generic map (50.0, 25.0, 25.0, 512, SD_CARD_E)
port map (clk, sdc_rst, rd_i, wr_i, '0', addr_reg,
data_wr_reg, data_rd, busy, hndshk_i, open, error_o,
-- data_wr_reg, data_rd, busy, hndshk_i, hndshk_o, error_o,
sdc_cs, sdc_clk, sdc_mosi_o, sdc_miso_i, state);
hndshk_i <= waiting;
U_WAIT1: component wait_states generic map (1)
port map (rst, clk, new_trans, wait1);
U_WAIT: process(rst, clk, wait1, hndshk_o)
variable w : std_logic;
begin
if rst = '0' then
w := '0';
elsif rising_edge(clk) then
if wait1 = '1' then -- new transaction started
w := '1';
end if;
if hndshk_o = '1' then -- transaction ended
w := '0';
end if;
end if;
waiting <= w;
end process U_WAIT;
rdy <= not(wait1 or waiting); -- wait for controller
new_data_rd <= not(hndshk_o);
U_W1: FFDsimple port map (clk, rst, wait1, w(0));
U_W2: FFDsimple port map (clk, rst, w(0), w(1));
U_W3: FFDsimple port map (clk, rst, w(1), w(2));
U_W4: FFDsimple port map (clk, rst, w(2), w(3));
U_W5: FFDsimple port map (clk, rst, w(3), w(4));
U_W6: FFDsimple port map (clk, rst, w(4), hndshk_o);
-- a3a2 wr register (aligned to word addresses: a1a0=00)
-- 00 0 write to ADDR register (32 bits)
-- 00 1 returns current value of ADDR
-- 01 1 read from data register (8 bits, least significant byte)
-- 01 0 write to data register (8 bits, least significant byte)
-- 10 0 write to control register
-- 10 1 read from control register
-- 11 0 no effect (not possible to write to status register)
-- 11 1 read status register
new_trans <= '0' when addr = b"01" and sel = '0' else '1';
s_addr <= '0' when sel = '0' and addr = b"00" and wr = '0' else '1';
s_write <= '0' when sel = '0' and addr = b"01" and wr = '0' else '1';
s_read <= '0' when sel = '0' and addr = b"01" and wr = '1' else '1';
s_ctrl <= '1' when sel = '0' and addr = b"10" and wr = '0' else '0';
s_stat <= '1' when sel = '0' and addr = b"11" and wr = '1' else '0';
do_reset <= '1' when s_ctrl = '1' and data_inp(4) = '1' else '0';
U_RESET1: FFDsimple port map (clk, rst, do_reset, do_reset1);
sdc_rst <= not(rst) or do_reset or do_reset1; -- held HI for 2 cycles
-- hold wr_i active until first access to WR-register
set_wr_i <= ((s_ctrl and data_inp(0)) or (wr_i and s_write)) and s_write;
U_WR_STROBE: FFDsimple port map (clk, rst, set_wr_i, wr_i);
-- hold rd_i active until first access to RD-register
set_rd_i <= ((s_ctrl and data_inp(1)) or (rd_i and s_read)) and s_read;
U_RD_STROBE: FFDsimple port map (clk, rst, set_rd_i, rd_i);
ctrl_err <= wr_i and rd_i; -- cannot both read AND write
U_ADDR_REG: registerN generic map (32, x"00000000")
port map (clk, rst, s_addr, data_inp, addr_reg);
U_WRITE_REG: registerN generic map (8, x"00")
port map (clk, rst, s_write, data_inp(7 downto 0), data_wr_reg);
U_READ_REG: registerN generic map (8, x"00")
port map (clk, rst, new_data_rd, data_rd, data_rd_reg);
sel_data_out <= sel & addr;
with sel_data_out select
data_out <= addr_reg when "000",
x"000000" & data_rd_reg when "001",
x"000000" & b"000" & ctrl_err & b"00" & rd_i & wr_i when "010",
busy & ctrl_err & b"00" & b"000" & state & x"0" & error_o when "011",
(others => 'X') when others;
end architecture rtl;
-- ++ SDcard ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- ++ SDcard ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
architecture fake of SDcard is
begin
rdy <= HI;
data_out <= (others => 'X');
sdc_cs <= HI;
sdc_clk <= LO; -- SDcard serial clock
sdc_mosi_o <= LO; -- SDcard serial data out (to card)
irq <= LO; -- interrupt request (not yet used)
end architecture fake;
-- ++ SDcard ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | 6c401fe98ddaed15ea23333bc26e8d2c | 0.508849 | 3.543601 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/3-ARF/asap-alap-random/arf_asap.vhd | 1 | 2,699 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.14:37:21)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY arf_asap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8: IN unsigned(0 TO 30);
output1, output2: OUT unsigned(0 TO 31));
END arf_asap_entity;
ARCHITECTURE arf_asap_description OF arf_asap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register7: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register8: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
register2 := input2 * 2;
register3 := input3 * 3;
register4 := input4 * 4;
register5 := input5 * 5;
register6 := input6 * 6;
register7 := input7 * 7;
register8 := input8 * 8;
WHEN "00000010" =>
register1 := register4 + register1;
register2 := register2 + register8;
register3 := register6 + register3;
register4 := register7 + register5;
WHEN "00000011" =>
register1 := register1 + 10;
register3 := register3 + 12;
WHEN "00000100" =>
register5 := register1 * 14;
register1 := register1 * 16;
register6 := register3 * 18;
register3 := register3 * 20;
WHEN "00000101" =>
register5 := register6 + register5;
register1 := register3 + register1;
WHEN "00000110" =>
register3 := register5 * 22;
register5 := register5 * 24;
register6 := register1 * 26;
register1 := register1 * 28;
WHEN "00000111" =>
register3 := register3 + register6;
register1 := register5 + register1;
WHEN "00001000" =>
output1 <= register4 + register3;
output2 <= register2 + register1;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END arf_asap_description; | gpl-3.0 | 653b8a784b1f8ae05fc1ee4e67bf771e | 0.686921 | 3.377972 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/5-EWF/asap-alap-random/ewf_alap.vhd | 1 | 3,329 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-16.08:47:35)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY ewf_alap_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2: IN unsigned(0 TO 30);
output1, output2, output3, output4, output5: OUT unsigned(0 TO 31));
END ewf_alap_entity;
ARCHITECTURE ewf_alap_description OF ewf_alap_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register3: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register4: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register5: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register6: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register7: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register8: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register9: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register10: unsigned(0 TO 31) := "00000000000000000000000000000000";
SHARED VARIABLE register11: unsigned(0 TO 31) := "00000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
WHEN "00000010" =>
register2 := register1 + 3;
WHEN "00000011" =>
register3 := register2 + 5;
register4 := input2 + 6;
WHEN "00000100" =>
register3 := register4 + register3;
WHEN "00000101" =>
register5 := register3 * 8;
register6 := register3 * 10;
WHEN "00000110" =>
register5 := register4 + register5;
register6 := register2 + register6;
WHEN "00000111" =>
register4 := register4 + register5;
register2 := register2 + register6;
WHEN "00001000" =>
register4 := register4 * 12;
register2 := register2 * 14;
WHEN "00001001" =>
register4 := register4 + 16;
register2 := register1 + register2;
WHEN "00001010" =>
register7 := register5 + register4;
register8 := register6 + register2;
WHEN "00001011" =>
register7 := register7 + 18;
register1 := register1 + register2;
register8 := register8 + 20;
register9 := register4 + 22;
WHEN "00001100" =>
register10 := register7 * 24;
register1 := register1 * 26;
register11 := register8 * 28;
WHEN "00001101" =>
register9 := register9 * 30;
register3 := register3 + register6;
register6 := register10 + 32;
register1 := register1 + 34;
register10 := register11 + 36;
WHEN "00001110" =>
output1 <= register4 + register9;
output2 <= register5 + register3;
output3 <= register7 + register6;
output4 <= register2 + register1;
output5 <= register8 + register10;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END ewf_alap_description; | gpl-3.0 | 9208f90526718579d8df56b605285b38 | 0.691199 | 3.511603 | false | false | false | false |
marceloboeira/vhdl-examples | 005-button-ledbar/source.vhd | 1 | 1,166 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity btn_ledbar is
Port (
btn : in STD_LOGIC;
reset : in STD_LOGIC;
ledA : out STD_LOGIC_VECTOR (7 downto 0)
);
end btn_ledbar;
architecture Behavioral of btn_ledbar is
-- Signals
signal amount: integer range 0 to 80;
begin
p1: process (btn, reset)
-- variable ...
begin
if reset='1' then
amount <= 0;
elsif (btn'event and btn='1') then
amount <= amount + 1;
end if;
end process;
p2: process (amount)
begin
if amount >=10 then
ledA(0) <= '1';
if amount >=20 then
ledA(1) <= '1';
if amount >=30 then
ledA(2) <= '1';
if amount >=40 then
ledA(3) <= '1';
if amount >=50 then
ledA(4) <= '1';
if amount >=60 then
ledA(5) <= '1';
if amount >=70 then
ledA(6) <= '1';
if amount >=80 then
ledA(7) <= '1';
end if;
end if;
end if;
end if;
end if;
end if;
end if;
else
LEDS <= "00000000";
end if;
end process;
end Behavioral;
| mit | b013936315e61d5b632bf7aca54bce6d | 0.479417 | 3.449704 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/instrcache.vhd | 2 | 17,020 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- instruction cache, word-indexed, FPGA version, with early restart
-- TODO: associativity
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity I_CACHE_fpga is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic; -- active in '0'
cpu_sel : in std_logic; -- active in '0'
cpu_rdy : out std_logic; -- active in '0'
cpu_addr : in reg32;
cpu_data : out reg32;
mem_sel : out std_logic; -- active in '0'
mem_rdy : in std_logic; -- active in '0'
mem_addr : out reg32;
mem_data : in reg32;
ref_cnt : out integer;
hit_cnt : out integer);
constant IC_TAG_BITS : natural :=
IC_BTS_PER_WORD - (IC_INDEX_BITS + IC_WORD_SEL_BITS + IC_BYTE_SEL_BITS);
constant IC_TOP_TAG : natural := 31;
constant IC_BOT_TAG : natural := 32 - IC_TAG_BITS;
constant IC_TOP_INDEX : natural := 32 - (IC_TAG_BITS + 1);
constant IC_BOT_INDEX : natural := 32 - (IC_TAG_BITS + IC_INDEX_BITS);
constant IC_TOP_W_SEL : natural := 32 - (IC_TAG_BITS + IC_INDEX_BITS + 1);
constant IC_BOT_W_SEL : natural :=
32 - (IC_TAG_BITS + IC_INDEX_BITS + IC_WORD_SEL_BITS);
constant TAG_IDX_REG_INI: std_logic_vector(IC_TAG_BITS + IC_INDEX_BITS - 1 downto 0) :=
(others => '0');
subtype tag_address is integer range 0 to (IC_NUM_BLOCKS - 1);
subtype ram_address is integer range 0 to (IC_NUM_WORDS - 1);
subtype tag_sel_width is std_logic_vector((IC_TAG_BITS - 1) downto 0);
subtype index_width is std_logic_vector((IC_INDEX_BITS - 1) downto 0);
subtype word_sel_width is std_logic_vector((IC_WORD_SEL_BITS - 1) downto 0);
subtype tag_d_width is std_logic_vector(IC_TAG_BITS - 1 downto 0);
subtype v_tag_d_width is std_logic_vector(IC_TAG_BITS downto 0);
subtype tag_index_width is
std_logic_vector((IC_TAG_BITS+IC_INDEX_BITS - 1) downto 0);
end entity I_CACHE_fpga;
architecture structural of I_CACHE_fpga is
component sr_latch is
port(set,clr : in std_logic;
q : out std_logic);
end component sr_latch;
component sr_latch_rst is
port(rst,set,clr : in std_logic;
q : out std_logic);
end component sr_latch_rst;
component register32 is
generic (INITIAL_VALUE: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector;
Q: out std_logic_vector);
end component register32;
component registerN is
generic (NUM_BITS: integer; INIT_VAL: std_logic_vector);
port(clk, rst, ld: in std_logic;
D: in std_logic_vector(NUM_BITS-1 downto 0);
Q: out std_logic_vector(NUM_BITS-1 downto 0));
end component registerN;
component countNup is
generic (NUM_BITS: integer);
port(clk, rst, ld, en: in std_logic;
D: in std_logic_vector(NUM_BITS-1 downto 0);
Q: out std_logic_vector(NUM_BITS-1 downto 0);
co: out std_logic);
end component countNup;
component ram_dual is
generic (N_WORDS : integer;
WIDTH : integer);
port (data : in std_logic_vector;
raddr : in natural range 0 to N_WORDS - 1;
waddr : in natural range 0 to N_WORDS - 1;
we : in std_logic;
rclk : in std_logic;
wclk : in std_logic;
q : out std_logic_vector);
end component ram_dual;
signal fetch, found, update_tags, hit, d_str_rd : std_logic;
signal t_str_rd, t_str_wr, t_we, d_str_wr, d_we : std_logic := '0';
signal full, filled, ld_addr, ld_cnt, en_cnt, check_addr : std_logic := '0';
signal fetching, s_fetching, r_fetching, en_wd_incr : std_logic;
signal reseting, s_reseting, r_reseting : std_logic;
signal init_done, init_incr, init_ld : std_logic;
signal tag_update, tag_invalidate, valid, miss_under_miss : std_logic := '0';
type cpu_ic_state is (st_idle, st_check, st_waiting, st_done, st_sync);
attribute SYN_ENCODING of cpu_ic_state : type is "safe";
signal cpu_ic_curr_st,cpu_ic_next_st : cpu_ic_state;
signal cpu_ic_curr : integer; -- DEBUGging only
type ic_ram_state is (st_idle, st_start1, st_wait1, st_found,
st_startn, st_waitn, st_done,
st_istart, st_iload, st_idelay1, st_idelay2,
st_inext, st_istop);
attribute SYN_ENCODING of ic_ram_state : type is "safe";
signal ic_ram_curr_st,ic_ram_next_st : ic_ram_state;
signal ic_ram_curr : integer; -- DEBUGging only
signal cached_data, hold_data : reg32;
signal t_rd_addr, t_wr_addr : tag_address;
signal d_rd_addr, d_wr_addr : ram_address;
signal cpu_tag, old_tag, tag : tag_d_width;
signal v_tag, old_v_tag, new_v_tag : v_tag_d_width;
signal cpu_index, old_index, init_index, wr_index : index_width;
signal cpu_word, old_word : word_sel_width;
signal cpu_tag_index, tag_index : tag_index_width;
constant word_sel_zero : word_sel_width := (others => '0');
constant index_sel_zero : index_width := (others => '0');
constant v_tag_zero : v_tag_d_width := (others => '0');
begin
cpu_tag <= cpu_addr(IC_TOP_TAG downto IC_BOT_TAG) when cpu_sel = '0'
else (others => 'X');
cpu_index <= cpu_addr(IC_TOP_INDEX downto IC_BOT_INDEX) when cpu_sel = '0'
else (others => 'X');
cpu_word <= cpu_addr(IC_TOP_W_SEL downto IC_BOT_W_SEL) when cpu_sel = '0'
else (others => 'X');
t_rd_addr <= to_integer(unsigned(cpu_index));
t_str_rd <= not(cpu_sel);
t_wr_addr <= to_integer(unsigned(wr_index));
-- t_we <= '1'; -- fetching,
t_we <= (tag_update or tag_invalidate) when reseting = '0' else
init_incr;
tag_update <= CONVERT_BOOLEAN( ic_ram_curr_st = st_done );
tag_invalidate <= CONVERT_BOOLEAN( ic_ram_curr_st = st_found ) ;
-- tag memory: valid (MS) & IC_TAG_BITS (ms)
U_TAGS: ram_dual generic map (IC_NUM_BLOCKS, IC_TAG_BITS+1)
port map (new_v_tag, t_rd_addr, t_wr_addr, t_we,
clk4x, clk4x, v_tag);
new_v_tag <= old_v_tag when (reseting = '0' and tag_update = '1') else
v_tag_zero;
valid <= v_tag(IC_TAG_BITS);
tag <= v_tag(IC_TAG_BITS-1 downto 0);
hit <= not(cpu_sel) and valid and CONVERT_BOOLEAN( tag = cpu_tag );
old_v_tag <= full & old_tag;
d_rd_addr <= to_integer(unsigned( cpu_index & cpu_word ) );
d_str_rd <= hit and not(cpu_sel);
wr_index <= old_index when reseting = '0' else init_index;
d_wr_addr <= to_integer(unsigned( wr_index & old_word ) );
-- instruction memory: physically organized as words, not blocks
U_RAM: ram_dual generic map (IC_NUM_WORDS, IC_BTS_PER_WORD)
port map (mem_data, d_rd_addr, d_wr_addr, fetching,
d_str_rd, mem_rdy, cached_data);
cpu_data <= hold_data when ( hit = '0' ) else cached_data;
U_HOLD_INSTR: registerN generic map ( 32, x"00000000" )
port map (mem_rdy, rst, '0', mem_data, hold_data);
en_wd_incr <= CONVERT_BOOLEAN( ic_ram_curr_st = st_startn );
-- block-fill circuitry for early restart: fill from address of miss
U_FILL_ADDR: countNup generic map (IC_WORD_SEL_BITS) -- clk,rst,ld,en
port map (clk4x, rst, s_fetching, en_wd_incr, cpu_word, old_word, open);
-- count number of words fetched from memory
U_FILL_COUNTER: countNup generic map (IC_WORD_SEL_BITS)
port map (clk4x, rst, s_fetching, en_wd_incr, word_sel_zero,
open, full);
old_tag <= tag_index((IC_TAG_BITS+IC_INDEX_BITS - 1) downto IC_INDEX_BITS);
old_index <= tag_index((IC_INDEX_BITS - 1) downto 0);
mem_addr <= old_tag & old_index & old_word & b"00";
cpu_tag_index <= cpu_tag & cpu_index;
ld_addr <= not(fetching);
U_TAG_INDEX_REGISTER: registerN -- clk,rst,ld=0
generic map ( IC_TAG_BITS + IC_INDEX_BITS, TAG_IDX_REG_INI)
port map (fetching, rst, '0', cpu_tag_index, tag_index);
miss_under_miss <= '1' when ( cpu_tag_index /= tag_index ) else '0';
update_tags <= '1' when ic_ram_curr_st = st_done else '0';
s_fetching <= CONVERT_BOOLEAN( ic_ram_curr_st = st_start1 );
r_fetching <= CONVERT_BOOLEAN( ic_ram_curr_st = st_done );
U_FETCHING: sr_latch_rst port map (rst, s_fetching, r_fetching, fetching);
-- scan I_cache during reset and clear valid bit in all blocks
U_RESET_COUNTER: countNup generic map (IC_INDEX_BITS) -- clk,rst,ld,en
port map (clk4x, rst, init_ld, init_incr,
index_sel_zero, init_index, init_done);
init_ld <= ( CONVERT_BOOLEAN( ic_ram_curr_st = st_iload ) );
init_incr <= ( CONVERT_BOOLEAN( ic_ram_curr_st = st_idelay2 ) );
s_reseting <= not( CONVERT_BOOLEAN( ic_ram_curr_st = st_istart ) );
r_reseting <= not( CONVERT_BOOLEAN( ic_ram_curr_st = st_istop ) );
U_RESSETING: sr_latch port map (s_reseting, r_reseting, reseting);
ic_reset <= not(reseting);
-- CPU-IC interface --------------------------------------------------
U_cpu_st_reg: process(rst,clk4x)
begin
if rst = '0' then
cpu_ic_curr_st <= st_idle;
elsif rising_edge(clk4x) then
cpu_ic_curr_st <= cpu_ic_next_st;
end if;
end process U_cpu_st_reg;
cpu_ic_curr <= cpu_ic_state'pos(cpu_ic_curr_st); -- debugging only
U_cpu_st_transitions: process(cpu_ic_curr_st, cpu_sel,hit,found,
miss_under_miss,fetching)
begin
case cpu_ic_curr_st is
when st_idle => -- 0
cpu_rdy <= '1';
fetch <= '0';
if cpu_sel = '0' then
cpu_ic_next_st <= st_check;
else
cpu_ic_next_st <= st_idle;
end if;
when st_check => -- 1
cpu_rdy <= '0';
fetch <= '0';
if hit = '1' then
cpu_ic_next_st <= st_done;
else
cpu_ic_next_st <= st_waiting;
end if;
when st_waiting => -- 2
cpu_rdy <= '0';
fetch <= '1';
if found = '0' then
cpu_ic_next_st <= st_waiting;
else
if miss_under_miss = '1' then
cpu_ic_next_st <= st_sync;
else
cpu_ic_next_st <= st_done;
end if;
end if;
when st_sync => -- 4
cpu_rdy <= '0';
fetch <= '1';
if fetching = '1' then
cpu_ic_next_st <= st_sync;
else
cpu_ic_next_st <= st_check;
end if;
when st_done => -- 3
cpu_rdy <= '1';
fetch <= '0';
if cpu_sel = '0' then -- MEM stalled, wait
cpu_ic_next_st <= st_done;
else
cpu_ic_next_st <= st_idle;
end if;
when others =>
cpu_rdy <= 'X';
fetch <= 'X';
assert false report "I_CACHE_CPU stateMachine broken" &
integer'image(cpu_ic_state'pos(cpu_ic_curr_st)) severity failure;
end case;
end process U_cpu_st_transitions; -- CPU-IC interface ---------------
-- IC-RAM interface -------------------------------------------------
U_ram_st_reg: process(rst,clk4x)
begin
if rst = '0' then
ic_ram_curr_st <= st_istart; -- initizlize cache tags
elsif falling_edge(clk4x) then
ic_ram_curr_st <= ic_ram_next_st;
end if;
end process U_ram_st_reg;
ic_ram_curr <= ic_ram_state'pos(ic_ram_curr_st); -- debugging only
U_ram_st_transitions: process(ic_ram_curr_st,check_addr,fetch,full,
mem_rdy,cpu_sel,init_done)
begin
case ic_ram_curr_st is
when st_idle => -- 0
mem_sel <= '1';
found <= '0';
if fetch = '1' then
ic_ram_next_st <= st_start1;
else
ic_ram_next_st <= st_idle;
end if;
when st_start1 => -- 1
mem_sel <= '0';
found <= '0';
ic_ram_next_st <= st_wait1;
when st_wait1 => -- 2
mem_sel <= '0';
found <= '0';
if mem_rdy = '0' then
ic_ram_next_st <= st_wait1;
else
ic_ram_next_st <= st_found;
end if;
when st_found => -- 3
found <= '1';
mem_sel <= '1';
if cpu_sel = '0' then
ic_ram_next_st <= st_found;
else
ic_ram_next_st <= st_startn;
end if;
when st_startn => -- 4 invalidate tag to avoid false-hit
mem_sel <= '1';
found <= '0';
if full = '1' then
ic_ram_next_st <= st_done;
else
ic_ram_next_st <= st_waitn;
end if;
when st_waitn => -- 5
mem_sel <= '0';
found <= '0';
if mem_rdy = '0' then
ic_ram_next_st <= st_waitn;
else
ic_ram_next_st <= st_startn;
end if;
when st_done => -- 6 mark tag as valid
mem_sel <= '1';
found <= '1';
ic_ram_next_st <= st_idle;
when st_istart => -- 7 initialize cache tags
mem_sel <= '1';
found <= '0';
ic_ram_next_st <= st_iload;
when st_iload => -- 8
mem_sel <= '1';
found <= '0';
ic_ram_next_st <= st_idelay1;
when st_idelay1 => -- 9 give some time to SRAM
mem_sel <= '1';
found <= '0';
ic_ram_next_st <= st_idelay2;
when st_idelay2 => -- 10
mem_sel <= '1';
found <= '0';
ic_ram_next_st <= st_inext;
when st_inext => -- 11
mem_sel <= '1';
found <= '0';
if init_done = '1' then
ic_ram_next_st <= st_istop;
else
ic_ram_next_st <= st_idelay1;
end if;
when st_istop => -- 12 initialization done
mem_sel <= '1';
found <= '0';
ic_ram_next_st <= st_idle; -- go to normal operation
when others =>
mem_sel <= 'X';
found <= 'X';
assert false report "I_CACHE_RAM stateMachine broken" &
integer'image(ic_ram_state'pos(ic_ram_curr_st)) severity failure;
end case;
end process U_ram_st_transitions; -- IC-RAM interface ---------------
ref_cnt <= 0;
hit_cnt <= 0;
end structural;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--c -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--c -- Altera's design for a dual-port RAM that can be synthesized
--c -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--c library ieee;
--c use ieee.std_logic_1164.all;
--c
--c entity ram_dual is
--c generic (N_WORDS : integer := 64;
--c WIDTH : integer := 8);
--c port (data : in std_logic_vector(WIDTH - 1 downto 0);
--c raddr : in natural range 0 to N_WORDS - 1;
--c waddr : in natural range 0 to N_WORDS - 1;
--c we : in std_logic;
--c rclk : in std_logic;
--c wclk : in std_logic;
--c q : out std_logic_vector(WIDTH - 1 downto 0));
--c end ram_dual;
--c
--c architecture rtl of ram_dual is
--c
--c -- Build a 2-D array type for the RAM
--c subtype word_t is std_logic_vector(WIDTH - 1 downto 0);
--c type memory_t is array(N_WORDS - 1 downto 0) of word_t;
--c
--c -- Declare the RAM signal.
--c signal ram : memory_t; -- := (others => (others => '0'));
--c
--c begin
--c
--c process(wclk)
--c begin
--c if(rising_edge(wclk)) then
--c if(we = '1') then
--c ram(waddr) <= data;
--c end if;
--c end if;
--c end process;
--c
--c process(rclk)
--c begin
--c if(rising_edge(rclk)) then
--c q <= ram(raddr);
--c end if;
--c end process;
--c
--c end rtl;
--c -- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | a7fa5739d39929f17c5d98ef52242dd8 | 0.530082 | 3.257416 | false | false | false | false |
sils1297/HWPrak14 | task_3/task_3.srcs/sim_1/new/test.vhd | 1 | 3,435 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
entity ADS7830 is
generic (I2C_ADR : std_logic_vector(6 downto 0) := "1001000"
);
port (
SDA : inout std_logic;
SCL : in std_logic
);
end ADS7830;
architecture RTL of ADS7830 is
constant CMD_WORD : std_logic_vector(7 downto 0) := x"8C";
constant MEM_WORD : std_logic_vector(7 downto 0) := x"55"; --x"9A";
signal SDA_latched : std_logic := '1';
signal start_or_stop : std_logic;
signal bitcnt : unsigned(3 downto 0) := "1111"; -- counts the I2C bits from 7 downto 0, plus an ACK bit
signal bit_DATA : std_logic;
signal bit_ACK : std_logic;
signal data_phase : std_logic := '0';
signal adr_phase : std_logic;
signal adr_match : std_logic := '0';
signal op_read : std_logic := '0';
signal mem : std_logic_vector(7 downto 0) := MEM_WORD;
signal op_write : std_logic;
signal mem_bit_low : std_logic;
signal SDA_assert_low : std_logic;
signal SDA_assert_ACK : std_logic;
signal SDA_low : std_logic;
signal adr_reg : std_logic_vector(6 downto 0) := "0000000";
signal SDA_int : std_logic;
signal SCL_int : std_logic;
signal initialized : std_logic := '0';
signal SDA_edge : std_logic;
begin
-- for simulation only
SDA_int <= '0' when SDA = '0' else '1';
SCL_int <= '0' when SCL = '0' else '1';
-- We use two wires with a combinatorial loop to detect the start and stop conditions
-- making sure these two wires don't get optimized away
SDA_latched <= SDA_int when (SCL_int = '0') else --((SCL_int = '0') or (start_or_stop = '1')) else
SDA_latched;
SDA_edge <= SDA_int xor SDA_latched;
start_or_stop <= '0' when (SCL_int = '0') else
SDA_edge;
bit_ACK <= bitcnt(3); -- the ACK bit is the 9th bit sent
bit_DATA <= not bit_ACK;
bitcounter: process (SCL_int, start_or_stop)
begin
if (start_or_stop = '1') then
bitcnt <= x"7"; -- the bit 7 is received first
data_phase <= '0';
elsif (SCL_int'event and SCL_int = '0') then
if (bit_ACK = '1') then
bitcnt <= x"7";
data_phase <= '1';
else
bitcnt <= bitcnt - 1;
end if;
end if;
end process;
adr_phase <= not data_phase;
op_write <= not op_read;
regs: process (SCL_int, start_or_stop)
variable cmd_reg : std_logic_vector(7 downto 0) := x"00";
begin
if (start_or_stop = '1') then
adr_match <= '0';
op_read <= '0';
elsif (SCL_int'event and SCL_int = '1') then
if (adr_phase = '1') then
if (bitcnt > "000") then
adr_reg <= adr_reg(5 downto 0) & SDA_int;
else
op_read <= SDA_int;
if (adr_reg = I2C_ADR(6 downto 0)) then
adr_match <= '1';
end if;
end if;
end if;
if (data_phase='1' and adr_match = '1') then
if (op_write='1' and initialized='0') then
if (bitcnt >= "000") then
cmd_reg := cmd_reg(6 downto 0) & SDA_int;
end if;
if (bitcnt = "000" and cmd_reg = CMD_WORD) then
initialized <= '1';
end if;
end if;
end if;
end if;
end process;
mem_bit_low <= not mem(to_integer(bitcnt(2 downto 0)));
SDA_assert_low <= adr_match and bit_DATA and data_phase and op_read and mem_bit_low and initialized;
SDA_assert_ACK <= adr_match and bit_ACK and (adr_phase or op_write);
SDA_low <= SDA_assert_low or SDA_assert_ACK;
SDA <= '0' when (SDA_low = '1') else 'Z';
end RTL;
| agpl-3.0 | 31b831ca43bd6b2d21fb1b0d16fc8052 | 0.592722 | 2.666925 | false | false | false | false |
jdryg/tis100cpu | cpu_1x3.vhd | 1 | 6,784 | library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity cpu_1x3 is
generic (
PROGRAM_00 : string := "input.prg";
PROGRAM_01 : string := "passthrough.prg";
PROGRAM_02 : string := "output.prg");
port (
I_clk : in std_logic;
I_reset : in std_logic);
end cpu_1x3;
architecture Behavioral of cpu_1x3 is
component ben is
Generic (PROGRAM_FILENAME : string);
Port ( I_clk, I_reset : in STD_LOGIC;
I_puw_dataValid : in STD_LOGIC;
I_pdw_dataValid : in STD_LOGIC;
I_plw_dataValid : in STD_LOGIC;
I_prw_dataValid : in STD_LOGIC;
I_pur_dataValid : in STD_LOGIC;
I_pdr_dataValid : in STD_LOGIC;
I_plr_dataValid : in STD_LOGIC;
I_prr_dataValid : in STD_LOGIC;
I_pur_data : in STD_LOGIC_VECTOR (15 downto 0);
I_pdr_data : in STD_LOGIC_VECTOR (15 downto 0);
I_plr_data : in STD_LOGIC_VECTOR (15 downto 0);
I_prr_data : in STD_LOGIC_VECTOR (15 downto 0);
O_puw_writeEnable : out STD_LOGIC;
O_pdw_writeEnable : out STD_LOGIC;
O_plw_writeEnable : out STD_LOGIC;
O_prw_writeEnable : out STD_LOGIC;
O_puw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_pdw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_plw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_prw_data : out STD_LOGIC_VECTOR (15 downto 0);
O_pur_readEnable : out STD_LOGIC;
O_pdr_readEnable : out STD_LOGIC;
O_plr_readEnable : out STD_LOGIC;
O_prr_readEnable : out STD_LOGIC);
end component;
component node_port is
generic (WIDTH: integer := 8);
port ( I_clk : in STD_LOGIC;
I_reset : in STD_LOGIC;
I_writeEnable: in STD_LOGIC;
I_readEnable: in STD_LOGIC;
I_dataIn : in STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOut : out STD_LOGIC_VECTOR (WIDTH-1 downto 0);
O_dataOutValid : out STD_LOGIC); -- TODO: Check if this is actually needed. We can reset the O_dataOut(0) to Z or U instead.
end component;
signal inputWriteMainRead_writeEnable : STD_LOGIC := '0';
signal inputWriteMainRead_readEnable : STD_LOGIC := '0';
signal input_dataOut : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal main_dataIn : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal main_dataInValid : STD_LOGIC := '0';
signal mainWriteOutputRead_writeEnable : STD_LOGIC := '0';
signal mainWriteOutputRead_readEnable : STD_LOGIC := '0';
signal main_dataOut : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal output_dataIn : STD_LOGIC_VECTOR (15 downto 0) := X"0000";
signal output_dataInValid : STD_LOGIC := '0';
begin
inputWriteMainRead : node_port
generic map(WIDTH => 16)
port map(
I_clk => I_clk,
I_reset => I_reset,
I_writeEnable => inputWriteMainRead_writeEnable,
I_readEnable => inputWriteMainRead_readEnable,
I_dataIn => input_dataOut,
O_dataOut => main_dataIn,
O_dataOutValid => main_dataInValid);
mainWriteOutputRead: node_port
generic map(WIDTH => 16)
port map(
I_clk => I_clk,
I_reset => I_reset,
I_writeEnable => mainWriteOutputRead_writeEnable,
I_readEnable => mainWriteOutputRead_readEnable,
I_dataIn => main_dataOut,
O_dataOut => output_dataIn,
O_dataOutValid => output_dataInValid);
-- Input BEN
inputBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_00)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
-- Input BENs should not read anything
I_pur_dataValid => '0',
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => X"0000",
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => open,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
I_puw_dataValid => '0',
I_pdw_dataValid => main_dataInValid,
I_plw_dataValid => '0',
I_prw_dataValid => '0',
O_puw_writeEnable => open,
O_pdw_writeEnable => inputWriteMainRead_writeEnable,
O_plw_writeEnable => open,
O_prw_writeEnable => open,
O_puw_data => open,
O_pdw_data => input_dataOut,
O_plw_data => open,
O_prw_data => open);
-- Main BEN
mainBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_01)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
I_pur_dataValid => main_dataInValid,
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => main_dataIn,
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => inputWriteMainRead_readEnable,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
I_puw_dataValid => '0', -- Don't write to input BENs
I_pdw_dataValid => output_dataInValid,
I_plw_dataValid => '0', -- No left BEN
I_prw_dataValid => '0', -- No right BEN
O_puw_writeEnable => open, -- Don't write to input BENs
O_pdw_writeEnable => mainWriteOutputRead_writeEnable,
O_plw_writeEnable => open, -- No left BEN
O_prw_writeEnable => open, -- No right BEN
O_puw_data => open, -- Don't write to input BENs
O_pdw_data => main_dataOut,
O_plw_data => open, -- No left BEN
O_prw_data => open); -- No right BEN
-- Output BEN
outputBEN: ben
generic map(PROGRAM_FILENAME => PROGRAM_02)
port map(
I_clk => I_clk,
I_reset => I_reset,
-- Read
I_pur_dataValid => output_dataInValid,
I_pdr_dataValid => '0',
I_plr_dataValid => '0',
I_prr_dataValid => '0',
I_pur_data => output_dataIn,
I_pdr_data => X"0000",
I_plr_data => X"0000",
I_prr_data => X"0000",
O_pur_readEnable => mainWriteOutputRead_readEnable,
O_pdr_readEnable => open,
O_plr_readEnable => open,
O_prr_readEnable => open,
-- Write
-- Output BENs should not write anything
I_puw_dataValid => '0',
I_pdw_dataValid => '0',
I_plw_dataValid => '0',
I_prw_dataValid => '0',
O_puw_writeEnable => open,
O_pdw_writeEnable => open,
O_plw_writeEnable => open,
O_prw_writeEnable => open,
O_puw_data => open,
O_pdw_data => open,
O_plw_data => open,
O_prw_data => open);
end Behavioral;
| mit | e1a03499f253b68cb0e1003d4ef7387f | 0.562647 | 3.441908 | false | false | false | false |
BBN-Q/APS2-Comms | src/ip/cpld_bridge/cpld_bridge.vhd | 1 | 4,033 | -- Bridge to the CPLD-FPGA Interface
-- For now just wraps the ZRL ApsMsgProc but in the future will be our own solution
--
-- Original author: Colm Ryan
-- Copyright 2015,2016 Raytheon BBN Technologies
library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.cpld_bridge_pkg.all;
entity CPLD_bridge is
generic (
BOARD_TYPE : std_logic_vector(7 downto 0) := x"00" -- x"00" for APS; x"01" for TDM
);
port (
clk : in std_logic;
rst : in std_logic;
--RX and TX to TCP comms.
rx_tdata : in std_logic_vector(31 downto 0);
rx_tvalid : in std_logic;
rx_tready : out std_logic;
rx_tlast : in std_logic;
tx_tdata : out std_logic_vector(31 downto 0);
tx_tvalid : out std_logic;
tx_tready : in std_logic;
tx_tlast : out std_logic;
-- Config Bus Connections
cfg_clk : in std_logic; -- 100 MHZ clock from the Config CPLD
cfgd : inout std_logic_vector(15 downto 0); -- Config Data bus from CPLD
fpga_cmdl : out std_logic; -- Command strobe from FPGA
fpga_rdyl : out std_logic; -- Ready Strobe from FPGA
cfg_rdy : in std_logic; -- Ready to complete current transfer. Connected to CFG_RDWR_B
cfg_err : in std_logic; -- Error during current command. Connecte to CFG_CSI_B
cfg_act : in std_logic; -- Current transaction is complete
stat_oel : out std_logic -- Enable CPLD to drive status onto CFGD
);
end entity;
architecture arch of CPLD_bridge is
--ApsMsgProc signals
signal msgproc_rx_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal msgproc_rx_tvalid, msgproc_rx_tready, msgproc_rx_tlast : std_logic := '0';
signal msgproc_tx_tdata : std_logic_vector(7 downto 0) := (others => '0');
signal msgproc_tx_tvalid, msgproc_tx_tready, msgproc_tx_tlast : std_logic := '0';
--internal signal to work around Vivado not allowing functions on the left hand-side of a port-map
signal tx_tdata_int : std_logic_vector(31 downto 0);
begin
--Adapt rx and tx streams from 32 to 8 bits for MsgProc with byte swapping
rx_axis_adapter_inst : axis_adapter
generic map (
INPUT_DATA_WIDTH => 32,
INPUT_KEEP_WIDTH => 4,
OUTPUT_DATA_WIDTH => 8,
OUTPUT_KEEP_WIDTH => 1
)
port map (
clk => clk,
rst => rst,
input_axis_tdata => byte_swap(rx_tdata),
input_axis_tkeep => (others => '1'),
input_axis_tvalid => rx_tvalid,
input_axis_tready => rx_tready,
input_axis_tlast => rx_tlast,
input_axis_tuser => '0',
output_axis_tdata => msgproc_rx_tdata,
output_axis_tkeep => open,
output_axis_tvalid => msgproc_rx_tvalid,
output_axis_tready => msgproc_rx_tready,
output_axis_tlast => msgproc_rx_tlast,
output_axis_tuser => open
);
tx_axis_adapter_inst : axis_adapter
generic map (
INPUT_DATA_WIDTH => 8,
INPUT_KEEP_WIDTH => 1,
OUTPUT_DATA_WIDTH => 32,
OUTPUT_KEEP_WIDTH => 4
)
port map (
clk => clk,
rst => rst,
input_axis_tdata => msgproc_tx_tdata,
input_axis_tkeep(0) => msgproc_tx_tvalid,
input_axis_tvalid => msgproc_tx_tvalid,
input_axis_tready => msgproc_tx_tready,
input_axis_tlast => msgproc_tx_tlast,
input_axis_tuser => '0',
output_axis_tdata => tx_tdata_int,
output_axis_tkeep => open,
output_axis_tvalid => tx_tvalid,
output_axis_tready => tx_tready,
output_axis_tlast => tx_tlast,
output_axis_tuser => open
);
tx_tdata <= byte_swap(tx_tdata_int);
--Instantiate wrapper around ZRL ApsMsgProc
apsmsgproc_wrapper_inst : entity work.ApsMsgProc_wrapper
generic map( BOARD_TYPE => BOARD_TYPE )
port map (
clk => clk,
rst => rst,
--RX and TX to TCP comms.
rx_tdata => msgproc_rx_tdata,
rx_tvalid => msgproc_rx_tvalid,
rx_tready => msgproc_rx_tready,
rx_tlast => msgproc_rx_tlast,
tx_tdata => msgproc_tx_tdata,
tx_tvalid => msgproc_tx_tvalid,
tx_tready => msgproc_tx_tready,
tx_tlast => msgproc_tx_tlast,
-- Config Bus Connections
cfg_clk => cfg_clk,
cfgd => cfgd,
fpga_cmdl => fpga_cmdl,
fpga_rdyl => fpga_rdyl,
cfg_rdy => cfg_rdy,
cfg_err => cfg_err,
cfg_act => cfg_act,
stat_oel => stat_oel
);
end architecture;
| mpl-2.0 | 3c17f359b4844d35132eeea33e79073b | 0.670469 | 2.90562 | false | false | false | false |
rcls/sdr | test/test_phasedetect.vhd | 1 | 2,258 | library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;
use work.defs.all;
use work.phasedetect;
entity test_phasedetect is
port (qq_d : out signed(3 downto 0);
ii_d : out signed(3 downto 0);
phase : out unsigned18);
end test_phasedetect;
architecture behavioural of test_phasedetect is
signal qq_in : signed36 := (others => '0');
signal ii_in : signed36 := (others => '0');
signal clk : std_logic := '0';
subtype nibble is signed(3 downto 0);
subtype nibble_pair is signed(7 downto 0);
type test_nibbles is array(integer range <>) of nibble_pair;
signal nibbles : test_nibbles(0 to 59) := (
x"07", x"17", x"27", x"37", x"47", x"57", x"67", x"77",
x"76", x"75", x"74", x"73", x"72", x"71", x"70",
x"7f", x"7e", x"7d", x"7c", x"7b", x"7a", x"79", x"78",
x"68", x"58", x"48", x"38", x"28", x"18", x"08",
x"f8", x"e8", x"d8", x"c8", x"b8", x"a8", x"98", x"88",
x"89", x"8a", x"8b", x"8c", x"8d", x"8e", x"8f",
x"80", x"81", x"82", x"83", x"84", x"85", x"86", x"87",
x"97", x"a7", x"b7", x"c7", x"d7", x"e7", x"f7");
signal qq1 : nibble;
signal ii1 : nibble;
signal qq2 : nibble;
signal ii2 : nibble;
signal qq3 : nibble;
signal ii3 : nibble;
signal div20 : integer := 0;
begin
uut: entity phasedetect port map (qq_in => qq_in, ii_in => ii_in,
phase => phase, clk => clk);
process
begin
-- hold reset state for 100 ns.
clk <= '0';
wait for 100 ns;
for i in 0 to 59 loop
qq_in <= nibbles(i)(7 downto 4) & x"00000000";
ii_in <= nibbles(i)(3 downto 0) & x"00000000";
for j in 0 to 19 loop
wait for 2 ns;
clk <= '1';
wait for 2 ns;
clk <= '0';
end loop;
end loop;
for j in 0 to 99 loop
wait for 2 ns;
clk <= '1';
wait for 2 ns;
clk <= '0';
end loop;
wait;
end process;
process
begin
wait until rising_edge(clk);
div20 <= (div20 + 1) mod 20;
if div20 = 19 then
qq1 <= qq_in(35 downto 32);
qq2 <= qq1;
qq3 <= qq2;
qq_d <= qq3;
ii1 <= ii_in(35 downto 32);
ii2 <= ii1;
ii3 <= ii2;
ii_d <= ii3;
end if;
end process;
end;
| gpl-3.0 | 3bc9400370488cce97f9db99e2bbf9ef | 0.523915 | 2.76377 | false | true | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/wb_mux_gti.vhd | 1 | 34,470 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
dTYGayaZyVnn07qiBJ6beD0EA5dj0I7zXoWa7KrX0WZENQni1Z34P2ekLJ4R7T8+iP7GuB6rHZr0
63NcwuScTQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
NgYUW2kXaTrEwXfRuxXNIlb0oIzrgLCXNg1HaEhPatW/9IlYt3GOAzTmYclz+Yt03zOlQxDDyWyX
OIJ28OuLFC5SVnlT1WEUtbDV0BkUxXoULoaLvh6/lQD55iubMcg3BTlcpeNHPUtE6ut57OKM7WeZ
PKGY+LJsRaAY9wXpmQI=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YeTy9/kVfAiFTrK7xcH9gQApeYvTO476M+pM5TyAJAUurMXgaZ0fR0BSwg2W+0zCjkuLR8SSQGnu
pKRiOgnhp9MyNDQ5BszuL54pRTFLZ5hbrBhLCwVHGUTs2ipklbeZQABJeNkdkKz0f4xLndVUvvcu
1GGywlY//8eEWVOOoUb9d41AKWQyBSNo+MqHYbqHbHf/LTSR46khLpDmyolmxuhokqa7DOl86tFq
3M0y8Q+zc6MHzmP5Syhs2Y9evsLmdARM6yO4qFNtNSboQ53XzmISHaNTdPUYKVQnfaRbLr6BNHww
XzFmMdsAf4px+/vtaeKybqfmvuuXMX9AKLETfw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
qs6H6Ru6yDYdOXB5AQEDgXZf/E3Q5Bcs30S9A0u4LwkI9AbH5q8HNEbqaREv/TfQ50qGxsNybxYg
kvNv6TpZAZRtWlujH1re4JAYRMmxWbmih+fApC6HZ8azD5RFGAqxgInkvF+/i4pEI4NlCYc4B0VE
08LS5bomb2+nnxG5gGE=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
sSfZeEgeyOO/FkxfBCpUaqqPjkdNrY26h/1I3OuCbM0hnDBo7LLa1DJ5oQ07vBlXG50kXDUydubC
EIRA6EpFhHT3qsF2E451IFVHf3lN31JYZULMOFPiPt/T5y8eJhwz2cNheUr78ATPwwTpphN6/5kW
ptebCtXVdWvnbpeycyb2ld9az5At4IMFo3OKhw7bBLEv5ASAiIcsHjTIQHAk8ae5Na+ydm82XySy
v6gGTwaKllvN89jG5GJ/mmDuhh1o5nNXXHh/30Uppk8xe+zjzwBzZDBFXkfI4qANzMr7EDti8RWP
TuGOVkcPsPsXBN0PkELTpAbeKxP1BW8yCfj/0w==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 23776)
`protect data_block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`protect end_protected
| apache-2.0 | 32f8a9abb9e1dceaaf4f831425823daf | 0.947955 | 1.832146 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/builtin/builtin_extdepth.vhd | 5 | 92,803 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
UQrVVx0Ki+S9hN4EA0XVMDwAsr5N33/CSVu2kBhaJOhzSXT0t2B9E1Ngy23ilekqSUwemUvC3J/l
wqO5cWTVJg==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Gq3Svtc1Qc59vccEJ0VGC+Y56URSwJvkyotD4yYAy8fMPsPnXM1Gi/yAAk/a+ioarn1g4AVf9cSf
JBiWcZgk+/R9frQKH/bjFbrlhNeiXUtt851AiG2NHUZhTis7R27xCAYEcTQC/ughB7GqQ4/ZslcN
39hccKvcc3cXnmd6Gb0=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
YdWaco8NbCBv9Qh7Oqv/ziUUS1qViC8CM2agQKWL9a4Sbaesq9OXhuQYuzYuC+cW535ljhhsaEar
HPzVXFHeyXDZ3yCXzpAKAEKoILgm5Vv2UEorrMelt7kdRTsTg+LArFsX7rRuoQ7oZ0oSmruUl8UX
otFtXb4D5gaIem2Cq2v16fEfgvMajmuWkmnr1OMT59evXymKgPP81ricdC1uORESygSCiGCjKsPd
uzsavEzh+U0h1OPpDaqmYmD3ma7RKq32cNw3v7vbKiiCQoUSHdSaD+lo2pqnOuZqH8V8Zn5eVrk5
Fxjd2MVswJTYQnrRuNGGWfSqwo/gm+NV0U1/VA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
QYr4RXzxhcg4OpTxxqArhwoCZhbC3Lfl2TJJZpMZYRdWTBMHodFFiDntg3f/p+r0z4iGArJ7wL4P
tcA6C0BNTGqYMXmphSkLDdfoCgPxKJZ+K1JXO6wkEO15mF4C36Z17fW+pZjt/imMxvQQDqkYmboS
BygNczRG2swS89VUrns=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
jIr+NNJ0cz+3MbFTjfgtXaGeWxsjFiGIMbU15l0Cd0jrd90lVxPylhSPGhfZae45PB0D1RVjG9+f
Lw8MkaSbePKxTRoetZ8sv0p5uM+ShaHWq9WqBjl7d8agXNuB0eA6JI/GzpFIKOBc7vkD3gctbtHw
Eja1O9iRmGww1ku5nKleGWcds+387T/vyyeTXThjNEf60J2H/gQy/4jKrIj7DB+qDRn9e56N7+iR
eibZo378M1mg80Q4/GoBfZSFi0Sf0QUHyQay4u0hbJapFMXRQ7b7OPYQhmGCfELzn5DNusM2LL6f
fduFcbzoCL3+14NM8Jk6/PEcKrzI4+GHLLDUXg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 66960)
`protect data_block
2bxeJKhBYI34JW26YLLnikJ9st32jw8igEN6bTeZvyUUXZW/53c1unyRrKBtdjzuuYOas0+lc6Tk
rQo3o/yr35etQ8/gUPEWH/Yan4HaYwuGQJ/KGCLOjfApBDUz6J3Nnf1jC+pn773sP4suY4J1NZLw
UIN85zOFQovoEZB/6aYDjJQk9CzftdIY0pwp6lg98UfUEsrrGpTsMhqzkTOVyiSCc6q9hZzEgCBE
ishUh4OTWAH0bQzTReUgRv6OJDz5nNG3zudiErWTGDhAKbsNuTDJrcu9VRPRnDNjIhMIsc0U4vvI
itMbZP+bPRgU7p733M67KBngJDT4JspxVRvi47/hw2uo/Me0NhBCRok/AdpF/ELshfoRivI2kzlO
WyVhToT+G4S9jYHBcXINe1ZK8kaz1NDcQ8m8uYvuVVMTmpAKQHLwD0WJ3ldNXeOEBfYKY1suqbMp
0GtDdOWmJ0GKGXJvKvFzdshBdZUZXkVGJoLzbONiMX8b5r+NKxtr+vfza1h8Jy1PCEYZE/JCqqqd
8MzY5edCijK2yA2o1sUoBBHONkhlqPXzDuXqO9Tfnjo80I1ysujPSEVGNYtY9N1hu1UlPK+PjoOU
wjT8s02dao9A/qkBa6Y/EQOkv7tVTWu6RhTYdX/ayBaWJWYECmWfXhjFxY9YQExFizdx4nelBC7J
pk/m/Qjhic+8ZY+cDQ6OAMoDYi8R12qHLhWxXBr96GFjIVGYtyFSw6Jzt1kF4PhOR5Hu2ceIRuEP
zZpuXf1ivGtgidlAt3JY79nECss3W9wQOyBDlAYlwfo0Spnsh4FONxGyiY55duwiaKjogOIRPlE7
UEAXiBbwbgwymiWnbP4dz/rGsRUsBH37rP+X6kQ9gewOJ7CWGH3kPva+9Alo80Lbu0TI1Vez5S83
5fnb9dsKXdx6y0HYXw40VIvcYjYUnOG7VFutXis7Ux39fX4Y3tQueZsEr7bh5W2idsFHSCdkB05d
TGU+qRKj8GrJYbHWZ+ChyS0j025iwbjU6NrYvnArE0d5HHgVl3LKzbsy+jJln22yROPAcOEN9SAd
XTw7l3tgEu/oHvlpu/pej/c8gwjHFpF6rgekPO0srcGJkx8RHt/634RoEfOgWWX4F7xXFW5A6EuA
ozgdgYKIQFzl4zOad8RVl+FDuz+BP1Lp82Z3JdrekvWgAHVrT1khZPG6r+G4XLih3qNBqPGtvuC/
08uA6iAPxyH7HVwPihkaPO78Z1CqkP9VONrOXb7PE+EU5jIzS/E44cdcYqZc0c7O3lCLlOJYptzA
auAMfce1zKEpB+Txigj32+mewXuPBQfFqs2EfUOSlk2d//O8UhHW9h4OI3zKJ3UxVzs+nondOlst
DkLiEdh3OuGE8vJ8E8wks6NXauug7Z74NDI7RMCt9I2Ts8ylNxoiCx7wqvtXn6bZ8QhCnLY0Pi7f
mOKDfbEVI/5sjjiGDeD3/jT4uwgcaYMlulXuksp+u3lkrGP1UXT+HIWmbpqM/OLhZe3Idd4H8KyR
eBCzru0kAPB+nAeICLjh+0+6nBtiNwWu3rrMsJ8uRL0x9buwkoZoOKl61QbyEQLiYOmqEBiuGCKj
BoxShQR6wdbAor0hxM/qXX09PFcPfMe3tlz1llFwXlovtcI4u0dYvz45ChTLHAMMWCbu32C2RPq7
joBCWb93IIkePzIpPsA4L7k5S9UJoBZdqtEviiwB5yT84frKhpIEN4ZR9ABnPMBc3/IFEivtUaFx
B/D9vPQoU2IdMHbR0NvuX8qxXq4M8T2IMQd8+P3OThmxZFTC5qYXvTqrNM2bzIaTQvd+vjjRwt5V
JK2URAYblH0t/2Fena/Na0p3kySl37xf2v0PdKfhEo6kSzWjCst3zDKqsyN4gS3vROWEUjl2yE4e
gP6Ja4n22nDfhylMOcnfKPgZDyVt8emMtt3FcL48BZxTx5fThksQKY+yveNToAskb5nPhkoP8Q3z
XNMo6BHZi8spDpaYWVYIcV483w9vg8xzDxPD5/kkCMd6gkr+2c0q6Pmh/Mg6eggZYyM1oHJeadZh
tZFwy7nUXQhbM1IULiiD3PcCoo72xsRispqAwmmVGRaoUST7XePklOUnu2bPthS4MdygVzNiv5br
ht0EDcdmySw4b8dNfcPD0QG5H32dh5BI0+v8gKelV0I24ybDsvDhzv69/YMNDxYprDYLa7yd3WTG
gixXNTwnV3bzoSFCyDJm/Ywrj+V+19Mc1e11eziXZ9zTlnxpHc1LE5Zje6iCQzET1HFBLJG87Qx4
ZoH/QnaOc5ZUhb1AOeNi6z+IJKZ+33s/tfurZNd6jUl2TDJNaockM9vZT9w6uz6lr0EoE2x4gR3+
6BNN0uq+VHhg44XqccfdxAbSZpRk04hm5gxYKkeMOUwDAA6VifBHIm7XX4f0At3hP19wsA68WqQT
7OUNPhkXHlmB2g1sl3j5kXICk1jNKHSNinDhqBd+EpaAqbHT0qWkQRhxNL8MgZUkfndhR29JI7tb
aNhElt1+CRFAy8p91joKlvaPReXml2pWrqdwrm8EX6gbL5Zplq6egSQeybCD0EE5OMvnMLPuMUOq
V2ZxYcmwlgD5XJxl4UXpGDB+TREmtkf793ijtUF1z/b3vXD0jqrmaIY/1m5Qz0OGrD3t2TE6cKzH
k/1H1kRapzjQYW0dqJxK7Lvq6x/LtC7ku2Uep2eEYRM3cYGJg1RIN/QA76hIuglYZEkPsUkbLDfe
VT3RTl8n4UD33xbU7mob5/AwwI1Dr5IQ4G3vkZQKoy2JNMwNxLcBuSwgqLUmIoP7KxRC/HeLHGlA
9S2T15J8vP/RBWECq9ZqIG6xuO8/S8wsl4YgtLYJxZvaLDQZ+rtPE05XpkV0KYk7bXSbud+mMzur
B8ppK4CvKWYcUuKuHHQzAjn8TzFs/gy8s0kZ9BX/EMVbaOGTQDtXRJO+rGNLwkyz1UWhvj+v0Fjt
/maRjmv2rxcr8avTAwnCQkDE5Am5aGIwPy3a1HF+xayV4u+Cxd4MLGi/zR9r6kwgrYmG1xH29vF4
Cf1MjctdOWq501lBbbtw7o11UubGJQ12Vkzij7O5NN/mn3HE5J0nNgLn9zAb1mfks9PFz3fRm6TC
avlf95TGIuh9LDwMdTPPn8Y036ke8asdAo7mNdbknKK21Wv6V9p1l22dCfuX6grjbeQT7mTrVqty
Pb1IjoaYwKki4m8+sNeWWkaitBKCoJcCRqC/XXTTtmMJG6RTcXbbYNPPg71MK9Pyy5eGLcy8ICVM
mIPTlcg6V6kztAKrmG36ntRQfDrkiWzGu0WdxnTfAvdLuPOzKa3zcNKseKMQNK6wBNQ3kr9VOINv
22i8CnNepJuevSwgophVyN6UlU42pJOVpzkqhJ30MigntvIQKHq9g9Rek9xuLW8x2EOIb4EBv48a
JRTwVuNi/ecRA65BXsykymp5FdbiMsK31aJYojcadqwdpD9XvIsng4FkayLmTLntebsrEGrrscva
l94pOYVJSD+yI96HjYdn267EhSmaAS+VjeVu+06O33ITafeW2W3sHBw6rnjC7k2gHSrgBLvwqjfm
rU0HL/l21bXZs3gAy+ibwAii+DSoTsAtC9MmSefjW76+5ie0aQG6ocyIVdG6QU/pvwgB8nN0o7Qe
krFrGlu5Cm957OGxbksGK93iAM8Gss1qddhZMPleYmvCstQTaPSVmGlCKyAAeaXhP8QBiUNNNEps
C+BmtTpNNnsm57qtBTlr5VkXtLtqNGR1CncEqW/5Y7VGPXPetgr3TDkpxcc/FknlvqtNj7OOk1cD
CkKCMpYRkoa4yCD8P+5cZWMJzx/jHJUVUp2kJXpE+bR3F80i+wWBgUGUdZvHgY3Tx8rBgWRe2Pqd
PUSf8Xk395yuhticH3JJfEoiVUg8ENCHx5s8bAHFyRvG95v2Dvej+M3nOpUHamtnaVQgaIIFar91
y4LS8fe1saA8S7J66wWJdp9Y7zRuuvSfmGLIZrtmyYsSXK2PK4vJCh9BXce2QEHJ7TGmK+uyJ9JA
ENOXEPZopK5TNUZgyTzETkQSHMQvyX2r2sZxuK2MIoFMH9xz++TlArCG5SScnFbWxC6fBT/NGjqK
dFbQaRTPl593jCV+NN/WURfWPbe5V2PCV9Kj2aPramQChYWior6m47hPY4mDaZxdmtc5cIyoWrH2
zP3fDAKfIkrHgkIY2rqF//OyODn2WV0oHda4uiULzhykXHJNJu1G1yUNYyM5guZvb6USRaRrtVyQ
f6x2n6OnsOeNB3sWMiolFselgMLWoafwzY+KYlUyVzR9bbFmDlUvWQFrlI2WlDtWCiONCHUKBjmf
cQnIuPQzhkG37JVWpEY07sA2U+scDPyWRNWTgY/pmJNCbnnAO1c5Ksu0xfEWezq4oCFPaKZocv4R
Wg3/1vo92TA/GbGdw9p9gHUm4nIzMftnlw7OHs06MCOukHECPi9rzx/Qp704HmXc+dby3lc6YHDF
gsEUIE3Nx+Ue454GIgt2TSquUbc5XX0EbflJslrigP7erYtejA++uh3PXiPM21apNMkT8CxpNO6I
lBy5EmEGG6ic924TDunXJv0mFv1CfxzP/XbShBB28OmOOtFWfyeDoXoaRUsR2AhkU/C++2WMFsZG
5G22W34r+g8UKvWHsd/7Z/4iepQIEbu81NRYqhIQzgE///lz+Vau5ld/69mdPg6/E962lQ8Qoxtj
PXTl+JK8oneWStLzbkNdc3hL/r/qTexACuQU37snY5j9SxFWfqaX4TyfOEu/dLp+mMkES6qp8Xxw
nV7yd77vOG9zYVAUpIqX2P43duDsWLIbuDcIKirBoKybpyHEa91ogv8Y6zk7uzq2lIU4kPuarc4o
fEgLfRB0Z5JpziaXzzRtqaCZqASOtx4OAo8LXgM6Yf2J/6dpTCI+rRRY+qUFct+zyCXAaknVMJHR
fFVLXEYkB6jga7RaGaHxsufmr6RYSiDDGtf3Si/bfsr233EsC3p6qr8I2MGga8SowwyllvD/7BWU
nvyHKyD8HDtLVjzC9BwdHcb4Ri2D79Gh58Q+RgaWs99JX+1+pGL/O0Ir3jgE9y/k0uQz08A01COW
cop6dVI+Y2N2HOgkEnm6hHjLEP9sYe5AZyBiW/AB0i4/JOo7iRu5Myiasm3VuO3Ood0qSgURCFGJ
v9ZYXt36FLbwmXbIm7ovuBm7toeR1aqlWWGdhTjlxdIajKJp+p9rcIwwMZaOjB1e8a/RPj1snRyu
INxtVcnuAUS0Pxj5LaPsdK74bW50lc0wCOqY5mgIs/dRRRAZF79swoE1AnMtz3VnwyPJ2mEvfM0I
YPWHRMYsue2gctXE1kxHjV5cXt9zXV5XhjULMX0m0eoiqfo0LAVerOowXzyKXD0o+AFme0RVPRYY
9AMQFFG/lwMB6bP5jqtsoRcMiuMmtOH5X441y2lPT3NjkeSAa6N3ZakdHYtDtl2M5vEFgXKMqIjz
gHLFmukBHKIbFnh3JYQcaqpzQgd6uZEef5dcHura0OlnGP2aZaAYj8zHyaH17xLfsIw4ti/PMebr
a2r2F6X75Eh4yT/MCQMj66UP9ulp7CwTYCK2ue9I+tXElFKSanPc4nrvop/Q/SfbB5cqTtU967JU
TWVRVFoHgkLG/ZlGpys0cgIWbQHkpRYEqwz4B8wpZCkmzimBdy+p7+kIRJGIbAo4ffnXaln676Z8
TdfT6hQg0jFDJzjA68rHF1bFV5RX3LRsurZXnRCKAdn8nRsJ3ipPWPPQztVmkKKmBw/M8MvhDHSS
pze0GCbNmfVIqTm1CNDsPu1yFiJTVcHqMpcD/WHAeDh1m9lQvubU+WGB7Od3x392W6j4J1at7ytz
Du+HToeL/Fu0HnbaNHc1hfTDRlEv2kMPAvoqxIMN1Hh4UumaAjt3Q/O2Iwsuik4pQnZ5c+a9INed
VsyEW2EfR+T1ToNiAKa9anZ1HaSgs8mbPPqqx74Lke6aHd1NeUWMCIShPLMb/t3/HimNw9vuX68w
qfC/SBV0HJiXUSmiM97OlU/fryBrbkVaU6C8lH+uStrC7GqSjYHvzFObX52XAcr3y0D86mioVFbo
cAdX6kl6/++45UkmA8aQ12H4+BDcuf9ZhJ3QOzzZd/Mlb08ifktsaQNMJz77JcrYC53+b3g+75Mj
XKKH7f5bUCJP3Yhsm3baO2c06hdyCStR6Ftk/miMQHsLk7QTdE6hnCQSkP4tX/7ioNFVHIKlscsP
4qSmDFJ4FOCnGlVkBmklhU+TegxLA/T1ENdPUR5gLoF99Fl4cp2FJrq/ez3hwehbbdFcSncL441m
UePhxi9K5ECvOVMj/pG5xM3N+VONXY+U7PZz6N/5qxwZ8RYXBnr8sW9OmQ81X0uaUIIIRU2NjLVo
TneR8gnKaJxc3MCFmCxrT2HaTzL64sTYtcSMe/L6m9Pj7QPBGvbvkik9wtojqomDDDvNMwRe0tPY
CXLUDbUHcYJRWDkI3x2JYPuqDld8uDdwVmjcFzcpIWRNjCIrdIf3c4rOT4gKyqjoIZQ/J2BASpiB
NNGuxmT8WEt0n56tM7ldjpT/V9UPbuoofSkM4wugHpNBY7zsf1JsnYcWVTtLUP277H9Y2adjmiI/
NADkPsixcs0nkid5JWlbWnR70BuhJKYtBndV/CIEufy7JCDVUWUyUrW2WWdylAZ7plZNp5/OEeYQ
ADJU4EFmaK7EEMYY8paq09EQW+XX1NdZefPapT3VrXl0GJKyS51p/zN3JG7BMlPi/0jzT//LBS3w
fp9H7OvIvCWeVreGZ3/eQfCcV430L9m2vjvDuJWPRy1Zs+2ouZLpG75LpihwFLrlGmJjL+jSC+Bn
qcJen51m4yBiEuMSRCKBFyBf4oez7YOvmbfdFDILreFZowoPnX432RmoVIABaNJ6FfUBBntJjvcX
WqOiy7QOHeOfaPIOXHYdtAnn8LEvQPXgVpHcBMjIBOMM9qHHyURm/RLClAEsu9RgrpHahwXLuv7l
D6K2IErCCm4wFERkpSVTpT5ZXLahOLNf0NYg85Px+7co6khbt8NLaBBhsrom+e90LldXMp+pHuJ2
iQC4KMKRz1EbYIFd5GgiORRdVm7RpvLtGP/i2UbBbvwzGOZtoght4ei8IV2RI3R2BDe/CZC28NfE
GJTjWH3i/1PFZFDUwQ0vU97ePf5Qv7cbDQeX0+mY+EUIS5HJ3Zjt8h62g3tmUbEuM4xdx6snTPhA
pXLzgZ5Q8HVoDjkR1ezu6DAo9ne28tsiwd/1zL3Kwdc2Ar9iRmAnLQ85Fg76/xragYCqS/fOHhHS
x4+yZ+/R4XrRggsnbfI2Aywdp0s5iPLD6I+k1GBNnqbdhLXDO5L0CqDddswOp1RiOeDqTyMPMHcQ
Y/nyvxkLz34mRl/W+uqD8JJziKL9e2/3PaJRCEo5bN9l3MbBSE6lB+HBi+UPn2VO1vsDXfM7CH8e
+QYyEp4MRlGAGs5GChpP0gEnU7htipPaBHNXxOw1AzYefNHauatPeYooXnt9vfAS15YzhUkyYAnj
KJlpSYvHVDx9UaIqdVVnaY5mfdV8YCqALsSy2n3Q1RmkmIP3WRTWpgH4cVP+SUv0RPpkLTRSjqqU
Ib4SJiihctH7h7sZz6gI/7GHY4wy+dATtYQ636z7u6qJp/mUGpyEGJTYtWyDtPPtSWfuIVzvA8i9
GExJrKjbw9zLUelR54R6OXEyFs7Gm7qfjieXpHkFCaALbNxnpvfAThwSLyyh6up3w+oJ+VB1zvmm
XveMUiaY4ttTgCCwnxRFiaOz4PFkWwAcZjyx2+mPnNBkdnLQjbA5mbU6ITLn/mI+CFtZLHqYqx6n
rhajTmAuenj66RJh4wts5ISdaJ+ngWb8dKk5JcpUDWrNt7TH12wQKjsyE3VidlHbC3Jt0JN1C1GX
nozDdwb/7ZOUv/kV3Od6oyd9Um/FdGKcFBln8/UTj07vCbwxwxO+3GoNwXrNnFdrq/nxl/M0FNNv
c22f1cvaw+YCCm2/WtWBBZOXmWgMN3ytX5swjE4OwympbO6a6wPbJ7YqORiN5KAWgC30ZXhI1K4a
WYDeyKUJlRJxb9027EXrRk/b0qRaDS1A41FXWpCMAuHsnzTJpY3E46MishTZLI8e41yDdsYc3/9l
9ZyjXD6BfbfAV/nswAoY5o11xUEUicToXqB2Ofqu/u8Bfd8vrttZZL4sX0RJuMhX7dch3VMlvVPO
8o5EZgtfFv5HzJVJnln8xtyZ96fwTdBaAU0j97ymHe1HURjELgMYtsmWlVzlFs9eMdfiMl0EatGv
W4Dp/jttpO0JT8G7JMRGDyEtXVCFOP/YH9BYcDBrXN+eQl/aeINgXz/ebeD6QmUuKNypDmU6rrZ2
7vK2OL2r82ZmpsMEEMbz/YUxHFF7HIiaajDB1i1BM9CwbCarnzFUJHojSJeBBuq9vW6s8g1Yq6dO
hYtZ3HTnhVRnssFjoFWbCfXoROqbcM5XKUx/q3ZAAWic32mXHfJ490JbiggVcBSnGKCpVKSr1D7K
rNFcJyEe/GVW9VnpH+3kyk+SSWLF38fiVBpQnvugRfgDIVIj643WIFjK7rN76+WIwphhhYVyH/3z
S6GoawLwtVPWv8BgHff4KpXVOIo+m5G7g+TB01ZEdt+t0vmfDXsyodTOV7zHW6eaG1y6VHgQqQcS
FtT+NyheEfVkykJjbBXgvRwROlE52b4iFe44WZqOPJpbHbMqh7aY/cixydvUQgee3z5KrxuhzHrC
ZW5abqdwinP5E6UJHBXWQGFsTApYPr1tP/UV2ZWBVb2n0UpeOiv+sK7QgALWWdjfMnlStEmsaXau
AKRl50HIuikjqHy1dAy58mzC10+RoAnz6aZ8U2CQGEBIa4IvZPlofW2ZJ8NrAUxr3QgXAMXj9tHN
4Kyvhq1qRZc0ObvbDu8n5wd9CxaxNkuEnLpRHERmk/MJhmfuXpQqTpQ0eM7F1uLtl4KzlS0mZtlM
PlDvtRSkAFa/Y3ZdUZiWKzN70nM46FjKOakMTOTWgpiPyUdtnzHeJiFB8Gj+fj7SNLUTA4dZXdzF
QWaiETJ70amns+OLfe6I+1yzhKWvzW/vi0RaPqz783wUKCJSCpk817fh5YPoPO3RaGdYBZROyMpc
uJnBVOAVa2CtEw8nn6fx0G3waw24sl050TkQic5GyMhD9kMwY/xRkArusB9KQkT0hAnHeTI516Y4
nNCk/FdWPjaRh4f2o4MGXugiKfcDgl8nYpzIC5QfFuJa589TvzR1OyfmAsTODSIdijrA6JMI3VDo
91df3Ae7kq0f7QzBRKWblV23qdTEXwJkaW4lrsgFPrQY7s53gBi8KV1mpTZoJDFOUT4FMuJQyrrs
N+cOyvf2gEDx+lURqdpQSajfKAFm/dGTsJFEkAKhVW/Wk3DC7CrAH/3EyHZlh0+7VLoSipGw8AI+
XMEix8g7t2zk84RcxiJEFqvw6M071GW8FsjcDIFTyMJWsIiqGR+A8H7tLbMQ2UxfFFUkexvu5VEw
dUOxKFcslh77sS6Bw71j9pk5xFjZdfscfMR2I/alMGg24gEh66b39VjgymqLS6OUwVpH7t06fgsw
VJR2p3vcCfpW3Tp/u8L3OTy5OVMNOk7BQuFpxEjYEI5QdtZtTj62P/4EadmGa5JpyuCDgdIIrhrY
P6kwbKDKYbe99aG+tJodat0zsYl1ZUa5dwVhq1zBxGGHeW0U8aDVEVozRCjVB69iuO3DTSX7+BYd
u2zthQb9VtxKLzSQX99tq0D0vXJ1hEjvCJPX/HsQo/ve0aWVqVK23Nso5O+TKjGHBsV3jau0lZzQ
AIc+qCLBKPHn3zoMAGCMpsfzy7C+E+UhmRZq1muumAKR2aCgNCIMJKDSyImi1+8r/8sP8wXg2zRe
98ftVoS9d6Y33wqc+cvK5ZqC6DDLuo5frrf0O2AuoaZrbafAdaw6LmwW5mES0vGQouxra3mx1GRw
0hvC68Gx/UIiqxnCu3EVUPwVTJu31CxNlds68YoAGBRJupeKvS/rU7NrUY927YhCiR0fOzZ6XNNA
XJG6nexRCIIgadOMU46s/K5UGutMKSFHVnKzpALFSV47eLneNJKPi+KmAAeklq2wSzSHdgKmztuS
N6Cgf6PXu3fL+sNxEXpHMM2RQ3bIKsr9kflx9NnTFQ9Cb62XM4IR8L9KS/k1XVXhMYgoAHH4WJaZ
2MOAanNpshIHlfPEBDP50VA12m+23wNiY2jankNedDwQnx1XV4yvQTNFLcQUQlqtG7GntCu9WkLV
WDV3k2zD8JIAe8D8DZ4zxt3UdMHPJlz3BAiAKzA9cjAEkqSE2kvKaplOkeS99VeU/EQ0J+Sc26sS
9kYWRXhdweMvZKXDW6dNvc1Jl5Ora4i/IL/aL27xoEti5a/oEO7db1OADXNHLzmGWLwtCY/GXJv8
KOS5xHFLGx17R8COOGtnbhJSKo/FGENIglB/56N8xO+oEaQFUoirv0MqWIrjbx+vyZYSGNHjw4AK
CtR2qT0gzV94Uqf/W9rZUAROq+1CMQfC8+Xx3hGCsOtHPd2a5b+v4a3qKgvAXlJVtUapioFXkwPq
XyX+jzeqgMIXC9j9MCO+JyT0kBDUi7mliEnbH1gKnA//IVWz+CWRXD+36XYSSpeweVouiYyB3F7B
YqQJcnSDz5VjTqc/NtE7C5TGIDCfMDMkzmqaBXjGGSfuYsn0494Vf3jTlhRkTpRVzkvJIpQq02xi
qUR0MFLcsjPqqzBa1PLSdI3NDmYmsZvU/f8KTk7IHLDbCwxpSc1/PvNJ4yhDSvr5GbJZqm5TNBQq
yP3n9/R7QzUwRN/EeVHG/BTQ8vjS75RKzqrrhBxRJbsVOXtiXZL/XjG8HA0YBfoHzNJWXAJwV/P5
kZz/U7nWe5UkC7dsZGMrEfQqlL2N40q8omzy+f1xPxdehFHiy9VS74+tmpzyZbdQ2lZxRjfkS7BZ
uZUBgS2pNCRMVofSy2kzTmDh6EGhJov5sgwUeLYJ0C/EMn9MgnwK3JJXxYdvO/tZlTUhUOtShC49
LFpMxYzucI9QxLLHnaKg0vxs+C8sbwgWGL7cuEhHKfF57JSc5DE0CG+fGSE+M7IYCXqsQLYIqkkm
eH7EKQvT1qbxPg2XSf8Pqzq7qt50/Q4s/rish0PqCyUSGc0/u+IaRSWn8o6FX2+8MuJWVxFCdHS9
ofbaLMqWqf5ltHixYmNaBfsnwtO0L0inKeWIKmbKZrar25EnQ/nan1UdMpL8iHIrh5YbP0ddXtp4
jGEai1XUjk0FLinqiqG8QCCZZUTo0YDUEJ9BFg7HbnJH6jmQIS886qKogyFxJQ31i5fGWlzuaWQx
IB3cNwmjUIdAcnW07ckJA/m6UchNg1NYaGNSVmAw4pxwxz5s4WjHD2HM+wXZcYxcWBsVzsAfoBki
3peUkBnWRawMps/rMsgI9P1fvQCid4Fd/r8hlOy1pTIfeOlBbios6egGvHy/kuvkv86fyIRGmY5J
Chzc3rgsZD0w6ThnOLrEEyZ7X1Bh51i4LbJmONuGugmK+MvndKcy63ma7Bg0g/IaI07vr9k8+E+W
op/l0Zg/DE2vEdJX+vElrU36ZBThZtfEiFVAR50eLZLDFntLjzNp/8UJ2LaOVQB1mKxNZPJOdq+Y
ujacb4VSFpPGrUIohv/RwyY7iEqFh1qbREMeusDP9w09oOFsfxyv3KF77nglM/iqhK2n22zZcZH1
8itCEPUAJJO9LVUMgMBBw9zyuC3fnIolVR2WrTFdvLKy6crT0+RoRvCMeokl+KNscMwt9+Qihjr6
vjsXKpLDU6YGYgHhVqf7+luEOCrDlU21DWFpRTqG+54OvP1tN8T/jzZTTeVY9GOKB4Se7adjNkhm
6GyrQuLqMHRXtunsFhrdmL6ZCTs11MjKcYOQR01NEsK1VoiDLnc7wAPenvXHj5WtZ8ceQUjcbwu/
+m4kUuykXVbag982Czc/AOKp2hpkmTuMD6ds7tIelq8FQgpo63cAeuC7oiloVMYheU2fva0s9Nsn
9SNxv1E/laQboLsqjzDWh7t6jwi46ie0gcvHPZWpDjYbq3XS/BS0IJM25btZ8z45coPMtC3oiB6I
G6r6ufPNxavMx26cKj/2ISNBAMgZK7hz03aqi8WncJAmnoMQV/OCMEf3IgG4PY+kpJEiFqzecXyj
AJn4/Ni3JXSACsp38hyX/+Oio5Za2M6vg1McuCcT1+6WIqnnfAbEZHCk3gk9mDziWYxxPcnSi8SD
t4TU4X6ohrYEoobC6+xH6ZM+AneGnhsr+B90/ndUTGgddKeIYX6TSuDaOch8ycOKmTyoRrbyjuw5
8fhHdyd/eg2bNV2Nb+wesypZaPE0hOc9JMg9OjraH4jNyfkTfLF4R/RgFY/AQ/uyaOCPPtDoN+TF
mEbUNsTFU6oo7SfmoSQgMIY3OTrkFgvlXLq1UJD40u12U084FuXNoeqVonGtllvsbTIDzGMXPkOh
KzJgIpnbWc+GVZe/wI1ncEAWPShLxZ9JkF2J9JcSs0fyH4YwSXuzWmK7TXUASQkA7fL/CA8gTS7x
Cum18OObhIJo3sAQHVt8SBtmt0ixcdyICzL42MZG717RbJ6MQxecDqeDgvCZ/llGQ4A8LAKhUKq+
WGReZ6MisYzWyZAgxJBhm/fA4W+jChmGj4OjdkBhe8fi305+DzlQha+UCVr9Q2IVEWUurjQJHrkY
yzAUsoCdX73HOMYsF8yjKWeA9w0LfaWCr6ffTTL9pVxu9RhQYrshhpuvaQWX2KXR9GuUnHkUKk+v
X2362pA0tf4j2RFyp2XElFGyHmRuQvVNTnZfC0pqaRVGyXzeFU5KXGrpKcbuTp2kgORCiYyRIXHe
er1mwoY5cm6knLpApBTbhip2iifs8dKFt09p5RfxuH12N3KGyvNOPi250NJdN8nMRf83P+uvxgEz
bWW2K+fdAlNBtIJ7Mui17u8yqVNbguIziX/WKrNlgh1LZOW+vaSSi3ihC+okdxCUGp3OCt8brEkD
Tzoy6uSdDqmmuUJ7OJyRya7XrOEJ7fUrU63bXSeMmmxUkEnRcGUk0HbpTG6kLda8mloC0iG6K0VD
vKLQEejqfrzU6mR52Srh/7j2cem8kuFKhnuXo4exxCjoCC2JYnshEgOclNPPHB5dt0E4I3+basjK
J1ga/7/zcNavVpwB9MYA8S7QzhiBkMKd2+lebbug/++PYO4FXoIM/xeJd2MAlq9nN4tqXs3STwlz
469DCRN7PSddGbh9Puwv3eF+c0IpHKOOna0a5CdkYJ/5Pt6CpA3ROaHOtA0IEkF5wA5zqip+EPAn
jlPq9bBWYFimvcTrhLf5LE5D+i3ANvccK1kR4toNggqPeAgpeC9EByv5MfxfBSjwJBpWVfZ1gwDy
q2eqA1atTguoWaEl/O8L1/iVKHNSrL/NyFjfJZp6stdBtyB8HQy4KDna7uPFpt2pQbknuGvleW3D
9e9lAaPzLKhaQJlXSCc+LFz+NP+6LUhMkC9jgALsXXKjU2trtlru9C4COO7iSPbAb6EQKSC9+nDU
PX2JXz2a8aGc7lXfqV82gx0V7AIBYVb7WsLjJq2Nwot5UbwQPnAtF/7MmBoRULlSeIroXvTRIH/q
7lYtkZ7ldk3pgxbPRetaZivkl5oMS1azfOnwCtF4c7bFXR1mGJB4B49faFB1ioQ3X2U5P0sPoC0K
zYy1aAuFVb1YXXAcHxJ8rw6a3ep6NB0s/DodlcCihQn5Uh3tPS2sIYv+wwtImdyXx/lWRFfgqCY2
FejICtbARfBzojHDYzBrXVptU6E1SByDk1tUGoz+OTsZQWiLQZXbtByelq2VmZ6PtoEdVTD0CzvP
KBS3iqQeLJsUr/Rx2koS+7XnjdOUDPo3JCNM/JI8h1eDYaDHC00PiWHc39lA4XJMqNuOoKogZZqY
dvHxafw8c6DsHWYjl2AVEZAabPALe8mVadCsMtmhOCejjlZ+HuwYpRyczp9du1/zouwVqTBM1QrX
kKJgDnjVX4oY4aYi1iDld1pt4RGzOSnD5pbO/lBYA+/Bi0ZbEmv0PeNem1KL2AxxuO+Y35gHnuGC
kwq52TmQv/iAyQ8Ai9dvkUYrkqlEa5xqyqGc66U3U2xwhZLXfOwLE6XzKv2J5jsLTBvkwdPPfVMx
Nlx1PpSSf/rLMjoL5JnYUfsgBC9S9Nt13DPN32aX2M1RDJvCIlKT4aGSPdgsyV4U8Lmth+JWQLT4
lBcjE//nIDxJDFs8FKRriJnP4l+/kix2FQ9FVMoaF7CUmB+NFoZuTGZu19/s0U9jeAGtRnHlgFTi
WQ5BtTtlF2o3Fpd/db0D7LNwyUvmxSJPgl4bCC97ApJM6wjOBgoHNJXby4H327MvcRugS10JJqqx
u4j+ALICLB7avala0nPPPeGfvbgrHr3Dqm9XQjt45rfQ1cqQX7luV065OpEpbcO+5+iON0QIqEWi
DF9QvJ6epEek7nqu2aV1mRmiB6mpWNx/facUUIb9Iw2oeyIECiDHxeQ9w6I0/JCrdWXDOUTtuxEs
MMQ5zcWk46g7RVwkMvzvs3Cwjf5HdBhdtQN0+AT08cCAodPph4ZYgmuTNezm+jA0xSbUr3cIw/eH
te17tuzwodEXz880nhC7+R/nv2qss1vB9M8hqdWvQ7BpzijFT93IGOQcyIisxKXD+eYu9X3EkLbQ
bUFHGKPNIziXjl48cySGA8mhipVXs6T0RfDRp6mHZAeo/bWsIyILwx20kIhKFYjrd/89ktrIUimW
nmAt1Jh70nQ947uw1tRwAIIaN7oiZpcALwoVBFEL+agXDaKzHuly9WmZjMVSVaSoLU0qG6nAXG4R
Rd0oRhMJghpJpxrgQMOnwcTWaPCcpeaTpEQEdAB/PSTzWTYgldRydoQxlJCHL9EV4ngXSNkLr2N0
CbrSrFFRlcvqgNZxRjq2VR7FVur8F2ufUP/M8IstPOjweW1JMsUk0bdO/kdstFMBJsYUvTHo/kDi
gaePbN8fGoTnw7u/faIw2rQ3XTVsNdINO6OTfmjinir+OsfGcme8QANIcPndoXkWQ9tkbfrwYypw
z9wPN+sL1w/Ygg4129drMtW6n/fQGAEFQ+bmNQJlWmgzWhoZoXGneBUnPMwLCxu4g0FZt0YwYpsr
pa9NvmtqHC6qiZMqvJ0TZMqvP1YBogHE6iKno9bnLmL174M4pRM8TWzphtZzNpBk2GqOhjX9XROt
xFMVyUu7Cex9eAsp/JY6CPqIv4hRt2VdKpDl6OfY1tzamyBJiu4ewH53Nm4+I3TM9h3T87QGD1+h
1004NyF2UlHyZt70G7e7evwhh+vHeKOUfGhdj2ReEyPvstBNI87uedvsSCitMrL1vTwpg0dXIxaK
UnPPhTpEzQ6Xs99lxwlJouozVzYxDn5OetII/TZcFB/zhhELZ2Hf3KuO4QoW87I27+H00hb5z6cc
KUXgQ12GqP0LEcpCnvRvXmENhwre5jVLT1aX1jrrUaqoyUF487Z+puIjTKtqkTGTuxJNdJMVThik
88EM2r26Ng8fr+c4O076XayYmy4tdUF+2ArC+WB4Yw1NV9liTDHW+sAZWI3GgZC17qGnSahwf/A1
a97pgHfPFoJJCAY+hqfeyn5N/8992/+jlyyLwhe4KSm7dsO0l2O5OSKTuwmFeH+/4SxhneabDLwQ
dEMm8FybTnrJ3gpAyWPaZSe59FybtQbARJTY+z4gY+Gkl3bQssaBw+PtzHxd0tk2+ZlnggSkXdwv
gzsSKweeqep0dmN4r3ZNy5tzEsJowxw1CFdEfQkZZecx8bkvzoivvCD+Q7hh8zn+ABDsvyujveu8
wt1WROIIzl0P+dnDva9+WtEFLuJfaUdaeTTXB21p91F7yfjLPT/OSJ6Pnf9u5dOWScFSgUfcWUzz
HD1OTZCZZJevShyTMXTK8y4kj/dgIeaR8aDTWy6KThXhyC64f2c6DHaeZ8l+ZHK+I19+47Bnxrpf
ZVB703MTeK07lTRwmdEqNIny4E+D5XgUVL5HWJkwJf2/RmJX76asR2Lu/tokYBPDbcXZYypgGlNh
sx9O1IpbeC8dpG7q/ZTPwzda+EeQO4lD6iZBegElw0alZQ1zDejbffrth+hDh6+KgDqkRwN9Q7mR
yHh8C5GWCpbB56QXYhsZURQKcSuOWNviVu6nyBZ1KMm0oRhHRqDbkU2xA1OD0mrDTersIz6GCXlY
RmdIJvZZ4VMmuHHAAulCTfoxZQQOZWgqAiTnLCUWi2v4DHwtVOFbJiNFCP4BDzwjE61T1noZe36A
g7b45jJZEsHPmfBTSBAyyV/PfNuFdwhxOevtDOBKHBYl/3SbEqrkai/xAtjDFg4b99cEdmPDjt7T
MpnnBBl+gts89tZ2O2KTuRtGnfXtfZE3Q+H7Jl1uUfN8GaLmvX1vvB6fUMFCMkpOHIzRwqFCsHuK
23qncfVPPO2CdmYD0fieo+LO3FXEbiGpU3PNZW88bct/boWiywJ89Em1ocSlucyn5++s78Oq20h8
LitNAxpq2sLeiiXwAyzai9tKsoHi03nkMl2YEViTjLaNh8EBxCPkNYw8tBhOPHDIoUNpmiP964xh
MwZz3TMb/eifrwCh86GpmOYDaYjWWTGVsvT3YCBAiGPAw6CvrXmm05rewiKhWAMR8xrX62VxIF0a
/BHGXheULo4Yf+Xt3jVdVM06R7IpBNpJu4yOtGHC3JD6R/U/Ygr0Egapr2RDLY3IOCJATOLof9DW
QoEBvQoHpH4vdkbWeiV6bQ4RwRelp1Pe8F5kc5fUEEQOZdDaptpoCa5S8P2pUHPTGcjlK3AaZGT+
OjxZI8yLezq/VSBIUdOnCN71KOOLmMCaF4viRwMDroiFGEgDP8bfEv/tgd8obnYYzOYBBqm689lL
fQyF1N2lCTA7+L8q6c/iB3SbqNzqAmwdDwa1g8TQrbz8fGJ76N15ZmlYZmVACy2dd1h0+dijyMMA
m7Lvc8uuCcbzn52xZE9zTg49ugrB5UFMSOdyoU12bsTOj12B2yC9FFWADn2SPIgKix5US7enyI/9
TvsOMH7UahT5rT6aU0VrrTs9XxyrVN8TK1omHkw6lgPPRgpDn/epyY46Urpl3CXfENW4g29D+G0r
p7QWylp1jOF/jlLRb8mAYnaKpPG6cL06BVio3e2yz0WWcgb62iNdDwxos/EvkqwcbWBdeTh7Gz5H
ozIrOf2iG7va9J2FkOsVcdnYCKEL3gNW0yG/HvzBnvqJpjTHjIE4ejYX8M3UkX/5kPxm3ivvFO7N
WupuHX25CYeHsitGZLfgL9/9bYtlhXCRrbMDM9Jo0rP8MFfJNmsbhijJpZWNaMSs4wJRt6AuaNrR
bQFC4Ty+MTr/kp53/pfUv2BuLfb5sWA2Wr7Peo2/mn6bLYhIETFZx0mkwLSVdvn20bT9/PpuD3Rd
GSUPonMBxqbM6nWuSDnomBZC8c5iJkBjBa9xLKu0dZd9LlK+YSlXnx3/nxpOmuPrQgwxkBwIMywo
ym6lkal4FYVTTjM2TgF+8GrK8HFNe37m2AmxeFzrGfGxePEOLpA2Kqz/wuWOaFBnb6IBG6SICdX2
Dld2PfKKlD8hk8Bws0FmqE2Y8kdS+vTxereE+7q1pT2sNNx5tk1IN5ChK0LNjWZN/B0LdYwElWGF
dIX7MzRrKLKXCWRGC9AUdsucVYTmZiUG0/rt1EvLOXiO04gBnw/Cni09GK3lKsCPrDKaN9Jd5yt0
EDDzBw4Hwb+gCSd9uJDiTxtsPkjYaMR8+6DBnEs3VtXhGJ6w4yVcavPvaQthGiAXfaE5I+RwgrfZ
pxw6Rf07uS8xq1WRCGBhKxuZZRI7fBgn7lrIfy858tn9+6NNN6UE6MKu7KDhilqtkg2nzybJs5LS
B0sDVLtGfzNbbjovuT8tR6NLsap2pFmE6mXG3o10HGHm2HIjq687aG71rlkqqi0Gjq11s10CJVbr
8AGVxqTeELnPRI6/Q+U4z0ls4h1ZrGPjHjZ+vbWg67Fdh7A8E6JEMfhYAghgb6kz1izFyqc5W0GP
81ZWqY/J3PdT4f6Y5RrivPeXtet5y1ltvKf/BLoQF/c4B0Jeoc8cMckIgoZ6uCWNc26G4PxIbekU
bl+qwakZNCkdJs/OYYPOkxj43TezGyzQGLnmW+HTYMgjcnqs6ol3Dbd0pkHNFUboH7fUG0bE8/2P
b0rXeXiBpdDLmu2FwPe4nVg03/OOM7AzB/LKh7YAybMaxzLAc0Em2zG9+QFru5WMovMqAyOBU7ne
wm8iBtJnbIBtnCrNwMhGrjv6x2Xo4y7yyAHwaV+Pyitwf1T71+MfCE7QoaBOiIJtdrVrsLAzNTgC
9WFwydv5Y/j+NOYAn4NgwhmiewUQp8KuseJ1VQ6anfk+2UR46hJrtY3Vc5eGbhupo19kPCwUsKYa
SY8hGAKZkdKh994NcjsON1+hL+Ij6VsONvgGE2O4iJ7n/Z98xL21xZQG5OwipkDs/arEbwoj/kPw
Pywe9KO8GeseKC2/GVnbExy1yU0ZRxURqQOb3AG4B7sTx4MlVUKgA++MQhVSXXVIMSXis97VIA+p
MnHxGqSCkzqhiCAPy/e5Kf87c9ihqhNdcHEGW4zvgiWfEXuA8GQpGctyMbE4VlMU3vz63fMtb5oX
9nC8etrTtZOxzOXdG5gTl3XsWPx/ic/1Vx7SGJOdvUoG1UGb1Hh90dCEsi2DZEJw02CHHhrd+mTy
LlNv6M11TL2HQFTGQVcbtUQauEjVW5DwiGTOPBd3sB/fr2eB+ZXqKgkHrDFG+5fjMMkqx2RsIJKO
TrOPxg1f+SzoAiCXFQuoT3DB9FQ1omtIEDufAd6FSudGdrwP9pGp5o1HZApcwxmvgID9HmlHtokS
efVyy/doWHAR6RyIJvLuqgPgOpzu/7qHRxJ7f4N1XmPVyxxz2mxs2GaeL710kKZBTHKzh3JaUbSy
aRLsQ1eeOQ5PkB2D/VnDQueSKFkss/8AD7ol372tNCNrfDx4vg+eCVci//STvlXCwVNaWmUS/5dB
H3G4+olUcKIRoDsBnMUfgi9DENPVZkpX+jY7pdQT6LYHyevf+iwqhQGqzgPM4UdzF70nTRZxk5Jv
dU4/EBQPdH6+jiKqhmbm4/FnvY5vXuTN7P+mGQFgIkyyRS1bIlsBU4B4K+wG6zaow+y7T5PJFk/f
GdObylR1TtapMdTyHM+ADF4qmcds0Cl+avS0Dk+RDPkl1zulhtQhWWAVJBFHKCAaMMBe3Dr9gy0F
FZSTpWG4fTMWT8QDRN1eOosEeG9mQYjEW8FYPzAzTI+EeY6Iqaw17VaVfKoxGLz4h9qiSPsAdkVZ
mGSEX+Ow4UTT52lPwArPNbjSTsT4fUg3YWnTFvPTCjlk0wUGcmSFc3y2y14QVOwMLPBD1Sd9A4DP
aDCBzta7NU19hqR9XilLp/3fymbZ1DebYZ88e2ANcCowX/zK99KL7ESogtHC9naAufN9zfTmO650
VGoAs+Clfl4QP7+SncfN4XgRloKKRz8fvpamRrM5Je7gYccHIJPcQqP4etrwWO6RGkxtU57YPIBM
Tm88+H6glHdE/hzF3vbYQTA3kYWZ6ebt0bx6jEwowFK5oxLsdrpBxVYPnkOvVztYK3nHdKGbtz61
laqw9IvIYDxtuv/vDJ44gfF0Fyi2lfMfOT65RY5PZh5Ei3/a3BAyrdZJHgRBhIGkel9uIOm6duUy
+2Y5+n9XoLPdNzv805RFU3YRA22g7G5z16Cz1q4V5evDFjFUlEUOv/3VLXY6mvxVb6M3s2mNQyWM
ly4+tB2QbIF6pRpxgWzfsfFgkOVhUe7BYagqCwYs1KJnNMzU6r9Jz4TUuX0WG4/rJh8tF0G/jqXD
ufk79+RaMsH2musbZWBQ77dbIH/mzz/v3wty6QhWki2cFFXbCIyTT73RZ4Es8MBHik7dKhXRM7pF
QG1ZgPPWv4Ne4CskEg3l3PmMbmbDOW0yTj41TOtQcEuRG81+bl0zzVjr7HxXSV0IiRtqld96ucnn
G/FE98OVq9c8r/olArGK8+KsgrEm6InyN1A8eO7z+OF6M4xNSPCN4v9/EUkALQLGVJUo07UxULaR
nN5ncDNjPvBZNRS2KiJtQLJgz/CHZkIYj9cBrZqkw0EidzEtVXqZT0oJE8ZM6nYQYIdqJ4GPqU6U
qJb3M9FcXeRY37wh5fAx4Y+X8k/DZZFSZeN9f5ytqrLQqDwB5n3xmkvp+fu8AYsKlf9XnWHi3ABI
cU1Ru1gAd3vBsN3imE7LyQ0WS0PrHnkRg7DnPo6dvHY5chjroApxFCCELUjQsC0XhhDrTUvsClwU
N29APLqRZMpb14VN3fgrzHvHGOqy/UyEv7J1nr5RdwrPBARiAbc8aD7Ju3fSa4wEWUXZHUu88gRI
v95mwnH4cJmlDYidO847cf3ONUpR9ZYoRSMVXHcLOc7Cdg35xvcEi6tGipkh+1y6UZnHt9FOQc7W
ku+dzWZpJNWUQXGkNpiwhIMLgoDlNgOkzZDcokhyj5SZ3jv9a0aP5UjOQWJdJgpdJ+n72E29i9/W
pvhNNvvixQwadbgEXjRCQulH8wQXyTdSFugZaBfOBrOlb9/Y5ZtfYRYKnrGw2SNui3tQ8oAfq4C1
5wgNnrCVqZBjixDNGh20/Lz4Ls/+uTBLHHUqO+fMBI/N/RfLzyVgmslnDrOSf+Ur9K7CrYAWcL87
vq+Z9FVQLM7TDEq79QEwhvsuV/xu3yyq2k2eN++DhQ2/Wyy621B9M4vn8WC+OJWH7jkeqR3oQXD+
RJ3foBOuuIzOeg1MIF3Rhd409x/bEu8FHgGXLuP1tY8nYU1Fkc5hTarmqthq2O49RJvtGd2JsEDU
DTpzkScWo2lWJvXVfAYTGzIdsDcNg6J5eFLHhBrysMOIhwZbHknikXwyZOR7V1ne7eBjLvpmEja5
8sjnm9UXmJUrlDKpyThiE/7XmllViuL8f/E1zLETjTDsKqZIogAl1dmSkv8hJqZ9uxbs7zzgaYfI
xWD/wACUor3Fkb9Ct0Pa8KIuVdkdJDqDCcHY+wHJxe9gbZRdTRIxgA+9Kmc1JyoVoYTPG7xk2rdG
9MgKlhdRdQU6hBWiJjNO/hzqfy9xJ8xGsXuIRzB1Uf6BTMh/Ij/aIKf7nAe1e6g6xTzKEl3zW/YU
yVlOUbpr6+GeINdNnv5ioTKQPT39btkatgI4vK2+AvWFnuCMfOnCheO1uWb8fcHKoYeiKKzhxQ/t
jEbAmc/7k75iucx54wzBQzSau7XYBubBSpoQZM06p4AOtquks7I5U8aAkCkvoUuS79cLxRFlItgJ
KxlzT2TtKqMwMYxeIGPo4Hv51JpyXvDmWCnem3FBtvNRxLx1KlFcBkpw4CEOR15lNg9ONZLsh9bF
jaqx7vFUL3lYVOobyMEo7KcpRqwa5JWSKR9bVeeCrvA0/oq88WXGLvpXJWIzgVdOqN/O0cgHp4eA
dbTgIWL5P4Co7qFf+DCkXo1hv059ffqnFHGlYgH6Uw/xRZL3J6GDN3pRblhUb/r3tDxiu2bzw6B3
KYJJwhZ/xvd2T3RZTo3LAOxomdNpbEkIJzki8/8DgBNbuMq1qwWPZcaB+NLQKdQaedMMXRKfvtmj
VcuXrY7fTkK9eqpU7bXGyD/loscg+tAlHObzAQO0jz4fYl1EOjZaUXQLvoPMXF8AoVwoo0LU1qbg
idg3JN5Aqd1VV/i7R93WnTIJlda+lmDOC8j+vxCDwO87ij7nZnFCHSVJbK+Qx+/8ddahu3iLXwVA
2DfurzSBHBGFHYbwjzAwXxhAEnFqaH4E4tnOVttcqTjxLhA6Iwcaas2fTIoZAtvZtNPgZ4XK4nnk
i8JbadJnc26gVKbHBZZnIZxaFtFXPLjeUP0ZOGFVyFIhofmET6ifuwD7dBqeoPO3mM2QpWX1lXnk
B8Xwb35kfwPS+DiTJ5siW1nb2WP/dtEQuRXQ2B4MVvPSp9PWhr8vMr7b9YxwHTRyIhgjnZ54Secz
HHbSUpsf+GIbcRY/iMsSjcRa4CLgmMNBTVpyyFAdi4cuwemN08nxxxB4E+xEB1Ye9/463P/m0G9c
oJTXgKcgcBc1F51sJHk0SJmHey5f/8TIUb8FewYsRYYxelMMMrh0BDWl5FHB4ICNpXAomvKGoZ+5
4XfcDWAwpMynFiqszcMHh0MRi3kKtxLvq9Ctr9b+I+KQBeIFuicc5FLeIMCMLwl7wcIQ3Cbnlk+7
xRjwRKmyCl1bLwITRgPmQEh83CPepnlZ1eGNJDNPFWZuui1wR2YOR0cCIvKs0mXiy6wQ8ptOBMoq
8gW6vlHjqHsvmMODYy5PyqDRDUo7aY8vvXLYOp56Av+yV/+o3YsAK7lH4QUFhwCLhQBcP81zZ+Rn
+loOIUYWsiyPdWpNi2kqG1UdYVk0vslX/cXOUOv8Y8dznyHeABCrbJhvlOcqB8eKTWHmMl8LeU8C
JWy9Zuj7rRXXbuFs+uHAiRyX1OGQE7qZzHY3YT0w6P2yZ26MFoUP34nKJ3o+cwTEX7gNNzzQvrNp
t+6iLFJUvoMzW35mnd5swndHp51tNqcWl4r7ZqYiHrL9x7kNxgtk5RvEhti8YF5QQ30oG3om5+Dk
J+eEulO6ZaM9mV8YCE1qzJN0DsbMnzfixdbvDeiGOy56Se5b3JbG1lWtfxg0Uf0HzRWQUG+HURfh
t9B5P29AMWmzTD+CoCXc5KT1umP53OqvWpQzeRHnkc3Af8kDxBCejFCjzlNTo24lZ9bRcXbgLxvU
OcKSLacIoDPnyISLRdZd9mb/YS3aRuABbXtQMCl9RgL3NUeKQdYQ01SZ+u40KeR8Jw21qzq1ABjN
Idj1jbvuvWzmHY0InM4clCBakmaAIRd1L+c4hgxyV8QQeB3Awh2/zto2Er1OapOZP6gMaJQwT/7+
iYf3bbzSyC4a2PnUzTC37oPoOe3bsxn+QJtXCGh6DqX/uz+2q9GYyuxw+vfXbgxrOv4TTwyuGuj6
7wN/A+63XipZX/lgnd3gqa1jYhAST7xQ0UWyAXQb3Y6k9xoQzZsMWvLZc3AUqcAyZEBlEsb00WWP
6vP9f+TGtBwB7LNEorkaVXgejpzTW2fGU06QguyEqJuEwMZ7A8vHZpQPrjAd72FkuJZTu5TWUb6y
7WgseMARdcIhVRaoK6voY0SNoRRFqAqHXbwoDQcR6/toWr5MK9uTyNhcpXi3wrFDcqT5r0ALanC+
556wfz0SWxvctygnzaZVGR4JojINUCCgpPkz/SUoz3u3mOKVQDtG8E31aA46VvSR16gh9tJkauYv
eUDlbZ6TStTiYvLMFULIEBSlZKN786s6sS/GiJo4BM2FHh9hzhMhRP9+i0NqdNEvs7JWfB6tKZFq
4uLKTXPyOZ7L+9X75IefrsNLXDmXwEXdOfTPrjVxlyvfIU/rH1pJzlSxCUtN88/w5/GLlFSS0rcO
GAM7FJbFRIeFKaVOWX72jlPfepHy8YzAuOk+G6T3kfGH49byiphnd+ZMnGRiS6cdkFyY5Pfin7YB
dFwmC839AmOr0TXR5y7fCm5hx0qUZwDA9NOxxgjUkn1/DY472yt6al463BWWcxKbXKjM8jOa0GAX
zr1MGaUGeq8wl5OuiZyCFyy8o/iPowt7y5jMrVihl2Bn/vnIs+N76NrMZ/e/Lmuso4Tf1kDMN99/
0G+qQd0A+K21o9fyJQrsNODZe7bNsFkwuVE1Ie02gqvmj2upVT4kMML/FgL2N1AxxOQ6/jgdi198
A6tpFZLpWqaijsRHisuWI6k+CLM8cM4/T+juh1n0EEEvcrvKhBTospTMnqF/WlB5uAJK3EdglB7q
6nZeZI2YU9mFf0x3q5e7nPIrmg3T9wKvG4A7aqrdOypt9Eni+AjclHTOcu6dd6TwuiZ76roSh3fQ
Rivdb8XocFLwoKWXM/dafhMP1ImahNeXk8m8vHA41f2WV95lEPP6PPfehwE4O66lVtbtWKQ679it
brIsmJehagylWfEz8uW/58gfxIvZJCrqaqCVONL2bMyJaU3/EnRe2kUjJrDlWRrcfKh8UFPm34sM
uqyCmlZcKccEoWOqsIPIv1KF+PqU+CS7uwJOJpuqAk1QjRk8ZT8PKW2FXJl5m1ERmiCEOADTJwlC
yd0ap0AXxfzN+EgiEkuXdaeCZTRkuFEyYN+geZ3Hb/lzPDw1OZGyJNNgQEZsblAiEJDJAk5pvnMP
fTzQXsBOTpqI5eZNuGhJCcSyAPMsmZByU2RyYXkOTqSx4fFMGbqISxjyXMlj5M/Zpfm2gUgwnLO4
WpC3Xf26k/7yd9RMM6fP7iPPO3LvmrWwWPS61Ts1vkHSvwk4eORLByUF2J1XVjP8qHcEAfPGo+AV
tOnYsmMoWT5+ZhmqP02qtUIspYGXhgSXTr3hDlU008+rqmyVilUig/Cx/fEqrDLb+eFkZeTnOzgu
vflmDUcDxu9IWRinXKVfkLKBYerdCCPusUv6XueyqQxYZkN410IOU6oaVOkLyIKK/ylfx2e+ErBD
ETfo6rtyhgRbUI2s7aHDjdz1XjK4/UG78xXOetfYzcPzI08AWjCzZw1ZZG7jyYq2LpWUVCQmXs6L
wlWgr3Ef+ldfNC5TnSqoyZHtgNgV9rF9+HTYT45RGJk5kHgYZgox/0luqCvXJg8AgNwJWqQVFlMu
CfbTiuvgNVePhtOkVmk4HRt1W0KY/SD3XW+61XcXW4TA64rtjGQMhRL2v9yZHQsOQ7PW2LzLKXXF
Y9f26MGGmz8rDHj1SEJSXHGhHiJWg9O7tTuYmnejMcD42+RRLv4d6KaH+WEM08PwCN7fcOaY2uLd
1PaRPI+WgTRY/NOK0gIrJKehET9aJJt/gF3ARHpM9vw0z+CMtx+CFOGu1a9p7Zi7h9dTaqj3oo2V
LZ5a44uy3YxXtf22SsMktglkkSDsSTHGIto/XWSg/fttxBw361ojQ2cBaTzsLRqoiXP/MHbh8Ovq
L6bFQgjjFxKxdQISL7texa7hHjdArv4+StT8Y6fyl46IUMv7rQcK+HrsbjPENcl8Th47f2E1KdOe
3I+S90IK/n+4AQ+5T8I5Nvz4y2NDvP7I9YxQuTAJwhyhfSHEb7nQDqqjH5Jp5JfrACPfpfANT7/f
LqQJbC/rsI5TjnCsOk4Vy67Nytfqb7uaTICF/tywYHfE6E0vDA6aTaTvWd1GtZ5/zLQTUq4gu4tW
h7DLC/ojcIJFScX8A9xKYOJjaDTR3J+GY+YCtnlRFuWT/pkemjRl6sV7qxI069k/mwOFy2IAvauE
v5Sv/dn4gTljba3sJ53rMJS7Xjy2jVfeUQu9OQ3qitPftu4Pz4CmNuDyv4GU/a/nV1909c6CGraK
Ogy+L/NxUMfUZqDtyz84iqyJewARWmsGT8taGJ7ZPl+OuL/NibQKLTDUE/0iv5UKFgb1BDuZDhDV
ksextoleOQDcNKYr5Xb1Sx7Uxe+4ZyrB4utq/whWdDNrGv/AlUNyaAQ2lQEstJB4UcxBN/yZAO45
+wL5cILvR3ddo/zcCZhFfBzRZ50jkCO30LLI8upvuxYv81qAl5Z5eFX+mgS309igQ5hD2nQ3rQvR
i0c3KjYiEZ9XfdFPqEA4O2xsNr4Gdb5biQFRCj6UP/dt7eW6ZsO47OnoKooCWiM/z0UxVijk3YkN
Nw1KHvCQwpW7Cxd3+POwKpnd2/wDTx5OL8RDQY/i959ev3edLLf+S0KzrhFu9SGmbdOOqfFF0Wy4
SPU/cOFVpfPKU2NeZlaxPFioLA8ezyVxbJox2e9OL79sVdmMZvFauGOdD5uHTfh/dfzBhw7m/vCV
WWBzbqI944Gj0fnZEZhQ3cNzzExRCfb6fITnaI+6IdFQG5EiWSLEqkEB2b5tbrmcbsKF9WLIPQEo
vtxwJCKuL/58wE4P0v9W2SUjbBWDTHoMD8dOrW9P9j678C2AnVn+4Fv4573EXPV3+G5z3MwxKc0k
fTY6zZv43Oh8c+EBD/vnQR2MYqdP5aoxQJYmtUJdKMNCqor7x2e02mo7vcet7F8v5hEDHWGWqVAP
bBMKqw1Im3jjURDVZ+ArO6mNcrokdb5Q3SgSXcpLxmNkVqYaztWgM7YwdF093c74uQ3/IXLsK4KK
Cj2itcERmvNBUXuukn318L9qBes8PrO230+F/EOmH4iDQ2kR/5Tb35ZJNUw0M8UUFydlRvs+XThA
3apeTsoVb4JfE4IFF6uXwsx5j9TNMcmZ0Eg7eH3TsMwwxVuyXKcPsJgmHZ930WpO9tQ4UcJSncDS
jiq7H4UoanlMzTqbFBHdRUURFI15fye6lbgNcekuewhYa1uutOwYA7sbZmYfagYtycfeR8zeCVBW
tTEfM2lkUY7bgkulVTKLCXPti+3bgp379YegOB+q2XZIdvUc7ZWN0kDuykn7qKJ6J4Ie4t5ViOKF
mFe4trnqZmIHL/o1vEANMFhqWdZJLb/cW8Q7R2RpCiWN8MpJFgXT2qyfhRUpCt1DtiBeO2DU8ZLi
Bt9uKlK87XlSMcEYVwfwMnwHRew9qyumQp8hzsoryZU34fjj4jjGvIiexNMxhxk7CuuSpB8hCW2O
OUDFOtgnD38f0inbDDWsRdvRwusTFn2kVbG3WXwEJzWTywJN89LvXoaSUgIQU+0HchoNv4We+zKK
m7PoSgUDUg4YvajJd8YPjb7C4oobjP2Uoa45HMQlHn3cAYjICwnF+CXRtIfcyAEzIU7GcuftxOMw
K8mPsrNvDaBJ7gVMib69A8TOn5PiFJipDqkNE+QvF0Bz55ma1P6tat8WnZAMr/cyeG3AE79qBXx1
Uwr0se7IVOcN0A2M/6DcKxqXyBtoEvaf32Gc+asTr3MmFc0bOLnuPnKZUxrrymwpNhxg+WmqGqTP
pT1r9QPUprf7sPetmBOkp8I+6H2d31ExXqJYNyA7eXm+skjmv+2d70AHFI+5FcQ84lXaPW2OG+uv
6PYecCnyCjaZHwGh282uSLuR4dKGNE/b8R95yivQJG4P1OM3/OiDShTy13sfswh4gp8CKHA6xq+p
C39npffRGjjaEF0FH0oQdmlWUd4+S2svNWym5KTXpJTajXoSD+SXx63R54KG8ybknoqh7/aamrWC
wupNOpWu5EXnFFGwwybf2m/b0B57JERcq9DUkkSQT87+lWitAZmv6zWbS58lxxRZDmMbVLiwNuPS
DhwFfYRuvmWinR8BgovS5WltiZWn/vuLe1pwBSjz8bzdBeA5WUcg/H+3B7nmwzX/g5QFi6W6tZHu
IghtgqcKwf8axvVYxXKOrmVjF79DBQUDTwfjdgv48pSOXxRrmf6YZmaUFpJRsaXipm0Ey8yzZSiu
kMd88gP/GOA7B79AlBhzJgHzXsRepaPWqigM9hOSM+aG3FXjtqE8SQc/ITDd7lr6DAQp78AsNNnk
7LMcXOZb026fEeGUwuFL6YpqLFSshC8z069qzspJGcOq+H8QbvQaozBY0Z8cbcNjyMMcHr1RcDRa
MMd55dOu9YFfqYPJlsnJR0fp02Y7qlBawi0L5u8tYAtv6w3NOept/YoQsmWdYZu+1HAxyyhjit5z
ZRhT8NGtuXaUVpTr1V6E+17QubOoPaLQfHB3jv2xTSQ0DRTdlDRtD7CiXtbGvCoABmQCKIPNaNbf
Vj7eVoE45Ugl4+fLD5FF+mklGFGqB5+2xJa956bhcC/euPKL+QOj0Jz7MX0cZNmeBOeJj4Xsvcf6
aJZjfnM6XjBiIbBiCHsmLoUHnvzkb3T/aoWG2lENnZCBAoA0FtNS31miygz/pgaYgkWX0xPvsltN
ADW+UDOC0Nv/W1TyUuMdWGIBYOLj86J3cznzDxBvxmzAQET+dae1J0GHPRvTtMnCqOb1rfL6nji8
bCsbNGNFMsMkyAKTuVN+Zv+3MGlJNB1dcdlCfRGyv3DFG+iBfi3Ifo/oJ8YwGqOjf7bAaqv8R4Ij
JeleghDc9+clEItxcsGfYrYDIgTYvU+BLv6ZtLmIP2f/H2eHRZvP0+yCnZ1N7ONTJaBGgg3EyibB
/TYQ9uokMudgVXv1OAoCEu16mQgvRfNvNd54nZo6fNFebkxSOfwkHcnuHtCFlcUqgeMFKisV6reU
UaMYfk6T0N36tl3GgKtEkF/iW48rPXG+ptbfL0Aq1p5ieUkEeLT3omUJCVyjYXbmudk7rhm9xsim
fPgbZQ9hoZ/clpJzGsah6s7w/QYHaqmiqqbQ4FE3DoDSxURriTnF/9dYEJwfsT+UcDUNTVZH+3/b
M0EvDdS8PHvcaxqMkefyKo+/0MOD1iNnBQWE9hsGuVxgzZuXwANtf0WUjpjL/TF1alunYog/PSJX
v6LdQLGet2Rk6y/TutF4oVrJ8w+bldW3ASmUubzSVSRlyvDXKspIiKLB1UC/aemb5tetBof0Oa0C
rNLW87WuAE8Gg9Q6qlOpU8yCs+2y4G+uQv+/wG+BgcYRVHlDMaAzsd6lhxd3c5o7d2yMGUbGRCFU
gDKm7iYt/UtL/v21oMkGkiuiATs1DuFwn2sFuL3vTZ76JSSiCMM4SA/ZZHA1rpCMLuoJzmgWRQtS
zfgajjnyL14P5UvU7bwhNRar7hhN+ZLR91pOk06mzvv7G4c4D17y6OHGVWrPbxXfgV4uy/Evd5C/
vnUevRtaMMLvrQNEXgVJGu9ixAI3p/FcwYnKnAoSFgMWPlu/aEQOqNiZel52qhVWTPo64WhBk9wN
Gun5ETvHoCta/+tHWpzN7c0Anu/I51hmMKfdXh6oF0zq3F1rdrkhI1T8IGDgC8vlO5qHjcmxotQK
wBI/K9wZrEnfBVSLGWCa9CEb4FaaD1Q2g6Nj4aeM27M3q74NTRJQLhBu6mwhEL2LnjgqrcCp6mfk
SQYVMi4ZydcOudvGVDSzO3N6Kph1525JWPyF1npC2UwnHYq8gMc083E3tZCoIHWSMxbcL9NTUgl5
N6s0fBuGM9y11m2JVrfE+NV8JoDPJd/sFcDSYeHjOFpmdem0s1fvlAQjBD9q+2RVI1ppMmMUFjB0
x/z9SpgvBFbRFqTmZCv7qw9Tn0kR04CiY7B/dAgieUCoJFgpTKl+G8LRfSyduV/3DPDvQRN6eLAv
4yN0valf34e5x72cUZnpm4s39CrKX7v3N3L8VfOdZYwDCaugAsJM50v60klemf/fMbnFKFLQU178
9y9oXDA8E772mb84eqjPmAf6DCWfd5/zStLMIDcaUTuALz0UcAuncRyGxFnFjFWgsqecjvII4xeG
bRySwiI+12jA572OmtdfcZ6jXRlLiSgzryVn6UNVytUswkT51Po3r4Gug9dN/t/4HlPoq2xxzmJ7
PyNYyA8mfJb1OCU+5UqbC+fGxFU5XVUJoTkTYPs3uJ3/yx7WvKekknztgUgIO4uoIV+CNitA0m/t
ue2AuEfkW8ZNv/WX+3KU1N05ASIoDFw6OWhj5O44rvh1XV8K2B/srbi8s3O+F1cU7mt/3JvKKZd4
Gde/WkHDQj+FaQ4H6eaAQqVR54bI4XwXxf+5rF/niyj3WVBgjJIFcsGO+Ro6c4dmNoIjbuRqWpYx
N38DNaxz+TUgRhItp0cLDqYs9ND5JsToQw8WnH3C5J74gtVu7XtHj9fpumq78HS9PgvkR2suaGiM
Lo8CNxr7aKx/ZucASSSn1ahSaFaBxgFsXMNokhk7jSsAqqcoPmymHGBKoGYJF3sgUF7PnClTsk/i
yOaMxiyBWDyEpX6ywc2y6tNIr1s1/llo2C7n/qGWumpDe6Gk8pI4mA73DQGZU7iwjH7pNKlg0HJf
CWjAfTuCeUpChEmCs5antEmA0j4B17JVyTAPU9hsbX7hwi6T3amjhY3hLs6P9ls6K5btCxnbJPFx
G7ZceYXje5OXav+Om+6dLv6rp+Vr1Iq88v20FH+rx2JcAqQw9fOESu4kYOsrKInzfR5AVaHc6S8S
3BZcjTX+U5reaM5GfqmVm3R6zbMIG0V1EFqNvFUuBXbFCA1R19P4HGa3n0X7O3toOoXgSVI8IniU
9pCEwP9iN01iZLE3/XBhnLrDm4oTfSHF6+loh0YUw+Omu/c5RL8d5OAXcMJLJ0OYDoStxBvzqmxe
QV0V4iVTrEluRszea/2KN4URFaCgGTMZ3br0CPR7bZ/NZ6+hRIRTfn/s+Y/6VkgOh4lAakXNyK+o
pg4B5VwdmrH2+W0jO71Uz8T2F1LuxdtRsgLSgPlc271Nl7qTwaM5l9aKd7Sz/KBXCcKHTH2JLKVe
Sn544FI3OfejU8uU6AYHdMtNII1dFeAGYyZyt2oEipg9/HnoibZ07ikIJScV5zurVH6aXx7OHiAy
wB92Vd/SwtSWKvZqT64KBvNuKlP7NTlf9FH+vqEUmzZjVSWEe4ZqFKpGqqwZThSntMpxR+ItPFsl
a7hoD1Kpfwfe65Wva0ukTu6Kp4nHfGmrkpog5HA/1taN1fVGPwSr5siiaKM2U0F9c3QLgAPH7TAU
Araq/7jzSm9BdHGcxpREEVTJ9aYeERBMfx8rMzUtIjhBdWnU20nTpNzPKhCg65T+ocWCnjEN2+UE
T5tUVoiuVDN1eT4yqbrtJXMOBtBd19KZDh6AtIAYyFT6lFuvuBiQemVKI1DjKido+tq5R76V8w7T
Fx4OmA4lU5t16XEdhYH0pCcTSvW525QApx3Yi0Sxb/cuyJoQLFEiYtnoQaQf9ZVyDBEKFCtHFoZj
yvuf6H3ZiWGdvSG8G3YczdflErStj3osmsDD96xjozQVxogxu5SgdRDdeIla2ym8E9xvYWrZ5LL9
YaB25kOjk44RSibxNDpUXV5l4tcQn4WSdaLBz9+cQfXKmH6z2eMF5LE3KpuKUWUVa3+s0zGywIqr
QVG31t+YdY3knj0qPmPggB77nbaz53fIQJG5gAKMBxGG2uBV8UnQeo7bbROJ4nmW2WL7xeC6S2h0
1aJw+FPE+UJxECOGm7LqcL9d48cn79aDmSWgEI3FXEhdRUq75qrITKxIsfYTrAGjLRAfYHqSX4bo
IoBbRPXKgLRH8bcujJPw9+Ns6FIG448Xjtakz3AhW/KB04j8RZwRwatTjHlqu4dSw59OwhruzAlT
J3O6vwVhyM1sYUSj7L1HuMSJJJQzpGdqnezPFhENN/RzD6id2YHRbYeB+YPlf3htdw1lRPvDXtLd
Gg2uE4tgeVrg9rOwmmMkE73CTnhgMxUmUYccVXuxelRY9fCorrEHKCnXZAxk2AWmjYyyUIvaOLFz
BU/ZiVLyaimlC5c/pcR1yFBtfIefr46jSGNhEqVnTivWQeb+jaU7DWSLJbF0kr0hDJo84Y9ruWGy
hCDMZscdvcWy3AAQUzV58RFC0MUCE+VHuamrSDav24PbkQOvXmHIw8hCSK/Jcii2ZfqWGbGoobhF
7Kel4g8UGQFvbt8+sHCnaA7nWoxnTZq7FPx3ahHTobY8YjMcqlqlUa0kl/+wFYGLAX+M9hPx4D+y
m0JMm0lHEUB/1sI+9x1vUNfA79H6Q9W6+mtrA9fkHsRz/NbjVjoqJr70ZUK6013xeCWQDnYx8YrT
QpZa5sYxjaiPkMaAChXjJ7PXZ9Vm8HJtddPo4ITiy0rxFk12Mbghm0F0y4U58JXnuMWwknF+5RVI
dKX88S18tKBjGIE3gixnDwpw0pRdeRSedIsXTkA3dKKFn6HSOeiiHZlNZc7CB0E+j2s2Khr5ugsV
oRRGxv7pmj7SinLOd/J/XRxQDRrZPceFAbKaarJFS4Ke8AGyeHcGVDe3FjHIECyVBC3HpxoIOUN8
IQA0oLf9SXRGIKC2ZSASeXtC/kV7+HCQ+BNlPS0OYkzpB7N1q0Vq3yCLETWFbYaxncaaiZ/C7uhu
oCrHf26MhCaQzc8heisP35EdET8UnTCwneBVge21Rq86o9Lv2FDVJnIco3D/qSBlQ+iTGFyM39eX
O+0Yug7uRpOA4CQRXOchtIKK2Hv5sIJ3kFigx1zE9hbcbNdQFjvqxx/FG9VivGzY5yTttsgqKUiP
Hqtt2X2Bfw6ws/f6sSLG6RA6mhVtu80b9RwHjXNcMtufs5nL7B+xivHOmzWs4OQODYEC7Qh+zHdr
ixYyQ2YevNkR+nQkShpQYj9UVqm71lWqlgth9zFrdkovOHigHMfCT3Ye8BBBUpJwhR4qYCBlPyil
z2UaMQugr5bS3bI9KgWocXiYvIIQJlC4t2gQ6mRJfm28zMyiw36c+np2SLx48rBQMoTjacxK4TGz
bYZE9wYg2WQ0BxE6CIByBruWx/DR+N6a28WHBDbmwIOIOdhAKLV0e2jDHnZ+8srXKuNJtDl4J4eD
1aQyCZOrsaEhgG6DrAinKebdMb95tfpYmI0i+HVblD5ODilllvWd01YNr0TpU5l+pHVm+SeKwZZE
wuqY1zoCVAJlDPyC6H3Px2HKkzyl26R4Bt01ol7M9aW9G69fCe8wf9f1uQ4ASR5OsI2ZEWD159SY
1YsGQPEPy4cwhcQXQf3VnnGVOAIXQaUqFoD9heGXKVy6Ot6bNWF+Atl2413pSnPIRku4TDao52LZ
SLLdhp5biWIKV5dGNY9dB/pT9edEvY2lg3SbY3S71WxxMtDO+KxlTjyJ0PrQ/N3U5l5rCCA4+z96
BDNOHKTZxSfgX3fGZ0YJFtOL7PP8IGJAzpGOpIYUHi7Io6ZANl7c2bnYM+XY7sf3hkdroxOfYYLj
GgDpxpi+oH6aRnOeQ2mLD7jN/wRKvXWARP//AHW5orYhFWZTiEPAKqIjWA6kBHMfPCec8ExT272h
vpsbzZ1yN9HtYHDoWLoNb5ZbCd1R97+UtKTR5Nmmpp2DJ4Buv50lWjJ1zRYQTejB6OuBrAcq4ziy
PXYB4v8lN1S4MBFSH/8oLtN6VDQhgNMQ88fDd/q99IjbzDKoo4PWeSGsHX/Zf+nmPs7TmerqneN0
hxca1UEfeDVUyn9JDPMoUHUezp+qopl5/TRZjjsUW3xDRYm1UUfLKwq2578+1Qu01jEVehgPcsC4
wSitprSS1nakWpJegc507mAJPSaihata373yEpxzGPy3ANXQHD88otOSQYEBM0tSUU0KNsi7lREJ
A9QDTPZV0M+ZhM2AXbdGADcwS7WfcfTbcw7wcRX26G0nBfrPrhvlagCQOnPyFTbVPvPbFX6y9a6G
ta8OWD9E75jy8aQ2amZzUN6FZg+ObPr55twxiIVcn5vX8THq1zH31i9PuE1hX0c2Sdu4pPFYOKP9
M4yezxlTJyAUVc8L0vqJxF4+1JWo6eG9xZknzO6Y5zCecGXgXW3uOy5qVnlddtqYXOM5SfVelaed
exCNrcOnt9lJQ8Tqf8ZRBvEdPQ+LQeaNVui7h639/RIUyL6k0QQqm3ndTAb0R9IeOkxAymxSFlRG
Tff6LIXTNfl07fcDV89iBgcdQpi/32ol70bUJrehgmUUsWt1ypgihl2fHWtvpjH/otIYIR7UkPgG
nBK4EzvD0MXN1fNSAfjbw/d0MQQrbpwpOTpgO+QXv9ThsdwMbGsO4QlqjFbcmoSKHJhmPLPGE6mC
jpTLXgemDepqU5Xt4hkZnLIEAbvSXRJAx/qyhbMZjUG9zmkMbSc33NPEC5wt8u4/yCgguasFam5S
1kJhh6rXbs7jV2XFrrml+l9noBYG3oDHLx2kGoD+ahLWY6Cq/PgEmtzuGEZx7r3LIz6tr8CTgeUX
ECZejfJuKEPpoQYvw7/BgTQ99Kr9Wvx+nYla6whLhlCzoQchPaqB76MS4QvtwHvzHWzzLT4jjDIP
S6s0su4I51Yx1MgYdaKZCvwlGfESlRoJVdVtucQSyvRJnu2fmo3GROX3csMMMrPSlpyJkDSY0RUN
tuh+yX3VTPbec6TRVcu54sfYl5yG3R9iAV5v+8bv1IVLLfZUO0C+svBHwDFSSQZznuGgo0fG00eo
1a/zGRDURkBaDBr9doURUPxeY7N5HTur7FIbRnSyNmusBbfX9uToNI9r9POY7G54exqAiY8xTzyW
oC7XqOUBXFFWyJas9niV7pgDbnGIrAk+/ciP0exJvABDht1JDuS3fZQqMdTagYnWUusBoWlnngfM
WUwTQ/se0ZqHWuxQi9xScr0N36N2F5/idXZrDoi6X9JsffGgvfTUfyuFTCx0wigUuXrSd6DvT6QQ
D9GLQH4EpBIYL7YhYQQdWzvLNgncS0lhe8pVU9Hh6BCHq/WLLo+Ad8UOcbo6B8GMTzJpD1BR0HIY
h3sEuP8X5if+FW0yVliR+QGk2ykn88FC3uAvnAkE5JPxwE5WXukaqdGNFIdkSYXTGE0P6oW0liN+
MXqcainlzwmfij6NzGN79Ubk0/AljqNJ2Rz4JRZsNpnaFqdmvyKNUHule8o/z+yVxdj/kZEh/+Sh
fPle414iRU/Nw1TUGZv9V3ytB3sGAlXeXSz1BoLIYRyNp+NEjlxEWCSVVkvBcvWGxCv7aInDoM65
lQtunyRoW9FOFg+wMiYpS5kcevcGvDE57BPlYQZxyoi+crGRSX7HYwzCwv4xwAYFWPSXyAR80/S3
jZXrhwjqGYDRjjZust1tr/UBA+2DzPUHrgV6nTbgAiURF2X06COcR1wD95rhobGC8c7maPbkKHey
BqCjG+ICmbKFFu6Lqfpg09pCLYALZPdkBoBXK/MW8vWaZ7WZlKh01tL+lbpP6WdI+PHyEK8vYwPi
SR/K5rl1WK9xdBqnaEe+tv0MQrU2KGTCRwFKNDvInqDsPcA4yiFkgjyAvr5LJv1j+JtirMnvSimK
Z5dh1JerVmXyEmhacMkgNjFigVnQahi0UnG0/jHU+HCc+uyeSpwcO57J07+nrbVogB5p5Q/XsxSd
r9B2hIEqr78WY2bZuLtCY62GERUGC9llg/09YlBUY65K4LC1racA9UTJjSBa4Ig+CxBGFlqfop2B
q8P9DmIA7qBH4z6h90N/okGqOdfi9ctVW3oAWYqsYsz3SBFVAualqJIRCjUZYO+rXeSctvDJl0rn
lE0Bfqoq76GfkMyEtLKZp32XrTkOLswEDvJTi4oKUHqlQ+U/f8+Gm6SkZXMth8vqPEvE91s7EA8V
Dg6gktu7nreJrKOj3k28TQKBPmWn3AzXQMiW7jIZSjY9u0DZzRlQYl/k5UwNA3DAUwkl8z1XZCh8
pKEpOAiTewxeog+eKOHkWEpgFuuwY8O+7/iOlgANAg9XhMtejVMwYemygcoW72yOt0vtbT8hKDn1
bhdXkumIdT2vRZqY3kJboSfPi8jdGQuERHKF1aAOq6yo8jUtK7muTH1kNQkXn36sqJLygoYEnxO8
AnGcVbeWZfbG2z+7MK2pSr5JC0f3eB6GA6be0kIrzi0dd5cQ12km7lvnn0Mzyq84/54Swqa0pmD8
Mhr1cL40SBORAEYEc6xryJ7WkttcWXUKA2rKZuUISgzAwcDfTkMShm+JgxcV2c8Qt434V+nCGbXf
LrwO+UClqd2xXmRNMGj3ryblFvvCRGQ7eCuc5DfW9Sn8a9qQ4+9NVk0c8fvxGUW9phmg/Zv5jTXS
IYeyBaKZTJDwaO1oRENJMPn6QeO5pMExM8UhOCRVsZJZx1cmh5gulHjWztY+tlXhpOc8vhictgX+
o2/YpLKTTnk8sRMsZbP04DKF17H16euRhb47HFGl4pLjWdKR9o/Qn1Oa65JIB+YRuOufa9QQBUjX
S6A0e2cCB+qIgOrDcxFmyU/64c8qVumMjbTxDj7QKKUMmPWD6rhR+gaOl3mcXcHOeDeX7Lu9BKu/
7LkeIVtARycwsV4gPGO6osqZJa6IjvUn2eSWbK3ZDjy20eppM9cxsqszijwX+/cG8ZHJQyyy8ksA
rTa91VmSY2fkKq/3kIbW5fdSu/rQuc0ZHA5H3fFwWcmnl34bxYS3he6+2h9MCJbMTsIYP3+1reGX
MEslMvmM2z4OZvvgK5kH3JQrO2ICKMGG6+CEEWaPf1EIvRxiUvBXd9gK2UOK7LHRWBltICo12YbN
z2+8NLQnsC+hL/W/17WXS1oG5PXMaHNGaYR8kS7EKU6NYCXR3YNNsyUgnx7SELIc/o18yL5lwewl
JgboEjbSdB7JgFxfqL+dBsEWT3A5VsgDO+us6YU06macclosh2iCJjXFiBAmDnZDLCc0l/t6RIXz
QCDtf53eeEHyGa2Qy7RUAJcv5ItQrd59ZR2QVNx37P8OItUakbAdj0qXg5Hf3WzGzGGypyli7mG4
H5xpGbIraat6xgWaEEJ7BaxfwR3rWfOy23nA75oA3+1BpfaqnzB41KMGu5tCL5YNNuILqtNiFy1Y
55f6sRuLNDBDR6n0+swQWX8+jplECQ6nvBcXlu2tgvf9VVjzZecULM6PjoqK2oc+YNPQnKj/MZ9Q
r4wSLZjGP6NAtXv286FLs9Qz074Aj3AEXEfBqQ5B2yIytphz5Dw4My8sBTKKZlc+RS752zb0dZ8c
95vHKDP4jydc3K1EFYe3EaXR5EjrKt2XT823Bw6DJfcUSrq39I6fo9Z8SOMPHdP3aWRboNC42AHl
AoSmN5ezffGHxnxEgW6IxwPkcCdC/bc0r+LlvwATUYNAICpcd9dsAUyG625+dyeF1RvIsBu/k3xp
oQxUHIcRL1DxQkaD7wpcADLiS+/7/8kKmuy4CXw2DbAQNCjBuZnsC4+8n+7lIucE0VE8vZlStK/d
m6ve3mMRH3OQHIcx3qGzwbZ9hsl+CON/Jfu6I6ujwOe53jqDuFP54TjXKm2IsfrVtIaIh/BjreAg
DXqO6yGQNP8ja9XgJUd/1MfBPUauKchoc3BvUKSNfvKJH4079MXGXk9d1pzlAwXVQhaKx9AmmGIo
jhiRBuHVNv9cs4NQoiPF8JTv1nFEl/sjIHNeGQNCBjRFcy94AQymgv6Q2c1Es8q0rJuWberk6H1S
sFRmy/usJ57CUBIH4GnbuNMOLBe9rbiFMkWotztwPI/0LM6kpBwOEa6XBnvbdIlbfV8MumyvpzHk
7mZHsfVHU7Zv8ISXXMgL+tw28xBHn/2gxwFCJ1jqV0Cijghd75CnNMy4PoyXn0HivY72xPag2oDv
M1XJteF8qbp+TdPw7LnEjv6JFCldk2ujS33FkEz2MCSz7bQnTtF0DUVi14MYOWnyH6i1g2AfqEwe
KHv5SBQFM9jmBJDElT9Es16nkcjn+PPb6qH0KnK6qC/1avEiSxXv+j0h8xxk0XnSXyfECgxpO9Ju
W6l2s2uBh3ZP9Z0xLhaoUJt3qmGcOdbAohItMRxMmuGiTJMgDgliILRqQEIKSHHnUmqvg0H+K2Tr
Pcl9R3X6cZBL/s0/zN6xwh8iWGhhTxsQPJKSCOUrbs50ANm6oy+JDmODeFxlRNc2CDogDXBBETKL
NE++kXtYlU9HwcYBO7PP5MqkNzKhy3o0cHUBBCGeEoUMHS0w0TbFC3PprUF985y0e2DIJaU6O1Db
HDiS4KZhv4zCklpGEr0AkPQVAJ2Ca78FIQqOqSmtaKFJd6QTWtj9GnS8tgeVF64O97kh7d9p3OfR
BNvoa5JaKcm/x2hCGU1TL9ZVZKElRUtjsPHP0hA5UTXRnF2c2++AZDy5GXuymhEQ10FTW8e2TN/R
HiKufbUhvLTiKWrjL4CwN7llgaPLZ+rCR6nvO0Cuo+jusF8+lfHO0CdaamRoFo6uxXqV3F+5uCGy
upyDag9BCWzq299vnfLJJcxp4UqRaG7rF7CNGEN4DQCgWJFmduRzDLbi5jUgCc28KajySm5cWbsT
0F+OK1zck0Xfo/XJ0V26oSclKBFKAnBax/IY/Zie6HvhUCjssrt/sBeXYmKZpAwh61WJCl2T7qg8
B4y7mp6jkkiw3Pebcqe3gxXtyGYFR4apjvd3mxMkhI77yFsbZtOV67Ix/TZ+cIf/t1Yq8hqJxxj8
cg/28IB4GMrP1afpLQD4AmifG6eD+Sp7z68JJO9l3zjb3/ZyHX7t5xRBuqUw7PpWth9MeIxorPkn
WU0pts3S74ihNoyTIU1khYLWK53ASGPIIDuO0zhwKcqC2adl79Ai5/26gwMKscQL6vpZwpLhUo/z
eQG3yJiuNHFN0QTpVu3vNgjATEVUiJnlvQOmMtmcsDOY0jip+4mxioLzToGCjtrNPs0QNQNoLrgj
q1i+dq84WTIpVEzCraDSrWIn78q9S2dCtzFjnX2GZhKZaWB64XECht1ppFenKf3eL8ZuKqhwGq8d
tnywNwpBL5kkyuYoDaESNobz7y9N7SLK1ULFuyERMDPg2xTjJltNGtf+YDefkSHbtNHl/e7Vmzla
0OCeEbrjp0TtKRX/NsvMuhe3Pcb02N7jsq6tKI/RF2TfhAO6mNdBpj9oStZHKA6y8B/9h+OFkJJR
PsJmuPqDTPeL/DxBv4xMs4kEVcn8y28qkY2C9ICYmK5YTgt9CJqMVia6nwNNycJ3j7qc9TMa1GP1
QU0YxQ6m5Z9arC7jiMtFplpJCokoq6MiRhrvllqXzfMRrRRpeLODRigz+TpqLPsjOzJevdXwbtnl
2Z74p4IMo8OPcaeOYyOdMYI+pKrtBvPKORHpVlYfBtaAqbOxMecuOPTrjWy10jMh18d1IurqROr4
Y53n57cJ2ynnBvC0t3osp3hE7iVbZbcaGYuXsh7vnfNa23YBQNb9NHAaUqZIm4LIIElP5eljGPJ5
3eY4v7yKu734frK9k3r69dKf9Zq06NtpnI0CWv6IFw9TsS7XYWmsYPHojJP/OxgJuNoyAb3mv2qi
KVZzz69JUUuy49Mugbs6qJkTCQpLgrxM0GhdFi1ZDrKmRA6HqOzp1HqdjFp/SxD4TzeUOyb0GtPu
aIHP0wIBX4QFrgz8TRStAVxJoL8z8K62rmt8LG4fg/ryplh7ElJKtN2JA6cpKJ+jI/bOp0iVsRud
mdr68K0ire6N/S7KDgnWVS75gFiXfNs0vl07w4ga1GKWt1g9+r7Wu4Tc/rpWMoya9ICnf2JzRfNc
cUBh3qit5hxtPdEf9hHPBQXF9RQdCqwC9mZ3E+s34vcaLo8GeXaOHw4SbK80Yp8hn/i+RqP3eMOI
MzOiLITeOpa6cKaUT/rwFN56o8+DNvIMgGSHKc0Wv9byq5lR+y/2LWYREnvMZHpmCcf8jRVs7kDE
c9jOeu6C0yrvoWckzd79scYfTEym5Z1jvoqoMMYjr5nsmrkysqbJkJ8pnqUDBuKa5Yr5dVeByyat
3TvhSckUJLOZgVu0hzWLtE4nEYFRlMRNteZP4bokVK9Souq5/foOhCwstNH414JGzsxneLV+QeQX
3IHpu9yq2QtyVFQYDbLBmU1UkBJqMMTsmN1b2FCnMx+dHYlMbT9XJ5VtGYqWfksZJxSj0cTO5Bhx
xECytCOSTyZBL3ykLTN7zZrl/866eOXf2uhoxgG/AszN4rhXDUvRqCfrWmwcKtTXhlDwCRUrdrF2
Cimud8KPQ/+VEB75xmnYwL0K5zILZmYNsehNuP+vU3ukgFmTUS+TRcUSV5saGF6uGbaViZ+a5et9
IZ6++QSbWNj0pTPXjmn44/BH7HmsQl4S7FiezhUqJm97naGMOwSWQ6+H47p5c18R/QLbfbj3zQoi
VRokIOA2pXRaUukK3L6AnchBVANLGQjQ8Br3xtyHQpCPxDkC9+FGmHgpftagbRQrmtOftaUQuT/b
VSUPJkEOdCD7cph/v9JTFKHaayMTzwISVqrbGgbB/RHGKJP+6+v0/ssJdBQiAKcBeQAUKrQlzcxT
HH+aT700hQqFK7lGHtysD4YZupG4yrZUxhustE6XQVunxJBb1azL5DrY9ql2PyB7oZfRfSl7j9RR
AIUFm3v4cRqERzbsGo2XjooEFC899v1ghzNcS/m4I+Q+YWtOiT2H32+VICXRPyBbB7uIGl1hSRiZ
S5L35HiJyj3H7OXXglv/6wM8cjl3j/4QTC/rBegkKP7Xz04RAesFHKn/cHdnRBU0U1J7jrhozRXd
OSDQYWW75s1/KDagtOZaLysiWUBPX4BoD2mf82o4Iy0eZvYhO/MxoSdhv+g8f2O/RHaWubPzYloB
hU0eSflU6wzOo4Aki6epDK62ORcs6h7TZ3jr8yjnYMybJ+RsozVdJWrp2SucbFAIpE7dPg5CS2GB
MF0rCsPrHUB5PnrHLjefTEPW7gGVXv+cP0AYPZKnvUkHFO+743r4NWLlzaz1W8Zi100hKZzj5nTK
vZ7hL/URgszqFMFKIiWPTIr0ER0YlA6/BGyYZgtwpUfmiUuXuoNYOzC5buhkL1Co7HxX+L2uTHdL
+DSMSHfO0aiNMpQBYZ0Ni+/AOOjsOIGpUVtWMLpQO8LHb5B7cSq0S7aOlskayc86u+CR5MFPhHVV
pZMU3lZpw987YO6JR4xGaxHuCX0BxWy3tct5aQxdAoaf3epWivmGcHvQ0/cx8k7a8Yfs5PJlnXfW
cuditvuix1uVLQoB1+8RVLwCZZw0MjiXemx4Ip0alga6XsqQKcwk+5MMoNvmu5GunCWsvdyNdwkQ
E1BV/Gu8QRl0aKh4LDPbOwGu4PEF26Cgexnig/avrMc0rg6DLn/kXWbnjCmT6kaYoAVZHW43VRfD
5w+yDfwaVvjBKXtFS0IKuzFLDud5R43zhDqFTNyf8trDADnR70VirkkNTGaOuJASUrKyxteiZb+v
ByJxJPw6MvHx+9n/b64r174MCNbL/e3RJfp9dPv0ZFGlFkeb0afbD/iqH7/fLR+2x0lJ+gQeSCr6
71ZDU90ryYR+RH97+MuZ83W8vIoCEE1jt7oh+XfWU2lTkPjkxqt/DtR/LxvOrsBBE5Gl6E0IxjBw
QnLFdO1+qx1afM7aL5+3L01XXuwVSXzUDVTzcMjcRbjc8860vuCSJir9qFG9/RP+vwd4oCv6PWKS
bBjS6Cwqa9Kf2cRMscDDtTZzUdP00d/ZUZb/uEzJQ4HbA3cOZLlZB7mfrFlw8eZCHlIihcG2i1mB
5l8d+FTlbzc2PseJJtJaWcGN2rtDJJKNDSbopJDebg8+3+mHrp4HlQ67dueIAZBDDdlRogR3dGGB
g+fZ5QKpy9Nc16AzSoEJ7B173z1uhdAs6lHwYbcr7OUipRGcTtR7JFh4/7MQYGa2BfU8QIpoz3jn
ix//vHgA9SjKEY02paFoChQE2pkPbZwKEW9XMJy4o9w4KFYsb9IOZYCOuWWBw0NgdhWPFtYkYq1O
P1LCHemCo9KcdacBngUVqLYscffKZepNIdaOP88aHo2NGVM4snIcaDQDEOcPZFluRs+F1v9oEjr4
MkR1MyNo5KneCsAOJyI65Y0/jKOP9rQWDN4uASVCSEEgctOfi3hEloxW6H/eEi4fsG3KNH6ADFxq
RaIaislvlAHuD8EzTTb/FDJOosH+23FiHqm+CDMsSi/Pc3KjPstrVSMqZzmkdGBPmDxPIfA9aOwm
O4/ntCYrvhbUPRJALzEFvuwdh3pbP6ZziVRK0TQsMLCQda9AkyRjWSWzVnINx95jVz8/VGNtRpSm
/j9hGi5MXn+vXVJVZL9tPE6eqm0byNxSd1I1KxbXXAmQ2C3Ug7DgU0c2cV5KW1jrUgza2qYL1Aum
dmDO5G1ZCDCyycXbwGqtvX1L0y9c+/zGvSjUKR068RvAcMws0reJoo6Ypv6DswKGYSCC765/Ns0H
lq93v49ukO+mx/L3/gxaHgQlHlKlGKMMSRf8jKlGizLwaWKIrFRbjCFy4NOcoI3SMDqXttlSRT0a
PYo7OmjLjAdCo+i8x5qt7Ne3Jij7TuXd9YD8IxcDYse9m7vZWYPL2QxIIM6ptsumseFI2nGS5zn2
XRXUd28ZUDJQvRd9sUis7DRTxWyeDdT17vxEoi1cPO5Uy3kzxSxWnj5OwvBnk85UyWlEcHB9Kjxo
6ouDK+qE6YVmVlsKPfn8VPMHtQoSjIFwZQ+VnCBAZfr+cWxHQncGeVuG7uUnEpmBkCE4cZY7+7Qp
zlWXMcVeZIZ4qfrNL8Vacodw4kNaqGqaujL4SdLCFDBPro4LeTavGmzq2L5DPhHxCLLZgPVpy3FX
6r420Wc3AfDOJGbuxESi/q+mCXspUdlAdGppJDkr2HFDEDGx+yTW77KdKPCNLSHaONCxv+jrvK63
552oavZqR1haDJKav+p9640E/jY2MJLqEHHTqfR6CXDDefkp0+5r17fhWL7nK+zqXx7hVjAbSRg/
iFz+25uvdH8j0Y5z9KM616YXqZxNdYCNliBvBSmK36vgX8aHW0PXb0OFmbuQ3fcJzE3m1WbdLahg
5Lo6sNeL4ED1CafV7TBRchwFN6n7yeHW98n3/j3ihfBPZES/YHg9aMFwHaXv4NWzg3wlIPBgMt+Q
aEwMtpSlEh9eIDcRERFQaGm9KYsxrgKOKnAZyqDPpvmSQ+UxHvVzNkxc5v/Ege090ZsDy71Owreg
qGGPxXSwvKmWnnuCGGGjs29NDvM10ZULUfcPkZzO98XuPM4wleDc5vh/iC48hzQjCcJsG82TLgMR
r8g5wU2ukxcSnRcbgQZq9OBvUrXK4P3VeQMBVp1m9gcfBDrCiF/vwHVLpxgtRZJ/jvw2fbkRpoUo
vfxH+xke2Z/fCTzMxnNAScTZvooj51vK5lU5MQ5/mzMPIMMyd7fZAzqa+CLxMNgRuvxFX57WEoIX
ck4exPMZemYy21O+1Ueqf5NCoMVXGwaT6rBmeQ+5tQU+HPUQh6UK79kQuQvJsXu13HScCDjFDDUs
4YAXlHt4KDh2BkXCo6ve8FIJ7K/xavgcXDAi2/51juSGTbAzlIzrsQi88s1041F//4D9RJmGVNxp
xPv2Wbn5J2QZTRLdPyvQ6DAzzm7f/WF8izhW06vwC/TPyEiE4vq/K0crcrZNy+gZ+VsDnNFXFoFY
a/c7u2BllevHFqwkpuMQR5ioiPpV59zP3zg6rH/trb4YyiwvBwYu+3ZAdQ3fClzwZ5UYe3Jr/nfA
hxt28JaMEz9h3sY8uLt5a7bHbtkCDgAMdjkasgPwfZ0lHMVRHz3e/zwuwk/CwML9K6+z36rFu1pT
dPzYruxaCbIkJs3cAzfHpDwuHxVWPnl/8eII+o7E5H1V81FrqBPO/Z4vIO2WSEenm9OLEGFOHQpX
QwrS77QAzD6DbN6eprDHSTWtJuOTa2lyQG4eu4sRd35uHAYqiV0YL7+GcVKb3ZSQlob3O0R51urV
3RNnWFLi/GzES1wvq8zgccCkk0edZlsSNFVAQK9vYZaxKlbjuOK3YSEZZv7yGJdJDd4NBV+S2gcb
xTnw/mhGoCqXEJtMjsDYd+L/biPVze8GOdUrAsmKnET2wmzSc6eaTVy7WyXamvznTc0w7hlPvNOB
0TZyLRitMeAEG13EIoSibFKO7wIlCyEigJI10Qgjz4mUVaZpsp1/xJHVg0d08qv0i+2wuecZxZ18
Iw1bavOtOjX7r0BS+lBc3jWc/xXW2TusRwu+D9akqqHIVQK8cVSbQzMtrn4u7CJj0ujz9Pg29kIF
eQtVuqHN8EUW0Ejze8YbGB9TV42pYayN1WYjcaTjxmgyMFtjkEB8gSvGYQ9SSZHzZ8/KjlqNbzxD
ktvN12AScb14+UasNZLVVAM3FFtq6MnwLKdq02CI+raBNxqlSFW/BHZuA3U0pYQtNM0Obx4LLlRQ
EIcuyFxNcg0N9IZ6okNooQgPx7qwD5yZiFe/wwg1DpzssMQcwhsnF0GCQR67cxV4U/l+E44K17o5
7aRH4x2jUYNqw1k4NXBZpvg89q51VWGgx62z+8fv7aTrDUz8sW/3Y5RlMo8OFOtJ4GGOZbxxJcsC
RxU6BW9zRl3bgCFVOhY+Wia3HAkDuZizIIvj94u3SqT2KYkVvHK/DVocxp9hwxx2NZgakrTEs3WZ
9VaI7nQrl2toTRU4NUPK6unuqgmqEu5GbGRNP8fUNiV8m9HYQ6lZtotLPk/g3FHNBI4jWjvlxI8A
P2NyUXq+UvedL9+WUapbWJIegM34bbyFdM5WBJ17UAnKTXE0AQH70H75sAgnPWFesTBvgzrbDqDl
B3PvSe+UPsHE+1HnbJYdqdVCFj0tF7Ej3P5wypxkfKVHZ3IGxxFXj66x5otJWI3UxnhtEO0RbDR2
GaIesNQ8yGdEEcH84yy6xVOJUq7Gf2yVvYYEA/FD51zhfcpl2OWIuROOz9+Fl9GBXT5hy1fnTFeT
Hxma1BwQd4jR5GpDL4JBQxsE8CeD+IYoTOK8b3oLKi5E/4lZ9AhLSuSeuPxrS7fptTCskFxUms+O
rrnWZAFa448s6+lbichBChAbDfLIPlveG7xRdvV8SSvKTfuM8+v3NyP5NG8wgmKgG5p2f1WZAH/P
1wEyO4dTvrfHZfpnMN7EvmTBdSxpb/QcbSoQ0JwrvPMtMj/mpfNFWTiLV9rSxEq5BvTQtt3gl06l
o5Vj7nxgX5POnEy2iKdu1MN9QcD8oqTwgFZKPgaVpBSp/ibVjbXrSH34I1NAoSji+Q2Eb2EpI9d+
q2xNGQGqYDF8g9r84g/JNese+wwLmjrXlSc83++XtwaHSvD86hiC/x7+p+5pcbIQuADwiufEPVty
ke2c75iYbL9QduAqX5vLAUcHVS16KebN29lbDtjpvCVCp3Z8Z83QTHjlXjmFkHvZQHY9W7h04LqK
s300uuNbnfMtR//rJtt2YwapZNRoMH2mxWdNYKyditHZmDu4OpWx+4qtBgT/R93a/FAV/RuTTP3y
TsEqBQv+2IXtQfYERmagbxtUzMkGS7jwmdpT4XtWCuBikuxxP8hw9iTHC2GgEPGSJI4xizyLRai9
WkR+zjw/H7zHavWyLjCifHsVe9KX2F809Ny+9arGAwDXFaSecqtn3ahE4TiGRI8gSBRTbaDlT+1Y
Fv779J7D+dI+KQS9i42a2+9sQRRpp05zrLTCAl5veF02vTM3JQB+XGmWl7DicG3Nmq4EFeKAWOjX
yruLTdRejhkMLY+xBG66MKeGMei9ExeuXHMeT9S5hkXlZhOg46jSlL73UpBPJ2JzcLztFpUMgbcO
70j/QHQ1fpUxx2riX0ZLKMYfhEYmFfxIMyXWvC4dfqNluD9K5oe7cSjYfeDpl/bsj+o6NGjvRN9/
fV2bWqkifdEOn7lr6mZb4LHdnMfyWxvozDN+LlJTP0eg8MF8zVEzuI3Ljul+CUZod9Ir0PGaqwLP
odHPE00WV449wncy0tHLX5OgY651w5Yjakg5GARCD6S3zJnopw2ZrZqfQ8WhqyoDMEOdZeHOWcCg
k9yDIbjf3iBOIHkDQxxQ3Y6FJikqRsgJER3/mrIB3snDWY6dej6+2SJlEDdjw2ZAMA3pQzT6fZoQ
stMue7oRZxKqEs2AlPykYaKUlun4ql8tNnKod0yQiF++BZ1l2waGfrkspMJXs6DavvxwN4EwPabo
S8tjZQQvm7HmqSkQ4CnGYx13swipGNY5lBnqm0X3wc941dt0/UqIgox/zJK+VkVVOq5uEoeWSPrQ
jnuWm6r3AygzWrwKzJkChY5/D0ycxW6AIhzffO1S5gR+rFxnmanQJFwbz4+Qd223BGuQTK+Vk8pt
W43cmAAY4h1vS4bEmkKMK/jVDr048KQXmhxOP0xne9PIJJbV+eMfUGxy6onL472fGTUImTNNT2tX
oBZsDOJXZsP7D+YTC7H2Rc8b0pSGzdSMxDHNWAz3BUvpU2AZ2r8PppSFfFGoMSkzmD+LWVwtch7t
EhGp90BAVWV7sJyyDMmnrzql90UuHtSgxWbO7rH40U+PP98GZdBFzfhj1Q2v+ac4C//SCSYHhHxp
kR43dblnBht4Ib8ndXd5q4qHcaz+GsMLYzYdaXhy8wqywCW9APlzH+qZZAk62VUzgCguymSbJjRT
KIvtFriEiSgb8VeUBzQ8HiiXka5VnzUAbekIspXPjdHquGYuFdSUqZkYe6V9LYUyRmgtH5k6g3/s
a7xY3LyPRNaruERdzzs2KEj/DLXl8geY2zh0WFt0ian5DyaM+oONGRGiUKQRR8CPiQ+wrUzYSMLI
vipFSD04hJDDxXbXakl+mRZtpp5hsS448z0KlvJTCUFK5/xSrvVk2sGp0T8TfttudeKJ7sj347Gp
VhnwjQkjMCbEsJElIptX7iErErYud1FcSPwpXSNqqxobhCCDnQbpMYrlyG3uCdyLMc4hh8tZCbkT
4dUtqCCn5Uh7dEbYGeriGzaj6y0jgmCYPor1YC3I/nrJmElxaqvRAp2k0fzWCRfwI03oJmu8VFYG
pNS5Bq7xZxGi0FwRbBUeKdOA0N+3aD7/P2BoOD7ci6Ahgc3owBiXrsCYpMAitUay/UmVqQk2I4Zx
W/u3OKmZKOWSmNUADTNf9UqZHPOM+SYYe/OKGOZhLyLyHAZOv0qxuh5I+TLIvWIX48Gu4YCyrFC9
D/KevzN8VRfHCVuRJPnofHPkROb+YyGwKGEZA+TwuezkqUxCraADSrFrHLIZrYYMs9rnKpr83t7V
v45Kc9mskcSBScFMm34rm8UztyoymJ0tEUojmInfl4Oahqz+8/78pX2fJuo6EDp9vFKfiPKMEcZJ
hAm2yhnkG3fPmLxJsHPYni/BAY4mpRw8oxOvLbO/AeB5EK+piIOV205/T/cgcDtR+rVTrWV36yU4
UHMSOgeNCih/bSiXR3aOXpcyuw79Z5DtYcs/YR80y9ySO5bSpq8VCJHK8IEhmV++AnXW1jxXG6O8
oPFjXRK9kD4Y/rkOFy5QuZTjzB6uw/vbglQcmPCYRoBWwyJWyzRsxIOZYDgxFZ3l+2MAp6q2Z8Cs
xdLFWtzPJMCwb1R8imwyq/5uPdxZmW7sG87dNCecnQm4dESuPDe+e5WUUiqEgeAhE294ARZPSdAR
H818dBrMyY+A5oVCMtNT5HnYYY6MV5Ia3G4NwfLeW4kb+dIx49hmgD/7uC3VXxcWyROuzgCqr02u
o0QUocCEcNbLa6uMCT7emiG7WBQpksLn4eiUYNLPeKEXLYc+XawRlfxBC5sHUnDOCCnOUnluB0cV
IjXe79gaezh26X2ZF5Uyn8cN/72sdi3uw+p5CuGc58nBqEC67ALA2fPaHdB8KhOatqVTuBlDVxcb
WS4Lt4uGZMkCo+zGATDFYuWAOUha3ohu4DHFMeA2NXLXRiMcfhNHMxDp6LMLgT8reVdcMxWTZRU4
LglyiI/RqJoy1PWNLUaTnKeOVrPmKPnRTjl+FVSZSi1Q341D4cmASJWBAXOJKFQzeCwvrPFiGi1S
gqS5O0kkrjJd7e9NZxGG/x88R36CVnw9BmSrYsVLDBzRgiSQKwg8G1t2NfKrAQs3GWWjFVqcleph
HszZqkVRMwgKQtuVqf9HkyxxZL0jI5xnHUSK/CehP7F6GQc//s6AkYR148qn5TeP+HP8vVg1t5kq
JtT7o0/1rgWWZvVCqp27MO+6ezh7a8kXEd8fBqWNdYW9nO6tUjnINivpXE0X0otb6g3Cl1lbd8qp
X6VhXsNANiMRC7WZZt3FEsDp5fdIQKJJdmZvm7OzYttCXkYwLkV3A/6Z6Vlr0ott0inpcbbvPWxx
2HlRs1mhO8zUcAk8P8uX9jHLGQdgt5Hgcskh53PikGXFOomMRFikvJ21G7tvAF2blPI54A3pnTOb
ZFHmrhqI1fj7RxWEeXUqh0MYlHTJ5y012C57QiWONwsSzb2fN1UQrksaR+SvUWImiliA8+u64bQQ
OsmxRM2E30l7Q+53gM7ez/oWHReIRViTvyFaUy2mRflCb6y8rdYBViR8iTQxx6OJ3WWdItxUYW19
5Qj69lBIbSH3SQuVJtrx6IR5Rl933Tdlf7CdbS9rY9V8wHT5M0dWIlylQ6wGR6Do3iiajad97I8g
pkAzRDlYU34RN1hKugbZIHltV0t2XCt+UJCk1RmUBvQRlZYv+RXSqbqqqDez7WsMcjppBxWF9zOT
Qtm6poe63zsXaKgBTmWnFE0Nc4D4QuuNlO0F8LGrnN1XJqKw/cXWAyRZqA82vC5k11PO03DXaprI
emmXD5uoxwqFLU++80SI+cMHl3d7WyaLgHsFDLTTt/sW7h/GcU+rqHGDf1bySLSpK1H9hJucuTfr
bI4pVoOLBKyjaAm920ZpoXfEz3Q68SAJOzRRF+R5XNIZWvTQeMffYawCVLlWVIHLZRTQAuGbYezO
Vxl6iRhwnaAD8EDlir0Rv8ili+9cGpQnqK2BPqnzs/nUdateTMChQma9pMCXWG93oS6o8OaTsjgI
HMr1UxQeMazp4WarPMfkaLV3RhvRcXyFqsetTtQbViHErY4ONYEqzqVVcG79gSRQAKYoJVYdxXwc
dMU7ha406EQLpBiAySOBjz4u1uKBE9D433yZa5ClsL1C0+/4KPG46aLUFKdJhSjtan68Azvt1u1V
w9uVx/3GXybl6AiTr/XVVcNqRgSBudrweR8iHIsLpILYblsp7YCCubW4ed8MwXorHFC7vSgaVgPw
+XcY4jeIffmS8SHW/noA/fgyYLICtAkozw9FlaLKgSABoDDOZhl0tO7jrW08oiECO0OaFHLHtJnK
4fV9ZA0o7wPh6QCx5zpu8ecITwixgtYRq7fhQKOrfGvy9w2zWWzGIwQwElli4sTgVojRaRvdce4B
h7IVcKwXpyLx7KQ2e7rulv3ask0xl6BUvu8eK3DqJrJeZ+CDOu45ropkGBRJM2tXpGMt8FdZ4osq
e11TeE8GBL/ofMjdPXW3heVdG1aQBCT1KXFcV/5KaR063x5PCXU2+gpOuCD66zFS/5iP892CGO0V
7bqJp3/vmK5Bjv1zptydnrAU3vIuvxM9jXy8+w2AOlK/9taS/asTNFrytTv2K5QyJdgAtfBKiTjH
f6gysX+I7eWCaHZw7VsSCegsxP3ISVDabg2ESYmtKTMpFQofFuyGS5CEPChXxV7xdLoyLiFbsjIR
2kAKMKbhFMBZImZJdjRm54e9Oh+6iJotMTdJJoXos1jr0jgPJuQjp24OZxL2xtwJQXeLdAe4XrTJ
K+59vzGlTMtzZHf3UY0bZL0ueVHgD1s+KLXIiwqn2sB0g4goqvtReaHLv9jmY8dTX5mYOw8PeFsy
8cwYjKFH5vzg1fAjd8/SIpUJSTF7wstglpciR5QuIHR1oBzz5kFVn1U+aS4bdvjBmli4x15FuhM7
HF3ur6FL1jrBEVgMJ8QZhm349FGMBEqZZBFfgDZpYlAPPtLzzb1HBC0YygUw1cDek4bD7fXs9gIQ
EtMlye+DVlpFDZfIJESVt3Jz4kWNspkrR2ldBnQOQG1PWUmBPIi5lJVP77tfa9kDLVm3KjGM4HtG
QzgVAK4LvzoxXNa4AeiTOiQTfxx5/9Tlk1gtXeh8RzbPoqt3m4pLeTvtgXH5EtCFbSqqR0ZPbsCU
J89c5aKgvyUs3GrEzQZT5wE5210JElOVPsl3vPrbIRLHD9OL5mrCXiOEIWfh+aZ1IFHPT2PrgKXu
271n0Sn/gM69FubHCLcRJuc4EWzuLXFoPB9kUEwL/7yiTQduPqSYSO6mMx1/dSSgCBVmrWue8XjJ
L4faZbrKdYDnGWTD51XOnKLINeC3TBpSo5ymZwWBTioNignh+D1eVCE0cp37aTi1nNpTeIXP1VGG
6AUcLNUO7fLQ31gUcGBwyXOju2gAaOxtR423LJ634DS20Q6f3pYwoaDVz/20zQRHWyUQIeetFfvI
vePW85mfKXzYnLcD0bccFQG+DFePNGqdGe1loDspACQw088B1ibx/OZdlMh1HuQvHy1nG/4RkXXC
Cw+jJkQinRb2V/hgTUdzhqqNDjcvX0Egtl8nuelzGA8M7UTJD4d8bc0YqZ77K0aEsxOH/uLH41mf
y0wcjtldfuCYpQ08iqW8Y5JTCPVcW6X5W4gss+fE/eYGgWZmCGJeMFLpCdfQz/VSIbLY8/NG1xQn
dWR0kliOY1bCc5gAE1UxGaWo/Tmsi8VdHxJXtdrfjs6i9n2sDsuwkI+mpQhAzss+Mb/QVT3WM8cc
3eeEVGYsTPiREZtw1LMFIhUGGGswkcj9nuA4c949LI51/RhCnb+ZjJkWV6o2616/FQVyyN93vn+q
djVXinVudho33hTtpAr1J1rkG+RK9aKxW8TNzhARrHGDxbM2r34pTpEl6VUgp8Xg/NCD3W2xA3hY
vWCf3DCca4nywtB/KqSFEr6XelMD4qVHzPkCF+HfffQOx4b8yQbaVy3Gmzhyu4vsQGDsDyzbmCph
jaokwUeX0ksXGcCL1lJ9Ok6YLAyjAtTBEtMF+qPm1pIRL9/7iV7MHREsLy3BKdgeo28VdUY+/8g0
HlaXCeoNUcyzV87Bj9CSKSlAlnITd99R6vJ/Q64rmwr+NuO6N7sV/fou8C9c7za8KEenEsHZiVwZ
Mi6eKeF/Om7HdwTVhdkXRllU8DbDuvGcAlXf0l43GbUEkT7XaS/Tp05dZM6ZtRYtS5qwheueq1aJ
/DaRs2X22yevJjHkNF0N07QOR6q2TpGDgyk+i3xw/xCpYtSRC9DIQHUKVLtpP2Qu+ThgM0QbufuN
fWi/b0vFMy15LucM+C62qErnTipnTijprgQAr4QyxF7NiixX9UV9P9/4o9ZiVa4RCy7OY5539IrE
LJXCoOr8y498NAdQL0ofWogi16ifp8VWq7V9FgI73YYGCtYZFub57AToo+n3Nj47WTQj8V3zh/8F
Xl4lZUKv8W2Xj4UjSzRXUgsWIOWr5xI6HPdVS2+8z48QTvfzuy3i6PSq1SA98n0PyC2hw2twhVI2
OkFXlMWC/sI++OGnL8fYyRBECEQJ9+cvZv81pMzMjq1DMGV9d01t5ufvGoRjUebKGDEIJ+o3oCj9
U/YcfIYwIp9qZJY1nsEYoNrEemutzbOnue7cjaPMSb+QllELxQtdyGNHMaTx5dsq42aWopGQV+wB
6Y8uc5/8XbWDBN42a5PDCLAWZ+c/Atw0qnyT/wM7HMMXBlkgBt/B7nCZCHAQiSrXJuGjCsU+DlcK
IaOzffcLLa/DduYU5a7k5u4IhwQlKUUlJQNKUcL2LWzmCFzauTp68wnV8TVkNP98PPqkXrGHSZ6E
GEppFUfjZMN75N1+1O/64k58eD2O5igWPTrOemrrnsSO2SP4DVfA5Z7b+fDaSiixkxsoSGDlBDVv
edGEeSvLAXxMhvQGHtD3Laqd+NKghzbmPQi+E2Hstn9z7KCG1noRE7AWm7ZFuiwT1dAiZMsNhtpF
wP/3arLuJdUO8AyTmMerwThgFqmmQbZwhCpBTrSaW0XibP7O6YdHjl62VpTYgwh/gtZ+m7NhwTHz
KYPoVi8TLNvUeszyWoEFk9JVcxtAhplxqbA9w+PDUuJa1Bp17wV2FO/9ULslSjRYM9FjV06f/s3D
gMZEYzAdf8ZKLdCF94MPJ38RpvJy8AiBN4p73KYdmzIiPvfpG+Bfl4O2YQfYYNVfIKC1P34yaSuE
hv+ROSSyixO1EwZivZ8Xd7IbIMebIPkUGP8o6sWiTLN0JczWkKwHKUBsFYuHwXXK++xZu3brSfCq
a6CZXQEapAGN+83EwAVf8/9z9AwmOjlK0KiHSDuolVATK0ON0H/3goev/UMNMBtiCRMl398ZUm3S
ikJ/Xf0XAG6gUmiGZV8ExekxTVU9pfH3ovhSh541eKeH9Fukvz54O4EaxYz3pRGw+iN5IC/Cum84
eSZeUkYO7vwOtrkR2Z+3g1BqZKaToDY5ACP4P0JOJ/jHcCqHSdwb1Q9gkd7YFVqGVhamGtmzFBxG
BNhNBuCvWI1k9qRI7cOTwcIdeZpK3WAUqzl6TyPY/ULBJv9nFQHwTAPOBjmKjUm1/9kXjORGJP0a
Xdl6LMH6YAQew2UbOI8o8RyBVuOGBoj/tRWU59tA3G/InzRbKJYAx/PTGXnxXN1n42/UKI3fNpMx
LYkR8n1yXbBGrL0Na4jmAwXEk6oXUG3HMiMN3cucKNB9d/nl6TJpfmZ4O6Bz77z09oFbV7sU47YB
a59DHlc8lj9i/KOgos84ZRmG96wRtEBF1CwZe9SL7AwzYNmAS+huNApw24BTYAvtdMOBeKDpFfgB
ckIf3zCB8QPrASalajAXrSRS3KgED62aFGVUu28P/RT+qdJ3zXdBsHzgZGmHYDZjM79SCHSgr4s1
jpJD2YLcS7UH+G7DNWtYnk4oNAFVNwUhvaF4ODCFex9PXbulb21WceNp5eFx5jn7ihAClkTULtH3
LmkYVAX8cL9p5WgaqoI0VPJ/59fDuyyxkBk7OQrZHAjcGN0V5K+9FRomu1OLrIZSLLyVpbJAzJT9
Yk6scOGr11RkGQGzxK7JjWFSlS3m2hHj+br5mqZ7DaWQddpK+T2I7a+Gf6sQFLbSCMpB7fQYt8pi
Nn3CV+4yWShcBu8vwV3GPI0G3t8GtbZ/2Ot2KJ8ojFG/5Ef7HIUrSYi56mO62YUiVjqYpBP8x3dE
zvuZ0AxK2OEKOT4BypzTQAlCeqyvRqoynwv3z0agsV04M76lXssYmaEBERHRgOAyoj3EkDx5omm9
oIcoqF1Z4BESW6jSGX0S1U6tMwkb3L+WPN0HE/nl5QqmWOuA8iTk0Fb5SPw7dJER5PofOqy3GBIw
f0tVV3HIH6znACnKJLc/QBcANRjmf0W2HP2LmO8/9pw4Sz/tBa0tfa6J90c7LkcJ3+O2CjSb4W7m
js1fDL6L4yaqtaa1BEwejfdQ3kTC5EO0hgY+nqqgEs5NAczdLlbsrKQKU4yt8TU/+/lPWEb1Grcm
ZM208V/QC7rJOWYCtA1OCGWZVhQzd4YgKQeVFz7J7Z2zfZOM/tA6xZJwIS2X/xilB+CtI+lNjgRc
gHjNDy64YHbahvVZDfMnyqQveff05La0c4M9T51joHoySqmKnQ+3/uG2u2i3V6Zv/mVPFWXTFya7
bz+XUg+cLpBRqx7Owc+LHHnVYPWsJPn+hZyEb1pBHwwr3TtljsRAs8m8FgE8ovOlf2RqCf0cJAk6
qRiKMK6G65ee8pmbPU/iv5Y56RB2l24GW4o6zyGoXZVXbsJsAY6bc0RRze1/AjtFSGbnUSmpDWYy
EENYUbje4GbN0YVBEJ4w44ipfVtaHcO3PhUtir5pnytOvJcLPMHpCd2pztg2QSSvzWTKRMiytKYF
/Jh/vkouQ+DLdX9BO5KDKSPSkiEiCoROAIRv5pW/s1DPTSjZJP2EP8DRmJfM44U15M98tYp5m2NQ
Rl04y68okezElZp6ud/eO04XdlQdieBjZG/5HkSuxEnTao7yIEWFGrVgWKxEuEzrBYMpJu80TA9X
Qr0swXqEl4wIXSC8J5UFx0DR7enUQSSZubVWd0VPygUJGh81wn1Pd7r33OAkCR4M6skZD+lScFNg
KN3H3QOHPZ/IRmNZ5KlrmBWxKo/T5IAdUsexf1UKi+gCAWMbdM4xWchb6O/PbiSYwXRGVeZ4Fcds
OUVG9rHSaTCHy3NoMifzyEfgAU//XoclC6amVtMlR6kWAr4P4gWHsYoJSj8fCRTR+fmJboPKwtbV
bYc86depX1V1jGbOvm0unN2LX3PFdK2QJkTmw+1EviZCc0laRHJPl8DxwTP4fKIfGhX9NexCXnd7
p5XuLm8+yjBtpbndfTzBNS/06qWAqg41422Sh5KRhg+tejGzkgX2SbUIo8/bSMLZtTnfeG0NwOY5
YTEPeZsTH4PS8gu/JqItfovTZ4dShKnmJnn1KvB9GjGoUfTN+zpTXZpEVoXQyOm7D+K5gyUAQW1r
7mg2v7QL4+ZTNhPPddajPmXpOVOjpaPunqlGNgNrZwSvkp3BrgwT6et/dfblHxTca5uwTmnekcgX
2UAUEHPePiONkuejycKVuyfbVjXqq2WAsUG/hJyj2OK6pePvUEasDoYRgaUTkBf75+ZlLMDfGpo8
yynmJnHjDe1ieO4d5F7Ro/qPQyUefPAXSHVEO/qTqfVUcjsk8j6EBX2TVxVr6Myv9WUZ1YCXvBbv
ZIPLkPjGuNnr5DL6mvBV8E2MaapS9zMJG/Wb/NMCHoPugwER7jb0rjV7dmYvMaI3KYqJ8frRCXFb
Y2UaFOP+Y/cfRS1lOUyLE5z2+h2JG7uc4+HL5jEtB4CF5AG2gIX39P4XozUj7vkWjiLaYsa58nFp
tzITV9p5+o90w87YhcVAIqoijdKA8TjuoSLCXcbi3gVOsmui0b4eFMbImN3UCXJYDIeXegRIs6f3
BD3+PXKnHTBhR9GdHUAzVfCF5HE8+X4XcNWwiaSMkUDXu0ibV6yiLzT2NwKjpL7zdnj8Ps6b7UzG
lXkPKkCaXgycbD3p96hpB5HirnNGDAkxrx+Xtfo+eGZRM76EhmvhJqPC+qrNoSsHhViUQuXrfLIi
9Xwg/wadybJaFFx6bx3NBbdjcrwtPjMnH6PYhFG2IuKDFOy7TRqIqsHLr5eJ7F8AgnuJD1kLNig/
lJJiOd00OECmTlCX2/UpFoIm7x1bBzh/XXOfoqw8veWJFbLyRRIgkr0QWx+DiNDN65solSplzSiL
9wRv1tIZkWoYZzSYUn5sJ40qc6dLfi+LO9Z/ld8ToU0BUMUfNruprMIoAlz/1VO2pRC7G9pyERy7
JkblMlF5sVuca9Mu6A9JQ9iH77+dXUhgaBDGTYNaltemdFB8WJMolFngRx1s5MhmOPNrv3JVWPaN
+kXZU52/iT/dWtSMAAn2+BinO98nZUXE77WdmF9pmx6BxRCqkfQN9cNRDgw0R/c/3quJ7KOfy6lG
lpddQcFLgpObUa9VLr1tEswhDq+FldrwjUJK1eoy8TOv2XQfFudo9ktz866AMnkvyVF1QE4J23Jy
CtdOkeR3mWguU2Q5RLWn5mhf+cU1JI0f6+8sJJpuXu65bpVU92MbJFF2oz1CIGykYeuYCHLz0TGD
7bV93VosXI658aAqvgNqMwNxa5vXu97zOUD/hYj7sj3Qz+LfM4KftzEiLm3kwb/al0e2QVYUuljd
nez34CCLy4LocKYz1ZN34WaV2UgPS2m5h8PqZnt1d8qnF+3CkZP7c1xaXtt4Y1Cir3KUrA2gVzq5
L5LIgpni339YQpOGFWSu1T31TL+twxMvgWOlulDBaX1+MNfwZMW1Ak/ZELkYUvtaHkoXPcsocgWk
KAsmYxR05/TI6u+WkhzVC3cbiD5IRXw7vq0Nz4r06YYxLsqDmdP0soD2F4sAVcqE+qvKDJmU/LEj
xyLmBEW0Kef/xPJgZ49L3PKIivI2Cn09++UA7TYePfPRpaiHR1n2KLGKtHmdSdVdp1HLy/ifef58
kEjPomPamxeYoa2MxMcwpRCf61yihD0yv2xI3mI4fFHOoHeyL7X+Zmffur0NtRKsR5Z98Izn1IS9
OSrdivJBZ3tqv0nsHmN5DVQ8tKn4HaTi0tRKT8AsEeFcqtbRbkqbItlO602Hu6BoC0cy8wQ38NP5
uo2amLNmegPGVdI3OAfYkdA+yls6SPmdNx3VW0L2XtT4ObZPsx2NcSXyEz+XEeojhyxg6iJdXiiC
areauEiwWNipe8GDkYtftjdBs7120OiUnnR/ClQ/CVbe5nxX+4wj2VIYCOIe9exPKUgVyII9EgPp
IOKSD1ZmDBa40ika6t9qzfvdkyW8ySljhuI5k2R9nwZeCRy5PITcipI42EWbLW6kEpLu83UX71KT
phojbzasq2OXSYovZkeIU92vhofM6VM/mhUr2x+/PyQ21omPr9r8l31QSGN/B992gbRMawqQEzf5
vrmYisxn9BGoIbXupsND8Z8jGqpzzo8cZqML7BIC0GxAkZHt7OmIjaSTGmZjoVaSRqV3yBxL6DiU
BXglMvTiEwxIiOSvBY9MJ/d8TxloKm+ZyCiAhWV8XV/CAoQrhyXBzKYTQp4w7beU4vOS3OU194cd
NhGqM/t2aSL/RC47n/z60AgBVMOvtIgNN2zbkoFb9aoR7olL9G2S1HA9eieIeFw6hx64Rli1/qYz
vJI7nuffkA64kXllu6DMR0LQKvPUBApxkr8KZcJeJBl8aktidnbw6TcVNG3DTBU/hTsrDIMQCwg+
oQa2BHdpXk8FO7H3Pqbthde619gtkUayz5AMwz4VXE/9BYa1UQOVtLYF/IidqJx57IjH9zVqpY8F
6oi+4t+EIunAYNo1Mdoc8Y95XZvZjjgowtXJ+KRDEW94aSRrs3XlVXHMJ/AQGtvNAoniNckQ5MFG
v4Pube10qneA5nNPGjYutJ6+QNVxOZ7Lsh+mwdRw3AN/Y6NkUlu86UY59STHsrQReepJNvcx8Yuw
B0vJB8FWrn/hs4NS5zeXICgNW4ndsziWfD53yugltJE7VyhB9OAihE777Jnz1MHwaOq398xsnI5t
eLm+0gGMtwwImI+yu034esJ6VSSXC2xf5BjZm8X+jHunGmwYgA1wGnQXMKSNej2JzeDsRZA1le9Y
SI13MneiqfTHUyz/YCh5BI8sT/cNcTxN2I0H1zj/Z/4yr9EBn+tfPA6lz1kyAA59sGktdUakjROA
NiyN16wwc27YndNXOADjoXufjRzNmW5rsRtTADoTUveKORQrVMG2m/wVA/f6PqIIKhNAKQT1hQQZ
gZ4DMhjukOtNv0ZopoCI1wT+EFs6rJKy0kLv4Q2rzDq2Lr2vL9WwTM8k9trdpkn22YR5MwdUB+XQ
ocYcZjEARHqDLeLTOglMM1aONdGTzcKc2nlDXFlfS58iKByQ01lfRgxVkkpspDvwYVhM5xHnpv3l
mtr7hb0mq+N5GswLMilH38VHRq+3tx4g2pIL1vf9tSS+1tvUIxNbWjcyV1Q9noRzM3JomguxDyAC
pxF43/zISE5WQCfO/1YXm2pJy/wlBH9zvGdGY/Uk8yfUZR+thdKcnUo4oJtu6hCqs5aiwBSaPt34
KlD27ZVW7Tvy4j2bglYB6HrDvfrrPyKIe1r4ULsprOBxpnMqDxKb/teTc2sa+n/ZG05LakNkYGmE
IlzZ+8lWyWw7IsTZUaaFLeDJzNW6JutQig0m890etbxyhgEZLyYh/GQa0uxAaprh3Q1Nhv1HfX/t
JqIZUHSQPmVw/AP4zbDPdELokvHdwXOnrL7SPXW7qL0wPQLvMdf9lmowdma+YaOmCN5sDXgzogui
RyGngdUawNwSRdb3NQGn5HSEvBxyYIPhnUPvcKjrIt2ijcrahmdINx7z5c1tyfKtvmF8YNpNU8Pt
7AqUzgA9PhiXUx5TQBVlYTJkqqJ1kjTVxFV307i053WKOiqDMAxH5PQyGN9Pc7pY0+yIrkvH7VbX
rYRYa/lx2ApyX5J8ZBq+dI5tlcsiB920ox6WzWrOjeJ79jLwCqY1ka3NzOmXGY3VxhDauZvaAhYN
B+7B3qq6Wyu3wcUjUZaneIG57XyxvV+r0m2gYGYvNB+gyIo/ns8tsk05vbaZ0sFmHCIpgiO8jIyy
t/Ef4oH72FqrLMLAnEhyWXmzYXhj6FKRT8ncwIeubYmzbwmjhYyT3zv1VKW52DXeHuvS5LBSsyh8
c6f80AnfSn+a5qeGKwrjKcXBvAZTm09kq7sasrI59qCNWDJQ34nJReAFhqvIlivSo+lNBMw9e/YX
wjvAkMfxOYV+/mPgksL76YQUw18z3YmiBULjHIr1RBYEkCa13RH/MPwcPe9nLuypZB1Dleeamt74
3la2gjQLN1p6NgaH2jnGkVZRIkuY7/Glrut4GIMwE4Xp8dFdAKwpjFYM13NUolfRZxHH1KruI73c
EWXz/qUlwRlQdTFBi5rRqQoIHrF4EPyoFVY2VVCwB5mWnzgybYlRkiUxKsUEAPFQz4/uO8cNmEjT
Q1HihSUGc/3M0xTr9tE5g2/mgYY/zzTRR669AYtke78LnRjh+BPhIpx2DHJgUEVkgEU6UnMu8hqc
TA81qvDB/zsREGn/GVP6H0Wsh6DtRgFs967mpBnAmw46/BivuxKmqY7KwrnEc+7FZgU4TV6Y+8Te
GQdZQ5TylhQgDZCsnIA9W9I43HRB1n/RakYXVKqGqkaieUzLBOXH3D+BU7o8Cv4txbDzSAT+zBLm
CqEMDE8oHDSc09J8H2qA9nOC0K+aoXzkYwj0RnfXXFISsbKSgCEp3Nsqo1UpcdeO1oZezpkl+e3v
1fTfgNrf7N0s85d3uBsBLNfEbhKbMtQ8KGT+50Bi27gXERwQSLrmQJNxfAwU1v6bD2cvbdeMLQnP
QP+JaSxghu/KfmFoek1RNBkecSrcYx1jU1vshXvARkc59I8ei8KT854FZxZlt45Usy1tSAHGy3Wb
BGbVRwQva+byoVxgjqUFrQJ7q+lvSgQlRYmELPoKwp/hv/wZeiz5QDpN0sXYnK8ylzmFpCFsS/tu
WOD5Ghr1D5rHvLVGXPgjNXNQk2k+SiDg5mdhc1nXDEbgvbTEQyODul41TqWlkA78ZeVGppI7TxTX
19mgRHHae+P/TAlSTxfEO7QSfssrKmW3I2UeZ+zXN9twisfH0q+jzRb02OIFXMkapQsWei0F+jYD
jVfY3DIh7aVG8duLGetUwguO3Y6YUpX920LHcEG9kKjadZmpFAYY/AL+3PvcVN6GXJjSi317FutJ
BW6RPJ/VmhDozKhrTReal95e4TohSOjtgOEk48e8PbTky1BxbawUVDdEmBDoALMG/rhQirmW2rph
EszqETyehAxzNFd8Lp+X9V3lti2v9AvAy271yUYA2hznEkmFq300MkWaM2nQZgkuRslmqJibjsCy
1ehOqC4LVL7MnmZZ8aJ37Tk0CRGQ5VMDLB02jIiPy51YHQ/Xe5H6/rwKQ/77SS2Umw7dES6xML9u
zQSOVtQhQ20u2n6e3xW3GNQSmIJvn1gFKnMjNg1E403WPrUs//kdUmzP2VUWhKmGK7ilvwCeJgjN
YfA4AwBQbCuWebeALYxKmtv9gQX3UB0HJp0/0rc7HbXNvplDZwLWtg6Ld3VjGy5bqjEB0RtLHLhZ
wzwdgaFxO3ML8VfJv2DUVGt06MpflDXbFZ1ryH3ff1caB8doUl0hg+37AU0K7RwQCRnvhNdWmJw6
W537E3ZYjdm7sEGOe5D6fWx4I0CBcKTNylm5JoUYzkS+JGxkMNHw5URYdijH6RfE48QjcOQwHEq/
Uix5x+fBXgm4GFOXRB9zXRYPLPT3wDKb9K24w54IM8fc+bhPM8hBLcNmeQPh9njg1WlVRcBiq2W1
DyOry3pl2CEe+Is4GL/pn7D6tsROjioxRlAmrappKryK8K9ffy1Rwhmw/R6dqwx5JzyCuwNhnjyn
ysuMqGYSRwTCBPZKvlIFpiIXoAnNvkkz5BWiWFZZmpxtweltYYqLC5P7IBoZgIaH8FCmGdTt+/L+
6G1RqdwyPlg3/sKOhKQlZgS2OiwgTsqel/dpHJ8kFyiZz01g6jlbnfq/mC3g+EJFsEwv8Ai3CfPX
DUMZ48AZwcMWpZ2kEEGr56pOcruNUEZf8qfMKIzXkjASJDnAZRSgbulRqi8ZicL7/pYBkzHXd8eh
pbjCUAtVrSPBtBH0Dbx0Vl3jWjKDo5hVGBvugQfVIrSY2ER2L5qiSdD1xbxSf4Re/1UXygxps64U
r3kenu8IpBoz0IckSvBRaJS/Jtu1hteeKoHuvZv4zC09BG9crqkUYdFM3sGdyd5ci5aiPQfogpLx
DVrTP4pM6hNDJUOB1mgdam1BQzDDzOR20ma+6ZC4nKlQQ4WQTMWB3EGtTciWz62sJRdL6d2uzn7/
HSGmkL1iEiwXtdCVeDOSjoHwHWKd0S3sqVROtBcTzgAGFs5Jpt2ONzvHGJZJz4690WPE09ib+WoL
akoQSeEPQ37YSMjlcjkVx0AWvQSjj4J+V5FJiuodz3gQNMjUJk8AaFdISfH93ml+8nIxC0GGp6TO
pnbf9mzzTLO4KZoAUC10L7XWqK18qNeN35fFDa/g3W7/YLsqd7OB4wKjFed8MLjpo0TqxWy+n47G
unuSv5ZgN7mSz7ETsQFDi4QFeM251jbPAFDS2jw1lNDf/5sbr2+Pk9nPN3FXWm86Uc/ZW7uK++u2
hpGUPxy5ugpWDqOagnENDbgL2tAaKtBVJ8PEJHkMx7vbKbvwzTGu2e6WR6IDDL6eHw6TYPQMu6sG
zupmtYXCJX3A6VZjnL9AAPboaFfQuzE3XgHD04HFioctBVE2o7vvSPPNgEmqqahAvT/u8XfbIvWA
6m1jA91YH37QDFSXDoBKREMdXP2X6LsMCTWd9m+iYiaA3JYZMpiS9QERlFMQWZoAC4GDnjb61UmA
jO2SxsFu7OVZN+tykKGJXpCNwEqvMu63ArP6gArCf4yFEEfAL4b66/YbVdKJJ+SfSJSK7O8vkISe
dEMLgEG6eHxKPdWoTYdLVeVbgVFFJOmDzV/D/bo/SbapCEaFG2OhbyT9QWKyX1dxNNwIkRC3bAti
6G2CXMp9ftt8x1DFpVNIOfkoraWdeJoOJGz3uKoelLyqCjyodtFfJcLb5K/Ua5ph/xhWR9Cj6fer
NcmQI12M8tMbkhdTj2AUl+P5/fyXxg3eKlBSf6XpMm+Lk1Ofoxiz9cPAk/KtJ7wC0tbg1FVh8X7w
BMr0dLnyVH0+zFiA1snV5qfcxF6/OX6SItS8hI1WqsWR1bTeH8au5Z38TWuohp+5q1amoefATdri
JSVJRUsmLTO2OqiT1F7G6NH4xv+B1ibQkftn7iPsXZF4YMy7mX/rc+XA9pja+woG4P8pjA+cRZzc
cHHs9sdKP7FjF3/PsKn+gKUtATQwo+nwkZhFfp3xZJ064QzUxZty8I1wn+dOdv4P1D428svOyziY
Cq31DPKxX/Ekj2p+GrHLORNDUwAEDwSttVmf1YffxYsZrhTeYp42mAoZ4KSKVOQ01F3UI0lsklxU
aVp+VOZXd6Qg6YebIT6Ak6lPVMNAoS4d4mlrkEw9hU2Vf801pXSq11oQI4UKCu9v2Zo62OzvEunT
6liwOtS2IK5wIX1QJLmYcvpnovn3XFQCSG05f1sXmcbCLr6g/V93ZK657WzSFsxuti+RZuWKQzl8
h1AqsWAo36Kr17yMvHpkgJEUVTgiMLfhyIAHwkgzCmW39kDaVEkHE6lvWrg3sxqU836kd46SfGh7
VCuPOA+fhO+K8djVGMTtNYKvKIVRg7ef+JOs49wXPSQCGKs8W+74t7P/PGsiFK/rlzXLwHRkB69Z
zT5IJQF9nDp+yFHPa7I4gmX90cZn73dmVcuDoRbWrQsm9v2C5XGjSBlUsTfacbLlpJNghB3lUkmr
q6gjtlBpr1K1jkBClKwrSSS3prkbm1/DngN7q5IuQJfxALZryL1KDu9ko0pHtNJs9dcvg/aq7gy7
b9YLj8pG8CA574iidWwcSxHSjApyFWQ140XnTc6+FTXsWgxD2iMkzkjAG2mWmH6Lo3JH7sFPzRNW
ZpwqQEdjfRJgn8Ovvrj1PrQVVK+nJtcfElhOSyScEWZ/cbCdqDtDDeRWOZIhba1F8tBNF7o54Qch
IWB5MQXwmMOVZ+VwLO/lLKMwhgyuQ2d00X0Ea5ak4LZ/NyzAezbOVxkXXu42r4md1MSbE0JbpeSS
qYMIxaLGqVMqAk+hQinmxelAr32GBdG3wcwK6euf/b9nZccmXOhtjjR1ookAzu72iT0BQGJTg67H
RjF4fi1U90gwxiYqseuwX0MWseYeVvUJPNTslyYlAnPRvqq1YhVOfczYiP5IKOudBwUcHtWgt3vm
ndtLvV3mDjKQ4qbnCvfUw2lqf9nLFlfGEdmH3RGRal+1Ip3+1cI0nGMs39czh/ZcgwpHImq5mBuu
z9l739uDeiRHqz+k+6K1ilux2MpBAcnXVtSid37EjracGHI/EXw8leK0V7bQe8AWo5hh11ARUDpz
Jtf9Nxiaz/8ewJ/Mb8ibi50JOJgGbBMkSHSLtGfVpJsQXFDlPLYtpf7YxBWjw+oT5lyFq3YeII/C
cplU7vbU+rg5y9GZoTsr4hV2A5tdEBud7pf/CDaJPhkfPuUNtFn5WMccJ9qg0ziAgvN2EDVQiKjj
adYVyYpArDQXQsD2GwIuWJPdCMy5yzdDKwa8b30oyd0iPgDF9tim2WU4JVQiwqzFY3npGJNVRH1Z
asawNTO0tBjMzkuSOCCSqBibEI06CIEtNJtgPDVwvq7iRV0kRmoOhFOwI8m3FbcRyFknQPd1gAYC
jGstOA9l6G04ASCrZbIPs4ck6rHmp0eqXLsJUZodbkDEWxaBkg5gcwQ9kPj76o3aCrtnwHTAmRDU
HEvKBnezqKgCVoigwgszhPblNMrWX2/9mZjgEj5LG5Q68Qd5loN9AXN82rKyyu0c0EYN7mGKl56V
mCI3bblJ9dSWbhdVo3fgFe2pfmCQw8oQbAPYTF0ZolBs+IqZt7v6pNRtQTN+KzHpw/sV+EdJM+9J
CgJ2AJfI/eIAAwh0EX5oPKBc6Fm36nzNH2VbjBK0cgPJxme6bAikW0sTGV7J62MYf/uy+qdkQbsZ
MchMELxxRVyRv7l5X6cC1Q12zvl3DfZ5jZf0yR4mmAZ40w47WUTvZUR4KYjaidfbtZydK22DgLeo
1hoIGay0PbOJcmgbHC5//bzDgjTjhq7MZvo6fnAvqF5inEMf2yL5GSQ0gracidxrl+mYErLxUZri
rWEzJpzosD1y7052q6ytd2NrdQhqBwm7ixUl+NnKpSroUYgoj8ussjOx+inzg64P1M4y87w8B2FE
haYQ6vl8YlG35lj0Ns3wM8kEY6tRIdXqKPbs1b36gXH/WiJ43EOYi+ExZ/KENA35rVjnAY9VZ4qo
mqIM/VudATUK4T3C02AW4dteidBLAcfwLyhAIv4AhGANf8zY1QXjB3k+elioi4nOoaEumNsg87Er
Qt4F4bgVi/w0bv1X/VePug1GcIr31YNYhBZErzbU2kmVx6iL0eITgi2Q6b/I+GWiZ8iVQoSf8WF8
gajCQ6TZEP4b3rR/imXlEe9p6hJ4eb7xvipwokUW3gUc3T/uHayoy+PtH60Yip91HO9V4gC9tZTO
d0DXKS7t25lEQ6eQlBIeLAhGYZrdr1Yr53SaSTdOf0MN5RHTU9o/9X0I/tTMejNX7Gw3Dho6nVui
pHfMIhZr8qG36Ph4pHakI17QIh3pkExv3kv9+WMHI1l28iQhCMgkAjSs+M02zzVdZb/WrEirhzv5
sJxcgcx7ZiiQtfAXUS/grGnARMImwj3z5ZgVD5alkzDuZnSore2nhbBT0vBGJbYHGASi6l3yLVCB
xFa+l+C75v9B6185inXNSSRbpG5354pN1B2MJVCrc2APCrcs/3/Sivx4XiUq4neefnDVABF5WDw5
A4tOkmb5IOMWTogsFIq99qnV1jkjaeMfzTTUFSxziOH/DUDLKY9h4Aus6hjO0KMJHUkJiTmGPRJm
SYPqN1lzbmym2+ZaSNWy1n1gbXntFcNrA+P7Gsv4PiJjMUPD0oHNqUp8E5ZMh/GiAvKDr+s3A02G
hPDsQMgbHDa2tmqjq2QAKYJW01CfL1cMlx6Zqr0b4ngt4htHfl0KZDddSrsittW2XCS58Gvd+Wb5
AJImOrTqaLhyIF9oSfaamgs+pwYBMVGUEIFvhMk7cQZUJfVAHojYNiF8HXocNRvEO3v3MXnMDbJN
F3YD6n4vJuYkm56BO6FyazgC1al93TWpx7PLAjmyfiwHmm+sF+OmmxWREtwisL+81kWwmVia4VOO
DcSOSCS6B/97OjO9WFJHoG75usRfb40BKrm3EWbKWnJf0TCnYBRTPytt6KvMHYjl1p5fdUmuHsM5
SAMmUqj+ixiQe0N023fOfbPR1PqutDFxxlMJucjYoRZIA178tQWPLRLVgCQzB6xNZ8t8MrOrkitR
kYmwYw6REq72bB93Ear4pULqIqo/TfwNfuenvQiKunNw4MznKgLQTrgxveiLQUumyky26wLKyA/t
pqak9cxj/aV7lQjnWiIWY5mIhwgA3Ha3FGQn8fxXp3IDT317eoSZe1zpsdUyB8iTx9N9agRrPzhO
QewpbiqD/xg8xR2Gjlm5Nc5UoD0XXtQAwDx/3iReNJL3pnt1lOc4PniE9P/7WEYonFjM5YiH9poj
BZ5VHEwYX8sxP86C2Nz9dY5BRVW1qIhY3KXhDUpWZEBeo/mi0EYbDNT0E+55FBGUquljiXAiKvIq
Mv66WgWq4h5B1eHdoaQ9KIKgqRwCtrL0khD2cc5XappoKoywe5PpWEYjmTOEKDunvyheZ9GANADD
FoUueYSgMZ7eYb7JGQLRbz+JTlYh/0HVEmx2e3wmw1o9n94WdnF7cvygRVqeXboe0iNY9FMAjJ7s
e4uB0C+al1cAppp65TeFm9GEcpXXsX/hpu9Yj0zTlkkj44P9EsQDVzSzVWrBFBUMuPiq9skMPPQX
OXW5Mk/cIfIWD7GcZecqHMb4nuRuMRwZCCnVwneNxNmRW2v4iSv/i1LXKPJ7SGHyAC4J9npkdieH
6/FrTlSn5atI8xXnz4N0arH92GkNuc+65z1F4l4rlxVS6zxxEkw2GBnxLTFrhh118Dtfoy+PdV7h
IoUy+y1VkABLYh790gz1PeTruB8BqIESbj+RmVJRyHF956L/9GZtD97qUL3F0XUS17feOMqruxPg
XZN7s7mqc+cSLzmFbcl7GyuZG0x8TLBGZuN4Z1x3mbi7QxiYcgEc771GR7zc26F/hWdYrf2AiKF5
Cl/nNs0jEoPf2BfsesMakVUwX8UtXEIOv90LtU55wiEJ2LCTWDntT8Qso37k+9FpkTnAJtgGnFMj
I76DrEi/3UFxKlR5JDPznkO2vfc4jgssnB4uPB8aeB/m0OCHH5QatAJFosAlxnnf9BHZ1TtZdqQZ
3YyUxCv0NDMKf43sGHaeCDrHu6l+rQqdmoVARHLFDTY91D8dC1MdEWBeB133WzDeGo3vLqX0eLn3
KCJC0QryeAYDA2RjfxEDVykKosqh+Siu+2ysSYBJHshO/FkcI1k30VG1ksq9ZTXkoBxlMlLiF9MK
UZcM9B7nnL0AJPXLMeHuqIG+NI3ZgVV1VYtwTcQKaZvbYOHsawExMb0SH/UkeNHJmYGc6Nb8Z+eH
QlqzB0D3pXE/QrouYmwp2PHP5+5MHl9pzYwWiyXBSY6pM4/ZWtWPI8kJaehDswZYaIJoe4fixtKZ
zaF22JNxF15KkGIY8pkcLVIhHFqlmBwU+TnxBHXfznSjXb1D3ZJax5YkUwZbDnVNyWRvgzK2Wofs
Exv5Fd4I7W2JDh5HyieO0n9EL3d3GyV4dC/Judym4AMqzFonR74fpmgj4MAMWWMLj4KR85xRKybJ
jXoiLcNKwIko3LR7XcbJ+RM+8AMD2JvNlfjwB+Ok2wlPjNODWx9dWYYaS8v8ZN2/opj1c7pEMXeh
MwEYEQzyx6g45DjPldRmVHpeBxu9NdWcYPevW9ux3u6fLXFnWOC46ukGHyOIO57kyjyKb5L8BWen
P0CgBDkQRWWr6fJvRymYjJdDHASUyuvVYwN1Rwx53VIHMOWhhI0A4ifXEBW19cVcfMrVF3jSDoTN
Y2OakpmbnCmPncWwbMr4AWw4lqz91UMMrZrPDA+ZQRatnEeQ9pYzBSJ/YzXUeTvRhuZRsY+cTlHo
FtiHvbmRckLiZwDuBVkNZxaUHuirPLV2xQabCs9kVvy/QCr4TvypyPUInKxQoW1ovZ8kgXvhikn8
35TKZvhOnFfo/BeB6KrZJodmdsJDStVvgtUp1BaOdgQ4blXg/5zt/6fx7vnwPuVXR/cH00i8WTY8
VUo3rHr313UH1Fl5BPEYRJgOowpzZFGJujkJYjaPi1+bLkaiA6Rgd3LswAu4pnLUvACKM1QV0Ma5
2CgTx+UvcSAfiDNcbr2hpSdHptWvxD0vTnJudr4UNK+5N5ycFUoAlwXYplz4GpmflFWsLSEGZ239
AjedhibxfFfiM2KcgbDLcDyGKXfUdrLoj1r+Rtf1bMhGhmtKS01nKy3XbcldIe+HZxB2Vf0r4m59
/cXGWx059aTOgDJyikXR54oSdKKIMJcUP6w2OxvR3q1U2Yv/ad/fu5K8PilKir9UruDKK6T2VqhK
ov7tVdwpbemtyxP7rxMmpAEQGIQjhIfHhUculRuQuKPoqDA/kOhXghbKnonQyZb2/ezY8XEjjKAu
+NEvC6D5l9p6eD/x22ldHxr7vOeQtYESX8y5ZRoGiqiWuIn1kQLwho0seHAEUPvNQksxBv55iNn7
RZytVv5i349XdsnItYX5B+OFtnIPmX39VztPSic8j2GeQB52SMMO5KZyu7jswGSlwkfA0Nu06nO/
nqbk85lOxXzYoWGCfFjjB3OBmFrCHllYxxSjzn6NpYfrrBo5jv1vQLrZdVfjP9KN7wg4QqmpnW9G
CA5i8Zy5V2q3TKpZ9eRiGUuYsn6wvRqae4r447NziK/NkmR6xGf1jQ7X67VDcIpm0+TjF7CXGJTa
RxBXUnqwzd9ppd1XRdLvwBKUdmR2UKxhtF4w1gDerhAOnfAQyoqkmPMrg3L59KzqxpRKTSsXjwRD
lgWs9YUpyhr/eOhkCL4tLHMMEDinUzPs2YzK7uFmz3uPJMNUIg1RAZKPE8jERW8dt+rvYqdokCMJ
Sy7CU4PUOeNyUbL3umrc+o5DskVROJCxwtMsXLlycnQkhHX3IdPYTRRMTn8yHFGQxHJHMfj73vdz
5ZtJ2v5SSX7qNBzBKQdzn+mTmiV7/na/NbR21X5r6GMjcrwtzNQN8mh3sZIrW7Ok7Nj4wwplUNtv
eH83hOt/l5Zu93QhsMBSuXfyJe+jw2Uy5mPR37gEzrsL2/pXUbgbMjAIOSEtYQ1IWLGiHPJcAD32
b+MdHrHjIo5G19NLFTnxGoUIZcO2sRWQfPWKedw//L5igcQ9/samGNNDWfd4ucygMdkWd6cauNTM
NQEDsF8sFY534Y86ml6L9+axpFoUtm8f+rlm8BR92Jg7esMzTdUQufqJ0nEM93VUGe8k0tvFTEIJ
V3p1zHdfUnl/4HcypyliQxjGFnoJPcfIoCYraHnXVJYbRr/VC4l3YMTwYSosMS1dLdbE/CTJ8F1K
VifoO2y1vJsdMo2WeFNA9y9+iJyr93lPZmRT2TZFMQivmjxOZqFbHzC5EW8SemBdEVZ+IacaYQc+
RaxrMIrcyzMIkFuye7+F8ZQuIQjzOvNtuk97shOtzJIxV0RIjjLcU2zI0koVdpzd4vhsf56YHocJ
xVTlpHhimh9J0eHOV146E/hru13zAMlucUOHu8nOzXaIQoRUKZDsf0ZTLDuY7bTsRUSfohUiCwOl
VI6rMQOgyH9vkxckxdyVqZb3ku2m70DECMj/hvq+VTJj/0GCuX1rS+QwuLwTzSpSOkFCWSb8NfU0
CJR6QqalpdNpZA+2K5+48U6p/wtWwsomcxEmvY7xdKoE2HvY6KyM/OfTuaOgP+6tC1o9SPNp+zXp
7no4ar4WAMKZvuvToP0ITmTFQM+gdFQEq4B2axqU1XNyRTcWlmd6J0ond9ASfi1EmP/CKYZ3PckX
RXxzMbiwrT1b9XSHtLYUfoAAzQZz/4lkPVLol8taN95WrjzSWoTWPpqrEPbUWwJFw9I9O+C5lsY2
avqCE1L1Ytncf8Xse5/+JDKKI/926CW3cWKPdF3KrUxAXmaa6XGkj+r01JAtldN1uN/WELcX+5il
IDG8HgX0wz0zWRi3ioCB985FkF0xD+EZlbw0IYStDixd0dDtI+Nsunakr1eLI5DI4RQC48duKH7S
Eh8xg+i8RWvUtRgfLdRWAPrFv3ZYeAYk585JTTE+Sp0gftdo1FtCaEn0r0qAo27oC+sfvk3urQhv
96Q3llDRmmycyQiNiXRAltQ2dO71fmkOgWONqZkrp1oN9n/6Qzqs1vvfp6sWggSCaAZUWV1nrN+C
7Rz1bydk+sCVJ6mJPhKnXp6i8qMOFmKXJGEJzVGHbHUTSsSePpWgywlnZbuXf8VspYnwCskMkpOl
QdJgN6QOe9dKuJGQ96x5VtPALCfStf3+jpUlDAw8cs+nsVKJ3jxwoTCLKOBw6erHPUFLrNZa+qTG
Ux+cxKj05gjYZO5UPHxrwJh0cyVwZKmcW1LYJCOhLGiEJC0E6itL+wlJpnCGkcljLYRcS3RbYdVD
1W+BaUqB8WFZs0sz1Gl0i+GO8kLE1FZh8BI3IMKQZZmEyh8ecqVBjubZeqp3MAiom726+qok+i2Z
b7HC1CMGMgCBg8jiRk5LjCGieb+4mRWiHsnSkq6jcGH19miOdbKBjpi1/OmdqdgGdiwslUlfJ3dw
deemhxATc4B7LbFLz60CJByl1kWN3ep7u84taA2tRH4+hczR/XNPZL51NBsLNSQDGPvBdwd246LN
SMG8y4XypV+ivI/sZIWHWBSer4QdN3RYmmED6hdM1d2R1Z8NbSZZe9Q5uKbz0QHVwnBzlwATpS/o
jI0IgZvK1YZkbZNLGB4DyLQYn+EfEk80ryRXyYAwUtZKrWLB8QZ5YLthY8k8KFAmRjmMsHzc95Um
NASBIDr6deZQSXfJ3Et1mfoUVM+CVfJ+gs6yoN7Wc+gzwOaj3YsTH9BMO6mBGblonCYRVDcC0knK
MF7rgR92E/ApxtqvftOzX3grBljvUdbFiHw0vU/bPSh4R02PTGnCj3GzTB9STR8qCxm3AiAnPSVO
t6FAbtWcgOif3H0D1q7yeUacfcB7LOifDm8lZ+ip8/HSt15bphHiJKolvmrBJvz75YzYxzkLcip1
Uy6mTUMx5U4i91MmqXXuO/Jje/ymwvs0Q5X39xUnKa5SdmcAZREn+nRXwlZnYdrnYerKGtRk6tA9
ps6n5pU87mH+THfTSr7//98MQVRXsEjAlt6eI5JyMCImiEWG3XPDmnm/nZd8hSw3ZpRedfrcU1rS
GIBJ2FT92rtcocphO/JKW+LXtcI8Hji77+l42VgaKPEltAOpwWa4r3rKGkbWSh4mCcVXX3qu1mJp
q4biXsWLAjw2vAsoXOPUf2Rys76Ec/Tb3CcZKfTJKeqq3bRaakwCFT7tHI57Dsz/bwue9DcJA0p5
IpVvnobTwTCigZ/Id4p+3RSh1lwRhafRFWlloBoaDr3XAhv8yC41aCx8HmYQ1NUjab4KkHU9JRIv
7KgJqvvAu9xx9mVB9pj3tJ2NFtE67wZGkD1Hut1hQpOABOrF4gr55OTTsOs3hdwcK+8kuNHQsL4k
g6QqT3GqTCxYzBNBIZEX8qvMmNB2DCM7txxwbGiEFeRqywF3zpF5nFBG4rfy7C8NhxM8tOUA0us9
t9QJvFH/vqw/UxOLyxFCZpbQ2ChjNvTOKpsKIjVbxYS/fL5oPACZnECTf5brkfVikHMxvDDd6LRc
aPJcGapPjOblHhJ+aSDaX8aLMYmvv7AokidxXZaynjKID4U1zt88cppc6x0csfs+rF3nlwcS3Opk
MJ4upYTP7t4U+1KJA/GHF50BDyRVqVAIoIH438aeeuEGJMj8mLNP+65qTt9y+cJwHJ2dbsrPecww
rD6aAl+4O8+S/jd57kbpuKFD77rLZfVuwd3ycjCZKhinkFdO2aGX2ooRXsXiAVomOAGUY4cQ6WOA
h3HJGm9u3OFsaYRi9Ilf3Hbc8dnd3DFn1Blj4qacW9C+mNVPU1FMh3Me/PGObyyKskAdFF6zrqiM
Eo2slrjrLOgTobuIXKVAhiX7G6/H77J76UIQvdNNDlklyvlCDouwkGzuxCj5+Y9QeOhJrIPTjaVL
OFfF9oqfuq4nmps9D1pXsT1XheD/6TpU8C+kdiSWxHLuCfztAwns49wQxIh64BvFD1qjkaMmuUCx
AV8EL9Y0JyFbSopR75CnqecJHRSJLDk0rerp2oA+FjNjVRGGj5P/gma0tLW/zYiTNVIyBZdiy272
9OW3yJD6KNnm5Cu0cXxp1AtxsGxG/GanlwI+V42vkZVHqFw3ir3D8LfCJFmPuFBkCrjZYXfEaCkD
kzblO+DWoP7BWNFro59/NUVYtkymIiRIvpZVqDd6k9cUVddw0W7fm7MtO934HtNf7mTfdGhhwN8G
R9C0Roq4PYS46RvykBGsYZIyFuVZ19xS8vWO3D6w8Pb8j0Rkp87PGLLXPFbIOK65Io2kikW2/9xq
vOs3HqwFunudck0bVBl2qLANwHoqLDMNkYR7MjtgZPWKvms34bIkaqBJ7egKHwuU14vTvrGcCvgp
oNIxzxduKqT/MGDVgEPQAKruDbZxp2i67hHRmqnr6ompJ4w/775sKqE6v/Geh91wxrv0nskIDxZz
jnEJuQfr4Cy5ZQb+H9VLXoR9+E9AF1kR0nQXI5FVtZ407ylSufzHg+1oc2n/F8CwqZb8qcmJ1pT1
4sJSgaVD4OjrER4Hno1fIP23GxIa6SsXNcC47gSzJ69TUwZWSJBjUMNECD3mSoN3Rz1hNhChp40c
w5efRY4OIOCtXPVA2/8uIBwHJeaj5OY17RixSzNyraLuOdud3vFR1kIygKaYRldyqbZuQ40Nnhwt
miOq/mkpAXSjekldbcK44pMLcd5Xop9pVdZh26gU2mkW8BiJ3qtUCcaSaMmXjdqI9mv47zLLc+cP
VaSamk2EvgG01llbFsneOito9Ks/YCqCG1e0C8ebAMzNfwZ3PEeJQQ/wimlIl4fY5A9lw7YBOnQL
EifX2aLJH3O6wcJfvnnD+UaPQm+menp2hLpyaJ3xGau50d3fq69p+r0PZIKNzs5x3JPWDchUTQ2S
KQUiXFG3QNfTPePAB63Rk9YOme5ojuI0Ol+eMBtZnpngaK4wx5C9sl71Ug0R29qo6DFg5s+q/gGe
DGiJ5HRPLb3ljRFyRnZkGbvvSEJIsd+tiHbwf1Pe1eYxla5HVMLzOnV+HMsfajrUrjp7DwiVcVma
nuxhUdSi5kjzWiPrECYyIoM+NJG9U8bEMrfmHrBZf7L864sMwu5Y8HlfQOEnVBkmA/oeFiToN38T
oo/Af0Sa7wtsGtT1675OMi2hmhYHPTjLkt8/tfHelUIpqK+EBR6gG0/0gkYPVwkeqJL/Wv/wiXQL
4DNU89wONlUwZ+EaQQdxO6cf7xFnFXVskD66ZUBqnM+vEycnLEI7ZSCkL9hVNArQo2DhI7zH/k0d
5MwqQoKA2NPG1YR0Xq/T3rprQGyAO1Qtkt7EG4EzPaLTZXQKt+LUnLY+Rb7agJmBWIsrKygBsbaY
qROsZAtfhvq67i5m2TmF464LdMTKZSQWM1mnflX44vuI3a3fa16GrEmYqMOOlM4gf7r+xtRLepZB
+F58QMk5h6LpI1K+Bp7wYS+vDosDRP/Y1f+wqAg/7AYgTw3OHhQjwpX2ePV3Zeb54LyXAFXIEUcG
dtAdYg5oxoc9/lDo6arMP1/TdV5e4FT2b05KgiBQur0PH7sD7GPxCOeq7taqUMk8Ad/1ePQ4tCBO
+mZe3ZI2R1tnBE1oBeHVAvMmRKSdFKlBL1YwzgTBWtA3txBNh9kCNS48w/0aHSSLlaNRpArvawmO
VtKlguvhkWfX2jjz2VfRoGh7PnS1bOCnmrdv86AClex2rbqqlIFv/inuoTstMcSo/FjOoea2GSTm
7OzuxFx5QmIZwz8rJQeCNBbB+qhYbGqcNfmUVib7ipZcvgMcWKCZFhaTp3Hte/4x7dS9v/0EBmQt
H+LKIaxQw2FF9JfIIA51p35+Bg2OCPOKQIZGk+PHDGKKeX4p05t8JbGFc5yebmsfIh3Op8+Pw0pN
rRBl21ERpmZ3tUmem4CwwlfoHn8AVFFMCwOobqzTB6yyGxAWDLko7L16godeVDBb93nGJPtCs93V
yzDwiL1ZhfGIf0CZRKqtT0yWcKSROwZuNSFiCaUwhrbn2Phv/kCJnVjNrhlsIvP8xPvB6CNpaRXM
jg92OIlraYddJZVhggl9J/ZeTQFg2QwIEnRBSBPQyKjCOprOD65s4YCZlo24dKtD1Vz7w024DvU1
bq4bq81apH03pib169ae33yWXYdk88sSFqnGfItEfYeuFqD0DdZpg7UWkzJW2ruPeQB2G/oBvF26
fL1c+cLLewb/TjhzW5Bx/F1rzAzT9mUNieNpySXRg8HkXfQ2p725RGFo5hN7Pim/7Uc1cWyVVWC4
DbbMJM5CJkm0sDCSMqRdnwt1xZkhUPTxC2IIHCJNJmUMD4E5e9KxcXvxktpSx9s3uPz5J00wi0P3
HBtbzxAya1pfDOVC1qUzqj9H2662sI18mYfWwmmE92mEks9jDdHVcB6daF6RVIMiOlhsWxhbm818
LUjIZW95bD9vrLfnmvc6OxlJ6v4zERSy/XmnHsjqR0HY9nPVGgY5/uYQX0sh7T0tETgPiaOcVjP1
iaNo7/3l/4UoWNPuUYNaFsDhmo091E8S/mZs4F3CL7OYC3FkjJEHXKI/7FbFjoE95kb1znJINNep
ZYfaTrrSmhA7adHrP4OJ/uDY26gHMznKVMAWly+uIPwxsSJUWDX7RrE9vIO9YXv7sHQW8ITRvxXS
PZaKaC8Vm/02RMYqdmuMz5nzWQ1W4YtwHat9qEhuHJX4dzpim0uKlXX/FbdJtHi1NP0mBFlyjIk3
iz7dQU5Edv19M4jNXr4al1+ALfMXaPfCTvkiT2RUYemy6HQqCBfCqauEE5O9xH41riLvPjDOwV6N
cJUjkFuD6gfl604QRvbhVIynxwoh2/bY+im3C6WkX6VY6h9ATPqAxKx+DPUt3FNug/FjwljXzJ5Z
gJsYsi20eAV465X3/IfGUTimcHA0EOB6RJWbe2fn12xd76ecsiRyVGsgo75wemNnISN6OJtjHbW/
2kIPUc0E2xN/Yzjk0BOX2ZyodHRIoAgbG/SWSn4S4mZiHC1ZPiKkcP/lMAv2lJ3a/hSQ2C5vnCu1
FDIEq3ZZM8L3RDjiDMtcMKuD/ZtwLYkrzgnbRTCfJGXewMWl+f01IR/4CTGRkvkJ1kHmpUrOroNG
uB3WSelxnEgBwlcIcVqAx/JpemSsJ/ObejYN2Rt6ssEMiAG8TovqdF1Gd+8+NfIo0tTCspqN/3Gw
iyX5tUBmSOBUoxw74Os89isgH7UiJJoVtXUiSa7zOuJskE13mBuFd8Av53DsyHMmn1UMO+oBZrya
YW9SdezLb1bAgLc4NvJ7rcnrjeCCNakQabZEVVzVXUhRp5mfT6hR9H/l1wmh7BeYLFZC+oLaqgs/
bJv6P5Oi48/itrnKLj5F7aft5Nq1QEcy7yD9ZDzXM8pXzzwZZgss0X1Ct7kKqYXEInvkE1LkYAuF
k8uB7aAGkd+3YF1DT9gsoqKY9tEL4OKyx3KIlFR4xuuhsfeZfG1OW0esTILXezBfPLkTUlpRANJH
nIQ5f2b8blH9gD9dugYUCzNcW36OrjdC5oTW9Q5ED6fQICMsLEU7T+PqQZsiVx7vQj7iY5joURGZ
qVBoubVdOgDad2xYvHRXs8GlulgOnQevofxgbukUY3rlMCB8TmTgB0GVOwG1KMu053nVS6NgV91Z
AMDppGYcxldDxvr5wjikgxdjoqo5qhEFtZXSpACZUkcNEzZkZgEfGsIcP0UxwC37joAYXQQ6Gtov
YbwHAWihaJCEio7+ZbdQz6CnTur170ARtodQ0tmzZKS27sQcMDjcFCTMp6T1kBAk++nz7lg3TdvN
oDpTWKmFkPYkvqO5+wDyqmUxdTPuH7bcIaj2E7zHrYSKtKPyJrJJ+K7h/OF+8SZ85UbnHaSAvaxs
eUrspn1M6PZAPfRK4DuENp1Z26KcFturfOrPXp+o6OzAhz64xR3VPwEEVX++81yiQRGLWI4LtpCf
DIK0LtwXj9R3w5hF+HstRHJ0VsqHitnV+WiX99oeuoD36YqnQMAnY/CLV8xbBU00xK823nrPV03Y
Ts14ZQnDolnjHd+ASwC5s45fVF6dRPQHq2KoUCZOFFzey8qAvMvg20Q7bfRaSug4uh5d+cJKWqe3
N3ZrXIbcWl1sae31vHi+p1RuAL4I3pVS0rmsh8ipUiX+4fIB5W6NKh+tASgDUc5TWBEtgoyG45Yc
evvtxU4Lr93b2TI9IuZ4HHn0/Oo8iboFEkXYAvWj5ePhtN64Vw30mJQ7QNK5h5iVt4hNoQMg+TSx
WXpjGkb/3I1BX96w9KSegv0q8lVCuA6LlBxLd7AIy6K8UVbVSwCbeXJ3b7XnMnqNI3tW4phvETEI
BYkPVQd1/UREPytePsVBpeWebWJ99aU53ZFR5Z/grCc9ZG0vypFNjXJNLjY+NGqqlPlf/zRaTMPH
/GuInm1C1Gi3SFuy45tEimjn9z9zGVmDHkunwxx8FpvCvvTnz+SVgZT/HPrNO/Gx/g5/xyp2dgBB
s9YcxR708zhKskbitk3IVn7RrhBWx0uImPAV4ahc8iJlohLWGzDn3c+fiEVzT7i3PTxjVKDBNcoS
asXqt9tdj3qGXTzD1YfYKHU11pbDC3fqaUiIH3JOxFJ0tLPtxU72aDoWE+u0z5jO/urV0n5rWR3g
dhrNjCsjFTVcduCHggeUCA/yY9E2wzQqnoAnAM/Yc88tWDE7pB2y/TH0bIquLiT6zOQ1kr7ffKgi
iIIYfAjpiIdTeZl+z7tByIbtC53gFYHQlzNtYPXTI4bAffizd5NeFfJymnznCLtNWg5Dyr4BW+Bi
iZ7zkeafbvXSuiRTPudnCWEiuFLQGdOpAN6wCLg0Ql/+UyYz/bohUkC4BCDbxneb+HlvckVKuPH7
F3N+u7DAMojD4jvPcqzqn6JrRJTniE//5hqlkPi1jnvWjFKkJFlOmKXreKQDTE5ZRd+qOgi/W+GC
xGuiR0odMt5LrlcrGgl1UbjV4kjNHTT+H0LvpZhoIqKDBSTy2LOvLpezORjLRRlHMtH4AkdA4sCv
ZltKjb41TSyuOIrcJuq66MUuBM5iydw+zz1/MKlBh68vrPZs2NfupDSpan9cbGabWB6mqq2VtdDK
1VnGsorO7OXMe3jV6klOBz7zjeqorfLkDRgnTZe0Ud+eHGBv3jSQpmfg24eXqBtzMLjeYd9Q/WXx
sTg3v04DGUrlEXBGo+iTpF9GUjZp7SP9QYuu239O7DgIB8phP6ZolZAy2fgSf2QnEbcQL6pbDsE/
cazlRSKBMbCXckvsiNFI59b8PFtQkuo+97vf8CvLoKJN3IAwPqynpyDRBtMH0mYsnY5uJGto6AmV
ftfp/HcXLS7UZ9xv+J/YtWZljDdvkiZkc8/li9oOcLRBT5o0yErMoWnAt8jQ4prdhRM+ZIbFoD6Y
fP64zve5LXU3Mp9/ZvMmVWZWRIpsnHvQNZzvksIMzz8p7P/WE15Y1Z95DLZa+H6nEPccv1IOmqOD
srApkKeqCE69hFrf5ibFkR+2G0tLKQWE0GS3kWc0OBbRVHHjbRgbw/0pJyyHUIzklJyki5BPlZKW
SjNdlMelZ3Kq+X7he0Yse/f/krgtU860CRBuKrJQisEGXigj3VSIweYTIJUl9xXl2Enw8k4qaR7x
U3jHNXF3Uc5bA7/0fCQ35ZfXRpneFoT0O74qL8vR49DyWuSerI9o7t0CJ4+gwkMRCfwNX0xgagQc
sZdB2U6tA77cqKW1dcm0TUGrexMhcxG/awygHzzEJ5gNRWwXRf6piubcfI3M73W2NNLcD3XorEmt
QqiDlWKblym20MmywOxZqKZamWcRTgnGIeS7zEAzXFt7fFYzlxon8B5jPi+zQyrG2V5rNhydaKLp
fQGbjHYpjgzbcqiMPKxDwx7xCk7Csj0enw6hi089xNJi/IYGNBuq4r+HSeYBnHQW/VeRMwX6TRQs
d67bVadd0tAGbh0V9pA7yx/0eoLqNkygSTcEs4UytGXjACIn3e4PhCGxSqnsuYB2fLGuCkQaPBBv
CQrGih/F4EHdz06bgPwEgPUJZXDIvsvTnPB5/KLP6LSdGBUfGnmATXmQ8ZQEZN//zRkmoTPaOzaF
8pvnRLd79U0JhBV1/2aMLJnpSJlqeIBlVstYQYBa/kHhXNVzTesj/p3guO2/5u13ta1ozEl+QCpv
JzHQy4G2zQ5mqD7BKM9heNttYQjBbI9rOeGHqxvT8laa5JJUNmy4FnP9PmGnuF4C/uHylvUJWL7R
ptAssKUBiLs0I7WPuLSL2ofQZc6VzPPl7oEdWKJnYUKKxIjGgx2G3GGCFS5EMOKcQQBOcsd7Kl4P
pHSNICDQc48MQ3bQUbnqd+ZzizlLVsQvxYp8Bz63Yrb+uEDhqZH6WV6zywxSWjsPiwoRbP2p2PRG
ORktgEsdBoG5C+Y1HZE6hC5866gCDaz6mYyz7Imt6DwrMEsRU2b5dZYgbH1iuYVnY7EEIDhd5FL2
Joc53c1E0yrLkYBOpHL9AwCmU5YGb2ZGY1GbwvBrunc91SH5iw/7y9Hzs4KmmZBdsSs0Y2mFm4ov
U/idWr6TQccGU2ysue1rhn81jJjoM1WaEmXYreuof8k1WejRpUK8as3uDkzRXPGZEJagtrjTKZTA
7iUfeQhH8iqU8D1f62f7Rob0ZVP265v58ZasDcxr1xXXk8Re31l2xYuYxBAUAFKQHxgdqm1v+sK6
DtJmk8KIbbW3JsC3EZAoKXv7huJOABF87Rgr7pZhnRm0+Z1yPjXHKjqkmnJAjh/LKONv/9rg8i2N
BzoZeKQn3O3ceYtjCDiXYNIb7VU+ve5dQwIS/1DGpohS6cNpGNZ4seisf5QmJovcXeiOUOo42VE0
Zur6DJaAgZNn5EnDfnYWEz5KIHOEzeZf641kN5TqpPz0eUHJoHDsvguEm7IOAP6Bc2XWwbGE1xg1
xkaEEKEQERalHEiFSQl02wCJSAhHptJIAdymd69oAXXoHOC88BEWwnO88lVzyajdVIZZU7HjYlEE
7bj0Tyjf6Bga96nOP2pFxD8etcNVtkHVa9xj7bXQA4P+8QXDzuE3nzphph+MyThVnhEd5Ct6bxWt
7H1b4UaDoAuB6bR4nHTkSz1oExgpwMKKMLJeXnJTl7x/yESo2uMcs8+vcl53+mQnqv5GOK9iv2z5
/NMxsiuItAnlAfUcXevMuh7V3u8B4b9BLanlaWpEZ6jiT+yJYvB/PT7qimC+TOgtC98o6HoSV1oR
PtCYdf/wdK3E/Ud5kf7vOsrkvXYa1wZg+JzogTJ5ieTryTRLRGyOpkmkFz1s5+pMgKbHSYdJtanZ
3+lJM3C3rmKOugyS1ZygV4dUk/bDB0F+8iTCk14CkVDNyN+ZtyeCAeuQ234p6Ku1X+FuKbV8FEcS
DCySzC3BMJvSmo4NOByhIamc66Lf3OxwSDzPo3saFR8IEpwaztavbgLBmv5BL/7bkaWKmoPfsqhJ
NHv3RBnumkk4rnXsJNvL8NU5L/eMKCqkoV8Fjxe8F5bKwv8ljVn8ayh8bNzOeSkoRbe36vkzLDaE
IMMux69dVRAyP3AXfyiLkyFenmquekiFNiPQ/FKnV69Ze4PgtlEYjghK6eR7xZawMnc2LATjG9XC
V8DEbD0+TJqhIvUoXwE55X4RfvlE4Mv/PBLBkXzAkG8zab8oi5JFLLFzlL3qBLhaUyQKKgm1Ksjh
fHkBYMxW28EAwT+r/nCdvkencolaLmx44B6C/AokhHOFAJ4CxqcALZwzWXq5J9AqM/20AK9cci9N
f0ZiYMZrZoxg5WfTZgY5PIB63qqTW2nYHEVwerLSm0UYeE8qWWNPv9lqm6o0QyqGB2uTT+4fcOFr
R7Q74+tkMchpfMbk5xCe9RzAIxdXtTjyeR7HGVQq47hskl0juSnz+s0T60q/lEaNT08OqX62I23F
LrGvNguBIsPAtW142G/4jui9kRwqkfcjJhYyQl9VZ53p9n3ucXNb44tJJHBxgYBy1PkFArx7y3Uu
wG1MZo4P2KvhKILiiXKCFi5Ead/qS9pIVIXNXhH2EGPfImitRmtGuCpur9Z6ubcU/XHlsIs+SkoW
F7QjGMUwzg+G1mbb92Q9Ku/KZq32iRjrLHFVp05a9xYUrhoQxSDydMfLvQK+ICk3osGEQwN4+MIS
/IgppuXFnp08Jd7r4TqQteU92hHW/eebY/ZUlQplh8Y8B4VluQ94b5xUJiCuQhy0XhrF1nvaS4fq
7FdxubI6jfWr8dPVZ6MTXC+E56vDHrOm55lxv13eQT9a3g+s+n2CTvS8Zs/QcUj1fllWBITYlcY7
0akJkML2czdH4Z+n4HkECcfe30RaYJjBlbL4gyQUEDaKo0N5AgJanRgTe1qS+vOMW5vbnQuVT22r
yQgh36CU/Kl7FYC/C/djkBvisaN7DM3bBveaIB9Nt8kptGSioj/hdZ+LP0I8pUcyLKjEcOsZITe/
FGnbw/ccQLJXzPZqVf+EUmwtQADOU1HVHec41EwS4hLJXrT3nUOz1q06Zo3iy6Mqv3W5LiIQhZKK
uJOd2WxiuDPwvufHsMHiQtRgOscDkRu1OuLXI8ToWYchEruQ+tW2tROdHasOvPgrUF3s743AJK2w
69hyBEDs6jdnNJLQ0QbY8NV3Jobp2GS8el8jkYnmQPcz5cR+sQ5kw0nLxwdfe15EYm9NALYdcswK
pB4FfXv93AHIUHZzb7kkMrpTAGtxJUCaUSx/ZL98EzdwDJmjmDde8jCUcjHGhUdAYf1Hnz3mcAMF
QmdCfeALN9EJUfVJGtmn3mn4Z2zm7EaPfvU13hhIL7dns1on/YAA+D5QOlq9JMcpCxYvKKudNlSG
ZSexVk1a5gCzgTxtJRfhug0LWvfD7cFJQk79SIlJKG1at/GwmIQ0guLKU3VMylkbxua9Yrf+5l0r
2Kr5t0/KJtwKsGxvAcoNiBYRIiXBjOfpqCtGQbp3AsiDoc+nm1kgJX/qdfqK1++mGSO+/1a/DGJu
Kqfc1kwG3sLNpxJrKtzYIWO/X25tHxffsB9b5Z7bLoHDQz4F8/AiTgjf02DStbMERyJ0KmpBjiCE
f8CW074w47VALg10Og8aPpujmjYjKET533lYKXYqB7V5Yjlq35fsEog9+6Bwhw87cHwFcu+MjCIJ
KsKABrs5uuarM4UJsf4Pdqg5cmYnHjzITaB5HjbjRrd3SRfuA1cXLSu6pRHyRF8l5IH+vgx7e8a2
lPaAopq9pimoRKr6rUGSvpSdanPUzIbmDBuBYjWFfnud8VX+25VKgfj5I9OvLbit+epJQUsE091g
Fx9312g6KTMTxZST7jFuHAMsNjTkfk2vI2b+TD8Z9+D8ufoHrCUD8Kyf4RHi8V7Mg+76FitnklME
3BXMAogFmY63JK+bARacrQQjXUBMQlregNFs1wxDDbLI49/0/itv9nXcrgwGP8klABZu6zz7wXXF
B60We87c3rTHtkyAujqJ1mE84DjchL/RKFCwQreT9NJLiGBCXTgmiRjaRD8YIzLpfnHS1Tu3YQJG
UirrkI9WZhaGDKhNEUfa1ZLrN+U/aOSjH5SdT2fe5CnhPYVm/p2rtVdT//aetQukJpIBw42Ha1ly
3+MQW3+oQkqYbVCCc8su9dROo/DxrjJI8aBlrnpArD0CpfvSWoXdLOQkPluRLnMbfMBrLFYV6gXF
YsO1YoNGly43Hd24Shd9igrBls5EGk+XFP3vDuCfHnwS1KV4I58T+ty6LkGnf6VKRZAIrDeB/rfW
/miz4JsLuHFqk1eqfvoqcp/cJ8mV9AQDRtfLFtLwjX+/PIsQD03fhNN6hhA46ZZvaSRPE0g4spDx
U/6nyPJwWx4cpi0K5599bbljw9gi5ylo4wYviksytSHg6jRlKvlPsf7lSp/IGEpLa8ataT98jj+I
RDyrqN9vmftHjTaiURlasp7jnGm5SNZm1LHeNJrw+++I7Y7wiUyMF8sxMFRVQexXEOQcnwoNNVJo
4AiYXFRgzvkwtQAQ8heJqu4KqV8W3+FDsG2DHKlSIyEKjM0ECt3+bHOgBCl7RYmjWxYRkX+OrWHv
Uu0DqCAZVHO6y0b5kPvX0iq9mTBGBjIPinatXjPHbF+X05fhY/GzK6JSIt7NyPpJVCwJGoIUeDjZ
zyWKuH9bGqevWHFsoa0qTQfB1XsTeSNOrwStUoikVAdI7aeOsLg0MartAo8wU6meRYbVjYpd4DV/
mCV2APhc+jFv7ues/Yp0RMesSAk4g4eZS/5Ed/RleeUSu/VuUWAoGJBUIm+w4kuqQ3lp1cRTwARC
hZIBfrwumE5PL8kHSEl0Y2Km1KA3wcurTMWR4yUjXbPEn/UdtwFGjNps8/6nRGgWx0Ypu52O1yTn
jp0DN9vEkdGF3ypOE1nN/iuSOA2vl0r5BOXAZxt1NB8l4U8OOaYuSH8ByAt3jDJqkKy5l/paM4+2
7hmtatoxVSkmivJkGwpPyqv5j/+NITAWyiHvaZeGXj2GxxJJZ4DCOKFdjgCTgtOCnTfIQiuIYevv
zc9pyCovBqCFMhAGyxaOmNaN9CrNY1YFtgcZEGFkaUPWUMzs7iZGztn2AmT9L2+u/xkrgQfhUYBK
lgqrC3MPaBoI63lAZPevl1yBu1psy7lq5jUvajj5WBWBIVeEYgXbOFQB9qzdd7sTxdG7neBfBm2+
SMe7/R4oGGkiq6ErtTmp7GbD50XTW9SH9pPmXKz+HOVh/pUd7cMgdV/lqzRt8pWuQm5t4UgG7Brf
Gmqqcv4tuek7MiFB+xzeJl00LT2Y9+uE0P5N+K3RGjbQ1hvq2As4RTz0ou61mD0eaq/qTElBqizh
TU59OjG0mfvt/R3c8z6LpwabGQclfWBO+T6ZdT7s+GOS9t8snjd8zuAw4WclPd+3zFpHzqnQ4BWX
YKDKLL65E1bYLFo2xn8JgnMmewLMIoDe+o04v1XZfTdoTzKOtnSJr5H6meqDA4vhLIMtyg+Dvpvc
IdoZIqlpB7YBTdR1UuuKKVlLpPOKT5Kq6xzDVThYWS5FYqnHjEiYBSCMM08FCEG5qYxYZqTVkZ54
C1BVyPsr22W/oQ7GZRJJuJLpK1QO6D1jiUfiyyLjivp6rWja5euwtvA1/0Mk+jBbV6O7wNmvA9zo
QDAJ4Mt1/ZpJMGT+UkFIlyldzLDGSF03KooLAYQZ7AWG7wjgqxJmF3ANKT8WitB3LlDrc97JrYEn
XSN6Q9KnoGch35JW5Pa8q5hn4tq3a5dcv2sGYxLfx8IWIvgAN186H7Fb2jduVt78ZmN8OIhIvpr1
59Z91gUmv5YziASCo/K89TVwlUGiz+iGv6OYpsUKW+3o8xkAYhsC3s1tqn/QHAbXVVfVYYOCmwYL
Oy7F/qIXj8y60NydhzIMWz/+Yp6AYkw/MAmDi7w13T8FxUeqPYDu+VQckckpserm6wHTFj2By+Wg
0UWzrudJFqV5+C79dVi1W6XWmO+JDh0BHI7q4FTp3dNtI5I5EDDK8R3A6p4/ZW2Zdi2CewX8OI0N
PYbflCpKczUkOSTFvn+GZfJ2XoExjaTZ1Jlvf9neWy8b69tfZMqz9o8O1Rlv/Z60mWPIw/bW0hI6
sTx9qBzWNPc44UlpkqYINYE7Je/q6voJSKwciMGWa7Bn6stLf/WIH9sD+J1uGrt06mTxgKY4ksjt
F5GCL0r7ewy+KdBgjqHx2vgPlYs2K4ozLCJtH51Df4PJIEj8aC46MY3ug2rdFRFPmER5sgh9tqNr
w1lXbkPNW5U6e30GCcgnCgrTWaUfZxK4fs4wviVovOxQ3sgkMhC7Tw2QGGH6+PrKwqbt/WXfAhjb
qtuNZmMy0YWlHeiRbnAINJ9t0GUWe8LVxT2lKGSi7PLXwSJYoItYH/SNW6PvqTTwRESlu+WbhXBH
YH6JWhmxZ8uhqtY2kjLqXUP+DkmWxV0ezN+HbXXgikoH/P5V8EgBn3vuKdvxUuyUC4e5+07D03Cd
rohsSM8yJps0vEMrVVVrJepuQK0CdriT2lOi8WMmWTzqSSqOU544jqtmxXbnKUV88GbTfoWlZvsX
xxB4zZuymkzrJrntEgdgl9QVP0Wh4md/hmRnBaFkm4RVrsK6/oqIPKtct1q+RXFFy0JQ9zw7B/04
Lg83OyupGmScIBJjHO0i/PjmyFnv+NGuKUJoOzF72R8/+z+uTxBw30zVlUvczhJEwqvDGWX3UG7e
TKBoozMQBm5/p8ledUdxOWN9b1JeX3K1h/PmhPp4De3DLzC0cwnQ9V49VoR/chdQ3pGOlxX9fedU
W96UuUlmbXlvQcY8wR7sjFxQeHzfG+Ozd/MVAfEoBCp0R0BBiPnO2blY6bJFQMw1eu/iiiG6nfw+
CvALJe+aTDxlxDeUPkZourilRt2GlnKwWiikLMkAcoEiyWZUg2bs7xAAEo5X+JZX15fOZvMizXqD
EzgVzJ4jjcpR9tVeA4eTubm6CgtTa+3kCKbKDRpFTKQeliLSzwQ+d+jhZprb7S7BGc9Hdh3HL2/w
7S1BNbLJeYJaUwB7IfZcY6Hx5WkkBww3MAHOsQqx31SheDU1JI3wFr+ZXEvBiFZU/W9oqafqVSGf
V6SAT1LaTQyEKG0Vp9ca8NNANJQGo8NBUPj7c/ICPGbnzDkn58vj0IPs2WwBbNNE4b1NfNHf12NQ
phgke6slrtDkK/Tm1m25jgHxq/P8FAV6n9+uHeH7xl3th3sudoCBejPJoAeYLvuMTfniXbm+NeFd
Wbe2d8YZIIPFTEw30Zzs0Xl/uG8NWYsykv7MxotCHdsnMnzO0N3LHUEp2qP56zOk/kqQ9fvpIc8I
w/nOEevfTXQD0C7qK+ST5OGp9lQDTYKyLHKTFa1oaReGTO0+z1O8RI1FE8Gdgx+5vWGmPrcdC62y
qp7nz4wRFP5vuPqhQKsc3F3B8fmd+ttEJl5HUc6qSCeJgLbHzNlOejDrXU0apXGP7rVVVCI7cfN4
NiT5N0j0ck5xvE0efh6PmlgPWZf90Z1lJSlQqvs/gbKj/sPxQ2xTRgD16IPBlt8nuZbQ4BRWJFu8
WNZMQNtqrxjSAvrCmMTphrv7+Sa8K/6myyrWMbweT4oqvfup1YEedNVRf8wJTJAX9bMt9oFaTaW5
ONOQZDnCNpGCCdmosQHTwKyGKHC+KG0gA5N6TITT2sIVUPjCxUDV+cm7pDGeoRQ9pNtGPdWM29Ye
/2wYm1ETcdBFBkPqhSBC2WpV7G5nNcJyjMoCdGSHjHZcDCpf6zsspXzuBIAVqoUxXZGmB0Tyv/Cd
uc3w7uV5BSF1kU4m/midOWdDeNXeZ1ucR97ljXlBe+fb2tbbt5Q17vV5Lc/BBf92qiv8937sAdTE
BLJomr/WcP8i6aJuamuJ+5tGQ/qvMmjwKdDsvbxHIH+9daspwskA5mJXNdYBoyzhfljR6WT/1DYh
ptflKuHjeYYZS36/eG/VmvJciPMKH6YRTWQANn0yAv+nqKVDlrnomy3keRIKDy2l4mNchre7eMfn
sj1eExyT9Qma119UvoF4LGcAJ3U7m9z2o56JHgAGyrkztG0ezPWluoSVHtb7vHHa/JUEaHGVKQCn
XwAmkLmGjdVVWfPvxCaEx0Qc+1qun8e1V5+g0mMtzKb96nLU1wXPCSaI4tjELltLK2pQk8kyeMKA
pOgp8mBINKyvdoz8NLJwnZSMdzoH50eAbzSJuniccn3Z23kHO7MsDmUrZ389Dl7K2Nq2x2fmHB1E
AT6XZOjE+cXdZu37xBDNKtk1ZeUx8/vysh3lhiSiby6Byb4rFg38RIU6dryUr6xxkSrirMyzKw4Y
xb5YO88ctDPk0n7ANXbm/T6KPCQJqalQA3FZXVD8DPajXMs/TqvNFRLR3lL8y3Pg9aCfFvMirSin
NdOO8bhSzhMfvHeqP8k7gxzMrRgLy/6DvEWgzp8ACny01qF3v7LXMLXMq/k0wjF/Z107QUP359Wx
a9LlktmTTmrLl7oyXuUKTAcaEDFkqyonLDdxEGlEUzlNwN4BgVG5v2RqF7jCItpcgl6gHcZHxLtj
6lxjix1GxLRYTENeHQfakJU7Zqz0jkad4+iI1wr69MW8QCvkyEk8YXiN/ER12QwayjnT+pz50QWx
M4SkGm8DtRzQ1rjXqaqbpMai16eD3NgfmJ8P6x/+2w1QsRTQtOtSRS7e7P+0nHEyMhGDcukmy0I5
6iZry+g66ObOy+0RcnrI8SP07zR5UIqoF8qECLjjbKnA+iImpmZrz7bGD9XnwEDCg3p9J2K7pNlu
tTTg5hLww/xr8P18ZqyOrkJrqpD04lZxTPtBdkqzqLHFGsOI8mwIkKsg6afvsCHOL4HX3SwaXNk5
pohfI75Ndt64eNbod+FEIypxqDMY93MxYA+FyMvscCPUioZyea12gnE4BXyO+lcnmeTKJRjMvy2F
zGJaE58EMwV3RqTtFdOhAnyYgLX9hUViur6FtRGgygd2JO4ZMmP49D0IvssD3DWqhiOciwz3+mqw
xFwjhOJ/lF12llu67ZbQ4qhWdGkz+afCkLwRNSgnUmIjn82jlGoDWLHDhJZ8xMt8OqfNmEAZaclR
+p5K6pygSisNC16I2RxMRecTpAnIIRw5FWpJ7XHuqt+ScldmzP09mhdTW3i0Ti87DFVEk4oIIY3S
6zn29gS8u/Ete7ddJVDcFfzLAL9O6JI0L+myFbRmW7hmppiAuOO4gkfNBuKrsg/wmGq+qnGZ+gI2
eXmKAMbH1+epmiWLaXibkn9nbNXmLGq8o66xYEwDQBUw9zmPgyvQvvC+o6O7sUuef9Xl8OK3gF23
QY2ZdybAXbhHNDlXTwuRO0lktizj5oYJ3/+Sz1QEpwKioUadP5oy4S5I9GMyLFPZUyFgdhGSLmlW
WAO9WScXk/YbaT4FTMQwUfJU1B0FhdWhjEk3Mz1vn9OljrubdJUtsUj0DpAwgTORGyhKxIKBNYF2
LePU3zg31Xu1jeAA9qHcGNBElyozKNYckvXhdMpD5CjUqDfKKlyTMdFarfRfj4cPPY9wjJ1JgH5f
diZe2nhBwKHi6ob7ZYFz+FRNLq5lKIGhzsq1Q53pjQiND0gw04Xq1Jqexut919kgXqYTYtVNMA2B
1OB3HukJEeHDPxQZ+2AhYBHz/VT5hl/l+q+BuaoK4hBSW3kFOUSRX/78OFHKoWsSphMcaN/+UavZ
5zMiutZ2rR0zzQndbsLgCslZIeQM+sLXrxp/tp1LoHTzFCeWDqebd1l1Jmf1fDYaoS2J4nYA0c0+
NmUZmEFkSytjacpB5ibn67tJqH55aoRBryKjCtw0IDvh9+Zz1S+oriTT/BYVbQ6SEvQgvL76ZT1u
YMEwy6xEhJYI39XZSVP43ZYyViz8LaZcf4U6TUT4tA4kFkaS3aCRK8qsJ5v/8+WcuGJkwphGcHBr
6TeSA70/DwiiR5DGo2l657sGybgAHEsaCBUhcBW3LjDIr0S5NKPfGviG6K6BNVB78KSxmd4BmyP2
LSVpaLWGTG/2mjG0umiV0hUzl9EL8n0mi152tlhSazu+OOCgWjTNmdFzL0U/ar60GbDPJ+2kouVt
n5YWrGAOic+CXJKa78ID/9xSLk/WFLjJau3iJZBa6v1kYD7Ef+J7inv9sSC7v39NwUW92r+HQ9w6
Mu/AbRMwmGWaAROA64Vofrk2t8Y64ICG3JGAFg29EztwNJdlH2qHHOqX6pYAQM52EqZcqUtrWyt+
uEVuIRKv0pqFbPslYu6E2uv6Aw1HHAkx6nrXltoxtPGwtYEUDWARY8DINb83Z4eHXQYnwUetnCyF
0Pt6DcGaPJ07jtnpT5GjC7RtfFQ3Bet8Nk6V9PlkEXF/5pXVtsFHpizBVnDFUYQVjrECDEZAAoHX
+Y0Mv6IRPe2rJ0y2CP8T7f/4mSnkEYC7O67d2J+oiLDqA0cgrkrM4uLZgR3KGrGB7isGHkwgm+Vr
rbJ+RnLMGS3fr0+hDujEtYadFopsJS1IsKVYWWw66ziPmChfzZrlZP6G4TA2/wOBjY576b0Bd+1k
kyWuFCpqyg36kUhCUfgGlTDaB4lOq1wm/lLkupxVYgs20GdI+7JjXH6Ck9LibtCWSeQuMPcpiMA9
3dOLZccCQSk75bd4pqknb7RaX/FDCrtR32dWSRk7RBokraHZ3JRhKUbYHEGz6tg2OPeM0J2mhJ1V
vJPvoQU7TK9RCZHSHrLDn8cuzrMf33Nf2L5xSwd7p3O24EWtQr24AITRGRjFEtQaECmbAI6Qa2PZ
/vJsn8H0RPQdC8ZQnJvMNqYagx0jURIkfN7HEZ7QikmrA/6VzDBG6rcHdRyBA9D/e2RlUvd8S+RY
/XL6cTwxpf/wi9W2AmCukxol66g6wgmIR/5t3u/S3bNksHnlnZARZdzZ785QQ7gMK/hss6One3ot
2PCoR4ge3Tu5On13NNxeU+IQkfJthR0O3je9k9/ycqtocRgCXJsuLHQ9HLBNw34+hTJvDnlS+xMR
Bb1t/D7kjPVGr/1iiarfO3xxu37Rq4WwaG2UQpHIW6CRegUUtubADGMQsYb6ufHex+Wd3JzCVkg6
1O/YYeR32rf6DjfUfZooAoP/o2zE61IdU+N2jNjahMPgWD7EV97LC8SfQB9yABi2SDPgDR6QYzU0
AXQC2JiHmgK8t1A4qTH10J15KejtYNIdZvakQzpa1iQZEQqgOUy/GXwHrnWyzg4uLQTpCh4kZm7J
au3YgToFMalQ3M5OUuYzcEJc6cz6pjv9nlAVyxmkN2RSHoAf/uJCud7Ax8r8HlmA7h1RDorJjq8l
sMkIbtJYnctfYjk7JbX62x1aUaogUpZAruKJl5KH6NEy7q/ZLqDLH6NRnX7pkFTdAGVeKhMULPeN
DyHKykIIS5hHvm27hvVyXXlvpP0Jzn0VBApUaWeNYAOSjCgwtzXpYDEFoNPv0Nvh79VLGuOJh7NM
JbPeQtHq36IafRdK3QZ1/sSBGo1bSYcOwqGUKsG9TUffGCXsKQlpTRYVeexQ2cYNKW612N8kXTqA
PWt1XnhtCw0YAVV5uPgL5BqFVDLApDjkWTJCzO+hTIBu+b8dFbgAbPBGE++yT47/86YAmFhR/7Hz
Tvymc7e7fxih/lVAV2xdgTeoaxF9MVrWeEc1v949Zajp7qKjb1MKnuR4kflqna0ujDTUkFliLDg9
qddb22ny/qOSFxsSd8z7gub0B/S5KBRVkc3g/ngaY+Tlt6qqmfJ8odgfGGAhUuW/SRZUF0a4ui4j
O21qawQw7mGakAc4VGNTQDNuXOcs8Pihvoihva8yCKkRPEeLLMxREXgv/le9ywp2+H8+jdXDFFLA
iwUT7dh8l8+QbavOqpDoheIeHvdfon5bjWCBuNA/9sye+2pCHQJ7+2BD9ZM2Zmu4m6MW+cnZR/m6
bX4s1met8kzt4NjARWqQ7vTdaF/PQIxgU4tECPodd4GLTLrtCpcpQ3EBWotAuo5pA2s/HWaM7seN
uaU5WhEE//pqtnCdQDlHClxOSnvnM8IxWtpnzSBl+shhZzMa+5qrYeQ2jsQSpwaEqYusDVRdH4ga
m3kwlZOl2AQ1BPU6LVr1Hza9fjuDNQyvF2KW+p0y6VKu7nnSP1PDxAAkOtyNEAyU0AfBlYoZiYsp
YSBNrndUE0nnYxL04niSjudDV8yU6BxMEWm7Xu2a+ypFYAETYiPhyorOBBVHi8yEgYhFfk5uMmJu
GLfcQMTcLDKEtZTw8mINd/M+Okjmk8MWlq4k/HpwlbCyyEfj/qhB2aF8kEJWGnHcp20C+uXNQZLv
TYBTVoLBMXNiES020awgmyRbeOlv8wsBYxv8tYbh+5oTWFyohF8SjtIk9wO6TgJt2vPjastsULxk
RQj6AlEaFitRtk/20OAIyuQ7f521NarGME5p2ySNDr1pdx+o6C4m9/MKvvjBd8cJCBSfqSzRz8Gt
geqSc748+FhXJmJdp28lRRQkdFi/8Y8cbsgX7sq+dWdyuTR7IKsx0VCwP4zYC8oTP5AK/vFG8Yay
5lUQh4p4lWrWF2rAJD5so4DpufZypeNWx3VRer3sT5v0Cp2EUDHvHSyfVPQMFWeO/rMuk5m+8ZOl
K4IX++ze29G22DWEOoeqLVOtCLeJ4N5JhRWxeTxTCq1Ykm6ZJl49r70HWsgG63NSnfrbfwtTjgQl
C2cx262E5ASrzVywOVzolp+8oGdlQGbpmX8rJHynvoYXovXHOeQmPSouYU5hgdStuKO5IJIUh6nM
sp0BhmwdCu4YR2Go8LfRzmtOsApA132amQDEtfgv1q+XqbeEI9hOirkzZh/TGAJTLZq0O/3MMmEV
tL295GwoqJ1BCntwv8al3u8SLBi3X05dar1nNcMuUMZ2jLO/X3oni1yriQ5u41Oz6zJz8Xc8XcBM
ASzHKgSbR3CBLkvMJvKMun+2WWGM3hUMJI8Em0WEBpnuBbidLI+NjyI6Zw4rRAq4OsQI59upFNLu
B6/LzIB3ECT9CsHdLKYJrloYQ2aS4At2wvVsomB41kPajLRNTSZut5OWH4IAByw/T2592QLHSO2T
yka1a6b6odbzktPTii7IOwSNoatsr8VUpgZ+Sc9mpoU0/jLhWetySzBLLRitjEvBjfaMQDo76C5j
/UKMqFesKWXO7eQSp1XMdQeHUTCDkZRIZTSQQ9FsweHTGN4byE31WRvVE8HVg+ZrYEAq7sT5TESE
AsfpAh30fuFW+DW1Q44wU7C8DhqnJNAo41brdC5YbSNFfn8jCy+yx+3CLdEBlXgv18fD1K1Ib8Fm
ZKx/TMCmt7Mhu6v97NosDHbthv001Jn1mMK6Q3pVmq2jQGrxl1Z8j9BeYBEyOVGEjUgdGGxYP3ss
YjbjsyjDc/6XrXwA4LaqnBKbuJUdY5OtydAxpO83BYJFm9/sEVYHePmMHgaEYB2G1yDZIZPUPeme
de4d6oovhOikVhwwKR/2IqQlNgGOXWX+pTjlj+eNsEu6EfgP+nyB/iZ9be3/sp8t+ZxCjAzPKdXg
ITOEBY5NzUv1qEd+v4nXP6UVK3lSoaGnFQiHqFKjaHT3oTnMi8zNJ+3kk/jX2AuiBKd2c/xJoe1Q
VjIPWidKkfYncS5swj7NzYm/1xhTEg1cd8KVoOAaNoEjesgy4pi2GgFl/iO6ciciH342uo1zXzVF
5iBuUVOtXTZJLMvExaOdrQDGCm6NsJgjjYnjXSHrqg6/sbg0qyW0CKf8vIp0YONMs37oMtMIV8/m
YoLT8ISvcw4ydSeBbe4A273GjMh6+gJLNUyEXx4vWXZiXLTiPvt2lrEhPq28H5YpPsgK43vFuUAV
o8vzLNhl9GsFmPuMOXL/sEA5/8fA0PLfspXytP1po2bc9A3t5TjsmwDr6iW0hSpwEtr49SWO3DDz
17Ifm16QyHb4ToCnfsufs5NIfqLEUqdeN6I5Xs/QFMUhvaknwOetYS9HpmDbaJri+nJxuaov1YRs
NQzyOeMKWNVTQPJj693+rD+zRSb130IcwJc/0zk9WVb/GVeiiKJf55/n8UpiHMgBzaxoa5iglFqO
HQ4ZxBzJsVkS4VpDcqtep+p1TOWrki5LJQa8lQPgofH2opax2Mdb+S1olELCNhHiw5PIZ1LYvFT4
EAuo8ge1+oFmeLPexfAgPl/DloDfbDPqPrg3eSovwEvHV/eIWLm4br6aJNRkbdSWE8PgWj+p8mjm
OrqqAQTppGf/5hQL6QXKtxHocVf1GQ80iKAQPJt1nRfeEbVJmoSzn4ejVEI5nzHfGkE7uzBUJSD6
u1O1Bp48iCXMoOL11Uz6P+c4YBysgdDcsN6uIDXnLWe65TCfjLrPj/jO9GENyvpDmF21xSrmDlwI
AeHBya3aHc9Dp/iui/G+0qed6TVFdaxu9E569LqrXGMVR9245zYdyJp6
`protect end_protected
| apache-2.0 | 657a23502b93c37c84c13a074f36d97a | 0.952071 | 1.815147 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/mdm_v3_1/0d9386c2/hdl/vhdl/jtag_control.vhd | 1 | 75,400 | -------------------------------------------------------------------------------
-- $Id$
-------------------------------------------------------------------------------
-- jtag_control.vhd - Entity and architecture
-------------------------------------------------------------------------------
--
-- (c) Copyright 2003,2012,2014 Xilinx, Inc. All rights reserved.
--
-- This file contains confidential and proprietary information
-- of Xilinx, Inc. and is protected under U.S. and
-- international copyright and other intellectual property
-- laws.
--
-- DISCLAIMER
-- This disclaimer is not a license and does not grant any
-- rights to the materials distributed herewith. Except as
-- otherwise provided in a valid license issued to you by
-- Xilinx, and to the maximum extent permitted by applicable
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
-- (2) Xilinx shall not be liable (whether in contract or tort,
-- including negligence, or under any other theory of
-- liability) for any loss or damage of any kind or nature
-- related to, arising under or in connection with these
-- materials, including for any direct, or any indirect,
-- special, incidental, or consequential loss or damage
-- (including loss of data, profits, goodwill, or any type of
-- loss or damage suffered as a result of any action brought
-- by a third party) even if such damage or loss was
-- reasonably foreseeable or Xilinx had been advised of the
-- possibility of the same.
--
-- CRITICAL APPLICATIONS
-- Xilinx products are not designed or intended to be fail-
-- safe, or for use in any application requiring fail-safe
-- performance, such as life-support or safety devices or
-- systems, Class III medical devices, nuclear facilities,
-- applications related to the deployment of airbags, or any
-- other applications that could lead to death, personal
-- injury, or severe property or environmental damage
-- (individually and collectively, "Critical
-- Applications"). Customer assumes the sole risk and
-- liability of any use of Xilinx products in Critical
-- Applications, subject only to applicable laws and
-- regulations governing limitations on product liability.
--
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
-- PART OF THIS FILE AT ALL TIMES.
--
-------------------------------------------------------------------------------
-- Filename: jtag_control.vhd
--
-- Description:
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- jtag_control.vhd
--
-------------------------------------------------------------------------------
-- Author: goran
-- Revision: $Revision$
-- Date: $Date$
--
-- History:
-- goran 2003-02-13 First Version
-- stefana 2012-03-16 Added support for 32 processors and external BSCAN
-- stefana 2013-11-01 Added extended debug: debug register access, debug
-- memory access, cross trigger support
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-----------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
entity JTAG_CONTROL is
generic (
C_MB_DBG_PORTS : integer;
C_USE_CONFIG_RESET : integer;
C_DBG_REG_ACCESS : integer;
C_DBG_MEM_ACCESS : integer;
C_M_AXI_ADDR_WIDTH : integer;
C_M_AXI_DATA_WIDTH : integer;
C_USE_CROSS_TRIGGER : integer;
C_USE_UART : integer;
C_UART_WIDTH : integer := 8;
C_EN_WIDTH : integer := 1
);
port (
-- Global signals
Config_Reset : in std_logic;
Clk : in std_logic;
Rst : in std_logic;
Clear_Ext_BRK : in std_logic;
Ext_BRK : out std_logic;
Ext_NM_BRK : out std_logic := '0';
Debug_SYS_Rst : out std_logic := '0';
Debug_Rst : out std_logic := '0';
Read_RX_FIFO : in std_logic;
Reset_RX_FIFO : in std_logic;
RX_Data : out std_logic_vector(0 to C_UART_WIDTH-1);
RX_Data_Present : out std_logic;
RX_BUFFER_FULL : out std_logic;
Write_TX_FIFO : in std_logic;
Reset_TX_FIFO : in std_logic;
TX_Data : in std_logic_vector(0 to C_UART_WIDTH-1);
TX_Buffer_Full : out std_logic;
TX_Buffer_Empty : out std_logic;
-- Debug Register Access signals
DbgReg_Access_Lock : in std_logic;
DbgReg_Force_Lock : in std_logic;
DbgReg_Unlocked : in std_logic;
JTAG_Access_Lock : out std_logic;
JTAG_Force_Lock : out std_logic;
JTAG_AXIS_Overrun : in std_logic;
JTAG_Clear_Overrun : out std_logic;
-- MDM signals
TDI : in std_logic;
RESET : in std_logic;
UPDATE : in std_logic;
SHIFT : in std_logic;
CAPTURE : in std_logic;
SEL : in std_logic;
DRCK : in std_logic;
TDO : out std_logic;
-- Bus Master signals
M_AXI_ACLK : in std_logic;
M_AXI_ARESETn : in std_logic;
Master_rd_start : out std_logic;
Master_rd_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0);
Master_rd_len : out std_logic_vector(4 downto 0);
Master_rd_size : out std_logic_vector(1 downto 0);
Master_rd_excl : out std_logic;
Master_rd_idle : in std_logic;
Master_rd_resp : in std_logic_vector(1 downto 0);
Master_wr_start : out std_logic;
Master_wr_addr : out std_logic_vector(C_M_AXI_ADDR_WIDTH-1 downto 0);
Master_wr_len : out std_logic_vector(4 downto 0);
Master_wr_size : out std_logic_vector(1 downto 0);
Master_wr_excl : out std_logic;
Master_wr_idle : in std_logic;
Master_wr_resp : in std_logic_vector(1 downto 0);
Master_data_rd : out std_logic;
Master_data_out : in std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
Master_data_exists : in std_logic;
Master_data_wr : out std_logic;
Master_data_in : out std_logic_vector(C_M_AXI_DATA_WIDTH-1 downto 0);
Master_data_empty : in std_logic;
-- MicroBlaze Debug Signals
MB_Debug_Enabled : out std_logic_vector(C_EN_WIDTH-1 downto 0);
Dbg_Clk : out std_logic;
Dbg_TDI : out std_logic;
Dbg_TDO : in std_logic;
Dbg_Reg_En : out std_logic_vector(0 to 7);
Dbg_Capture : out std_logic;
Dbg_Shift : out std_logic;
Dbg_Update : out std_logic;
-- MicroBlaze Cross Trigger Signals
Dbg_Trig_In_0 : in std_logic_vector(0 to 7);
Dbg_Trig_In_1 : in std_logic_vector(0 to 7);
Dbg_Trig_In_2 : in std_logic_vector(0 to 7);
Dbg_Trig_In_3 : in std_logic_vector(0 to 7);
Dbg_Trig_In_4 : in std_logic_vector(0 to 7);
Dbg_Trig_In_5 : in std_logic_vector(0 to 7);
Dbg_Trig_In_6 : in std_logic_vector(0 to 7);
Dbg_Trig_In_7 : in std_logic_vector(0 to 7);
Dbg_Trig_In_8 : in std_logic_vector(0 to 7);
Dbg_Trig_In_9 : in std_logic_vector(0 to 7);
Dbg_Trig_In_10 : in std_logic_vector(0 to 7);
Dbg_Trig_In_11 : in std_logic_vector(0 to 7);
Dbg_Trig_In_12 : in std_logic_vector(0 to 7);
Dbg_Trig_In_13 : in std_logic_vector(0 to 7);
Dbg_Trig_In_14 : in std_logic_vector(0 to 7);
Dbg_Trig_In_15 : in std_logic_vector(0 to 7);
Dbg_Trig_In_16 : in std_logic_vector(0 to 7);
Dbg_Trig_In_17 : in std_logic_vector(0 to 7);
Dbg_Trig_In_18 : in std_logic_vector(0 to 7);
Dbg_Trig_In_19 : in std_logic_vector(0 to 7);
Dbg_Trig_In_20 : in std_logic_vector(0 to 7);
Dbg_Trig_In_21 : in std_logic_vector(0 to 7);
Dbg_Trig_In_22 : in std_logic_vector(0 to 7);
Dbg_Trig_In_23 : in std_logic_vector(0 to 7);
Dbg_Trig_In_24 : in std_logic_vector(0 to 7);
Dbg_Trig_In_25 : in std_logic_vector(0 to 7);
Dbg_Trig_In_26 : in std_logic_vector(0 to 7);
Dbg_Trig_In_27 : in std_logic_vector(0 to 7);
Dbg_Trig_In_28 : in std_logic_vector(0 to 7);
Dbg_Trig_In_29 : in std_logic_vector(0 to 7);
Dbg_Trig_In_30 : in std_logic_vector(0 to 7);
Dbg_Trig_In_31 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_0 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_1 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_2 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_3 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_4 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_5 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_6 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_7 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_8 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_9 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_10 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_11 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_12 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_13 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_14 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_15 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_16 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_17 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_18 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_19 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_20 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_21 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_22 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_23 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_24 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_25 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_26 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_27 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_28 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_29 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_30 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_In_31 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_0 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_1 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_2 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_3 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_4 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_5 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_6 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_7 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_8 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_9 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_10 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_11 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_12 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_13 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_14 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_15 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_16 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_17 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_18 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_19 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_20 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_21 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_22 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_23 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_24 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_25 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_26 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_27 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_28 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_29 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_30 : out std_logic_vector(0 to 7);
Dbg_Trig_Out_31 : out std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_0 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_1 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_2 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_3 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_4 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_5 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_6 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_7 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_8 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_9 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_10 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_11 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_12 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_13 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_14 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_15 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_16 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_17 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_18 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_19 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_20 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_21 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_22 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_23 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_24 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_25 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_26 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_27 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_28 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_29 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_30 : in std_logic_vector(0 to 7);
Dbg_Trig_Ack_Out_31 : in std_logic_vector(0 to 7);
Ext_Trig_In : in std_logic_vector(0 to 3);
Ext_Trig_Ack_In : out std_logic_vector(0 to 3);
Ext_Trig_Out : out std_logic_vector(0 to 3);
Ext_Trig_Ack_Out : in std_logic_vector(0 to 3)
);
end entity JTAG_CONTROL;
library unisim;
use unisim.vcomponents.all;
library mdm_v3_1;
use mdm_v3_1.SRL_FIFO;
architecture IMP of JTAG_CONTROL is
component SRL_FIFO
generic (
C_DATA_BITS : natural;
C_DEPTH : natural
);
port (
Clk : in std_logic;
Reset : in std_logic;
FIFO_Write : in std_logic;
Data_In : in std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Read : in std_logic;
Data_Out : out std_logic_vector(0 to C_DATA_BITS-1);
FIFO_Full : out std_logic;
Data_Exists : out std_logic
);
end component SRL_FIFO;
--
-- Old Config Word in previous versions
--
-- Magic String + Has FSL + 0 + Has UART + UART Width + Num MB + Num hw ports + Debug Version
-- 8 bits + 1 bit + 1 bit + 1 bit + 5 bits + 8 bits + 4 bits + 4 bits
--
-- New Config Word in mdm v2
--
-- Magic String + 00 + Has UART + UART Width + Num MB + UART version + Debug Version
-- 8 bits + 2 bits + 1 bit + 5 bits + 8 bits + 4 bits + 4 bits
--
-- New Config Word in mdm v2 with extended debug
--
-- Extended Config + Magic String + 1 + Extended + Has UART + UART Width + Num MB + UART version + Debug Version
-- 3 bits + 8 bits + 1 bit + 1 bit + 1 bit + 5 bits + 8 bits + 4 bits + 4 bits
--
-- Debug Version Table
-- 0,1,2: Obsolete
-- 3,4: Watchpoint support
-- 5: Remove sync
-- 6: Change command and Reg_En signals to 8 bits
-- 7: Change MB_Debug_Enabled to 32 bits
--
-- UART Version Table
-- 0: Get version from Debug Version Table
-- 6: Non-buffered mode support
--
function TDI_Shifter_Size return integer is
begin
if C_DBG_MEM_ACCESS = 1 then
return 32;
elsif C_USE_CROSS_TRIGGER = 1 then
if C_MB_DBG_PORTS < 16 then
return 16;
else
return C_MB_DBG_PORTS;
end if;
elsif C_MB_DBG_PORTS > 8 then
return C_MB_DBG_PORTS;
end if;
return 8;
end function TDI_Shifter_Size;
function Which_MB_Reg_Size return integer is
begin
if C_MB_DBG_PORTS > 8 then
return C_MB_DBG_PORTS;
end if;
return 8;
end function Which_MB_Reg_Size;
constant No_MicroBlazes : std_logic_vector(7 downto 0) := std_logic_vector(to_unsigned(C_MB_DBG_PORTS, 8));
constant UART_VERSION : std_logic_vector(3 downto 0) := "0110";
constant DEBUG_VERSION : std_logic_vector(3 downto 0) := "0111";
constant Config_Init_Word_S : std_logic_vector(15 downto 0) :=
(No_MicroBlazes & UART_VERSION & DEBUG_VERSION);
constant Config_Init_Word : bit_vector(15 downto 0) :=
to_bitvector(Config_Init_Word_S);
constant C_EXT_CONFIG : integer :=
Boolean'Pos(C_DBG_MEM_ACCESS > 0 or C_DBG_REG_ACCESS > 0 or C_USE_CROSS_TRIGGER > 0);
constant HAVE_EXTENDED : std_logic_vector(0 to 0) :=
std_logic_vector(to_unsigned(C_EXT_CONFIG, 1));
constant HAVE_UART : std_logic_vector(0 to 0) :=
std_logic_vector(to_unsigned(C_USE_UART, 1));
constant UART_WIDTH : std_logic_vector(0 to 4) :=
std_logic_vector(to_unsigned(C_UART_WIDTH-1, 5));
constant MAGIC_STRING : std_logic_vector(0 to 7) := "01000010";
constant Config_Init_Word2_S : std_logic_vector(15 downto 0) :=
(MAGIC_STRING & '1' & HAVE_EXTENDED & HAVE_UART & UART_WIDTH);
constant Config_Init_Word2 : bit_vector(15 downto 0) :=
to_bitvector(Config_Init_Word2_S);
constant Config_Init_Word3_S : std_logic_vector(15 downto 0) :=
std_logic_vector(to_unsigned(C_DBG_MEM_ACCESS, 1) &
to_unsigned(C_DBG_REG_ACCESS, 1) &
to_unsigned(C_USE_CROSS_TRIGGER, 1) &
to_unsigned(0, 13));
constant Config_Init_Word3 : bit_vector(15 downto 0) :=
to_bitvector(Config_Init_Word3_S);
signal config_TDO_1 : std_logic;
signal config_TDO_2 : std_logic;
signal config_TDO_3 : std_logic;
signal config_TDO : std_logic;
signal ID_TDO : std_logic;
signal ID_TDO_1 : std_logic;
signal ID_TDO_2 : std_logic;
signal uart_TDO : std_logic;
signal compl_TDO : std_logic;
signal master_TDO : std_logic;
signal axis_TDO : std_logic;
signal ct_TDO : std_logic;
-----------------------------------------------------------------------------
-- JTAG signals
-----------------------------------------------------------------------------
signal data_cmd : std_logic;
signal data_cmd_n : std_logic;
signal data_cmd_noblock : std_logic;
signal sel_n : std_logic;
signal sel_n_delay : std_logic_vector(0 to 5);
signal command : std_logic_vector(0 to 7) := (others => '0');
signal command_1 : std_logic_vector(0 to 7) := (others => '0');
signal tdi_shifter : std_logic_vector(0 to TDI_Shifter_Size - 1) := (others => '0');
signal shifting_Data : std_logic;
signal sync_detected : std_logic;
signal sync : std_logic;
constant SYNC_CONST : std_logic_vector(1 to 8) := "01101001";
signal shift_Count : std_logic_vector(4 + C_EXT_CONFIG downto 0) := (others => '0');
signal mb_debug_enabled_i : std_logic_vector(C_EN_WIDTH-1 downto 0);
constant C_NUM_DBG_CT : integer := 8;
constant C_NUM_EXT_CT : integer := 4;
type dbg_trig_type is array (0 to 31) of std_logic_vector(0 to C_NUM_DBG_CT - 1);
signal dbg_trig_ack_in_i : dbg_trig_type;
signal dbg_trig_out_i : dbg_trig_type;
signal ext_trig_ack_in_i : std_logic_vector(0 to C_NUM_EXT_CT - 1);
signal ext_trig_out_i : std_logic_vector(0 to C_NUM_EXT_CT - 1);
signal completion_ctrl : std_logic_vector(0 downto 0) := (others => '0');
signal completion_status : std_logic_vector(15 downto 0) := (others => '0');
signal completion_block : std_logic := '0';
signal clear_overrun : std_logic := '0';
signal mb_instr_overrun : std_logic := '0';
signal mb_instr_error : std_logic := '0';
signal mb_data_overrun : std_logic := '0';
signal master_overrun : std_logic;
signal master_error : std_logic;
-----------------------------------------------------------------------------
-- Register handling
-----------------------------------------------------------------------------
constant MDM_DEBUG_ID : std_logic_vector(0 to 7) := "00000000";
constant MB_WRITE_CONTROL : std_logic_vector(0 to 7) := "00000001";
constant MB_WRITE_COMMAND : std_logic_vector(0 to 7) := "00000010";
constant MB_READ_STATUS : std_logic_vector(0 to 7) := "00000011";
constant MB_WRITE_INSTR : std_logic_vector(0 to 7) := "00000100";
--constant MB_WRITE_DATA : std_logic_vector(0 to 7) := "00000101";
constant MB_READ_DATA : std_logic_vector(0 to 7) := "00000110";
constant MB_READ_CONFIG : std_logic_vector(0 to 7) := "00000111";
constant MB_WRITE_BRK_RST_CTRL : std_logic_vector(0 to 7) := "00001000";
constant UART_WRITE_BYTE : std_logic_vector(0 to 7) := "00001001";
constant UART_READ_STATUS : std_logic_vector(0 to 7) := "00001010";
constant UART_READ_BYTE : std_logic_vector(0 to 7) := "00001011";
constant MDM_READ_CONFIG : std_logic_vector(0 to 7) := "00001100";
constant MDM_WRITE_WHICH_MB : std_logic_vector(0 to 7) := "00001101";
constant UART_WRITE_CONTROL : std_logic_vector(0 to 7) := "00001110";
--constant MDM_WRITE_TO_FSL : std_logic_vector(0 to 7) := "00001111";
-- registers "00010000" to "00011111" are pc breakpoints 1-16
constant BUSM_WRITE_DATA : std_logic_vector(0 to 7) := "00100001";
constant BUSM_READ_STATUS : std_logic_vector(0 to 7) := "00100010";
constant BUSM_READ_DATA : std_logic_vector(0 to 7) := "00100011";
constant BUSM_WRITE_COMMAND : std_logic_vector(0 to 7) := "00100101";
constant BUSM_WRITE_CONTROL : std_logic_vector(0 to 7) := "00100110";
constant MDM_READ_COMPL_STATUS : std_logic_vector(0 to 7) := "00101010";
constant MDM_WRITE_COMPL_CTRL : std_logic_vector(0 to 7) := "00101101";
constant AXIS_READ_STATUS : std_logic_vector(0 to 7) := "00110010";
constant AXIS_WRITE_COMMAND : std_logic_vector(0 to 7) := "00110110";
constant CT_WRITE_EXT_CTRL : std_logic_vector(0 to 7) := "01000000";
constant CT_READ_STATUS : std_logic_vector(0 to 7) := "01000010";
constant CT_WRITE_CTRL : std_logic_vector(0 to 7) := "01000110";
-- registers "01010000" to "11111111" are reserved
-----------------------------------------------------------------------------
-- Internal signals for debugging
-----------------------------------------------------------------------------
signal set_Ext_BRK : std_logic := '0';
signal ext_BRK_i : std_logic := '0';
signal Ext_NM_BRK_i : std_logic := '0';
signal Debug_SYS_Rst_i : std_logic := '0';
signal Debug_Rst_i : std_logic := '0';
constant ID_Init_Word1 : bit_vector(15 downto 0) := x"4443"; -- Ascii
constant ID_Init_Word2 : bit_vector(15 downto 0) := x"584D"; -- "XMDC"
attribute KEEP : string;
begin -- architecture IMP
-----------------------------------------------------------------------------
-- Control logic
-----------------------------------------------------------------------------
-- data_cmd | meaning
-- ======================
-- 0 | Command phase
-- 1 | Data phase
FDC_I : FDC_1
port map (
Q => data_cmd_noblock, -- [out std_logic]
C => Update, -- [in std_logic]
D => data_cmd_n, -- [in std_logic]
CLR => sel_n); -- [in std_logic]
data_cmd_n <= not data_cmd_noblock;
data_cmd <= data_cmd_noblock and not completion_block;
-- sel_n <= not SEL;
-- Need to delay sel_n to make sure that it arrives at the FDC_I after the
-- falling edge of Update. Update can get a long skew so extra LUTS are
-- inserted as delay elements
sel_n_delay(0) <= not SEL;
Insert_Delays : for I in sel_n_delay'left to sel_n_delay'right-1 generate
signal local_sel_n : std_logic;
attribute KEEP of local_sel_n : signal is "TRUE";
begin
LUT_Delay : LUT4
generic map(
INIT => X"0002"
)
port map (
O => local_sel_n, -- [out]
I0 => sel_n_delay(I), -- [in]
I1 => '0', -- [in]
I2 => '0', -- [in]
I3 => '0'); -- [in]
sel_n_delay(I+1) <= local_sel_n;
end generate Insert_Delays;
sel_n <= sel_n_delay(sel_n_delay'right) or Config_Reset;
Input_shifter : process (DRCK, Config_Reset)
begin
if Config_Reset = '1' then
tdi_shifter <= (others => '0');
elsif DRCK'event and DRCK = '1' then
if SEL = '1' and SHIFT = '1' then
tdi_shifter <= TDI & tdi_shifter(0 to tdi_shifter'right - 1);
end if;
end if;
end process Input_shifter;
Command_update : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
command <= (others => '0');
elsif UPDATE'event and UPDATE = '0' then
if SEL = '1' then
command <= command_1;
end if;
end if;
end process Command_update;
Command_update_1 : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
command_1 <= (others => '0');
elsif UPDATE'event and UPDATE = '1' then
if SEL = '1' and data_cmd = '0' then
command_1 <= tdi_shifter (0 to 7);
end if;
end if;
end process Command_update_1;
Dbg_Clk <= DRCK;
Dbg_Reg_En <= command when data_cmd = '1' else (others => '0');
Dbg_TDI <= TDI;
Dbg_Capture <= CAPTURE;
Dbg_Update <= UPDATE;
-- No sync word requirement for commands other than "Write Instruction"
shifting_Data <= (SHIFT and sync)
when (command = MB_WRITE_INSTR) and (data_cmd = '1')
else SHIFT;
Dbg_Shift <= shifting_Data;
sync_detected <= '1' when tdi_shifter(0 to 7) = SYNC_CONST and data_cmd = '1'
else '0';
SYNC_FDRE : FDRE_1
port map (
Q => sync,
C => DRCK,
CE => sync_detected,
D => '1',
R => data_cmd_n);
-----------------------------------------------------------------------------
-- Shift Counter
-----------------------------------------------------------------------------
-- Keep a counter on the number of bits in the data phase after a sync has
-- been detected
Shift_Counter : process (DRCK, Config_Reset) is
begin -- process Shift_Counter
if Config_Reset = '1' then
shift_Count <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if SHIFT = '0' then
shift_Count <= (others => '0');
else
shift_Count <= std_logic_vector(unsigned(Shift_Count) + 1);
end if;
end if;
end process Shift_Counter;
-----------------------------------------------------------------------------
-- Config Register
-----------------------------------------------------------------------------
Use_Config_SRL16E : if (C_USE_CONFIG_RESET = 0) generate
begin
SRL16E_1 : SRL16E
generic map (
INIT => Config_Init_Word
)
port map (
CE => '0', -- [in std_logic]
D => '0', -- [in std_logic]
Clk => DRCK, -- [in std_logic]
A0 => shift_Count(0), -- [in std_logic]
A1 => shift_Count(1), -- [in std_logic]
A2 => shift_Count(2), -- [in std_logic]
A3 => shift_Count(3), -- [in std_logic]
Q => config_TDO_1); -- [out std_logic]
SRL16E_2 : SRL16E
generic map (
INIT => Config_Init_Word2
)
port map (
CE => '0', -- [in std_logic]
D => '0', -- [in std_logic]
Clk => DRCK, -- [in std_logic]
A0 => shift_Count(0), -- [in std_logic]
A1 => shift_Count(1), -- [in std_logic]
A2 => shift_Count(2), -- [in std_logic]
A3 => shift_Count(3), -- [in std_logic]
Q => config_TDO_2); -- [out std_logic]
Use_Ext_Config: if (C_EXT_CONFIG > 0) generate
begin
SRL16E_3 : SRL16E
generic map (
INIT => Config_Init_Word3
)
port map (
CE => '0', -- [in std_logic]
D => '0', -- [in std_logic]
Clk => DRCK, -- [in std_logic]
A0 => shift_Count(0), -- [in std_logic]
A1 => shift_Count(1), -- [in std_logic]
A2 => shift_Count(2), -- [in std_logic]
A3 => shift_Count(3), -- [in std_logic]
Q => config_TDO_3); -- [out std_logic]
end generate Use_Ext_Config;
end generate Use_Config_SRL16E;
No_Config_SRL16E : if (C_USE_CONFIG_RESET = 1) generate
begin
config_TDO_1 <= Config_Init_Word_S(to_integer(unsigned(shift_Count(3 downto 0))));
config_TDO_2 <= Config_Init_Word2_S(to_integer(unsigned(shift_Count(3 downto 0))));
Use_Ext_Config: if (C_EXT_CONFIG > 0) generate
begin
config_TDO_3 <= Config_Init_Word3_S(to_integer(unsigned(shift_Count(3 downto 0))));
end generate Use_Ext_Config;
end generate No_Config_SRL16E;
Use_Ext_Config: if (C_EXT_CONFIG > 0) generate
begin
config_TDO <= config_TDO_1 when shift_Count(5 downto 4) = "00" else
config_TDO_2 when shift_Count(5 downto 4) = "01" else
config_TDO_3;
end generate Use_Ext_Config;
No_Ext_Config: if (C_EXT_CONFIG = 0) generate
begin
config_TDO_3 <= '0'; -- Unused
config_TDO <= config_TDO_1 when shift_Count(4) = '0' else config_TDO_2;
end generate No_Ext_Config;
-----------------------------------------------------------------------------
-- ID Register
-----------------------------------------------------------------------------
Use_ID_SRL16E : if (C_USE_CONFIG_RESET = 0) generate
begin
SRL16E_ID_1 : SRL16E
generic map (
INIT => ID_Init_Word1
)
port map (
CE => '0',
D => '0',
Clk => DRCK,
A0 => shift_Count(0),
A1 => shift_Count(1),
A2 => shift_Count(2),
A3 => shift_Count(3),
Q => ID_TDO_1);
SRL16E_ID_2 : SRL16E
generic map (
INIT => ID_Init_Word2
)
port map (
CE => '0',
D => '0',
Clk => DRCK,
A0 => shift_Count(0),
A1 => shift_Count(1),
A2 => shift_Count(2),
A3 => shift_Count(3),
Q => ID_TDO_2);
end generate Use_ID_SRL16E;
No_ID_SRL16E : if (C_USE_CONFIG_RESET = 1) generate
begin
ID_TDO_1 <= To_X01(ID_Init_Word1(to_integer(unsigned(shift_Count(3 downto 0)))));
ID_TDO_2 <= To_X01(ID_Init_Word2(to_integer(unsigned(shift_Count(3 downto 0)))));
end generate No_ID_SRL16E;
ID_TDO <= ID_TDO_1 when shift_Count(4) = '0' else ID_TDO_2;
-----------------------------------------------------------------------------
-- Handling the Which_MB register
-----------------------------------------------------------------------------
More_Than_One_MB : if (C_MB_DBG_PORTS > 1) generate
signal Which_MB_Reg : std_logic_vector(Which_MB_Reg_Size - 1 downto 0) := (others => '0');
begin
Which_MB_Reg_Handle : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
Which_MB_Reg <= (others => '0');
elsif UPDATE'event and UPDATE = '0' then
if SEL = '1' and data_cmd = '1' and command = MDM_WRITE_WHICH_MB then
Which_MB_Reg <= tdi_shifter(0 to Which_MB_Reg_Size - 1);
end if;
end if;
end process Which_MB_Reg_Handle;
mb_debug_enabled_i(C_MB_DBG_PORTS-1 downto 0) <=
Which_MB_Reg(C_MB_DBG_PORTS-1 downto 0);
end generate More_Than_One_MB;
Only_One_MB : if (C_MB_DBG_PORTS = 1) generate
mb_debug_enabled_i(0) <= '1';
end generate Only_One_MB;
No_MB : if (C_MB_DBG_PORTS = 0) generate
mb_debug_enabled_i(0) <= '0';
end generate No_MB;
MB_Debug_Enabled <= mb_debug_enabled_i;
-----------------------------------------------------------------------------
-- Reset Control
-----------------------------------------------------------------------------
Reset_Control : process (UPDATE, Config_Reset)
begin -- process Reset_Control
if Config_Reset = '1' then
Debug_Rst_i <= '0';
Debug_SYS_Rst_i <= '0';
set_Ext_BRK <= '0';
Ext_NM_BRK_i <= '0';
elsif UPDATE'event and UPDATE = '1' then
if command = MB_WRITE_BRK_RST_CTRL and data_cmd = '1' then
Debug_Rst_i <= tdi_shifter(0);
Debug_SYS_Rst_i <= tdi_shifter(1);
set_Ext_BRK <= tdi_shifter(2);
Ext_NM_BRK_i <= tdi_shifter(3);
end if;
end if;
end process Reset_Control;
-----------------------------------------------------------------------------
-- Execute Commands
-----------------------------------------------------------------------------
Debug_SYS_Rst <= Debug_SYS_Rst_i;
Debug_Rst <= Debug_Rst_i;
Ext_NM_BRK <= Ext_NM_BRK_i;
Ext_BRK <= ext_BRK_i;
-----------------------------------------------------------------------------
-- TDO Mux
-----------------------------------------------------------------------------
with command select
TDO <=
ID_TDO when MDM_DEBUG_ID,
uart_TDO when UART_READ_BYTE,
uart_TDO when UART_READ_STATUS,
config_TDO when MDM_READ_CONFIG,
master_TDO when BUSM_READ_DATA,
master_TDO when BUSM_READ_STATUS,
compl_TDO when MDM_READ_COMPL_STATUS,
axis_TDO when AXIS_READ_STATUS,
ct_TDO when CT_READ_STATUS,
Dbg_TDO when others;
-----------------------------------------------------------------------------
-- Unified Overrun and Error Detection section
-----------------------------------------------------------------------------
-- Completion Control (clears completion count and block):
-- 0 Enable completion block
Completion_Control_Register : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
completion_ctrl <= (others => '0');
elsif UPDATE'event and UPDATE = '1' then
if command = MDM_WRITE_COMPL_CTRL and data_cmd_noblock = '1' then
completion_ctrl <= tdi_shifter(0 to 0);
end if;
end if;
end process Completion_Control_Register;
-- Completion Status:
-- 0-9 Command count
-- 10 MicroBlaze instruction insert overrun
-- 11 MicroBlaze instruction insert exception occurred
-- 12 MicroBlaze data read overrun
-- 13 Bus Master interface overrun
-- 14 Bus Master interface error occurred
-- 15 AXI Slave interface access locked
Completion_Status_Register : process (DRCK, Config_Reset) is
variable sample : std_logic_vector(15 downto 13);
variable sample_1 : std_logic_vector(15 downto 10);
attribute ASYNC_REG : string;
attribute ASYNC_REG of sample : variable is "TRUE";
begin -- process Completion_Status_Register
if Config_Reset = '1' then
completion_status <= (others => '0');
completion_block <= '0';
clear_overrun <= '0';
sample := (others => '0');
sample_1 := (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if command = MDM_READ_COMPL_STATUS and data_cmd_noblock = '1' then
if CAPTURE = '1' then
completion_status(sample_1'range) <= sample_1;
elsif SHIFT = '1' then
completion_status <= '0' & completion_status(completion_status'left downto completion_status'right + 1);
end if;
elsif command = MDM_WRITE_COMPL_CTRL and data_cmd_noblock = '1' then
if CAPTURE = '1' then
completion_status(9 downto 0) <= (others => '0');
completion_block <= '0';
clear_overrun <= '1';
end if;
else
-- Sample and detect status change
if completion_ctrl(0) = '1' then
if (sample_1(10) = '0' and mb_instr_overrun = '1') or
(sample_1(11) = '0' and mb_instr_error = '1') or
(sample_1(12) = '0' and mb_data_overrun = '1') or
(sample_1(13) = '0' and sample(13) = '1') or
(sample_1(14) = '0' and sample(14) = '1') or
(sample_1(15) = '0' and sample(15) = '1') then
completion_block <= '1';
end if;
end if;
sample_1(15 downto 13) := sample;
sample_1(10) := mb_instr_overrun;
sample_1(11) := mb_instr_error;
sample_1(12) := mb_data_overrun;
sample(13) := master_overrun;
sample(14) := master_error;
sample(15) := JTAG_AXIS_Overrun;
-- Increment command count
if CAPTURE = '1' then
if data_cmd = '0' and completion_block = '0' then
completion_status(9 downto 0) <= std_logic_vector(unsigned(completion_status(9 downto 0)) + 1);
end if;
clear_overrun <= '0';
end if;
end if;
end if;
end process Completion_Status_Register;
compl_TDO <= completion_status(completion_status'right);
Write_Instr_Status : process (DRCK, Config_Reset) is
variable count : std_logic_vector(0 to 1) := "00";
begin -- process Write_Instr_Status
if Config_Reset = '1' then
mb_instr_overrun <= '0';
mb_instr_error <= '0';
count := "00";
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if command = MB_WRITE_INSTR and data_cmd = '1' then
if CAPTURE = '1' then
mb_instr_overrun <= '0';
mb_instr_error <= '0';
count := "00";
elsif shifting_Data = '1' and count(0) = '0' then
if count(1) = '0' then
mb_instr_overrun <= Dbg_TDO;
end if;
if count(1) = '1' then
mb_instr_error <= Dbg_TDO;
end if;
count := std_logic_vector(unsigned(count) + 1);
end if;
end if;
end if;
end process Write_Instr_Status;
Data_Read_Status : process (DRCK, Config_Reset) is
variable count : std_logic_vector(0 to 5) := "000000";
begin -- process Data_Read_Status
if Config_Reset = '1' then
mb_data_overrun <= '0';
count := "000000";
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if command = MB_READ_DATA and data_cmd = '1' then
if CAPTURE = '1' then
mb_data_overrun <= '0';
count := "000000";
elsif SHIFT = '1' then
if count(0) = '1' then
mb_data_overrun <= not Dbg_TDO;
end if;
count := std_logic_vector(unsigned(count) + 1);
end if;
end if;
end if;
end process Data_Read_Status;
-----------------------------------------------------------------------------
-- UART section
-----------------------------------------------------------------------------
Use_UART : if (C_USE_UART = 1) generate
signal execute : std_logic := '0';
signal execute_1 : std_logic := '0';
signal execute_2 : std_logic := '0';
signal execute_3 : std_logic := '0';
signal fifo_DOut : std_logic_vector(0 to C_UART_WIDTH-1);
signal fifo_Data_Present : std_logic := '0';
signal fifo_Din : std_logic_vector(0 to C_UART_WIDTH-1);
signal fifo_Read : std_logic := '0';
signal fifo_Write : std_logic := '0';
signal rx_Buffer_Full_I : std_logic := '0';
signal rx_Data_Present_I : std_logic := '0';
signal status_reg : std_logic_vector(0 to 7) := (others => '0');
signal tdo_reg : std_logic_vector(0 to C_UART_WIDTH-1) := (others => '0');
signal tx_Buffer_Full_I : std_logic := '0';
signal tx_buffered : std_logic := '0'; -- Non-buffered mode on startup
signal tx_buffered_1 : std_logic := '0';
signal tx_buffered_2 : std_logic := '0';
signal tx_fifo_wen : std_logic;
attribute ASYNC_REG : string;
attribute ASYNC_REG of execute_1 : signal is "TRUE";
attribute ASYNC_REG of execute_2 : signal is "TRUE";
attribute ASYNC_REG of tx_buffered_1 : signal is "TRUE";
attribute ASYNC_REG of tx_buffered_2 : signal is "TRUE";
begin
Ext_BRK_FDRSE : FDRSE
port map (
Q => ext_BRK_i, -- [out std_logic]
C => Clk, -- [in std_logic]
CE => '0', -- [in std_logic]
D => '0', -- [in std_logic]
R => Clear_Ext_BRK, -- [in std_logic]
S => set_Ext_BRK); -- [in std_logic]
-----------------------------------------------------------------------------
-- Control Register
-----------------------------------------------------------------------------
-- Register accessible on the JTAG interface only
Control_Register : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
tx_buffered <= '0';
elsif UPDATE'event and UPDATE = '1' then
if command = UART_WRITE_CONTROL and data_cmd = '1' then
tx_buffered <= tdi_shifter(0);
end if;
end if;
end process Control_Register;
Tx_Buffered_DFF: process (Clk)
begin -- process Tx_Buffered_DFF
if Clk'event and Clk = '1' then
tx_buffered_2 <= tx_buffered_1;
tx_buffered_1 <= tx_buffered;
end if;
end process Tx_Buffered_DFF;
Execute_UART_Command : process (UPDATE, data_cmd)
begin -- process Execute_UART_Command
if data_cmd = '0' then
execute <= '0';
elsif UPDATE'event and UPDATE = '1' then
if (command = UART_READ_BYTE) or
(command = UART_WRITE_BYTE) then
execute <= '1';
else
execute <= '0';
end if;
end if;
end process Execute_UART_Command;
Execute_FIFO_Command : process (Clk)
begin -- process Execute_FIFO_Command
if Clk'event and Clk = '1' then
fifo_Write <= '0';
fifo_Read <= '0';
if (execute_3 = '0') and (execute_2 = '1') then
if (command = UART_WRITE_BYTE) then
fifo_Write <= '1';
end if;
if (command = UART_READ_BYTE) then
fifo_Read <= '1';
end if;
end if;
execute_3 <= execute_2;
execute_2 <= execute_1;
execute_1 <= execute;
end if;
end process Execute_FIFO_Command;
-- Since only one bit can change in the status register at time
-- we don't need to synchronize them with the DRCK clock
status_reg(7) <= fifo_Data_Present;
status_reg(6) <= tx_Buffer_Full_I;
status_reg(5) <= not rx_Data_Present_I;
status_reg(4) <= rx_Buffer_Full_I;
status_reg(3) <= '0'; -- FSL0_S_Exists;
status_reg(2) <= '0'; -- FSL0_M_Full;
status_reg(1) <= '0'; -- FSL_Read_UnderRun;
status_reg(0) <= '0'; -- FSL_Write_OverRun;
-- Read UART registers
TDO_Register : process (DRCK, Config_Reset) is
begin -- process TDO_Register
if Config_Reset = '1' then
tdo_reg <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if (CAPTURE = '1') then
case Command is
when UART_READ_STATUS =>
tdo_reg <= (others => '0');
tdo_reg(tdo_reg'right-status_reg'length+1 to tdo_reg'right) <= status_reg;
when others =>
tdo_reg <= fifo_DOut;
end case;
elsif SHIFT = '1' then
tdo_reg <= '0' & tdo_reg(tdo_reg'left to tdo_reg'right-1);
end if;
end if;
end process TDO_Register;
uart_TDO <= tdo_reg(tdo_reg'right);
-----------------------------------------------------------------------------
-- TDI Register
-----------------------------------------------------------------------------
TDI_Register : process (DRCK, Config_Reset) is
begin -- process TDI_Register
if Config_Reset = '1' then
fifo_Din <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if shifting_Data = '1' then
fifo_Din(fifo_Din'left+1 to fifo_Din'right) <=
fifo_Din(fifo_Din'left to fifo_Din'right-1);
fifo_Din(0) <= TDI;
end if;
end if;
end process TDI_Register;
---------------------------------------------------------------------------
-- FIFO
---------------------------------------------------------------------------
RX_FIFO_I : SRL_FIFO
generic map (
C_DATA_BITS => C_UART_WIDTH, -- [natural]
C_DEPTH => 16) -- [natural]
port map (
Clk => Clk, -- [in std_logic]
Reset => Reset_RX_FIFO, -- [in std_logic]
FIFO_Write => fifo_Write, -- [in std_logic]
Data_In => fifo_Din(0 to C_UART_WIDTH-1), -- [in std_logic_vector(0 to C_DATA_BITS-1)]
FIFO_Read => Read_RX_FIFO, -- [in std_logic]
Data_Out => RX_Data, -- [out std_logic_vector(0 to C_DATA_BITS-1)]
FIFO_Full => rx_Buffer_Full_I, -- [out std_logic]
Data_Exists => rx_Data_Present_I); -- [out std_logic]
RX_Data_Present <= rx_Data_Present_I;
RX_Buffer_Full <= rx_Buffer_Full_I;
-- Discard transmit data until XMD enables buffered mode.
tx_fifo_wen <= Write_TX_FIFO and tx_buffered_2;
TX_FIFO_I : SRL_FIFO
generic map (
C_DATA_BITS => C_UART_WIDTH, -- [natural]
C_DEPTH => 16) -- [natural]
port map (
Clk => Clk, -- [in std_logic]
Reset => Reset_TX_FIFO, -- [in std_logic]
FIFO_Write => tx_fifo_wen, -- [in std_logic]
Data_In => TX_Data, -- [in std_logic_vector(0 to C_DATA_BITS-1)]
FIFO_Read => fifo_Read, -- [in std_logic]
Data_Out => fifo_DOut, -- [out std_logic_vector(0 to C_DATA_BITS-1)]
FIFO_Full => TX_Buffer_Full_I, -- [out std_logic]
Data_Exists => fifo_Data_Present); -- [out std_logic]
TX_Buffer_Full <= TX_Buffer_Full_I;
TX_Buffer_Empty <= not fifo_Data_Present;
end generate Use_UART;
No_UART : if (C_USE_UART = 0) generate
begin
ext_BRK_i <= '0';
uart_TDO <= '0';
RX_Data <= (others => '0');
RX_Data_Present <= '0';
RX_BUFFER_FULL <= '0';
TX_Buffer_Full <= '0';
TX_Buffer_Empty <= '1';
end generate No_UART;
-----------------------------------------------------------------------------
-- Bus Master Debug Memory Access section
-----------------------------------------------------------------------------
Use_Dbg_Mem_Access : if (C_DBG_MEM_ACCESS = 1) generate
signal input : std_logic_vector(0 to C_M_AXI_DATA_WIDTH-1);
signal output : std_logic_vector(0 to C_M_AXI_DATA_WIDTH-1);
signal status : std_logic_vector(0 to 7);
signal execute : std_logic := '0';
signal execute_1 : std_logic := '0';
signal execute_2 : std_logic := '0';
signal execute_3 : std_logic := '0';
signal rd_wr_len : std_logic_vector(0 to 4) := (others => '0');
signal rd_wr_size : std_logic_vector(0 to 1) := (others => '0');
signal rd_wr_excl : std_logic := '0';
attribute ASYNC_REG : string;
attribute ASYNC_REG of execute_1 : signal is "TRUE";
attribute ASYNC_REG of execute_2 : signal is "TRUE";
begin
-----------------------------------------------------------------------------
-- Control Register
-----------------------------------------------------------------------------
Control_Register : process (UPDATE, Config_Reset)
begin
if Config_Reset = '1' then
rd_wr_excl <= '0'; -- no exclusive
rd_wr_size <= "10"; -- word size
rd_wr_len <= (others => '0'); -- single word burst
elsif UPDATE'event and UPDATE = '1' then
if command = BUSM_WRITE_CONTROL and data_cmd = '1' then
rd_wr_excl <= tdi_shifter(0);
rd_wr_size <= tdi_shifter(1 to 2);
rd_wr_len <= tdi_shifter(3 to 7);
end if;
end if;
end process Control_Register;
Master_rd_len <= rd_wr_len;
Master_wr_len <= rd_wr_len;
Master_rd_size <= rd_wr_size;
Master_wr_size <= rd_wr_size;
Master_rd_excl <= rd_wr_excl;
Master_wr_excl <= rd_wr_excl;
-----------------------------------------------------------------------------
-- Command Registers
-----------------------------------------------------------------------------
Execute_Bus_Command : process (UPDATE, data_cmd)
begin -- process Execute_Bus_Command
if data_cmd = '0' then
execute <= '0';
elsif UPDATE'event and UPDATE = '1' then
if (command = BUSM_WRITE_COMMAND) or
(command = BUSM_READ_DATA) or
(command = BUSM_WRITE_DATA) then
execute <= '1';
else
execute <= '0';
end if;
end if;
end process Execute_Bus_Command;
Execute_Data_Command : process (M_AXI_ACLK)
begin -- process Execute_Data_Command
if M_AXI_ACLK'event and M_AXI_ACLK = '1' then
if M_AXI_ARESETn = '0' then
execute_3 <= '0';
execute_2 <= '0';
execute_1 <= '0';
Master_data_wr <= '0';
Master_data_rd <= '0';
Master_rd_start <= '0';
Master_wr_start <= '0';
master_overrun <= '0';
else
Master_data_wr <= '0';
Master_data_rd <= '0';
Master_rd_start <= '0';
Master_wr_start <= '0';
if (execute_3 = '0') and (execute_2 = '1') then
if (Master_rd_idle = '1') and (Master_wr_idle = '1') then
if (command = BUSM_WRITE_DATA) then
Master_data_wr <= '1';
end if;
if (command = BUSM_READ_DATA) then
Master_data_rd <= '1';
end if;
if (command = BUSM_WRITE_COMMAND) then
Master_rd_start <= Master_data_empty;
Master_wr_start <= not Master_data_empty;
end if;
master_overrun <= '0';
else
master_overrun <= '1';
end if;
end if;
execute_3 <= execute_2;
execute_2 <= execute_1;
execute_1 <= execute;
end if;
end if;
end process Execute_Data_Command;
master_error <= '1' when Master_rd_resp /= "00" or Master_wr_resp /= "00" else '0';
-----------------------------------------------------------------------------
-- Status Register and Data Read Register
-----------------------------------------------------------------------------
-- We don't need to synchronize status with DRCK clock
status(7) <= '0';
status(6) <= '0';
status(4 to 5) <= Master_rd_resp;
status(2 to 3) <= Master_wr_resp;
status(1) <= Master_rd_idle;
status(0) <= Master_wr_idle;
Output_Register : process (DRCK, Config_Reset) is
begin -- process Output_Register
if Config_Reset = '1' then
output <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if (CAPTURE = '1') then
case Command is
when BUSM_READ_STATUS =>
output <= (others => '0');
output(output'right-status'length+1 to output'right) <= status;
when others =>
output <= Master_data_out;
end case;
elsif SHIFT = '1' then
output <= '0' & output(output'left to output'right-1);
end if;
end if;
end process Output_Register;
master_TDO <= output(output'right);
-----------------------------------------------------------------------------
-- Write Data and Read/Write Address Register
-----------------------------------------------------------------------------
Input_Register : process (DRCK, Config_Reset) is
begin -- process In_Register
if Config_Reset = '1' then
input <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if shifting_Data = '1' then
input(input'left+1 to input'right) <= input(input'left to input'right-1);
input(0) <= TDI;
end if;
end if;
end process Input_Register;
Master_rd_addr <= input;
Master_wr_addr <= input;
Master_data_in <= input;
end generate Use_Dbg_Mem_Access;
No_Dbg_Mem_Access : if (C_DBG_MEM_ACCESS = 0) generate
begin
master_TDO <= '0';
master_overrun <= '0';
master_error <= '0';
Master_rd_start <= '0';
Master_rd_addr <= (others => '0');
Master_rd_len <= (others => '0');
Master_rd_size <= (others => '0');
Master_rd_excl <= '0';
Master_wr_start <= '0';
Master_wr_addr <= (others => '0');
Master_wr_len <= (others => '0');
Master_wr_size <= (others => '0');
Master_wr_excl <= '0';
Master_data_rd <= '0';
Master_data_wr <= '0';
Master_data_in <= (others => '0');
end generate No_Dbg_Mem_Access;
-----------------------------------------------------------------------------
-- AXI Slave Debug Register Access section
-----------------------------------------------------------------------------
Use_Dbg_Reg_Access : if (C_DBG_REG_ACCESS = 1) generate
signal access_lock : std_logic := '0';
signal access_lock_cmd_rst : std_logic;
signal dbgreg_access_lock_1 : std_logic := '0';
signal force_lock : std_logic := '0';
signal force_lock_cmd_rst : std_logic;
signal status_reg : std_logic_vector(0 to 1);
signal tdo_reg : std_logic_vector(0 to 1) := (others => '0');
begin
-----------------------------------------------------------------------------
-- Handle force lock command: first set it on update and then remove after
-- it has been detected in the other clock region
-----------------------------------------------------------------------------
force_lock_cmd_rst <= Config_Reset or dbgreg_unlocked;
Force_Lock_Command_Handle : process (UPDATE, force_lock_cmd_rst)
begin -- process Force_Lock_Command_Handle
if force_lock_cmd_rst = '1' then
force_lock <= '0';
elsif UPDATE'event and UPDATE = '1' then
if command = AXIS_WRITE_COMMAND and data_cmd = '1' then
force_lock <= tdi_shifter(0);
end if;
end if;
end process Force_Lock_Command_Handle;
JTAG_Force_Lock <= force_lock;
-----------------------------------------------------------------------------
-- Handle normal lock command: set it on update if not locked by other clock
-- region and remove if force lock by other clock region
-----------------------------------------------------------------------------
access_lock_cmd_rst <= Config_Reset or DbgReg_Force_Lock;
Access_Lock_Command_Handle : process (UPDATE, access_lock_cmd_rst)
begin -- process Access_Lock_Command_Handle
if access_lock_cmd_rst = '1' then
access_lock <= '0';
elsif UPDATE'event and UPDATE = '1' then
if command = AXIS_WRITE_COMMAND and data_cmd = '1' then
access_lock <= tdi_shifter(1) and not dbgreg_access_lock_1;
end if;
end if;
end process Access_Lock_Command_Handle;
Sync_Access_Lock : process (DRCK, Config_Reset) is
begin -- process Sync_Access_Lock
if Config_Reset = '1' then
dbgreg_access_lock_1 <= '0';
elsif DRCK'event and DRCK = '1' then -- rising clock edge
dbgreg_access_lock_1 <= DbgReg_Access_Lock;
end if;
end process Sync_Access_Lock;
JTAG_Access_Lock <= access_lock;
-----------------------------------------------------------------------------
-- Read AXI Slave status register
-----------------------------------------------------------------------------
status_reg(1) <= access_lock;
status_reg(0) <= dbgreg_access_lock_1;
TDO_Register : process (DRCK, Config_Reset) is
begin -- process TDO_Register
if Config_Reset = '1' then
tdo_reg <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if CAPTURE = '1' then
-- AXIS_READ_STATUS
tdo_reg <= status_reg;
elsif SHIFT = '1' then
tdo_reg <= '0' & tdo_reg(tdo_reg'left to tdo_reg'right-1);
end if;
end if;
end process TDO_Register;
axis_TDO <= tdo_reg(tdo_reg'right);
JTAG_Clear_Overrun <= clear_overrun;
end generate Use_Dbg_Reg_Access;
No_Dbg_Reg_Acess : if (C_DBG_REG_ACCESS = 0) generate
begin
axis_TDO <= '0';
JTAG_Access_Lock <= '0';
JTAG_Force_Lock <= '0';
JTAG_Clear_Overrun <= '0';
end generate No_Dbg_Reg_Acess;
-----------------------------------------------------------------------------
-- Cross trigger section
-----------------------------------------------------------------------------
Use_Cross_Trigger : if (C_USE_CROSS_TRIGGER = 1) generate
constant C_NUM_CT : integer := C_NUM_DBG_CT + C_NUM_EXT_CT;
type dbg_in_all_type is array(0 to C_EN_WIDTH - 1) of std_logic_vector(0 to C_NUM_DBG_CT - 1);
type in_all_type is array(0 to C_EN_WIDTH - 1) of std_logic_vector(0 to C_NUM_CT - 1);
type dbg_out_type is array(0 to C_NUM_DBG_CT - 1) of std_logic_vector(0 to 3);
type dbg_out_all_type is array(0 to C_EN_WIDTH - 1) of dbg_out_type;
type ext_out_type is array(0 to C_NUM_EXT_CT - 1) of std_logic_vector(0 to 3);
constant C_DBG_IN_CTRL : std_logic_vector(0 to C_NUM_DBG_CT - 1) := (0 to C_NUM_EXT_CT - 1 => '1', others => '0');
constant C_DBG_OUT_CTRL : dbg_out_type := ("1001", "1010", "1011", "1100", "1101", "1101", "1101", "1101");
constant C_EXT_IN_CTRL : std_logic_vector(0 to C_NUM_EXT_CT - 1) := (others => '1');
constant C_EXT_OUT_CTRL : ext_out_type := ("0001", "0010", "0011", "0100");
signal dbg_trig_in_i : dbg_trig_type;
signal dbg_trig_Ack_Out_i : dbg_trig_type;
signal in_andor_ctrl : std_logic := '0';
signal in_ctrl : dbg_in_all_type := (others => C_DBG_IN_CTRL);
signal out_ctrl : dbg_out_all_type := (others => C_DBG_OUT_CTRL);
signal ext_in_ctrl : std_logic_vector(0 to C_NUM_EXT_CT - 1) := C_EXT_IN_CTRL;
signal ext_out_ctrl : ext_out_type := C_EXT_OUT_CTRL;
signal status_reg : std_logic_vector(0 to C_NUM_CT * 2 - 1) := (others => '0');
signal tdo_reg : std_logic_vector(0 to C_NUM_CT * 2 - 1) := (others => '0');
begin
-----------------------------------------------------------------------------
-- Assign trigger outputs
-----------------------------------------------------------------------------
Assign_Outputs: process (in_ctrl, in_andor_ctrl, ext_in_ctrl,
out_ctrl, ext_out_ctrl, dbg_trig_in_i, Ext_Trig_In,
dbg_trig_ack_out_i, Ext_Trig_Ack_Out) is
variable in_value_or : dbg_in_all_type;
variable in_value_and : dbg_in_all_type;
variable in_value : in_all_type;
variable in_value_ext_or : std_logic_vector(0 to C_NUM_DBG_CT - 1);
variable in_value_ext_and : std_logic_vector(0 to C_NUM_DBG_CT - 1);
variable in_value_ext : std_logic_vector(0 to C_NUM_CT - 1);
variable out_value : std_logic_vector(0 to 15);
variable out_ack_value : std_logic_vector(0 to 15);
variable dbg_ack_value : dbg_in_all_type;
variable ext_ack_value : std_logic_vector(0 to C_NUM_EXT_CT - 1);
variable index : integer range 0 to 15;
begin -- process Assign_Outputs
-- Determine in_value per processor from inputs and input select control registers
for N in 0 to C_EN_WIDTH - 1 loop
for K in 0 to C_NUM_DBG_CT - 1 loop
in_value_or(N)(K) := '0';
in_value_and(N)(K) := '1';
for I in 0 to C_EN_WIDTH - 1 loop
if N /= I then -- exclude own processor input
in_value_or(N)(K) := in_value_or(N)(K) or (dbg_trig_in_i(I)(K) and in_ctrl(I)(K));
in_value_and(N)(K) := in_value_and(N)(K) and (dbg_trig_in_i(I)(K) and in_ctrl(I)(K));
end if;
end loop;
end loop;
if in_andor_ctrl = '1' then
in_value(N)(0 to C_NUM_DBG_CT - 1) := in_value_and(N);
else
in_value(N)(0 to C_NUM_DBG_CT - 1) := in_value_or(N);
end if;
for K in 0 to C_NUM_EXT_CT - 1 loop
in_value(N)(K + C_NUM_DBG_CT) := Ext_Trig_In(K) and ext_in_ctrl(K);
end loop;
end loop;
-- Determine in_value_ext from inputs and input select control registers
for K in 0 to C_NUM_DBG_CT - 1 loop
in_value_ext_or(K) := '0';
in_value_ext_and(K) := '1';
for I in 0 to C_EN_WIDTH - 1 loop
in_value_ext_or(K) := in_value_ext_or(K) or (dbg_trig_in_i(I)(K) and in_ctrl(I)(K));
in_value_ext_and(K) := in_value_ext_and(K) and (dbg_trig_in_i(I)(K) and in_ctrl(I)(K));
end loop;
if in_andor_ctrl = '1' then
in_value_ext(0 to C_NUM_DBG_CT - 1) := in_value_ext_and;
else
in_value_ext(0 to C_NUM_DBG_CT - 1) := in_value_ext_or;
end if;
for K in 0 to C_NUM_EXT_CT - 1 loop
in_value_ext(K + C_NUM_DBG_CT) := Ext_Trig_In(K) and ext_in_ctrl(K);
end loop;
end loop;
-- Assign outputs from out_value based on out_ctrl control register
dbg_trig_out_i <= (others => (others => '0'));
for N in 0 to C_EN_WIDTH - 1 loop
out_value := '1' & in_value(N) & "000"; -- 0000: constant 1, N=K: constant 0
for K in 0 to C_NUM_DBG_CT - 1 loop
index := to_integer(unsigned(out_ctrl(N)(K)));
dbg_trig_out_i(N)(K) <= out_value(index);
end loop;
end loop;
-- Assign external outputs from in_value based on ext_out_ctrl control register
ext_trig_out_i <= (others => '0');
out_value := '1' & in_value_ext & "000"; -- 0000: constant 1, 1101: constant 0
for K in 0 to C_NUM_EXT_CT - 1 loop
index := to_integer(unsigned(ext_out_ctrl(K)));
ext_trig_out_i(K) <= out_value(index);
end loop;
-- Assign dbg_trig_ack_in_i from dbg_ack_value and Ext_Trig_Ack_Out
-- Create combined acknowledge from all processors and external trig
dbg_ack_value := (others => (others => '0'));
dbg_trig_ack_in_i <= (others => (others => '0'));
for K in 0 to C_NUM_DBG_CT - 1 loop
for N in 0 to C_EN_WIDTH - 1 loop
index := to_integer(unsigned(out_ctrl(N)(K)));
out_ack_value := '0' & dbg_trig_ack_out_i(N) & Ext_Trig_Ack_Out & "000";
dbg_ack_value(N)(K) := dbg_ack_value(N)(K) or out_ack_value(index);
end loop;
end loop;
for K in 0 to C_NUM_DBG_CT - 1 loop
for N in 0 to C_EN_WIDTH - 1 loop
dbg_trig_ack_in_i(N)(K) <= dbg_ack_value(N)(K) and in_ctrl(N)(K);
end loop;
end loop;
-- Assign ext_trig_ack_in_i from dbg_ack_value and Ext_Trig_Ack_Out
-- Create combined acknowledge from all processors and external trig
ext_ack_value := (others => '0');
ext_trig_ack_in_i <= (others => '0');
for K in 0 to C_NUM_EXT_CT - 1 loop
index := to_integer(unsigned(ext_out_ctrl(K)));
for N in 0 to C_EN_WIDTH - 1 loop
out_ack_value := '0' & dbg_trig_ack_out_i(N) & Ext_Trig_Ack_Out & "000";
ext_ack_value(K) := ext_ack_value(K) or out_ack_value(index);
end loop;
end loop;
for K in 0 to C_NUM_EXT_CT - 1 loop
ext_trig_ack_in_i(K) <= ext_ack_value(K) and ext_in_ctrl(K);
end loop;
end process Assign_Outputs;
-----------------------------------------------------------------------------
-- Control Registers:
-- 4 output select + 8 input mask + and/or + 3 (index 0-7) = 16
-- 4 output select + 4 input mask + 2 (index 0-3) = 10
-----------------------------------------------------------------------------
Control_Registers : process (UPDATE, Config_Reset)
variable dbg_index : std_logic_vector(0 to 2);
variable ext_index : std_logic_vector(0 to 1);
variable K : integer;
begin
if Config_Reset = '1' then
in_andor_ctrl <= '0';
in_ctrl <= (others => C_DBG_IN_CTRL);
out_ctrl <= (others => C_DBG_OUT_CTRL);
ext_in_ctrl <= C_EXT_IN_CTRL;
ext_out_ctrl <= C_EXT_OUT_CTRL;
elsif UPDATE'event and UPDATE = '1' then
if data_cmd = '1' then
if command = CT_WRITE_CTRL and data_cmd = '1' then
dbg_index := tdi_shifter(4 + C_NUM_DBG_CT + 1 to 4 + C_NUM_DBG_CT + 1 + 2);
K := to_integer(unsigned(dbg_index));
for I in 0 to C_EN_WIDTH - 1 loop
if mb_debug_enabled_i(I) = '1' then
out_ctrl(I)(K) <= tdi_shifter(0 to 3);
in_ctrl(I) <= tdi_shifter(4 to 4 + C_NUM_DBG_CT - 1);
end if;
end loop;
in_andor_ctrl <= tdi_shifter(4 + C_NUM_DBG_CT);
end if;
if command = CT_WRITE_EXT_CTRL and data_cmd = '1' then
ext_index := tdi_shifter(4 + C_NUM_EXT_CT to 4 + C_NUM_EXT_CT + 1);
K := to_integer(unsigned(ext_index));
ext_out_ctrl(K) <= tdi_shifter(0 to 3);
ext_in_ctrl <= tdi_shifter(4 to 4 + C_NUM_EXT_CT - 1);
end if;
end if;
end if;
end process Control_Registers;
-----------------------------------------------------------------------------
-- Status Register
-----------------------------------------------------------------------------
Assign_Status: process (dbg_trig_out_i, ext_trig_out_i, dbg_trig_in_i, Ext_Trig_In, mb_debug_enabled_i) is
begin -- process Assign_Status
status_reg <= (others => '0');
for I in 0 to C_EN_WIDTH - 1 loop
if mb_debug_enabled_i(I) = '1' then
status_reg(0 to C_NUM_DBG_CT * 2 - 1) <= dbg_trig_out_i(I) & dbg_trig_in_i(I);
end if;
end loop;
status_reg(C_NUM_DBG_CT * 2 to C_NUM_CT * 2 - 1) <= ext_trig_out_i & Ext_Trig_In;
end process Assign_Status;
TDO_Register : process (DRCK, Config_Reset) is
begin -- process TDO_Register
if Config_Reset = '1' then
tdo_reg <= (others => '0');
elsif DRCK'event and DRCK = '1' then -- rising clock edge
if (CAPTURE = '1') then
-- CT_READ_STATUS
tdo_reg <= status_reg;
elsif SHIFT = '1' then
tdo_reg <= '0' & tdo_reg(tdo_reg'left to tdo_reg'right-1);
end if;
end if;
end process TDO_Register;
ct_TDO <= tdo_reg(tdo_reg'right);
dbg_trig_in_i(0) <= Dbg_Trig_In_0;
dbg_trig_in_i(1) <= Dbg_Trig_In_1;
dbg_trig_in_i(2) <= Dbg_Trig_In_2;
dbg_trig_in_i(3) <= Dbg_Trig_In_3;
dbg_trig_in_i(4) <= Dbg_Trig_In_4;
dbg_trig_in_i(5) <= Dbg_Trig_In_5;
dbg_trig_in_i(6) <= Dbg_Trig_In_6;
dbg_trig_in_i(7) <= Dbg_Trig_In_7;
dbg_trig_in_i(8) <= Dbg_Trig_In_8;
dbg_trig_in_i(9) <= Dbg_Trig_In_9;
dbg_trig_in_i(10) <= Dbg_Trig_In_10;
dbg_trig_in_i(11) <= Dbg_Trig_In_11;
dbg_trig_in_i(12) <= Dbg_Trig_In_12;
dbg_trig_in_i(13) <= Dbg_Trig_In_13;
dbg_trig_in_i(14) <= Dbg_Trig_In_14;
dbg_trig_in_i(15) <= Dbg_Trig_In_15;
dbg_trig_in_i(16) <= Dbg_Trig_In_16;
dbg_trig_in_i(17) <= Dbg_Trig_In_17;
dbg_trig_in_i(18) <= Dbg_Trig_In_18;
dbg_trig_in_i(19) <= Dbg_Trig_In_19;
dbg_trig_in_i(20) <= Dbg_Trig_In_20;
dbg_trig_in_i(21) <= Dbg_Trig_In_21;
dbg_trig_in_i(22) <= Dbg_Trig_In_22;
dbg_trig_in_i(23) <= Dbg_Trig_In_23;
dbg_trig_in_i(24) <= Dbg_Trig_In_24;
dbg_trig_in_i(25) <= Dbg_Trig_In_25;
dbg_trig_in_i(26) <= Dbg_Trig_In_26;
dbg_trig_in_i(27) <= Dbg_Trig_In_27;
dbg_trig_in_i(28) <= Dbg_Trig_In_28;
dbg_trig_in_i(29) <= Dbg_Trig_In_29;
dbg_trig_in_i(30) <= Dbg_Trig_In_30;
dbg_trig_in_i(31) <= Dbg_Trig_In_31;
dbg_trig_ack_out_i(0) <= Dbg_Trig_Ack_Out_0;
dbg_trig_ack_out_i(1) <= Dbg_Trig_Ack_Out_1;
dbg_trig_ack_out_i(2) <= Dbg_Trig_Ack_Out_2;
dbg_trig_ack_out_i(3) <= Dbg_Trig_Ack_Out_3;
dbg_trig_ack_out_i(4) <= Dbg_Trig_Ack_Out_4;
dbg_trig_ack_out_i(5) <= Dbg_Trig_Ack_Out_5;
dbg_trig_ack_out_i(6) <= Dbg_Trig_Ack_Out_6;
dbg_trig_ack_out_i(7) <= Dbg_Trig_Ack_Out_7;
dbg_trig_ack_out_i(8) <= Dbg_Trig_Ack_Out_8;
dbg_trig_ack_out_i(9) <= Dbg_Trig_Ack_Out_9;
dbg_trig_ack_out_i(10) <= Dbg_Trig_Ack_Out_10;
dbg_trig_ack_out_i(11) <= Dbg_Trig_Ack_Out_11;
dbg_trig_ack_out_i(12) <= Dbg_Trig_Ack_Out_12;
dbg_trig_ack_out_i(13) <= Dbg_Trig_Ack_Out_13;
dbg_trig_ack_out_i(14) <= Dbg_Trig_Ack_Out_14;
dbg_trig_ack_out_i(15) <= Dbg_Trig_Ack_Out_15;
dbg_trig_ack_out_i(16) <= Dbg_Trig_Ack_Out_16;
dbg_trig_ack_out_i(17) <= Dbg_Trig_Ack_Out_17;
dbg_trig_ack_out_i(18) <= Dbg_Trig_Ack_Out_18;
dbg_trig_ack_out_i(19) <= Dbg_Trig_Ack_Out_19;
dbg_trig_ack_out_i(20) <= Dbg_Trig_Ack_Out_20;
dbg_trig_ack_out_i(21) <= Dbg_Trig_Ack_Out_21;
dbg_trig_ack_out_i(22) <= Dbg_Trig_Ack_Out_22;
dbg_trig_ack_out_i(23) <= Dbg_Trig_Ack_Out_23;
dbg_trig_ack_out_i(24) <= Dbg_Trig_Ack_Out_24;
dbg_trig_ack_out_i(25) <= Dbg_Trig_Ack_Out_25;
dbg_trig_ack_out_i(26) <= Dbg_Trig_Ack_Out_26;
dbg_trig_ack_out_i(27) <= Dbg_Trig_Ack_Out_27;
dbg_trig_ack_out_i(28) <= Dbg_Trig_Ack_Out_28;
dbg_trig_ack_out_i(29) <= Dbg_Trig_Ack_Out_29;
dbg_trig_ack_out_i(30) <= Dbg_Trig_Ack_Out_30;
dbg_trig_ack_out_i(31) <= Dbg_Trig_Ack_Out_31;
end generate Use_Cross_Trigger;
No_Cross_Trigger : if (C_USE_CROSS_TRIGGER = 0) generate
begin
dbg_trig_ack_in_i <= (others => (others => '0'));
dbg_trig_out_i <= (others => (others => '0'));
ext_trig_ack_in_i <= (others => '0');
ext_trig_out_i <= (others => '0');
ct_TDO <= '0';
end generate No_Cross_Trigger;
Dbg_Trig_Ack_In_0 <= dbg_trig_ack_in_i(0);
Dbg_Trig_Ack_In_1 <= dbg_trig_ack_in_i(1);
Dbg_Trig_Ack_In_2 <= dbg_trig_ack_in_i(2);
Dbg_Trig_Ack_In_3 <= dbg_trig_ack_in_i(3);
Dbg_Trig_Ack_In_4 <= dbg_trig_ack_in_i(4);
Dbg_Trig_Ack_In_5 <= dbg_trig_ack_in_i(5);
Dbg_Trig_Ack_In_6 <= dbg_trig_ack_in_i(6);
Dbg_Trig_Ack_In_7 <= dbg_trig_ack_in_i(7);
Dbg_Trig_Ack_In_8 <= dbg_trig_ack_in_i(8);
Dbg_Trig_Ack_In_9 <= dbg_trig_ack_in_i(9);
Dbg_Trig_Ack_In_10 <= dbg_trig_ack_in_i(10);
Dbg_Trig_Ack_In_11 <= dbg_trig_ack_in_i(11);
Dbg_Trig_Ack_In_12 <= dbg_trig_ack_in_i(12);
Dbg_Trig_Ack_In_13 <= dbg_trig_ack_in_i(13);
Dbg_Trig_Ack_In_14 <= dbg_trig_ack_in_i(14);
Dbg_Trig_Ack_In_15 <= dbg_trig_ack_in_i(15);
Dbg_Trig_Ack_In_16 <= dbg_trig_ack_in_i(16);
Dbg_Trig_Ack_In_17 <= dbg_trig_ack_in_i(17);
Dbg_Trig_Ack_In_18 <= dbg_trig_ack_in_i(18);
Dbg_Trig_Ack_In_19 <= dbg_trig_ack_in_i(19);
Dbg_Trig_Ack_In_20 <= dbg_trig_ack_in_i(20);
Dbg_Trig_Ack_In_21 <= dbg_trig_ack_in_i(21);
Dbg_Trig_Ack_In_22 <= dbg_trig_ack_in_i(22);
Dbg_Trig_Ack_In_23 <= dbg_trig_ack_in_i(23);
Dbg_Trig_Ack_In_24 <= dbg_trig_ack_in_i(24);
Dbg_Trig_Ack_In_25 <= dbg_trig_ack_in_i(25);
Dbg_Trig_Ack_In_26 <= dbg_trig_ack_in_i(26);
Dbg_Trig_Ack_In_27 <= dbg_trig_ack_in_i(27);
Dbg_Trig_Ack_In_28 <= dbg_trig_ack_in_i(28);
Dbg_Trig_Ack_In_29 <= dbg_trig_ack_in_i(29);
Dbg_Trig_Ack_In_30 <= dbg_trig_ack_in_i(30);
Dbg_Trig_Ack_In_31 <= dbg_trig_ack_in_i(31);
Dbg_Trig_Out_0 <= dbg_trig_out_i(0);
Dbg_Trig_Out_1 <= dbg_trig_out_i(1);
Dbg_Trig_Out_2 <= dbg_trig_out_i(2);
Dbg_Trig_Out_3 <= dbg_trig_out_i(3);
Dbg_Trig_Out_4 <= dbg_trig_out_i(4);
Dbg_Trig_Out_5 <= dbg_trig_out_i(5);
Dbg_Trig_Out_6 <= dbg_trig_out_i(6);
Dbg_Trig_Out_7 <= dbg_trig_out_i(7);
Dbg_Trig_Out_8 <= dbg_trig_out_i(8);
Dbg_Trig_Out_9 <= dbg_trig_out_i(9);
Dbg_Trig_Out_10 <= dbg_trig_out_i(10);
Dbg_Trig_Out_11 <= dbg_trig_out_i(11);
Dbg_Trig_Out_12 <= dbg_trig_out_i(12);
Dbg_Trig_Out_13 <= dbg_trig_out_i(13);
Dbg_Trig_Out_14 <= dbg_trig_out_i(14);
Dbg_Trig_Out_15 <= dbg_trig_out_i(15);
Dbg_Trig_Out_16 <= dbg_trig_out_i(16);
Dbg_Trig_Out_17 <= dbg_trig_out_i(17);
Dbg_Trig_Out_18 <= dbg_trig_out_i(18);
Dbg_Trig_Out_19 <= dbg_trig_out_i(19);
Dbg_Trig_Out_20 <= dbg_trig_out_i(20);
Dbg_Trig_Out_21 <= dbg_trig_out_i(21);
Dbg_Trig_Out_22 <= dbg_trig_out_i(22);
Dbg_Trig_Out_23 <= dbg_trig_out_i(23);
Dbg_Trig_Out_24 <= dbg_trig_out_i(24);
Dbg_Trig_Out_25 <= dbg_trig_out_i(25);
Dbg_Trig_Out_26 <= dbg_trig_out_i(26);
Dbg_Trig_Out_27 <= dbg_trig_out_i(27);
Dbg_Trig_Out_28 <= dbg_trig_out_i(28);
Dbg_Trig_Out_29 <= dbg_trig_out_i(29);
Dbg_Trig_Out_30 <= dbg_trig_out_i(30);
Dbg_Trig_Out_31 <= dbg_trig_out_i(31);
Ext_Trig_Ack_In <= ext_trig_ack_in_i;
Ext_Trig_Out <= ext_trig_out_i;
end architecture IMP;
| apache-2.0 | c10bf954dfd7da51357be7a881164ec3 | 0.52122 | 3.274701 | false | true | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/b14BCD.vhd | 1 | 874 | LIBRARY ieee;
USE ieee.std_logic_1164.all;
USE ieee.numeric_std.all;
ENTITY b14BCD IS
PORT ( in_bin: IN STD_LOGIC_VECTOR(13 downto 0);
out_migl: OUT STD_LOGIC_VECTOR (3 downto 0);
out_cent: OUT STD_LOGIC_VECTOR (3 downto 0);
out_dec : OUT STD_LOGIC_VECTOR (3 downto 0);
out_unit: OUT STD_LOGIC_VECTOR (3 downto 0));
END b14BCD;
ARCHITECTURE behavior OF b14BCD IS
SIGNAL in_int, migl, cent, dec, unit: integer;
BEGIN
in_int<=TO_INTEGER(UNSIGNED(in_bin));
migl<=in_int/1000;
out_migl<=STD_LOGIC_VECTOR(TO_UNSIGNED((migl), 4));
cent<=(in_int-migl*1000)/100;
out_cent<=STD_LOGIC_VECTOR(TO_UNSIGNED((cent), 4));
dec<=(in_int-migl*1000-cent*100)/10;
out_dec<=STD_LOGIC_VECTOR(TO_UNSIGNED((dec), 4));
unit<=(in_int-migl*1000-cent*100-dec*10);
out_unit<=STD_LOGIC_VECTOR(TO_UNSIGNED((unit), 4));
END behavior; | lgpl-3.0 | a84f12ed4dfc8a53e46ea2527b5e9c4c | 0.654462 | 2.689231 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/family.vhd | 15 | 23,047 | -- $Header: /devl/xcs/repo/env/Databases/ip2/processor/hardware/proc_common/proc_common_v4_0/hdl/src/vhdl/family.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- family.vhd
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2003-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: family.vhd
--
-- Description:
-- This HDL file provides various functions for determining features (such
-- as BRAM types) in the various device families in Xilinx products.
--
--
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- family.vhd
--
-------------------------------------------------------------------------------
-- Revision history
--
-- ??? ?????????? Initial version
-- jam 03/31/2003 added spartan3 to constants and derived function. Added
-- comments to try and explain how the function is used
-- jam 04/01/2003 removed VIRTEX from the derived list for BYZANTIUM,
-- VIRTEX2P, and SPARTAN3. This changes VIRTEX2 to be a
-- base family type, similar to X4K and VIRTEX
-- jam 04/02/2003 add VIRTEX back into the hierarchy of VIRTEX2P, BYZANTIUM
-- and SPARTAN3; add additional comments showing use in
-- VHDL
-- lss 03/24/2004 Added QVIRTEX2, QRVIRTEX2, VIRTEX4
-- flo 03/22/2005 Added SPARTAN3E
-- als 02/23/2006 Added VIRTEX5
-- flo 09/13/2006 Added SPARTAN3A and SPARTAN3A. This may allow
-- legacy designs to support spartan3a and spartan3an in
-- terms of BRAMs. For new work (and maintenence where
-- possible) this package, family, should be dropped in favor
-- of the package, family_support.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
--------------------------------------------------------------------------------
-- @BEGIN_CHANGELOG EDK_H_SP1
-- Added spartan3e
-- @END_CHANGELOG
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
package family is
-- constant declarations
constant ANY : string := "any";
constant X4K : string := "x4k";
constant X4KE : string := "x4ke";
constant X4KL : string := "x4kl";
constant X4KEX : string := "x4kex";
constant X4KXL : string := "x4kxl";
constant X4KXV : string := "x4kxv";
constant X4KXLA : string := "x4kxla";
constant SPARTAN : string := "spartan";
constant SPARTANXL : string := "spartanxl";
constant SPARTAN2 : string := "spartan2";
constant SPARTAN2E : string := "spartan2e";
constant VIRTEX : string := "virtex";
constant VIRTEXE : string := "virtexe";
constant VIRTEX2 : string := "virtex2";
constant VIRTEX2P : string := "virtex2p";
constant BYZANTIUM : string := "byzantium";
constant SPARTAN3 : string := "spartan3";
constant QRVIRTEX2 : string := "qrvirtex2";
constant QVIRTEX2 : string := "qvirtex2";
constant VIRTEX4 : string := "virtex4";
constant VIRTEX5 : string := "virtex5";
constant SPARTAN3E : string := "spartan3e";
constant SPARTAN3A : string := "spartan3a";
constant SPARTAN3AN: string := "spartan3an";
-- function declarations
-- derived - provides a means to determine if a family specified in child is
-- the same as, or is a super set of, the family specified in
-- ancestor.
--
-- Typically, child is set to the generic specifying the family type
-- the user wishes to implement the design into (C_FAMILY), and the
-- designer hard codes ancestor to the family type supported by the
-- design. If the design supports multiple family types, then each
-- of those family types would need to be tested against C_FAMILY
-- using this function. An example for the VIRTEX2P hierarchy
-- is shown below:
--
-- VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2P)
-- generate
-- -- logic specific to Virtex2P family
-- end generate VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2P_SPECIFIC_LOGIC_GEN:
-- if not derived(C_FAMILY,VIRTEX2P)
-- generate
--
-- VIRTEX2_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX2)
-- generate
-- -- logic specific to Virtex2 family
-- end generate VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX2_SPECIFIC_LOGIC_GEN
-- if not derived(C_FAMILY,VIRTEX2)
-- generate
--
-- VIRTEX_SPECIFIC_LOGIC_GEN:
-- if derived(C_FAMILY,VIRTEX)
-- generate
-- -- logic specific to Virtex family
-- end generate VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- NON_VIRTEX_SPECIFIC_LOGIC_GEN;
-- if not derived(C_FAMILY,VIRTEX)
-- generate
--
-- ANY_FAMILY_TYPE_LOGIC_GEN:
-- if derived(C_FAMILY,ANY)
-- generate
-- -- logic not specific to any family
-- end generate ANY_FAMILY_TYPE_LOGIC_GEN;
--
-- end generate NON_VIRTEX_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2_SPECIFIC_LOGIC_GEN;
--
-- end generate NON_VIRTEX2P_SPECIFIC_LOGIC_GEN;
--
-- This function will return TRUE if the family type specified in
-- child is equal to, or a super set of, the family type specified in
-- ancestor, otherwise it returns FALSE.
--
-- The current super sets are defined by the following list, where
-- all family types listed to the right of an item are contained in
-- the super set of that item, for all lines containing that item.
--
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
--
-- For exampel, all other family types are contained in the super set
-- for ANY. Stated another way, if the designer specifies ANY
-- for the family type the design supports, then the function will
-- return TRUE for any family type the user wishes to implement the
-- design into.
--
-- if derived(C_FAMILY,ANY) generate ... end generate;
--
-- If the designer specifies VIRTEX2 as the family type supported by
-- the design, then the function will only return TRUE if the user
-- intends to implement the design in VIRTEX2, VIRTEX2P, BYZANTIUM,
-- or SPARTAN3.
--
-- if derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses VIRTEX2 BRAMs
-- end generate;
--
-- if not derived(C_FAMILY,VIRTEX2) generate
-- -- logic that uses non VIRTEX2 BRAMs
-- end generate;
--
-- Note:
-- The last three lines of the list above were modified from the
-- original to remove VIRTEX from those lines because, from our point
-- of view, VIRTEX2 is different enough from VIRTEX to conclude that
-- it should be its own base family type.
--
-- **************************************************************************
-- WARNING
-- **************************************************************************
-- DO NOT RELY ON THE DERIVED FUNCTION TO PROVIDE DIFFERENTIATION BETWEEN
-- FAMILY TYPES FOR ANYTHING OTHER THAN BRAMS
--
-- Use of the derived function assumes that the designer is not using
-- RLOCs (RLOC'd FIFO's from Coregen, etc.) and that the BRAMs in the
-- derived families are similar. If the designer is using specific
-- elements of a family type, they are responsible for ensuring that
-- those same elements are available in all family types supported by
-- their design, and that the elements function exactly the same in all
-- "similar" families.
--
-- **************************************************************************
--
function derived ( child, ancestor : string ) return boolean;
-- equalIgnoreCase - Returns TRUE if case insensitive string comparison
-- determines that str1 and str2 are equal, otherwise FALSE
function equalIgnoreCase( str1, str2 : string ) return boolean;
-- toLowerCaseChar - Returns the lower case form of char if char is an upper
-- case letter. Otherwise char is returned.
function toLowerCaseChar( char : character ) return character;
end family;
package body family is
-- True if architecture "child" is derived from, or equal to,
-- the architecture "ancestor".
-- ANY, X4K, SPARTAN, SPARTANXL
-- ANY, X4K, X4KE, X4KL
-- ANY, X4K, X4KEX, X4KXL, X4KXV, X4KXLA
-- ANY, VIRTEX, SPARTAN2, SPARTAN2E
-- ANY, VIRTEX, VIRTEXE
-- ANY, VIRTEX, VIRTEX2, BYZANTIUM
-- ANY, VIRTEX, VIRTEX2, VIRTEX2P
-- ANY, VIRTEX, VIRTEX2, SPARTAN3
function derived ( child, ancestor : string ) return boolean is
variable is_derived : boolean := FALSE;
begin
if equalIgnoreCase( child, VIRTEX ) then -- base family type
if ( equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2 ) then
if ( equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QRVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QRVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, QVIRTEX2 ) then
if ( equalIgnoreCase(ancestor,QVIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX5 ) then
if ( equalIgnoreCase(ancestor,VIRTEX5) OR
equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX4 ) then
if ( equalIgnoreCase(ancestor,VIRTEX4) OR
equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEX2P ) then
if ( equalIgnoreCase(ancestor,VIRTEX2P) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, BYZANTIUM ) then
if ( equalIgnoreCase(ancestor,BYZANTIUM) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, VIRTEXE ) then
if ( equalIgnoreCase(ancestor,VIRTEXE) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2 ) then
if ( equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN2E ) then
if ( equalIgnoreCase(ancestor,SPARTAN2E) OR
equalIgnoreCase(ancestor,SPARTAN2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3 ) then
if ( equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3E ) then
if ( equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3A ) then
if ( equalIgnoreCase(ancestor,SPARTAN3A) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN3AN ) then
if ( equalIgnoreCase(ancestor,SPARTAN3AN) OR
equalIgnoreCase(ancestor,SPARTAN3E) OR
equalIgnoreCase(ancestor,SPARTAN3) OR
equalIgnoreCase(ancestor,VIRTEX2) OR
equalIgnoreCase(ancestor,VIRTEX) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4K ) then -- base family type
if ( equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KEX ) then
if ( equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXL ) then
if ( equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXV ) then
if ( equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KXLA ) then
if ( equalIgnoreCase(ancestor,X4KXLA) OR
equalIgnoreCase(ancestor,X4KXV) OR
equalIgnoreCase(ancestor,X4KXL) OR
equalIgnoreCase(ancestor,X4KEX) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KE ) then
if ( equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, X4KL ) then
if ( equalIgnoreCase(ancestor,X4KL) OR
equalIgnoreCase(ancestor,X4KE) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTAN ) then
if ( equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, SPARTANXL ) then
if ( equalIgnoreCase(ancestor,SPARTANXL) OR
equalIgnoreCase(ancestor,SPARTAN) OR
equalIgnoreCase(ancestor,X4K) OR
equalIgnoreCase(ancestor,ANY)
) then is_derived := TRUE;
end if;
elsif equalIgnoreCase( child, ANY ) then
if equalIgnoreCase( ancestor, any ) then is_derived := TRUE;
end if;
end if;
return is_derived;
end derived;
-- Returns the lower case form of char if char is an upper case letter.
-- Otherwise char is returned.
function toLowerCaseChar( char : character ) return character is
begin
-- If char is not an upper case letter then return char
if char < 'A' OR char > 'Z' then
return char;
end if;
-- Otherwise map char to its corresponding lower case character and
-- return that
case char is
when 'A' => return 'a';
when 'B' => return 'b';
when 'C' => return 'c';
when 'D' => return 'd';
when 'E' => return 'e';
when 'F' => return 'f';
when 'G' => return 'g';
when 'H' => return 'h';
when 'I' => return 'i';
when 'J' => return 'j';
when 'K' => return 'k';
when 'L' => return 'l';
when 'M' => return 'm';
when 'N' => return 'n';
when 'O' => return 'o';
when 'P' => return 'p';
when 'Q' => return 'q';
when 'R' => return 'r';
when 'S' => return 's';
when 'T' => return 't';
when 'U' => return 'u';
when 'V' => return 'v';
when 'W' => return 'w';
when 'X' => return 'x';
when 'Y' => return 'y';
when 'Z' => return 'z';
when others => return char;
end case;
end toLowerCaseChar;
-- Returns true if case insensitive string comparison determines that
-- str1 and str2 are equal
function equalIgnoreCase( str1, str2 : string ) return boolean is
constant LEN1 : integer := str1'length;
constant LEN2 : integer := str2'length;
variable equal : boolean := TRUE;
begin
if not (LEN1 = LEN2) then
equal := FALSE;
else
for i in str1'range loop
if not (toLowerCaseChar(str1(i)) = toLowerCaseChar(str2(i))) then
equal := FALSE;
end if;
end loop;
end if;
return equal;
end equalIgnoreCase;
end family;
| apache-2.0 | 3077b07f3f01f8a9ccde1bcfa12d9df4 | 0.548575 | 4.69389 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/2-MESA-HB/metaheurísticas/mesahb_ibea.vhd | 1 | 1,943 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.10:17:04)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY mesahb_ibea_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 3);
output1, output2: OUT unsigned(0 TO 4));
END mesahb_ibea_entity;
ARCHITECTURE mesahb_ibea_description OF mesahb_ibea_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 * 1;
output1 <= input2 + 2;
WHEN "00000010" =>
register1 := register1 + 4;
register2 := input3 * 5;
WHEN "00000011" =>
register1 := register1 * 7;
register2 := register2 + 9;
WHEN "00000100" =>
register1 := register1 + 11;
register2 := ((NOT register2) + 1) XOR register2;
register3 := input4 * 14;
WHEN "00000101" =>
register2 := register3 * register2;
register1 := ((NOT register1) + 1) XOR register1;
WHEN "00000110" =>
register1 := register1 * 18;
WHEN "00000111" =>
register3 := input5 * 19;
register1 := register1 + register2;
WHEN "00001000" =>
register2 := register3 + 21;
WHEN "00001001" =>
register2 := register2 * 23;
WHEN "00001010" =>
register2 := register2 + 25;
WHEN "00001011" =>
output2 <= register1(0 TO 1) & register2(0 TO 2);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END mesahb_ibea_description; | gpl-3.0 | 0e1581d2ae9e44f98048b45feadd1306 | 0.652084 | 3.02648 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/metaheurísticas/fir2_spea2.vhd | 1 | 3,332 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:52:11)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_spea2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_spea2_entity;
ARCHITECTURE fir2_spea2_description OF fir2_spea2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
register3 := not input3 or input3;
register4 := not input4 or input4;
WHEN "00000010" =>
register1 := register1 + register2;
register2 := not input5 or input5;
register5 := not input6 or input6;
register6 := not input7 or input7;
register3 := register3 + register4;
WHEN "00000011" =>
register2 := register2 + register5;
register4 := not input8 or input8;
register5 := not input9 or input9;
WHEN "00000100" =>
register2 := register2 * 11;
register5 := register6 + register5;
register6 := not input10 or input10;
WHEN "00000101" =>
register5 := register5 * 14;
register1 := register1 * 16;
register7 := not input11 or input11;
WHEN "00000110" =>
register4 := register4 + register7;
register7 := not input12 or input12;
WHEN "00000111" =>
register6 := register7 + register6;
register1 := register5 + register1;
register5 := not input13 or input13;
register7 := not input14 or input14;
register4 := register4 * 22;
register8 := not input15 or input15;
register3 := register3 * 25;
WHEN "00001000" =>
register6 := register6 * 27;
WHEN "00001001" =>
register1 := register6 + register1;
register5 := register8 + register5;
WHEN "00001010" =>
register5 := register5 * 29;
register1 := register4 + register1;
register4 := not input16 or input16;
WHEN "00001011" =>
register1 := register5 + register1;
register4 := register4 + register7;
WHEN "00001100" =>
register4 := register4 * 32;
WHEN "00001101" =>
register1 := register4 + register1;
WHEN "00001110" =>
register1 := register3 + register1;
WHEN "00001111" =>
register1 := register2 + register1;
WHEN "00010000" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_spea2_description; | gpl-3.0 | 938b9c88b17f115377b463f0b42c5138 | 0.668667 | 3.179389 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-30bits_outputs31bits/1-HAL/metaheurísticas/hal_femo.vhd | 1 | 1,623 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-12.09:05:18)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY hal_femo_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5: IN unsigned(0 TO 30);
output1, output2, output3: OUT unsigned(0 TO 31));
END hal_femo_entity;
ARCHITECTURE hal_femo_description OF hal_femo_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 31) := "0000000000000000000000000000000";
SHARED VARIABLE register2: unsigned(0 TO 31) := "0000000000000000000000000000000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := input1 + 1;
register2 := input2 * 2;
WHEN "00000010" =>
output1 <= register2 + 3;
register2 := input3 * 4;
IF (register1 < 5) THEN
output2 <= register1;
ELSE
output2 <= "0000000000000000000000000000101";
END IF;
register1 := input4 * 6;
WHEN "00000011" =>
register1 := register2 * register1;
WHEN "00000100" =>
register1 := register1 - 8;
register2 := input5 * 9;
WHEN "00000101" =>
register2 := register2 * 11;
WHEN "00000110" =>
output3 <= register1 - register2;
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END hal_femo_description; | gpl-3.0 | 37f82c4c12c49ef0ea2f635d0b0046a1 | 0.671596 | 3.127168 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/fifo_generator_v12_0/924f3d25/hdl/ramfifo/wr_handshaking_flags.vhd | 5 | 12,657 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
l9qS3t+34Adw3J7tzEF6mdxJrAFan02fIUr/4xjQbFxJztYO8Ss8efJfcKuFdSM+KgMwDxzut0r7
7wUKes/G3A==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
D0xSMGVp1kA364gfEeBgZ2Tu6iS7kfQ4zOA/16+EvBEEf9d4urc39zBLLeFYyI+kO+GefLswguDl
LrFagcSf5vM8HJcQXy6nYClvbBVol6lGSl6JttTC7gD4/KAPOJ9yJjmDse6Pp0VQLeNYVJxO2de1
Qg6YvPIP0TmB27TZpAk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
pa3K+4uLLYd/JDdKM4dKTIzHVhp55BgjObW0d2aXf8oi+A6zi0d9p/h9InG96DGGV6OGmaF63M5r
D/ZvbKf4SK4g2ygA+6wzuS6HnosV8Mhi9DEghG41GRMMmBiZ50GzzC8q6+Zqvd36tXHRGp/XJ5OG
9UuHwOAfHyJYfZW+670RVCanD8JOUK3zfJGORgc738FPH2ObEHJqWarl+/7UazVnUXaAqPgtVZKX
AUGFmiTmYaBHnHOBTywp1a2T3SOGrs0lMoktS5ia63W+4QHt5bQNGaWhQyyYf2qW3xwAe3RcZYhz
iarzMT5YkN3/AiuDsWYW1nyJQFQ8RsGMhksSMA==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
fSCz+VtGXT44NsvPvXV/l048m+nIHbFkEqlytyRY56VQ5UwFwh0Hq7ptMEPECN4YBKpjlQaTv9fX
VPkJAqzm6fQ35ymXEJsK91Rt7JTjNeSC54vRUR3yaSVnwF5gCDaisyPpXt2LhaTTxLVX3QW7Tq5X
ATruKUzAJXwuE8GFWg0=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zg+/is1koS4fIUk7L++MHC6v5WLMmMP8ErlAst+jLhMPnoUEx9yPd8id58awwI/b626nA22mGWMY
Kl+7LuXpSSz33I6sf6J668LCCwgloJXoM4d88YCwfHS3jBFOxAnx0N3jjSieb2lxDgO//zri8e0G
RJTduyYmXbdelOjUXLV13VxxOTYMxwoHAYlRD0p3dozFYgddWp2OHVngKhVWR/jpijxUT+A/buTi
lmKCpTNllXIQAjIBAPMlQYJfCDaSSPZyvRWbfgPgNqAzGuNReZ3Bjjpk2Zx5yZV+xTXQJlwo1q/L
JL/5jIhwY21gO5b/QHaYV4ciSjKfcSuU2KDxBA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7632)
`protect data_block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`protect end_protected
| apache-2.0 | d1263744264ca1de8e15dc61f7effaad | 0.93071 | 1.891928 | false | false | false | false |
CyAScott/CIS4930.DatapathSynthesisTool | src/Synthesize/DataPath/Vhdl/c_adder.vhd | 1 | 952 | library ieee;
use ieee.std_logic_1164.all;
library WORK;
use WORK.all;
entity c_adder is
generic
(
width : integer := 4
);
port
(
input1, input2 : in std_logic_vector((width - 1) downto 0);
output : out std_logic_vector((width - 1) downto 0)
);
end c_adder;
architecture behavior of c_adder is
function bits_to_int (input : std_logic_vector)return integer is
variable ret_val : integer := 0;
begin
for i in input'range loop
if input(i) = '1' then
ret_val := 2 ** i + ret_val;
end if;
end loop;
return ret_val;
end bits_to_int;
begin
process (input1, input2)
variable value : integer;
variable result : std_logic_vector((width - 1) downto 0);
begin
value := bits_to_int(input1) + bits_to_int(input2);
for i in 0 to width - 1 loop
if (value rem 2) = 1 then
result(i) := '1';
else
result(i) := '0';
end if;
value := value / 2;
end loop;
output <= result;
end process;
end behavior; | mit | a3f7c839da5354e543730766598e2923 | 0.635504 | 2.735632 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/debug_profile.vhd | 1 | 43,288 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Sc+3QugtAQT6OZ8AT7MOHRG7Ew0Uh2rhf8JcL6CaavQi5zQxw7MnC73wHf5GPY0AOFYo1j4p6wb6
g03FLUicUQ==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
kxpTH3jjy3z+VXic5wcJURMYSg0zMdTwP6jgb/7c9w1Qi6D3AEUjFzUVuPtL+qH5bEXfrKNM5nCf
WcBqkINrhBthsC4wd0r7pY48NxO7ta4hcyV8MS/PIEgLNgO56bnvBlUQbwmz5XuzRlqQD943YUhR
DSLEesDXZJUSvTcuTuQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
g52VYbYv4YhdSC38nGloxf7VuB93SKDRTg9Gue5LHG8P6yLFUxO5Zj84YFR0Yd0ixwal1j2TcvuH
zJ/B2tGgw9KCzo2IyIKWSjQdagXQ4eXxGWZbClcd2A1PxYfSyW5iWhDtM2wp5Zw0CyenPNQWyici
BfHSe7AWepM/X6tv2qJwsMx4IHcVZNml2SJ3jSTkdXOXpmtB/3kAq6Xs2cHIuYTz1ETrhfnLIJoA
lb+0ThIzmZYi48+Qg8aZGQuzMuY+uXxJ7VnPuN4e0AE+3/FLksCMtiQcImSPBM5MRoHEVqAMUUPS
m8SiWALBapxi8ogtWKVnbosX5MS43KlL6YBIoQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
0qpoKDIutb17eKGEGL9N+O86fKymcU6Hn1ZAcO0D3y/lyzVnW7shGob+0NYMCAYGMFigjfhGo480
PAy2yFLP0wXhoUQaHJXJ8f/LK1vbXFh7ktSOEbcZNFuRTe2h5qZ9WrfD5I/MVxy4ExD1FS6Oyx8b
nNMoWewACrtblTEv1LA=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
TOqMB6zyksYjzm3bZN3GUg7Kk0PaLwwnr/SomAG20XVe2eeVpJRKppj40DiPPqHvZR3IHrLZJZ4Z
0HKJ5CTmXgC3xpAStG0WornKq4CGOTQ/0QmfYIl4bRho/i56pVYMRfUczUBgIOTTl7G9BQ+H3kyd
3OxFluP4XIe8Q6DzN6Isvbx83tNL+ENRLI8hPibQievj/CTKqQe6hLOLT/c/mI20/achtjx+xDN9
NHOCJ+9qrcTcSjdnAFqeU9rlLZ37S+2KHgQHt2+50/vpDgd0pf+xF1t58zDsWfQxVPya8WGfqxFk
2jrK3RTkSQwSQw0LpKaTkuPZnhIbP/ORbj9Afg==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 30304)
`protect data_block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`protect end_protected
| apache-2.0 | 94e99bf2f21f9152acbd229a141a257a | 0.947953 | 1.827038 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/pipestages.vhd | 2 | 8,283 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-------------------------------------------------------------------------
-- Processor core pipeline registers
-------------------------------------------------------------------------
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- IF-RF
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_WIRES.all;
entity reg_IF_RF is
port(clk, rst, ld: in std_logic;
PCincd_d: in reg32;
PCincd_q: out reg32;
instr: in reg32;
RF_instr: out reg32);
end reg_IF_RF;
architecture funcional of reg_IF_RF is
begin
process(clk, rst)
begin
if rst = '0' then
PCincd_q <= x"00000000";
RF_instr <= x"00000000";
elsif rising_edge(clk) then
if ld = '0' then
PCincd_q <= PCincd_d ;
RF_instr <= instr ;
end if;
end if;
end process;
end funcional;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- RF-EX
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_WIRES.all;
entity reg_RF_EX is
port(clk, rst, ld: in std_logic;
selB: in std_logic;
EX_selB: out std_logic;
oper: in t_alu_fun;
EX_oper: out t_alu_fun;
a_rs: in reg5;
EX_a_rs: out reg5;
a_rt: in reg5;
EX_a_rt: out reg5;
a_c: in reg5;
EX_a_c: out reg5;
wreg: in std_logic;
EX_wreg: out std_logic;
muxC: in reg3;
EX_muxC: out reg3;
move: in std_logic;
EX_move: out std_logic;
postn: in reg5;
EX_postn: out reg5;
shamt: in reg5;
EX_shamt: out reg5;
aVal: in std_logic;
EX_aVal: out std_logic;
wrmem: in std_logic;
EX_wrmem: out std_logic;
mem_t: in reg4;
EX_mem_t: out reg4;
is_load: in boolean;
EX_is_load: out boolean;
A: in reg32;
EX_A: out reg32;
B: in reg32;
EX_B: out reg32;
displ32: in reg32;
EX_displ32: out reg32;
pc_p8: in reg32;
EX_pc_p8: out reg32);
end reg_RF_EX;
architecture funcional of reg_RF_EX is
begin
process(clk, rst)
begin
if rst = '0' then
EX_wreg <= '1';
EX_wrmem <= '1';
EX_aVal <= '1';
elsif rising_edge(clk) then
if ld = '0' then
EX_selB <= selB ;
EX_oper <= oper ;
EX_a_rs <= a_rs ;
EX_a_rt <= a_rt ;
EX_a_c <= a_c ;
EX_wreg <= wreg ;
EX_muxC <= muxC ;
EX_move <= move ;
EX_postn <= postn ;
EX_shamt <= shamt ;
EX_aVal <= aVal ;
EX_wrmem <= wrmem ;
EX_mem_t <= mem_t ;
EX_is_load <= is_load ;
EX_A <= A ;
EX_B <= B ;
EX_displ32 <= displ32 ;
EX_pc_p8 <= pc_p8 ;
end if;
end if;
end process;
end funcional;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- EX-MEM
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_WIRES.all;
entity reg_EX_MM is
port(clk, rst, ld: in std_logic;
EX_a_rt: in reg5;
MM_a_rt: out reg5;
EX_a_c: in reg5;
MM_a_c: out reg5;
EX_wreg: in std_logic;
MM_wreg: out std_logic;
EX_muxC: in reg3;
MM_muxC: out reg3;
EX_aVal: in std_logic;
MM_aVal: out std_logic;
EX_wrmem: in std_logic;
MM_wrmem: out std_logic;
EX_mem_t: in reg4;
MM_mem_t: out reg4;
EX_is_load: in boolean;
MM_is_load: out boolean;
EX_A: in reg32;
MM_A: out reg32;
EX_B: in reg32;
MM_B: out reg32;
EX_result: in reg32;
MM_result: out reg32;
EX_addr: in reg32;
MM_addr: out reg32;
HI: in reg32;
MM_HI: out reg32;
LO: in reg32;
MM_LO: out reg32;
EX_alu_move_ok: in std_logic;
MM_alu_move_ok: out std_logic;
EX_move: in std_logic;
MM_move: out std_logic;
EX_pc_p8: in reg32;
MM_pc_p8: out reg32);
end reg_EX_MM;
architecture funcional of reg_EX_MM is
begin
process(clk, rst)
begin
if rst = '0' then
MM_wreg <= '1';
MM_wrmem <= '1';
MM_aVal <= '1';
elsif rising_edge(clk) then
if ld = '0' then
MM_a_rt <= EX_a_rt ;
MM_a_c <= EX_a_c ;
MM_wreg <= EX_wreg ;
MM_muxC <= EX_muxC ;
MM_aVal <= EX_aVal ;
MM_wrmem <= EX_wrmem ;
MM_mem_t <= EX_mem_t ;
MM_is_load <= EX_is_load ;
MM_A <= EX_A ;
MM_B <= EX_B ;
MM_result <= EX_result ;
MM_addr <= EX_addr ;
MM_HI <= HI ;
MM_LO <= LO ;
MM_alu_move_ok <= EX_alu_move_ok ;
MM_move <= EX_move ;
MM_pc_p8 <= EX_pc_p8 ;
end if;
end if;
end process;
end funcional;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- MEM-WB
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use work.p_WIRES.all;
entity reg_MM_WB is
port(clk, rst, ld: in std_logic;
MM_a_c: in reg5;
WB_a_c: out reg5;
MM_wreg: in std_logic;
WB_wreg: out std_logic;
MM_muxC: in reg3;
WB_muxC: out reg3;
MM_A: in reg32;
WB_A: out reg32;
MM_result: in reg32;
WB_result: out reg32;
MM_HI: in reg32;
WB_HI: out reg32;
MM_LO: in reg32;
WB_LO: out reg32;
rd_data: in reg32;
WB_rd_data: out reg32;
MM_B_data: in reg32;
WB_B_data: out reg32;
MM_addr2: in reg2;
WB_addr2: out reg2;
MM_oper: in reg2;
WB_oper: out reg2;
MM_pc_p8: in reg32;
WB_pc_p8: out reg32);
end reg_MM_WB;
architecture funcional of reg_MM_WB is
begin
process(clk, rst)
begin
if rst = '0' then
WB_wreg <= '1';
elsif rising_edge(clk) then
if ld = '0' then
WB_a_c <= MM_a_c ;
WB_wreg <= MM_wreg ;
WB_muxC <= MM_muxC ;
WB_A <= MM_A ;
WB_result <= MM_result ;
WB_HI <= MM_HI ;
WB_LO <= MM_LO ;
WB_rd_data <= rd_data ;
WB_B_data <= MM_B_data ;
WB_addr2 <= MM_addr2 ;
WB_oper <= MM_oper ;
WB_pc_p8 <= MM_pc_p8 ;
end if;
end if;
end process;
end funcional;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | 95376c9ccb0984970c9fc0333b34e7ea | 0.409876 | 3.3548 | false | false | false | false |
marceloboeira/vhdl-examples | 003-state-machine-square/source.vhd | 1 | 1,123 |
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
entity square is
Port ( number : in STD_LOGIC_VECTOR (15 downto 0);
clock : in STD_LOGIC;
output : out STD_LOGIC_VECTOR (15 downto 0);
done : out STD_LOGIC);
end square;
architecture Behavioral of square is
type FSM is (INITIAL, OPERATION, FINAL);
signal state : FSM;
signal n, d, r : STD_LOGIC_VECTOR (15 downto 0);
begin
main_process : process (clock)
begin
if clock'event and clock = '1' then
case state is
when INITIAL =>
n <= number;
d <= "000000000000001";
r <= "000000000000000";
done <= '0';
state <= OPERATION;
when OPERATION =>
if (n > 0) then
n <= n - d;
d <= d + 2;
r <= r + 1;
done <= '0';
state <= OPERATION;
else
state <= FINAL;
end if;
when FINAL =>
output <= r;
done <= '1';
state <= INITIAL;
when others =>
done <= '0';
state <= INITIAL;
end case;
end if;
end process;
end Behavioral;
| mit | 3c64d33bd0e3dfdd81c2a63b3cc232a3 | 0.500445 | 3.899306 | false | false | false | false |
KANGKANGABC/ArmRobot | MotorCtl.vhd | 1 | 17,432 | ----------------------------------------------------------------------------------
-- Company:
-- Engineer: ZhaoKang
--
-- Create Date: 2017/05/31 21:54:01
-- Design Name:
-- Module Name: MotorCtl - beh
-- Project Name:
-- Target Devices:
-- Tool Versions:
-- Description:
--
-- Dependencies:
--
-- Revision:
-- Revision 0.01 - File Created
-- Additional Comments:
--
----------------------------------------------------------------------------------
library IEEE;
use IEEE.STD_LOGIC_1164.ALL;
-- Uncomment the following library declaration if using
-- arithmetic functns with Signed or Unsigned values
--use IEEE.NUMERIC_STD.ALL;
-- Uncomment the following library declaration if instantiating
-- any Xilinx leaf cells in this code.
--library UNISIM;
--use UNISIM.VComponents.all;
entity MotorCtl is
Port (
CLK : in STD_LOGIC;
RESET : in STD_LOGIC;
UART_TX : out STD_LOGIC;
M1_degree : in STD_LOGIC_VECTOR (15 downto 0);
M2_degree : in STD_LOGIC_VECTOR (15 downto 0);
M3_degree : in STD_LOGIC_VECTOR (15 downto 0);
M4_degree : in STD_LOGIC_VECTOR (15 downto 0);
M5_degree : in STD_LOGIC_VECTOR (15 downto 0);
M6_degree : in STD_LOGIC_VECTOR (15 downto 0)
--TMP : buffer STD_LOGIC_VECTOR (15 downto 0)
);
end entity;
architecture beh of MotorCtl is
component crc is
port (
clk: in std_logic;
data_in: in std_logic_vector(7 downto 0);
crc_in: in std_logic_vector(15 downto 0);
crc_out: out std_logic_vector(15 downto 0)
);
end component;
component UART_TX_CTRL is
port (
SEND : in STD_LOGIC;
DATA : in STD_LOGIC_VECTOR (7 downto 0);
CLK : in STD_LOGIC;
READY : out STD_LOGIC;
UART_TX : out STD_LOGIC
);
end component;
--component InstructionRam is
--PORT (
-- clka : IN STD_LOGIC;
-- wea : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-- addra : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
-- dina : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
-- douta : OUT STD_LOGIC_VECTOR(7 DOWNTO 0);
-- clkb : IN STD_LOGIC;
-- web : IN STD_LOGIC_VECTOR(0 DOWNTO 0);
-- addrb : IN STD_LOGIC_VECTOR(6 DOWNTO 0);
-- dinb : IN STD_LOGIC_VECTOR(7 DOWNTO 0);
-- doutb : OUT STD_LOGIC_VECTOR(7 DOWNTO 0)
-- );
--end component;
SIGNAL clk_1 : std_logic;
SIGNAL crc_ret : std_logic_vector (15 downto 0);
SIGNAL crc_int : std_logic_vector (15 downto 0);
SIGNAL crc_data : std_logic_vector (7 downto 0);
--SIGNAL wea : std_logic_vector (0 downto 0);
--SIGNAL addra : std_logic_vector (6 downto 0);
--SIGNAL addrb : std_logic_vector (6 downto 0);
--SIGNAL dina : std_logic_vector (7 downto 0);
--SIGNAL douta : std_logic_vector (7 downto 0);
--SIGNAL dinb : std_logic_vector (7 downto 0);
--SIGNAL doutb : std_logic_vector (7 downto 0);
TYPE matrix_index is array (13 downto 0) of std_logic_vector(7 downto 0);
TYPE StateType is (S000,S00, S0, S1, S2, S3, S4, S5, S6, S7, S8, S9, S10, S11, S12, S13, S14,
S15, S16, S17, S18, S19, S20, S21, S22, S23);
SIGNAL m1, m2, m3, m4, m5, m6 : matrix_index;
SIGNAL m1a, m2a, m3a, m4a, m5a, m6a : matrix_index;
signal UartBusy : std_logic;
signal UartCtl : std_logic;
signal UartData : std_logic_vector(7 DOWNTO 0);
begin
crc_ins : crc
port map(
clk => clk_1,
data_in => crc_data,
crc_in => crc_int,
crc_out => crc_ret
);
UART_TX_CTRL_ins : UART_TX_CTRL
port map(
READY => UartBusy,
UART_TX => UART_TX,
CLK => clk_1,
DATA => UartData,
SEND => UartCtl
);
-- InstructionRam_ins : InstructionRam
-- port map(
-- clka => clk_1,
-- clkb => clk_1,
-- wea => wea,
-- web => wea,
-- addra => addra,
-- addrb => addrb,
-- dina => dina,
-- douta => douta,
-- dinb => dinb,
-- doutb => doutb
-- );
process(CLK)
variable state : StateType := S000;
variable count1 : integer range 0 to 13;
variable TMP : std_logic_vector (15 downto 0);
begin
-- m1(12) <= x"FF";m2(12) <= x"FF";m3(12) <= x"FF";m4(12) <= x"FF";m5(12) <= x"FF";m6(12) <= x"FF";
-- m1(13) <= x"FF";m2(13) <= x"FF";m3(13) <= x"FF";m4(13) <= x"FF";m5(13) <= x"FF";m6(13) <= x"FF";
clk_1 <= CLK;
if RESET = '0' then
count1 := 0;
state := S000;
elsif falling_edge(CLK) then
case state is
when S000 =>
m1(0) <= x"FF";m2(0) <= x"FF";m3(0) <= x"FF";m4(0) <= x"FF";m5(0) <= x"FF";m6(0) <= x"FF";
m1(1) <= x"FF";m2(1) <= x"FF";m3(1) <= x"FF";m4(1) <= x"FF";m5(1) <= x"FF";m6(1) <= x"FF";
m1(2) <= x"FD";m2(2) <= x"FD";m3(2) <= x"FD";m4(2) <= x"FD";m5(2) <= x"FD";m6(2) <= x"FD";
m1(3) <= x"00";m2(3) <= x"00";m3(3) <= x"00";m4(3) <= x"00";m5(3) <= x"00";m6(3) <= x"00";
m1(4) <= x"01";m2(4) <= x"02";m3(4) <= x"03";m4(4) <= x"04";m5(4) <= x"05";m6(4) <= x"06";
m1(5) <= x"07";m2(5) <= x"07";m3(5) <= x"07";m4(5) <= x"07";m5(5) <= x"07";m6(5) <= x"07";
m1(6) <= x"00";m2(6) <= x"00";m3(6) <= x"00";m4(6) <= x"00";m5(6) <= x"00";m6(6) <= x"00";
m1(7) <= x"03";m2(7) <= x"03";m3(7) <= x"03";m4(7) <= x"03";m5(7) <= x"03";m6(7) <= x"03";
m1(8) <= x"1E";m2(8) <= x"1E";m3(8) <= x"1E";m4(8) <= x"1E";m5(8) <= x"1E";m6(8) <= x"1E";
m1(9) <= x"00";m2(9) <= x"00";m3(9) <= x"00";m4(9) <= x"00";m5(9) <= x"00";m6(9) <= x"00";
m1(10) <= M1_degree(7 DOWNTO 0);m2(10) <= M2_degree(7 DOWNTO 0);m3(10) <= M3_degree(7 DOWNTO 0);
m4(10) <= M4_degree(7 DOWNTO 0);m5(10) <= M5_degree(7 DOWNTO 0);m6(10) <= M6_degree(7 DOWNTO 0);
m1(11) <= M1_degree(15 DOWNTO 8);m2(11) <= M2_degree(15 DOWNTO 8);m3(11) <= M3_degree(15 DOWNTO 8);
m4(11) <= M4_degree(15 DOWNTO 8);m5(11) <= M5_degree(15 DOWNTO 8);m6(11) <= M6_degree(15 DOWNTO 8);
state := S00;
when S00 =>
crc_int <= x"0000";
crc_data <= m1(count1);
state := S0;
when S0 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m1(count1);
state := S1;
when S1 =>
if count1 < 11 then
state := S0;
else
state := S2;
count1 := 0;
TMP := crc_ret;
end if;
when S2 =>
m1(12) <= crc_ret(7 downto 0);
m1(13) <= crc_ret(15 downto 8);
crc_int <= x"0000";
crc_data <= m2(count1);
state := S3;
when S3 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m2(count1);
state := S4;
when S4 =>
if count1 < 11 then
state := S3;
else
state := S5;
count1 := 0;
TMP := crc_ret;
end if;
when S5 =>
m2(12) <= crc_ret(7 downto 0);
m2(13) <= crc_ret(15 downto 8);
crc_int <= x"0000";
crc_data <= m3(count1);
state := S6;
when S6 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m3(count1);
state := S7;
when S7 =>
if count1 < 11 then
state := S6;
else
state := S8;
count1 := 0;
TMP := crc_ret;
end if;
when S8 =>
m3(12) <= crc_ret(7 downto 0);
m3(13) <= crc_ret(15 downto 8);
crc_int <= x"0000";
crc_data <= m4(count1);
state := S9;
when S9 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m4(count1);
state := S10;
when S10 =>
if count1 < 11 then
state := S9;
else
state := S11;
count1 := 0;
TMP := crc_ret;
end if;
when S11 =>
m4(12) <= crc_ret(7 downto 0);
m4(13) <= crc_ret(15 downto 8);
crc_int <= x"0000";
crc_data <= m5(count1);
state := S12;
when S12 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m5(count1);
state := S13;
when S13 =>
if count1 < 11 then
state := S12;
else
state := S14;
count1 := 0;
TMP := crc_ret;
end if;
when S14 =>
m5(12) <= crc_ret(7 downto 0);
m5(13) <= crc_ret(15 downto 8);
crc_int <= x"0000";
crc_data <= m6(count1);
state := S15;
when S15 =>
crc_int <= crc_ret;
count1 := count1 + 1;
crc_data<= m6(count1);
state := S16;
when S16 =>
if count1 < 11 then
state := S15;
else
state := S17;
count1 := 0;
TMP := crc_ret;
end if;
when S17 =>
m6(12) <= crc_ret(7 downto 0);
m6(13) <= crc_ret(15 downto 8);
m1a <= m1;
m2a <= m2;
m3a <= m3;
m4a <= m4;
m5a <= m5;
m6a <= m6;
state := S000;
when others =>
state := S000;
end case;
end if;
end process;
process(CLK)
variable state1 : StateType := S000;
variable count2 : integer range 0 to 14;
begin
if RESET = '0' then
state1 := S000;
count2 := 0;
elsif falling_edge(CLK) then
case state1 is
when S000 =>
UartCtl <= '0';
UartData <= x"00";
state1 := S00;
count2 := 0;
---------------------------motor1--------------------------------
when S00 =>
UartData <= m1a(count2);
state1 := S0;
when S0 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S1;
when S1 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S2;
end if;
when S2 =>
if count2 < 14 then
state1 := S00;
else
state1 := S3;
count2 := 0;
end if;
---------------------------motor2--------------------------------
when S3 =>
UartData <= m2a(count2);
state1 := S4;
when S4 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S5;
when S5 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S6;
end if;
when S6 =>
if count2 < 14 then
state1 := S3;
else
state1 := S7;
count2 := 0;
end if;
---------------------------motor3--------------------------------
when S7 =>
UartData <= m3a(count2);
state1 := S8;
when S8 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S9;
when S9 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S10;
end if;
when S10 =>
if count2 < 14 then
state1 := S7;
else
state1 := S11;
count2 := 0;
end if;
---------------------------motor4--------------------------------
when S11 =>
UartData <= m4a(count2);
state1 := S12;
when S12 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S13;
when S13 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S14;
end if;
when S14 =>
if count2 < 14 then
state1 := S11;
else
state1 := S15;
count2 := 0;
end if;
---------------------------motor5--------------------------------
when S15 =>
UartData <= m5a(count2);
state1 := S16;
when S16 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S17;
when S17 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S18;
end if;
when S18 =>
if count2 < 14 then
state1 := S15;
else
state1 := S19;
count2 := 0;
end if;
---------------------------motor6--------------------------------
when S19 =>
UartData <= m6a(count2);
state1 := S20;
when S20 =>
UartCtl <= '1';
count2 := count2 + 1;
state1 := S21;
when S21 =>
if UartBusy = '1' then
UartCtl <= '0';
state1 := S22;
end if;
when S22 =>
if count2 < 14 then
state1 := S19;
else
state1 := S23;
count2 := 0;
end if;
when S23 =>
state1 := S000;
when others =>
state1 := S000;
end case;
end if;
end process;
end architecture;
| gpl-3.0 | 7aa9e83fcf20d0a3308bb4d803d5fd96 | 0.323084 | 4.245494 | false | false | false | false |
mbgh/aes128-hdl | src/vhdl/mixColumn.vhd | 1 | 4,555 | -------------------------------------------------------------------------------
--! @file mixColumn.vhd
--! @brief AES MixColumn operation (single column)
--! @project VLSI Book - AES-128 Example
--! @author Michael Muehlberghuber ([email protected])
--! @company Integrated Systems Laboratory, ETH Zurich
--! @copyright Copyright (C) 2014 Integrated Systems Laboratory, ETH Zurich
--! @date 2014-06-05
--! @updated 2014-06-05
--! @platform Simulation: ModelSim; Synthesis: Synopsys
--! @standard VHDL'93/02
-------------------------------------------------------------------------------
-- Revision Control System Information:
-- File ID : $Id: mixColumn.vhd 6 2014-06-12 12:49:55Z u59323933 $
-- Revision : $Revision: 6 $
-- Local Date : $Date: 2014-06-12 14:49:55 +0200 (Thu, 12 Jun 2014) $
-- Modified By : $Author: u59323933 $
-------------------------------------------------------------------------------
-- Major Revisions:
-- Date Version Author Description
-- 2014-06-05 1.0 michmueh Created
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
library work;
use work.aes128Pkg.all;
-------------------------------------------------------------------------------
--! @brief AES MixColumn operation (single column)
--!
--! The present design implements the MixColumn operation of the Advanced
--! Encryption Standard (AES).
-------------------------------------------------------------------------------
entity mixColumn is
port (
--! @brief Input to the "MixColumn" function.
In_DI : in Word;
--! @brief Output of the "MixColumn" function.
Out_DO : out Word);
end entity mixColumn;
-------------------------------------------------------------------------------
--! @brief Behavioral architecture of the "MixColumn" function.
-------------------------------------------------------------------------------
architecture Behavioral of mixColumn is
-----------------------------------------------------------------------------
-- Signals
-----------------------------------------------------------------------------
signal Byte0_D, Byte0Doubled_D, Byte0Tripled_D : Byte;
signal Byte1_D, Byte1Doubled_D, Byte1Tripled_D : Byte;
signal Byte2_D, Byte2Doubled_D, Byte2Tripled_D : Byte;
signal Byte3_D, Byte3Doubled_D, Byte3Tripled_D : Byte;
begin -- architecture Behavioral
-----------------------------------------------------------------------------
-- First Byte
-----------------------------------------------------------------------------
Byte0_D <= In_DI(0);
Byte0Doubled_D <= ((In_DI(0)(6 downto 0) & '0') xor x"1B") when In_DI(0)(7) = '1'
else (In_DI(0)(6 downto 0) & '0');
Byte0Tripled_D <= Byte0Doubled_D xor Byte0_D;
-----------------------------------------------------------------------------
-- Second Byte
-----------------------------------------------------------------------------
Byte1_D <= In_DI(1);
Byte1Doubled_D <= ((In_DI(1)(6 downto 0) & '0') xor x"1B") when In_DI(1)(7) = '1'
else (In_DI(1)(6 downto 0) & '0');
Byte1Tripled_D <= Byte1Doubled_D xor Byte1_D;
-----------------------------------------------------------------------------
-- Third Byte
-----------------------------------------------------------------------------
Byte2_D <= In_DI(2);
Byte2Doubled_D <= ((In_DI(2)(6 downto 0) & '0') xor x"1B") when In_DI(2)(7) = '1'
else (In_DI(2)(6 downto 0) & '0');
Byte2Tripled_D <= Byte2Doubled_D xor Byte2_D;
-----------------------------------------------------------------------------
-- Fourth Byte
-----------------------------------------------------------------------------
Byte3_D <= In_DI(3);
Byte3Doubled_D <= ((In_DI(3)(6 downto 0) & '0') xor x"1B") when In_DI(3)(7) = '1'
else (In_DI(3)(6 downto 0) & '0');
Byte3Tripled_D <= Byte3Doubled_D xor Byte3_D;
-----------------------------------------------------------------------------
-- Output Assignment
-----------------------------------------------------------------------------
Out_DO(0) <= Byte0Doubled_D xor Byte1Tripled_D xor Byte2_D xor Byte3_D;
Out_DO(1) <= Byte0_D xor Byte1Doubled_D xor Byte2Tripled_D xor Byte3_D;
Out_DO(2) <= Byte0_D xor Byte1_D xor Byte2Doubled_D xor Byte3Tripled_D;
Out_DO(3) <= Byte0Tripled_D xor Byte1_D xor Byte2_D xor Byte3Doubled_D;
end architecture Behavioral;
| gpl-2.0 | 67bb203a203bf172ba9b778500e819d2 | 0.407903 | 4.221501 | false | false | false | false |
rhexsel/xinu-cMIPS | vhdl/tb_cMIPS.vhd | 1 | 48,115 | -- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- cMIPS, a VHDL model of the classical five stage MIPS pipeline.
-- Copyright (C) 2013 Roberto Andre Hexsel
--
-- This program is free software: you can redistribute it and/or modify
-- it under the terms of the GNU General Public License as published by
-- the Free Software Foundation, version 3.
--
-- This program is distributed in the hope that it will be useful,
-- but WITHOUT ANY WARRANTY; without even the implied warranty of
-- MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE. See the
-- GNU General Public License for more details.
--
-- You should have received a copy of the GNU General Public License
-- along with this program. If not, see <http://www.gnu.org/licenses/>.
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- testbench for classicalMIPS
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity tb_cMIPS is
end tb_cMIPS;
architecture TB of tb_cMIPS is
component FFD is
port(clk, rst, set, D : in std_logic; Q : out std_logic);
end component FFD;
component SDcard is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic_vector; -- a03, a02
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
sdc_cs : out std_logic; -- SDcard chip-select
sdc_clk : out std_logic; -- SDcard serial clock
sdc_mosi_o : out std_logic; -- SDcard serial data out (to card)
sdc_miso_i : in std_logic; -- SDcard serial data inp (fro card)
irq : out std_logic); -- interrupt request (not yet used)
end component SDCard;
component DISK is
port (rst : in std_logic;
clk : in std_logic;
strobe : in std_logic; -- strobe for file reads/writes
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
busFree : in std_logic; -- '1' = bus will be free next cycle
busReq : out std_logic; -- '1' = bus will be used next cycle
busGrant : in std_logic; -- '1' = bus is free in this cycle
addr : in reg3;
data_inp : in reg32;
data_out : out reg32;
irq : out std_logic;
dma_addr : out reg32;
dma_dinp : in reg32;
dma_dout : out reg32;
dma_wr : out std_logic;
dma_aval : out std_logic;
dma_type : out reg4);
end component DISK;
component LCD_display is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic; -- 0=constrol, 1=data
data_inp : in std_logic_vector(31 downto 0);
data_out : out std_logic_vector(31 downto 0);
LCD_DATA : inout std_logic_vector(7 downto 0); -- bidirectional bus
LCD_RS : out std_logic; -- LCD register select 0=ctrl, 1=data
LCD_RW : out std_logic; -- LCD read=1, 0=write
LCD_EN : out std_logic; -- LCD enable=1
LCD_BLON : out std_logic);
end component LCD_display;
component to_7seg is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector;
display0 : out std_logic_vector;
display1 : out std_logic_vector;
red : out std_logic;
green : out std_logic;
blue : out std_logic);
end component to_7seg;
component read_keys is
generic (DEB_CYCLES : natural);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
data : out reg32;
kbd : in std_logic_vector (11 downto 0);
sw : in std_logic_vector (3 downto 0));
end component read_keys;
component to_stdout is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector);
end component to_stdout;
component from_stdin is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : out std_logic_vector);
end component from_stdin;
component print_data is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data : in std_logic_vector);
end component print_data;
component write_data_file is
generic (OUTPUT_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : in std_logic_vector;
byte_sel : in std_logic_vector;
dump_ram : out std_logic);
end component write_data_file;
component read_data_file is
generic (INPUT_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector;
byte_sel: in std_logic_vector);
end component read_data_file;
component do_interrupt is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
irq : out std_logic);
end component do_interrupt;
component simple_uart is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
txdat : out std_logic;
rxdat : in std_logic;
rts : out std_logic;
cts : in std_logic;
irq : out std_logic;
bit_rt : out std_logic_vector);-- communication speed - TB only
end component simple_uart;
component FPU is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector);
end component FPU;
component remota is
generic(OUTPUT_FILE_NAME : string; INPUT_FILE_NAME : string);
port(rst, clk : in std_logic;
start : in std_logic;
inpDat : in std_logic; -- serial input
outDat : out std_logic; -- serial output
bit_rt : in std_logic_vector);
end component remota;
component sys_stats is
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
wr : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector;
cnt_dc_ref : in integer;
cnt_dc_rd_hit : in integer;
cnt_dc_wr_hit : in integer;
cnt_dc_flush : in integer;
cnt_ic_ref : in integer;
cnt_ic_hit : in integer);
end component sys_stats;
component ram_addr_decode is
port (rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in std_logic_vector;
aVal : out std_logic;
dev_select : out std_logic_vector);
end component ram_addr_decode;
component sdram_addr_decode is
port (rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in std_logic_vector;
aVal : out std_logic;
dev_select : out std_logic_vector);
end component sdram_addr_decode;
component io_addr_decode is
port (rst : in std_logic;
clk : in std_logic;
cpu_d_aVal : in std_logic;
addr : in std_logic_vector;
dev_select : out std_logic_vector;
print_sel : out std_logic;
stdout_sel : out std_logic;
stdin_sel : out std_logic;
read_sel : out std_logic;
write_sel : out std_logic;
counter_sel : out std_logic;
FPU_sel : out std_logic;
uart_sel : out std_logic;
sstats_sel : out std_logic;
dsp7seg_sel : out std_logic;
keybd_sel : out std_logic;
lcd_sel : out std_logic;
sdc_sel : out std_logic;
dma_sel : out std_logic;
not_waiting : in std_logic);
end component io_addr_decode;
component busError_addr_decode is
port (rst : in std_logic;
cpu_d_aVal : in std_logic;
addr : in reg32;
d_busError : out std_logic); -- decoded address not in range (act=0)
end component busError_addr_decode;
component inst_addr_decode is
port (rst : in std_logic;
cpu_i_aVal : in std_logic;
addr : in std_logic_vector;
aVal : out std_logic;
i_busError : out std_logic);
end component inst_addr_decode;
component ROM is
generic (LOAD_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data : out std_logic_vector);
end component ROM;
component RAM is
generic (LOAD_FILE_NAME : string; DUMP_FILE_NAME : string);
port (rst : in std_logic;
clk : in std_logic;
sel : in std_logic;
rdy : out std_logic;
wr : in std_logic;
strobe : in std_logic;
addr : in std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
byte_sel : in std_logic_vector;
dump_ram : in std_logic);
end component RAM;
component SDRAM_controller is
port (rst : in std_logic; -- FPGA reset (=0)
clk : in std_logic; -- CPU clock
clk2x : in std_logic; -- 100MHz clock
sel : in std_logic; -- host side chip select (=0)
rdy : out std_logic; -- tell CPU to wait (=0)
wr : in std_logic; -- host side write enable (=0)
bsel : in reg4; -- byte select
haddr : in reg26; -- host side address
hDinp : in reg32; -- host side data input
hDout : out reg32; -- host side data output
cke : out std_logic; -- ram side clock enable
scs : out std_logic; -- ram side chip select
ras : out std_logic; -- ram side RAS
cas : out std_logic; -- ram side CAS
we : out std_logic; -- ram side write enable
dqm0 : out std_logic; -- ram side byte0 output enable
dqm1 : out std_logic; -- ram side byte0 output enable
ba0 : out std_logic; -- ram side bank select 0
ba1 : out std_logic; -- ram side bank select 1
saddr : out reg12; -- ram side address
sdata : inout reg16); -- ram side data
end component SDRAM_controller;
component I_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_addr : in std_logic_vector;
cpu_data : out std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_addr : out std_logic_vector;
mem_data : in std_logic_vector;
ref_cnt : out integer;
hit_cnt : out integer);
end component I_CACHE;
component I_CACHE_fpga is
port (rst : in std_logic;
clk4x : in std_logic;
ic_reset : out std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_addr : in std_logic_vector;
cpu_data : out std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_addr : out std_logic_vector;
mem_data : in std_logic_vector;
ref_cnt : out integer;
hit_cnt : out integer);
end component I_CACHE_fpga;
component D_CACHE is
port (rst : in std_logic;
clk4x : in std_logic;
cpu_sel : in std_logic;
cpu_rdy : out std_logic;
cpu_wr : in std_logic;
cpu_addr : in std_logic_vector;
cpu_data_inp : in std_logic_vector;
cpu_data_out : out std_logic_vector;
cpu_xfer : in std_logic_vector;
mem_sel : out std_logic;
mem_rdy : in std_logic;
mem_wr : out std_logic;
mem_addr : out std_logic_vector;
mem_data_inp : in std_logic_vector;
mem_data_out : out std_logic_vector;
mem_xfer : out std_logic_vector;
ref_cnt : out integer;
rd_hit_cnt : out integer;
wr_hit_cnt : out integer;
flush_cnt : out integer);
end component D_CACHE;
component core is
port (rst : in std_logic;
clk : in std_logic;
phi1 : in std_logic;
phi2 : in std_logic;
phi3 : in std_logic;
i_aVal : out std_logic;
i_wait : in std_logic;
i_addr : out std_logic_vector;
instr : in std_logic_vector;
d_aVal : out std_logic;
d_wait : in std_logic;
d_addr : out std_logic_vector;
data_inp : in std_logic_vector;
data_out : out std_logic_vector;
wr : out std_logic;
b_sel : out std_logic_vector;
busFree : out std_logic;
nmi : in std_logic;
irq : in std_logic_vector;
i_busErr : in std_logic;
d_busErr : in std_logic);
end component core;
component mf_altpll port (
areset : IN STD_LOGIC;
inclk0 : IN STD_LOGIC;
c0 : OUT STD_LOGIC;
c1 : OUT STD_LOGIC;
c2 : OUT STD_LOGIC;
c3 : OUT STD_LOGIC;
c4 : OUT STD_LOGIC);
end component mf_altpll;
component mf_altpll_io port (
areset : IN STD_LOGIC;
inclk0 : IN STD_LOGIC;
c0 : OUT STD_LOGIC;
c1 : OUT STD_LOGIC;
c2 : OUT STD_LOGIC);
end component mf_altpll_io;
component mf_altclkctrl port (
inclk : IN STD_LOGIC;
outclk : OUT STD_LOGIC);
end component mf_altclkctrl;
-- use simulation / fake
for U_from_stdin : from_stdin use entity work.from_stdin(simulation);
-- use simulation / fake
for U_print_data : print_data use entity work.print_data(simulation);
-- use simulation / fake
for U_to_stdout : to_stdout use entity work.to_stdout(simulation);
-- use simulation / fake
for U_write_out : write_data_file
use entity work.write_data_file(simulation);
-- use simulation / fake
for U_read_inp : read_data_file
use entity work.read_data_file(simulation);
-- use fake / behavioral
for U_I_CACHE : I_cache use entity work.I_cache(fake);
-- use simulation / rtl
for U_ROM : ROM use entity work.ROM(simulation);
-- use simulation / rtl
for U_RAM : RAM use entity work.RAM(simulation);
-- use fake / behavioral
for U_D_CACHE : D_cache use entity work.D_cache(fake);
-- use fake / rtl
for U_FPU: FPU use entity work.FPU(rtl);
-- use fake / simple
for U_SDRAMc : SDRAM_controller
use entity work.SDRAM_controller(simple);
-- use simulation / fake
for U_DISK : DISK use entity work.DISK(simulation);
-- use fake / rtl
for U_SDcard : SDcard use entity work.SDcard(fake);
-- use fake / rtl
for U_LCD_display : LCD_display use entity work.LCD_display(fake);
-- use simulation / fake
for U_uart_remota: remota use entity work.remota(simulation);
signal clock_50mhz, clk,clkin : std_logic;
signal clk4x,clk4x0, clk4x180, clk2x : std_logic;
signal phi0,phi1,phi2,phi3,phi0in,phi1in,phi2in,phi3in, phi2_dlyd : std_logic;
signal rst,ic_reset,a_rst1,a_rst2,a_rst3, cpu_reset : std_logic;
signal a_reset, async_reset : std_logic;
signal cpu_i_aVal, cpu_i_wait, wr, cpu_d_aVal, cpu_d_wait : std_logic;
signal busFree, nmi, i_busError, d_busError : std_logic;
signal irq : reg6;
signal inst_aVal, inst_wait, rom_rdy : std_logic;
signal data_aVal, data_wait, ram_rdy, mem_wr : std_logic;
signal sdram_aVal, sdram_wait, sdram_wr : std_logic;
signal cpu_xfer, mem_xfer : reg4;
signal dev_select, dev_select_ram, dev_select_io, dev_select_sdram : reg4;
signal io_print_sel : std_logic := '1';
signal io_stdout_sel : std_logic := '1';
signal io_stdin_sel : std_logic := '1';
signal io_write_sel : std_logic := '1';
signal io_read_sel : std_logic := '1';
signal io_counter_sel : std_logic := '1';
signal io_uart_sel : std_logic := '1';
signal io_sstats_sel : std_logic := '1';
signal io_7seg_sel : std_logic := '1';
signal io_keys_sel : std_logic := '1';
signal io_fpu_sel, io_fpu_wait : std_logic := '1';
signal io_lcd_sel, io_lcd_wait : std_logic := '1';
signal io_sdc_sel, io_sdc_wait : std_logic := '1';
signal io_dma_sel : std_logic := '1';
signal d_cache_d_out, stdin_d_out, read_d_out, counter_d_out : reg32;
signal fpu_d_out, uart_d_out, sstats_d_out, keybd_d_out : reg32;
signal lcd_d_out, sdc_d_out, sdram_d_out, dma_d_out : reg32;
signal counter_irq : std_logic;
signal io_wait, not_waiting : std_logic;
signal i_addr,d_addr,p_addr : reg32;
signal datrom, datram_inp,datram_out, cpu_instr : reg32;
signal cpu_data_inp, cpu_data_out, cpu_data : reg32;
signal mem_i_sel, mem_d_sel: std_logic;
signal mem_i_addr, mem_addr, mem_d_addr: reg32;
signal cnt_i_ref,cnt_i_hit : integer;
signal cnt_d_ref,cnt_d_rd_hit,cnt_d_wr_hit,cnt_d_flush : integer;
signal dump_ram : std_logic;
signal bit_rt : reg3;
-- Macnica development board's peripherals
signal disp0,disp1 : reg8; -- 7 segment displays
signal key : reg12; -- 12 key telephone keyboard
signal sw : reg4; -- 4 slide switches
signal led_r, led_g, led_b : std_logic; -- RGB leds (on board signals)
signal LCD_D : std_logic_vector(7 downto 0); -- LCD data bus
signal LCD_RS, LCD_RW, LCD_EN, LCD_BACKLIGHT : std_logic; -- LCD control
signal uart_txd, uart_rxd, uart_rts, uart_cts, uart_irq : std_logic;
signal sdc_cs, sdc_clk, sdc_mosi_o, sdc_miso_i : std_logic;
signal sdcke, sdscs, sdras, sdcas, sdwe : std_logic; -- SDRAM
signal sddqm0, sddqm1, sdba0, sdba1 : std_logic;
signal sdaddr : reg12;
signal sddata : reg16;
signal hDinp, hDout : reg32;
-- disk device, simulation only
signal dma_addr, dma_dinp, dma_dout, ram_addr, ram_inp : reg32;
signal dma_wr, ram_wr, dma_aval, dma_irq, ram_sel : std_logic;
signal dma_type, ram_xfer : reg4;
signal busReq, busFree_dly, dma_grant : std_logic;
begin -- TB
pll : mf_altpll port map (areset => a_reset, inclk0 => clock_50mhz,
c0 => phi0in, c1 => phi1in, c2 => phi2in, c3 => phi3in, c4 => clkin);
-- pll_io : mf_altpll_io port map (areset => a_reset, inclk0 => clock_50mhz,
-- c0 => clk2x, c1 => clk4x0, c2 => clk4x180);
clk4x0 <= '0';
clk4x180 <= '0';
mf_altclkctrl_inst_clk : mf_altclkctrl port map (
inclk => clkin, outclk => clk);
mf_altclkctrl_inst_clk4x : mf_altclkctrl port map (
inclk => clk4x180, outclk => clk4x);
mf_altclkctrl_inst_phi0 : mf_altclkctrl port map (
inclk => phi0in, outclk => phi0);
mf_altclkctrl_inst_phi1 : mf_altclkctrl port map (
inclk => phi1in, outclk => phi1);
mf_altclkctrl_inst_phi2 : mf_altclkctrl port map (
inclk => phi2in, outclk => phi2);
mf_altclkctrl_inst_phi3 : mf_altclkctrl port map (
inclk => phi3in, outclk => phi3);
-- synchronize reset
a_rst1 <= a_reset or rst;
U_SYNC_RESET1: FFD port map (clk, a_rst2, '1', a_rst1, rst);
U_SYNC_RESET2: FFD port map (clk, a_reset, '1', '1', a_rst2);
async_reset <= rst and ic_reset;
U_SYNC_RESET3: FFD port map (clk, rst, '1', async_reset, a_rst3);
U_SYNC_RESET4: FFD port map (clk, rst, '1', a_rst3, cpu_reset);
cpu_i_wait <= inst_wait;
cpu_d_wait <= data_wait and io_wait and sdram_wait;
io_wait <= io_lcd_wait and io_fpu_wait and io_sdc_wait;
not_waiting <= (inst_wait and data_wait and sdram_wait); -- and io_wait);
-- Count=Compare at IRQ7, UART at IRQ6, DMA at IRQ5, extCounter at IRQ4,
-- C=C U D E 0 0 sw1 sw0
-- uart+dma_disk+counter interrupts
irq <= ZERO & uart_irq & dma_irq & counter_irq & ZERO & ZERO;
-- irq <= b"000000"; -- NO interrupt requests
nmi <= NO; -- input port to TB
U_CORE: core
port map (cpu_reset, clk, phi1,phi2,phi3,
cpu_i_aVal, cpu_i_wait, i_addr, cpu_instr,
cpu_d_aVal, cpu_d_wait, d_addr, cpu_data_inp, cpu_data,
wr, cpu_xfer, busFree, nmi, irq, i_busError, d_busError);
U_INST_ADDR_DEC: inst_addr_decode
port map (rst, cpu_i_aVal, i_addr, inst_aVal, i_busError);
U_I_CACHE: i_cache
port map (rst, clk4x, ic_reset,
inst_aVal, inst_wait, i_addr, cpu_instr,
mem_i_sel, rom_rdy, mem_i_addr, datrom, cnt_i_ref,cnt_i_hit);
U_ROM: ROM generic map ("prog.bin")
port map (rst, clk, mem_i_sel,rom_rdy, phi3, mem_i_addr,datrom);
U_DATA_BUS_ERROR_DEC: busError_addr_decode
port map (rst, cpu_d_aVal, d_addr, d_busError);
-- d_busError <= '1'; -- only while testing the SDRAM
U_IO_ADDR_DEC: io_addr_decode
port map (rst, phi0, cpu_d_aVal, d_addr, dev_select_io,
io_print_sel, io_stdout_sel, io_stdin_sel, io_read_sel,
io_write_sel, io_counter_sel, io_fpu_sel, io_uart_sel,
io_sstats_sel, io_7seg_sel, io_keys_sel, io_lcd_sel,
io_sdc_sel, io_dma_sel, not_waiting);
U_DATA_ADDR_DEC: ram_addr_decode
port map (rst, cpu_d_aVal, d_addr,data_aVal, dev_select_ram);
U_SDRAM_ADDR_DEC: sdram_addr_decode
port map (rst, cpu_d_aVal, d_addr,sdram_aVal, dev_select_sdram);
dev_select <= dev_select_io or dev_select_ram; -- or dev_select_sdram;
with dev_select select
cpu_data_inp <= d_cache_d_out when b"0001",
stdin_d_out when b"0100",
read_d_out when b"0101",
counter_d_out when b"0111",
fpu_d_out when b"1000",
uart_d_out when b"1001",
-- sstats_d_out when b"1010",
keybd_d_out when b"1100",
lcd_d_out when b"1101",
sdc_d_out when b"1110",
-- sdram_d_out when b"1110",
dma_d_out when b"1111",
(others => 'X') when others;
U_D_CACHE: d_cache
port map (rst, clk4x,
data_aVal, data_wait, wr,
d_addr, cpu_data, d_cache_d_out, cpu_xfer,
mem_d_sel, ram_rdy, mem_wr,
mem_addr, datram_inp, datram_out, mem_xfer,
cnt_d_ref, cnt_d_rd_hit, cnt_d_wr_hit, cnt_d_flush);
U_BUSFREE_DLY: FFD port map (clk, rst, '1', busFree, busFree_dly);
dma_grant <= busFree_dly and busReq;
ram_xfer <= dma_type when dma_grant = YES else mem_xfer;
ram_addr <= dma_addr when dma_grant = YES else mem_addr;
ram_wr <= dma_wr when dma_grant = YES else mem_wr;
ram_sel <= '0' when dma_grant = YES else mem_d_sel;
ram_inp <= dma_dout when dma_grant = YES else datram_out;
U_RAM: RAM generic map ("data.bin", "dump.data")
port map (rst, clk, ram_sel, ram_rdy, ram_wr, phi3,
ram_addr, ram_inp, datram_inp, ram_xfer, dump_ram);
-- U_RAM: RAM generic map ("data.bin", "dump.data")
-- port map (rst, clk, mem_d_sel, ram_rdy, mem_wr, phi2,
-- mem_addr, datram_out, datram_inp, mem_xfer, dump_ram);
-- busReq <= '0';
U_DISK: DISK
port map (rst,clk, phi1, io_dma_sel, open, wr, -- '1', open,
busFree, busReq, dma_grant,
d_addr(4 downto 2), cpu_data, dma_d_out, dma_irq,
dma_addr, datram_inp, dma_dout, dma_wr, dma_aval, dma_type);
U_SDRAMc: SDRAM_controller port map
(rst, clk, clk2x, sdram_aVal, sdram_wait, wr,
cpu_xfer, d_addr(25 downto 0), hDinp,hDout,
sdcke,sdscs,sdras,sdcas,sdwe,sddqm0,sddqm1,sdba0,sdba1,sdaddr,sddata);
sdcke <= '1';
U_to_stdout: to_stdout
port map (rst,clk, io_stdout_sel, wr, cpu_data);
U_from_stdin: from_stdin
port map (rst,clk, io_stdin_sel, wr, stdin_d_out);
U_read_inp: read_data_file generic map ("input.data")
port map (rst,clk, io_read_sel, wr, d_addr,read_d_out, cpu_xfer);
U_write_out: write_data_file generic map ("output.data")
port map (rst,clk, io_write_sel, wr, d_addr,cpu_data, cpu_xfer, dump_ram);
U_print_data: print_data
port map (rst,clk, io_print_sel, wr, cpu_data);
U_interrupt_counter: do_interrupt -- external counter+interrupt
port map (rst,clk, io_counter_sel, wr, cpu_data,
counter_d_out, counter_irq);
U_to_7seg: to_7seg
port map (rst,clk,io_7seg_sel, wr, cpu_data, disp0, disp1,
led_r, led_g, led_b);
key <= b"000000000000", b"000000000100" after 1 us, b"000000000000" after 2 us, b"001000000000" after 3 us, b"000000000000" after 4 us, b"000001000000" after 5 us, b"000000000000" after 6 us;
sw <= b"0000";
U_read_keys: read_keys
generic map (6) -- debouncing interval, in clock cycles
port map (rst,clk, io_keys_sel, keybd_d_out, key, sw);
U_LCD_display: LCD_display
port map (rst, clk, io_lcd_sel, io_lcd_wait,
wr, d_addr(2), cpu_data, lcd_d_out,
lcd_d, lcd_rs, lcd_rw, lcd_en, lcd_backlight);
U_simple_uart: simple_uart
port map (rst,clk, io_uart_sel, wr, d_addr(3 downto 2),
cpu_data, uart_d_out,
uart_txd, uart_rxd, uart_rts, uart_cts, uart_irq, bit_rt);
-- uncoment next line for loop back, comment out previous line
-- uart_txd, uart_txd, uart_rts, uart_cts, uart_irq, bit_rt);
uart_cts <= uart_rts;
U_uart_remota: remota generic map ("serial.out","serial.inp")
port map (rst, clk, uart_rts, uart_txd, uart_rxd, bit_rt);
U_sdcard: SDcard
port map (rst, clk, io_sdc_sel, io_sdc_wait,
wr, d_addr(3 downto 2), cpu_data, sdc_d_out,
sdc_cs, sdc_clk, sdc_mosi_o, sdc_miso_i, open);
U_FPU: FPU
port map (rst,clk, io_FPU_sel, io_FPU_wait, wr, d_addr(5 downto 2),
cpu_data, fpu_d_out);
-- U_sys_stats: sys_stats -- CPU reads system counters
-- port map (cpu_reset,clk, io_sstats_sel, wr, d_addr, sstats_d_out,
-- cnt_d_ref,cnt_d_rd_hit,cnt_d_wr_hit,cnt_d_flush,
-- cnt_i_ref,cnt_i_hit);
U_clock: process -- simulate external clock
begin
clock_50mhz <= '1';
clk2x <= '1';
wait for CLOCK_PER / 4;
clk2x <= '0';
wait for CLOCK_PER / 4;
clock_50mhz <= '0';
clk2x <= '1';
wait for CLOCK_PER / 4;
clk2x <= '0';
wait for CLOCK_PER / 4;
end process; -- -------------------------------------------------------
-- simulate reset switch bounces
a_reset <= '1', '0' after 5 ns, '1' after 8 ns, '0' after 12 ns, '1' after 14 ns, '0' after 18 ns, '1' after 25 ns;
end architecture TB;
-- ++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- instruction address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity inst_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_i_aVal : in std_logic; -- CPU instr addr valid (act=0)
addr : in reg32; -- CPU address
aVal : out std_logic; -- decoded address in range (act=0)
i_busError : out std_logic); -- decoded address not in range (act=0)
end entity inst_addr_decode;
architecture behavioral of inst_addr_decode is
constant HI_ADDR : integer := HI_SEL_BITS;
constant LO_ADDR : integer := log2_ceil(INST_BASE_ADDR + INST_MEM_SZ);
constant PREFIX : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'0');
signal in_range : boolean;
begin
in_range <= (addr(HI_ADDR downto LO_ADDR) = PREFIX);
aVal <= '0' when ( cpu_i_aVal = '0' and in_range ) else
'1';
i_busError <= '0' when ( cpu_i_aVal = '0' and not(in_range) ) else
'1';
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- RAM address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity ram_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
aVal : out std_logic; -- data address (act=0)
dev_select : out reg4); -- select input to CPU
constant LO_ADDR : integer := log2_ceil(DATA_BASE_ADDR);
constant HI_ADDR : integer := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1');
constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0');
constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1');
constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0');
end entity ram_addr_decode;
architecture behavioral of ram_addr_decode is
-- constant LO_ADDR : natural := log2_ceil(DATA_BASE_ADDR);
-- constant HI_ADDR : natural := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
constant a_hi : std_logic_vector(31 downto HI_ADDR+1) := (others=>'0');
constant a_lo : std_logic_vector(LO_ADDR-1 downto 0) := (others=>'0');
constant a_bits : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'1');
constant a_mask : std_logic_vector := a_hi & a_bits & a_lo;
constant LO_RAM : natural := 0;
constant HI_RAM : natural := log2_ceil(DATA_MEM_SZ-1);
constant r_hi : std_logic_vector(31 downto HI_RAM+1) := (others=>'1');
constant r_lo : std_logic_vector(HI_RAM downto LO_RAM) := (others=>'0');
constant r_mask : std_logic_vector := r_hi & r_lo;
signal in_range : boolean;
constant RAM_ADDR_BOTTOM : natural :=
to_integer(signed(x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)));
constant RAM_ADDR_RANGE : natural :=
(to_integer(signed(x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)))
+
to_integer(signed(x_DATA_MEM_SZ(HI_SEL_BITS downto LO_SEL_BITS))));
constant RAM_ADDR_TOP : natural := RAM_ADDR_BOTTOM + RAM_ADDR_RANGE;
begin
-- in_range <= ( rst = '1'
-- and ((addr and a_mask) = x_DATA_BASE_ADDR)
-- and ((addr and r_mask) = x_DATA_BASE_ADDR) );
-- this works only for small RAMS
-- in_range <= ( addr(HI_SEL_BITS downto LO_SEL_BITS)
-- =
-- x_DATA_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS) );
-- this is ONLY acceptable for simulations;
-- computing these differences is TOO expensive for synthesis
in_range <= ( (to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
>=
RAM_ADDR_BOTTOM)
and
(to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
<
RAM_ADDR_TOP)
);
aVal <= '0' when (cpu_d_aVal = '0' and in_range) else '1';
dev_select <= b"0001" when (cpu_d_aVal = '0' and in_range) else b"0000";
assert TRUE -- cpu_d_aVal = '1'
report LF & "e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" LO_AD " & integer'image(LO_ADDR) &
" HI_AD " & integer'image(HI_ADDR) &
" a_hi " & SLV2STR(a_hi) &
" a_lo " & SLV2STR(a_lo) &
" a_bits " & SLV2STR(a_bits) &
" a_mask " & SLV32HEX(a_mask) & LF &
" LO_RAM " & integer'image(LO_RAM) &
" HI_RAM " & integer'image(HI_RAM) &
" r_hi " & SLV2STR(r_hi) &
" r_lo " & SLV2STR(r_lo) &
" r_mask " & SLV32HEX(r_mask)
severity NOTE;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- busError address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity busError_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
d_busError : out std_logic); -- decoded address not in range (act=0)
end entity busError_addr_decode;
architecture behavioral of busError_addr_decode is
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
-- I/O constants
constant IO_RANGE : integer := IO_ADDR_RANGE * IO_MAX_NUM_DEVS;
constant LO_DEV : natural := 0;
constant HI_DEV : natural := log2_ceil(IO_RANGE);
constant x_hi : std_logic_vector(31 downto HI_DEV) := (others=>'1');
constant x_lo : std_logic_vector(HI_DEV-1 downto 0) := (others=>'0');
constant x_mask : std_logic_vector := x_hi & x_lo; -- 1..10..0
-- RAM constants
constant LO_ADDR : natural := log2_ceil(DATA_BASE_ADDR);
constant HI_ADDR : natural := log2_ceil(DATA_BASE_ADDR + DATA_MEM_SZ - 1);
constant a_hi : std_logic_vector(31 downto HI_ADDR+1) := (others=>'0');
constant a_lo : std_logic_vector(LO_ADDR-1 downto 0) := (others=>'0');
constant a_bits : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'1');
constant a_mask : std_logic_vector := a_hi & a_bits & a_lo; -- 0..0110..0
constant LO_RAM : natural := 0;
constant HI_RAM : natural := log2_ceil(DATA_MEM_SZ-1);
constant r_hi : std_logic_vector(31 downto HI_RAM) := (others=>'1');
constant r_lo : std_logic_vector(HI_RAM-1 downto LO_RAM) := (others=>'0');
constant r_mask : std_logic_vector := r_hi & r_lo; -- 1..10..0
signal in_range, io_in_range : boolean;
begin
in_range <= ( rst = '1' and
((addr and a_mask) = x_DATA_BASE_ADDR) and
((addr and r_mask) = x_DATA_BASE_ADDR) );
io_in_range <= ( (addr and x_mask) = x_IO_BASE_ADDR );
d_busError <= '0' when ( (cpu_d_aVal = '0') and
(not(in_range) and not(io_in_range)) ) else '1';
assert TRUE -- cpu_d_aVal = '1'
report LF & LF &
" e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(HI_ADDR downto 0)) & LF &
" LO_AD " & integer'image(LO_ADDR) &
" HI_AD " & integer'image(HI_ADDR) &
" a_hi " & SLV2STR(a_hi) &
" a_lo " & SLV2STR(a_lo) &
" a_bits " & SLV2STR(a_bits) &
" a_mask " & SLV32HEX(a_mask) & LF &
" LO_RAM " & integer'image(LO_RAM) &
" HI_RAM " & integer'image(HI_RAM) &
" r_hi " & SLV2STR(r_hi) &
" r_lo " & SLV2STR(r_lo) &
" r_mask " & SLV32HEX(r_mask) & LF
severity NOTE;
assert TRUE -- cpu_d_aVal = '1' and io_busError
report LF &
" e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" x_hi " & SLV2STR(x_hi) &
" x_lo " & SLV2STR(x_lo) &
" x_mask " & SLV32HEX(x_mask) & LF &
" LO_DEV " & integer'image(LO_DEV) &
" HI_DEV " & integer'image(HI_DEV)
severity NOTE;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- I/O address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity io_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
clk : in std_logic; -- clk sparates back-to-back refs
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
dev_select : out reg4; -- select input to CPU
print_sel : out std_logic; -- std_out (integer) (act=0)
stdout_sel : out std_logic; -- std_out (character) (act=0)
stdin_sel : out std_logic; -- std_inp (character) (act=0)
read_sel : out std_logic; -- file read (act=0)
write_sel : out std_logic; -- file write (act=0)
counter_sel : out std_logic; -- interrupt counter (act=0)
FPU_sel : out std_logic; -- floating point unit (act=0)
UART_sel : out std_logic; -- floating point unit (act=0)
SSTATS_sel : out std_logic; -- system statistics (act=0)
dsp7seg_sel : out std_logic; -- 7 segments display (act=0)
keybd_sel : out std_logic; -- telephone keyboard (act=0)
lcd_sel : out std_logic; -- LCD 2x16 char display (act=0)
sdc_sel : out std_logic; -- SDcard reader/writer (act=0)
dma_sel : out std_logic; -- DMA/disk controller (act=0)
not_waiting : in std_logic); -- no other device is waiting
end entity io_addr_decode;
architecture behavioral of io_addr_decode is
constant LO_SEL_ADDR : integer := log2_ceil(IO_ADDR_RANGE);
constant HI_SEL_ADDR : integer := LO_SEL_ADDR + log2_ceil(IO_ADDR_BITS);
constant IO_RANGE : integer := IO_ADDR_RANGE * IO_MAX_NUM_DEVS;
constant LO_ADDR : integer := log2_ceil(IO_BASE_ADDR);
constant HI_ADDR : integer := log2_ceil(IO_BASE_ADDR + IO_RANGE - 1);
constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1');
constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0');
constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1');
constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0');
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
-- I/O constants
constant LO_DEV : natural := 0;
constant HI_DEV : natural := log2_ceil(IO_RANGE-1);
constant x_hi : std_logic_vector(31 downto HI_DEV) := (others=>'1');
constant x_lo : std_logic_vector(HI_DEV-1 downto 0) := (others=>'0');
constant x_mask : std_logic_vector := x_hi & x_lo; -- 1..10..0
signal in_range : boolean;
signal aVal : std_logic;
signal dev : integer; -- DEBUGGING only
begin
-- in_range <= ((addr and x_mask) = x_IO_BASE_ADDR);
in_range <= ((addr(HI_ADDR downto LO_ADDR) and in_r) /= ng_r) and
((addr(HI_SEL_BITS downto HI_ADDR+1) and oth) = ng_o);
dev <= to_integer(signed(addr(IO_ADDR_BITS downto LO_SEL_ADDR)));
aVal <= '0' when ( cpu_d_aVal = '0' and not_waiting = '1' and
in_range ) else '1';
U_decode: process(clk, aVal, addr, dev)
variable dev_sel : reg4;
constant is_noise : integer := 0;
constant is_print : integer := 2;
constant is_stdout : integer := 3;
constant is_stdin : integer := 4;
constant is_read : integer := 5;
constant is_write : integer := 6;
constant is_count : integer := 7;
constant is_FPU : integer := 8;
constant is_UART : integer := 9;
constant is_SSTATS : integer := 10;
constant is_dsp7seg : integer := 11;
constant is_keybd : integer := 12;
constant is_lcd : integer := 13;
constant is_sdc : integer := 14;
constant is_dma : integer := 15;
begin
print_sel <= '1';
stdout_sel <= '1';
stdin_sel <= '1';
read_sel <= '1';
write_sel <= '1';
counter_sel <= '1';
FPU_sel <= '1';
UART_sel <= '1';
SSTATS_sel <= '1';
dsp7seg_sel <= '1';
keybd_sel <= '1';
lcd_sel <= '1';
sdc_sel <= '1';
dma_sel <= '1';
case dev is -- to_integer(signed(addr(HI_ADDR downto LO_ADDR))) is
when 0 => dev_sel := std_logic_vector(to_signed(is_print, 4));
print_sel <= aVal or clk;
when 1 => dev_sel := std_logic_vector(to_signed(is_stdout, 4));
stdout_sel <= aVal or clk;
when 2 => dev_sel := std_logic_vector(to_signed(is_stdin, 4));
stdin_sel <= aVal or clk;
when 3 => dev_sel := std_logic_vector(to_signed(is_read, 4));
read_sel <= aVal or clk;
when 4 => dev_sel := std_logic_vector(to_signed(is_write, 4));
write_sel <= aVal or clk;
when 5 => dev_sel := std_logic_vector(to_signed(is_count, 4));
counter_sel <= aVal or clk;
when 6 => dev_sel := std_logic_vector(to_signed(is_FPU, 4));
FPU_sel <= aVal;
when 7 => dev_sel := std_logic_vector(to_signed(is_UART, 4));
UART_sel <= aVal;
when 8 => dev_sel := std_logic_vector(to_signed(is_SSTATS, 4));
SSTATS_sel <= aVal;
when 9 => dev_sel := std_logic_vector(to_signed(is_dsp7seg, 4));
dsp7seg_sel <= aVal;
when 10 => dev_sel := std_logic_vector(to_signed(is_keybd, 4));
keybd_sel <= aVal;
when 11 => dev_sel := std_logic_vector(to_signed(is_lcd, 4));
lcd_sel <= aVal;
when 12 => dev_sel := std_logic_vector(to_signed(is_sdc, 4));
sdc_sel <= aVal;
when 13 => dev_sel := std_logic_vector(to_signed(is_dma, 4));
dma_sel <= aVal or clk;
when others => dev_sel := std_logic_vector(to_signed(is_noise, 4));
end case;
assert TRUE report "IO_addr "& SLV32HEX(addr); -- DEBUG
if aVal = '0' then
dev_select <= dev_sel;
else
dev_select <= std_logic_vector(to_signed(is_noise, 4));
end if;
end process U_decode;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- SDRAM address decoding
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.numeric_std.all;
use work.p_wires.all;
use work.p_memory.all;
entity sdram_addr_decode is -- CPU side triggers access
port (rst : in std_logic;
cpu_d_aVal : in std_logic; -- CPU data addr valid (active=0)
addr : in reg32; -- CPU address
aVal : out std_logic; -- data address (act=0)
dev_select : out reg4); -- select input to CPU
constant LO_ADDR : integer := log2_ceil(SDRAM_BASE_ADDR);
constant HI_ADDR : integer := log2_ceil(SDRAM_BASE_ADDR + SDRAM_MEM_SZ - 1);
constant in_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '1');
constant ng_r : std_logic_vector(HI_ADDR downto LO_ADDR) := (others => '0');
constant oth : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'1');
constant ng_o : std_logic_vector(HI_SEL_BITS downto HI_ADDR+1):=(others=>'0');
end entity sdram_addr_decode;
architecture behavioral of sdram_addr_decode is
constant all_0 : std_logic_vector(31 downto 0) := (others=>'0');
constant a_hi : std_logic_vector(31 downto HI_ADDR+1) := (others=>'0');
constant a_lo : std_logic_vector(LO_ADDR-1 downto 0) := (others=>'0');
constant a_bits : std_logic_vector(HI_ADDR downto LO_ADDR) := (others=>'1');
constant a_mask : std_logic_vector := a_hi & a_bits & a_lo;
constant LO_RAM : natural := 0;
constant HI_RAM : natural := log2_ceil(SDRAM_MEM_SZ-1);
constant r_hi : std_logic_vector(31 downto HI_RAM+1) := (others=>'1');
constant r_lo : std_logic_vector(HI_RAM downto LO_RAM) := (others=>'0');
constant r_mask : std_logic_vector := r_hi & r_lo;
signal in_range : boolean;
constant SDRAM_ADDR_BOTTOM : natural :=
to_integer(signed(x_SDRAM_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)));
constant SDRAM_ADDR_RANGE : natural :=
(to_integer(signed(x_SDRAM_BASE_ADDR(HI_SEL_BITS downto LO_SEL_BITS)))
+
to_integer(signed(x_SDRAM_MEM_SZ(HI_SEL_BITS downto LO_SEL_BITS))));
constant SDRAM_ADDR_TOP : natural := SDRAM_ADDR_BOTTOM + SDRAM_ADDR_RANGE;
begin
-- this is ONLY acceptable for simulations;
-- computing these differences is TOO expensive for synthesis
in_range <= ( (to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
>=
SDRAM_ADDR_BOTTOM)
and
(to_integer(signed(addr(HI_SEL_BITS downto LO_SEL_BITS)))
<
SDRAM_ADDR_TOP)
);
aVal <= '0' when (cpu_d_aVal = '0' and in_range) else '1';
dev_select <= b"1110" when (cpu_d_aVal = '0' and in_range) else b"0000";
assert TRUE -- cpu_d_aVal = '1'
report "e " & SLV32HEX(addr) &
" addr " & SLV2str(addr(15 downto 0)) & LF &
" LO_AD " & integer'image(LO_ADDR) &
" HI_AD " & integer'image(HI_ADDR) &
" a_hi " & SLV2STR(a_hi) &
" a_lo " & SLV2STR(a_lo) &
" a_bits " & SLV2STR(a_bits) &
" a_mask " & SLV32HEX(a_mask) & LF &
" LO_RAM " & integer'image(LO_RAM) &
" HI_RAM " & integer'image(HI_RAM) &
" r_hi " & SLV2STR(r_hi) &
" r_lo " & SLV2STR(r_lo) &
" r_mask " & SLV32HEX(r_mask)
severity NOTE;
end architecture behavioral;
--++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
use work.all;
configuration CFG_TB of TB_CMIPS is
for TB
end for;
end configuration CFG_TB;
-- +++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++++
| gpl-3.0 | ed61966159616355a9d79a31c30619d5 | 0.5358 | 3.273129 | false | false | false | false |
jc38x/X38-02FO16 | benchmarks/VHDL_Generado_desde_C++/inputs-4bits_outputs5bits/6-FIR2/metaheurísticas/fir2_nsga2.vhd | 1 | 3,331 | -- IT Tijuana, NetList-FPGA-Optimizer 0.01 (printed on 2016-05-26.14:51:31)
LIBRARY IEEE;
USE IEEE.STD_LOGIC_1164.all;
USE IEEE.NUMERIC_STD.all;
ENTITY fir2_nsga2_entity IS
PORT (
reset, clk: IN std_logic;
input1, input2, input3, input4, input5, input6, input7, input8, input9, input10, input11, input12, input13, input14, input15, input16: IN unsigned(0 TO 3);
output1: OUT unsigned(0 TO 4));
END fir2_nsga2_entity;
ARCHITECTURE fir2_nsga2_description OF fir2_nsga2_entity IS
SIGNAL current_state : unsigned(0 TO 7) := "00000000";
SHARED VARIABLE register1: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register2: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register3: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register4: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register5: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register6: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register7: unsigned(0 TO 4) := "00000";
SHARED VARIABLE register8: unsigned(0 TO 4) := "00000";
BEGIN
moore_machine: PROCESS(clk, reset)
BEGIN
IF reset = '0' THEN
current_state <= "00000000";
ELSIF clk = '1' AND clk'event THEN
IF current_state < 4 THEN
current_state <= current_state + 1;
END IF;
END IF;
END PROCESS moore_machine;
operations: PROCESS(current_state)
BEGIN
CASE current_state IS
WHEN "00000001" =>
register1 := not input1 or input1;
register2 := not input2 or input2;
WHEN "00000010" =>
register1 := register2 + register1;
register2 := not input3 or input3;
WHEN "00000011" =>
register1 := register1 * 5;
register3 := not input4 or input4;
WHEN "00000100" =>
register2 := register2 + register3;
register3 := not input5 or input5;
register4 := not input6 or input6;
register5 := not input7 or input7;
WHEN "00000101" =>
register6 := not input8 or input8;
register3 := register5 + register3;
WHEN "00000110" =>
register4 := register6 + register4;
register5 := not input9 or input9;
register6 := not input10 or input10;
register7 := not input11 or input11;
register2 := register2 * 15;
WHEN "00000111" =>
register5 := register7 + register5;
register3 := register3 * 17;
WHEN "00001000" =>
register5 := register5 * 19;
register7 := not input12 or input12;
register8 := not input13 or input13;
register2 := register3 + register2;
WHEN "00001001" =>
register3 := register6 + register7;
register1 := register1 + register2;
register2 := not input14 or input14;
register4 := register4 * 24;
register6 := not input15 or input15;
WHEN "00001010" =>
register6 := register6 + register8;
register7 := not input16 or input16;
WHEN "00001011" =>
register2 := register2 + register7;
register3 := register3 * 28;
register6 := register6 * 30;
register1 := register5 + register1;
WHEN "00001100" =>
register2 := register2 * 32;
register1 := register6 + register1;
WHEN "00001101" =>
register1 := register3 + register1;
WHEN "00001110" =>
register1 := register2 + register1;
WHEN "00001111" =>
register1 := register4 + register1;
WHEN "00010000" =>
output1 <= to_unsigned(2 ** to_integer(register1), 4);
WHEN OTHERS =>
NULL;
END CASE;
END PROCESS operations;
END fir2_nsga2_description; | gpl-3.0 | 8e2d5ff6023bbbe6209a8e17012eb5d9 | 0.668568 | 3.178435 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/msr_reg_gti.vhd | 1 | 32,545 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
L6fikYUD3oChE9TVRE/Z05J1PqmMbZ89tSUJ1kMYaMFzav/aextB9Zkg8osE58yijxy4EQztVyqv
Z16mSDT99g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
ZN1Zezw9hN+8xPx9QZ0tfSRAziEiZaQxd1WtsJgjofT8/KjJMwGPSfAPARA6CcxS3nCsqNVJZu9+
5CM9CDmqcxfSMK0AthEvHefymGbg2+RsRyaRI47g+HJPo18MNKMwIphhrnpgq+zEX08Uc3qghZvB
gPOt+kF39w2LWU4FyPQ=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Ff/xkDSkcyIyKRDcnqUXqYAmlk32Idf1LbHr4wPN+j4kHnNQ8S79z5vAvDOQu5x3PkPGessOghaE
/9MhfdRiJr6sxeuOs2B749eK3pUTQk3D6SiB3CpHifA8ZAkiawIkh4TH5zQJrLZpj+Y2isXUwfla
p16WSp0hAtHwxnxU4YjPmV5o5NCTkthcnQnTJI202xQkltwbH52aJCx52BWu+gMDpyObTQWZCukz
B6L2RMTj9KYGlB82fmHyywMxmfzaUvFMHxVTOCyhjCSVU6eCSZBS5T4Y3f0/sf/rBhAfAL8tuB93
WFm8mfvu1oYBpKu1QVaLTELHZwp+zGkEzkiipQ==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
iejO3FCqf4Pb+B7bdkbPwnigjaah4KhxvQoqVAQYcoNuqjnAYPCnhU1B0y4bfvWoF0MXbRdTFcpe
TRdXNHzWQ9DgE59ond9xou9hkAZYU9GZQbPn0jkGQC5c/C6gaw0gjvKDaC8lBW/0wS7SZKRH2ECY
JLU2ZLzSGY+XaWBzm5c=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
fv50vMUxdWviP+cQsVKeFHSQrS2sCQ5dHZG3PkVI3A/Yb3SMyY2B13JCXsXC8XXaLhN8QK99lQ43
97djtELqO9quqhu/TlVYVlnwY3vOZ8aJNIXCMxgSz0GE04Rj0p6NRPd0d2bQGXHyZCwK/ZSO7SeD
nLjR8PMAglfGDEzgOs8aDypLyZpOpQpAQeL++nIBUyStS9ovBQ+dKku4xjrk+8ipKuNa138YxOHU
y+uV7ad04nT29aBezwG8jOAkwEZyDVG3thf9C6jzTApM6WP1mw+G02xFtyx43WHX5qR5DgSaC+Su
WJK2IyUoPTlk/bKWn4ITKEYgOUH3beCd0ojLnA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 22352)
`protect data_block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`protect end_protected
| apache-2.0 | 42b87f483e2060dae3170757f5f7fb9c | 0.945552 | 1.82909 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/carry_compare_mask.vhd | 1 | 11,644 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
PmuUqEjNWrAIL5a3p032m5s2W/9uK3XEfQDf17pG8TG/MQbJdkq7XnZZlModmj98NYF5Vr5tZfxI
oSnbVcZDlA==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
FrzhHp6RM2ApoDz4LfX5T8gxxRTsgCigzbKJOQnwo8Sj76r9xzXo6n08Q0QggcjslKHd6+vGhIp0
e0Zmam8UybMaDpLgzF2kHLOG8tJYz9r7n9p8RPypoYQeTtd8gFmjAZKch3vLswI74/asxp08sf1n
XmumVOAqXDkLVog4XVk=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
DSiPX4mFxsiWCRS3PoZa1TtJa/BuJKzqneqnaP68ta1YLf1ypsS1UFHzrYno3FE0+nVsLERHFKk4
kNy/+bv6W+iqXyxTBRr04SJAXt9YEWafKCe/hVgOa5QnfZuH98XZHsiMc+4xGDn1y2v54zCrPyPr
UncM1zvp46ZAEbhRFgyt3tBiem1zZyPP57nsXFyTp1r8jwDG6/QgIFHldI/s7z5JTSlNC5M5h2f5
S0D+DE/PrxdmPiwHQAYB9z4a2sqeqnW6+u2BoVBh5z605Q8MRC+xoELJWXZZsKXun4HL772AIULw
HF8SXpKp6msyiHCrLnBddarSzrqhYffd/9fW6A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
PN2n/8d0Nl6WrOgAs+5rkEX3dLOWf8xvO0qiG1BSNB5vTGFU8pRNHX5ADUb72tvNkGRhlKnnXgoC
WVIFcrcCEZEgijimCCC93acYThZe8RQqLqADjL5MX0usvMcOb9PWLQfqpc0YsG6HvRKj+znPXm4W
/pLYUJUJZjAYCPfKljg=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
Zj86JqZXCPLD7duGowXOC9dPUliaLkv7XT5kXXGsueU6XqMsZfFxJGqlWvK1MaJdOvR+cr4ebIUO
5qXRr3RPm5LFv/onmewZfeTTPVoJAA0tP9wHzZNYv5Y1hN4llEIbLkH45FWjR3uIh9Jh/u5/owmP
7iF0ebbImaecLtet1Hg70da9YymOnj5EzttB4+o++JLLXzD1fVG6JRadLPaX37FH58z9H4M60PC/
960dVgYxKsXtQnwP0efTJfRsTczeRfgQw7bY2tb2N9nM8N4u2xyle3lYYuZRPuQZnilObEgTf5zh
pbbN/GxrJcKFi3dCxS9d+roG7EZw2mgBj1HhaA==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 6880)
`protect data_block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`protect end_protected
| apache-2.0 | 717fa3d64aae2cb2e3cc6d8bff035d22 | 0.927173 | 1.881402 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/comparator.vhd | 1 | 12,832 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
Dnr8CRGjYMxzSCGb0R1pXYSOMwQE9kqStQHrnYWE6BwHsLHNUOWm+pjYwRngRb2QUaPOQnV45lbE
Z42dnJDH0w==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
SZXF4FwjvTJHZZgyu5OL6X0Gfldp4S2vGtfkGKwgIJ7ZkCkXC0Y0I2BV9Dwyte9Oo60wgcK0YsUQ
d0mHYkJIobdn4NAYeU5LI2nbfZN0xlrySr3nwLXFNI2WzwVQGuBsn0dZL08XSL3RP543bX7PibQ2
WT0w3fFV2aKfgqKvZ10=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
FUvaVJxEncz47X0GjprJm9Ki1loHsFspiLL5oSvMNfz5vF1Nm7uAa9IlI3eO9bhKNoqCpqAyCiwI
M84RKAv9edFiLjC0kD1iiDLs8O6YC74d/I1wjUHppR7VlEBFvCbkmJ7d2i5o7K5vagged6Zvibuy
G//dxmeNx7bi1xxMMPhs/MM7+giaMzjopymJs4fUkCw+aqCy2C+OF69ILPXfA5vNHWgYoEIqvyFL
+GwDa1etAiKyUxqUrHaT1d44GPjQS1qkuDXlPJ8tfd6miCX0RY7P+jdfuYfQuX+TBSWSsRfzElQV
/lXKdDhhDCuNvCsxDBPt7YEsJepMtAwL8jPmMw==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
Fo9u/WV63RwG21DZvmi5ior8cKhUo7xq5GT0buTO37dxlGC/dXzWszAmH2m8ogIrtWzA7sYHKhPy
3PnHpL8tGRsrTBiW0L+k+QSUD5XGyoHOWQoDWN420fgOhKuTgvDEr1wuntdlYsUOXRiARyw0/O7C
2XnYjmPXqTOLhkGxnjc=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
VJZsfqUMocctpfsvAgQrQkhNsFUSUWCBnimqk2XCEhEu+j3HW1UM/t/vqRs3zGavlAsA328vASfN
e3KhdIGRBQ3oSdLJE51Ja58Xq03KV12xlfpePjXDzQHfD0QdwCOrjftANv0VNxyblHBk3tKTCVIK
HOuGSk/i+QJEMuWulOzgwORAb7AK5BxrvqIhSpfUX+BucypioefFDB90aZNgG8pOrE6UIiFgsKKh
5Fn9f17qY6PnSm4Stwd7ZPqcz3LYxO4JHOz8X/TRq5i2FbdQze+3VBOVnwlGvLukg0gltO6qMSK0
KfUzZl2LGgr/Apyggve/cVU6IJkJEqLdu12iuw==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 7760)
`protect data_block
7fHAGLvgRJlJtiMiL+OnR/j9LmprRUzTEoUPmdqhdgbo2jVw6nLOSy+xm710+i0xQCetJNVo9JM3
A6BYzBd7N7nAa7XmG98hXasSLT6okUbQncdeY9eoHCDcJwVIHIjL97W2SNp0K2A9DbFamSopiPRW
2k6sFH7oissDOyB0hh4jS1iFw0Jq9ZXEq1eMa0U3S1o1CrcrpgBMGN6zhMzpN8Ug9fBATe56qxvi
hGxVBBi7xcOnIJQhpkQiLFHocksKqpPxpviVMhODBkeH34sjtfbwKXYffzZS2AeZ+USfICXqqolQ
e7RWgN1xdxFXh8yojcETtEEXm9bozQ0ZQ2ibOghlnYE8jK/Ur6yxRFZbvxr75/825LRSYOpuSbX4
eH9uCCq53Zkg5a33/rexwCkaFgQPHfYzc12OaRjTmi0jYFEf/PCa6TQVJXILo1RrpROA3vn+I7Tg
7SdpGWJiWkYFPl/ANqkBak5HGgxDkzwMry7o2ERE+OFoxPZUg75JjmxKSc4xpPcuS/d0FKZZE3Qy
Sle6XhBBtElWeYHEV/YR62ScATC76H/XY4bBZjr9+Jij0tAp+rDtyP7qatrEl53j+cpeMjHFH+qu
1Zmb249NiKAUSbNKQptzyDtzIkECIgATqmeZmgpX2gHC0Fg/Mt9e71O13ZoaxusxHMnDeyFoWjkE
JYH2/iBO842ssy/xO7XGnjO6tBzN2jCs8qAN9ihPnEZvFLfOtLGz9oau2goXdcpk4AK4vpkZLwfG
fTBs1fCASkOdAVza0PZ5mUJ6xttgXLZE7MHh6DJr+yFZqoX4RExbPJgJ4Oo8RPkumUv5w6Hyz3pK
4nvq2pxNqR0IhbHBQ0hXKVeM/qqjhln1nx1Wy0dRLx5JJw6A0sEEHuMM7Q1X7n8TENV4XrENSq2s
OMFI2Hck/LAW9wzZdLjTtXSBRN0ofN4bHI9v5b+UT7dom2z/k+DslZDAMbzvuwy73nqqQW1RV8eT
tBtSiyJ8rxXvX2PeMqPab8dzYDH0Cur0aUS5kqBTt9Y4ZDmJMOFeSo5G70BpXw2LXH1TOaXf62fW
sj7pTtZ3D6npX4fWJRfc+tnJbImHzE7nQwqQjCfbw+jUtSlnSXO8dGKFU2dwGqw/lNblzoFPm4Nn
o5myod/rGnSuujj27NS07mgGA4hui5OBlrtcO2bfIqN7zI1P5WQFD/01TWxEktw4dPVDL4M38XJE
IwCIqkJEMoUoMBzRAzIGDAR4pmuoV5G/JJQS8Ckp05GvYVxUIP5LK7dwFk44zy3z9pwEi3FMBWme
NXyrXYs4M4P4KDvSOlBLwmL11R83mDDisEEbeoee/IQjKlt6bEBAvYcbaQmgAPp/4Oo616h2XgaI
RxCkmLnGucmcFU4vscwZh02KYFxtvUYaF5frxL8ND6esZ7Xa1+7fhdXQbXVRMAJ0SqSMa/JpIuMi
zTIIFYq5oBm100VVjp2LoITFgi55aNztHgIxv8B/rteunnhvtcKnVGPQsupvowwbzRQYQ9WIUbB1
mmRFKakwMf92xrQpoJU3/hZteZ6lfXg8J5YOzyAvSZ3DBsERBekyl65I+G0BGcrcPSczZn4F6ggG
Ml59voYp1kLtxmzkoR8NvxlQlsfpTv/jDa966v2DxHQYKh9xRC6bUJ511wvR9aPlPSuxx81CKiZU
G9Zcr2mwe6zolaDgZTYNBavuHLQsBdBXJHzTIYgu6aAMLVUy9eek306gEtp1+DgL0T60vi4HCzJd
TPNZHzQAU95QaTt+oWJtAC59cZ5k9Ccr589/8ubxNB9CnqrKetFE3JziCLDx29mxG62+V7X6pihG
pCc8q0bR9ySWZX8dbL3nycNwrNg/FnPrgjRgdDIU/93XKFSQ0O+vDz7WWdZdqbfbztW7Teo+h9Nw
I293Hlj1vT3aReUcx6XM0P8zTotEsMli0sHdpfdczZZeZjTsAIJqYavCL+gn4aXPdOujYmO7VnaL
72ky9xGPqubaZXzUp3JQCeYInL5msF1oK+xQ3CM8HVMYcK+q2O39fHpy0Lz4/QfTWz0iPU7Xqut8
8YDxBYEL32U6e6YcvLYMSWzSPyW+VrbTSiqsovBXEmcfpN7pOAjLjaoTuGygvtnlpEXaoZ1XTtH5
Gi/sXl5mZOZgcq1iRmneQaCYGQ6Ou66DhlU22nlf4Fch7jZgz4q3kO8a4va7s92v5ql7jqIYl+xh
pmPuf0qnxitpuR81M+s9gFI3s7eooU98K4MyuknH2fjlhW7Gy1b3BLi8n+n186OnmfnkvYhUjNU/
S3eFBMYRovK2RapCoyQxSbNBVEvvOLoahd0Z5wCKTOzb1V4tjxjI3RRirxZGehlmPDQlaWmRi4OJ
a7bS9mVqTxhDb1OxS9iAlSgmbh0R1WnoXRQ3hw/JgC7SFpMpOpeVsmqBD2afz/XHQjRTStD6qRKE
Q8J1SNVaFKobELZdVnptheiaD/Z/nmgdpVMAXFxDrUwsjArw+ma3xq0qgp4FGkYhD/GbwfPtL/lM
oo2f+ogxLx7l1+9uInasOrCSfvitfIwyFjRTRvJyAU5v3t/a3rEGfa7HRUfPdkTgg39w0Na76NZf
GZU9mIN+25yNtIrfuaEYmnxvs5zZD+CyEA/u3DLS+R464sO4GoJYMAjxm+EhImBg0VPeTWan5B91
rkgjFOf1bM6QdBy+Gw+TjXnSDcx7VW85h0q3Eiu8StjVXXR3H5tP7+WvHMCYR6+Y+iUOsGXK0157
tGVP7v196fHm/dttdD1TE0JgL1bMciKb6pE+Fy78o+d/9+KZc3hixFIWuZMM6uPO5upc6F0/urnC
k2n6r6CAGW+oUxg3tzfAH6zO6+fChPktRIBN8GNv5gACC9CRO9MipAwd0v3WbHliEgnYenkIYfHk
02HdUGK8fvazk+k90Z14/SqLyQ9rt378PSyt/SIrs8SImS2h0RsZom5Q2NExNTJkvnBKN8ZZkW4c
CJXRYWdvCeAERQFH78bj4YiTZYkMTxQU0Cr2Jm63W4/+0of7WIS2w0gr5azyCStKe+4WZs5IHHoM
I4b9dpjACJx/qRdZ5sU5GVP1eV0otqlulDqHC7KCyvaPJzyjtpWpk5qNZ63RdR7CrZprgWK8eJbL
pXVHDNCYJHScikAhMfJ48YwiJVESu8jcZ/xX3KpS5JNMzzsHsI0UFY30LUbb7g5jhHCcYtMOr4a/
sM4kuXLnT7kK1PnOdSG7tdU+pU1MWptAakuSv4vTzKtsVAb/M6jzYEo2/QpuV0VYHJ2007Zt6z4Y
raiaKzXhTRfvHxhPcSVOvX+AkgzPDrNs29Oz0/zjvuFtxbAlWOW+QTlu3wJpvSRcFJOD8SfXgs85
Z2WzaYRJI3CMqqsNfjbbvAQG/oYilP9/13YG+f8mlobUJgsXGJ7ghw9HFkeZOCtibGNQ0uLheh4J
SvKKpGnwYo7mD5926cRMgAyPUbUsg7+yxjkqUtN6xsg0YY54WUaAUPCiizJ0ILngfkxbcAGNCgfv
Iox5FD9i+gGwGditf+ltdjUM6e8hf/UlQs/BlFOAhMVikEAQM95drIMFKokk/QAqQBGReuj1223I
5qQDJYtWYpclKlzYxmr1f3WqMnS/sy/1MvzQkoJBvWeOXkSkfhyLysGP/SD9JTplaC7UlAyNhKl8
X7kwXGwrCIZL4etiaF0Qc9C4Jepvse08qCx9kce21tFr3XmJiFF8hJAoR4J3o+f1+j+ARwFVgo7y
XF+cTItDFOnCYfN3TLOWiGRn6bO4Zi1ybU15/Zj43gVx7/ZL/Sb246mSX4YsL5bmTW0jDV9JWsMU
KIztF8x4dQZfyWi25+UYIXiZfcDbhWPR8eu7F3ZntMyAaAZMSimg8l+EcCG5izINhynDirtjD1QY
d3pd6wGG9BYPA8rmOt88n4slMOkzHUTr4vpvrmjum8QTg5bcYqiA33GZWdhGzx84Wn6SHL6OVYXx
JQjP1lck6UBNuxd5fQKrKDV2ygbz4mDNMgiqw14dyvtM1wBmZr3Row2ymp2CRQ/2ReRb4xKWedH1
7UGKERPXU36V0aR7Ygz0NYQFhRB15o4EUfgeksh9EpGo5YBGccRCMtrvY1EyiyhIdm5OYjbB9NfC
XOgdtnGTD/hZmo7OJ1izjZhQBZFZ7h46La9dnCf3hIiRXpalYTT+q8p7ShR7+nuwBAcGlNnbpso5
PlsI0+rG8vfGMRMzUDYGZCWCaTx36AS3fCSZsAT4B5LzCFb6s+/hZPloo/TmFmtZdq3NTHVhXdSs
nWWk2ok486qwEMFBcqDCx4YnTGlrdj6OI25w8aSIPU2PR0LeDAlVW8uwOcGou9yyMUwiUxjjzxuP
bWOhjUvCHt+7ZDERfpIT0cM71GFm+gYeVhTkhoXyFZJt+XxgL7Neysg16OzOQ2BMaxRVFtasgLBq
ThKvEE/jpvPF+YEwbcr9JePrwIY2MFvc0rpE3imTJiwQjYlleN6d+pvfyASotiYlTnQbKw22Rkag
ntgdkoKJxzi6eDnyYlMy4schbZqSY0bQcsY3pLmAikFpruHj5pUrBNC6Jk0d+3537tSWOI18yexo
AO7hf8v4ANDgkiC/WHc0ylgTvaKKZqzOG4yPhgc0gP2bXKFDBhNyVgHXUq7K/m5t1IxCv9gsyzgV
8QppyZE4ZgLXGPLp4DYXlaIc/44N96xs4Ook1nut61cFoQf7OzsKyjRgiWjAC1XKzML//8yPppRb
it8Rmwna0O6Pv1BHcseZL2FBQZLNhm5AdHfuFvqLPO+P6a8hntEQSnEqzpe3QxS5Xdn3S870o/on
yWYrtVoP1Di4EfR+Q3qJaUTso4u51heG0wcOTv9dOekq8twVvOtcwOJ2Fra56KXUKRpgMQKmuCSA
fHPDuW0TjfwroM+BglUNd9xKImaExLhzXk3lLbrS4jeew5UqxLxDf4gN8WX/lBP03JhcIf85BIG6
IboLDsrDtVPT4pcrjNLTvls4vXFTFkTZuZQ5OqdSHr6zZNEjmfTOtoPUJWWyef1owxKBjZUb0nZH
UuIrzTr1eBhUV2v/Cl+kdpEEG/E4Ra8Mmpsrff4SL3TpLawcXZwTXwdi3apyfav3V8s4eUCChRlg
c1L5itn1nqUQ/eQjU6EfI7AQZ0N452A1RtfJQzKePTdHYi1lXN9PEBRfBgLJr1XtnvpIjLLb/7NF
xLN5Iqk0iOSrvDz/y/R4LR40bgXNa9f0jXHIkR3dyV3quCcmlPZwZ+6NvTllq0wyImRYeGkY8pKk
/iQ8MwqRAEe5QHHRtJ4U1AcQZXj/s7nL2sJjPCdmfgodRE4g5Qh+KASG8Zwg8eBBXovy1t4ojZ6y
eZIZFr1tg78qjbLMCT6H3TmISUynbtV0Sobgb1AccVQnsT6B1cXGsTVMmjeDSgn8bqKKGDjLhdCF
N4yxkbhyjH1kAW/tGsQyaf3tPQjnP139T7L3t3u9c6Zs7gHFKaVxCRXdPf0dknYE7HqvcviOpldr
KZ/yjXvZqF167EgNKVR5mlJT7NwcWVy1L9+jPMXkWqjnzSgfx/40JdrprKfdl4CkOmZ0cEfnSoTr
HCMd9SdzvQpdoHwsSiHiLWlD29P5T3gie4ELkwkTP55cPYv8tCLi3pD5zJzvsqz80uxDd+vJG7ZB
gq3IxoZ+ZAjnnbB97ixaFyJzlv18CR43zObcBhGdupdaIOr0eCe22XB5HGwT9lAdgBViWsTtJmWs
k/EJfNR6RAF6LX4V9Hi2rbSn/WUvsvbU/fy9G+vZH5SvERviwBhDNPGxpiQeMGcc6q1/I5c0Oq3p
ALdLOdguOlgoyAhVCDSBjX+/8l7zQvWwJhRtDBhuU6TnQPZFOdtW9FcIssz1yMIT85FBar2xKKwA
c9R/UVfG0Z4NFrGnX4Ct2cYWZpYGkLdjG0abu1VKFOVLyaXGL/sVvE5sJV2Oq/EesKpWg+a7tI0X
AogrZjuJlIsKL3sVYT3u5+nkCMwRQkhFb4nymSAaQDaLpp3pOuhxFOBZYsTiquKoagniGObs8MrC
gcMjQg7h7d8HrYghb4sjapk1a73fRcmYrktezYrGnj8vFO+nXnMx9Tfv6uDtFfGFsIt0eu3rpqVD
Bqqjx8yeXW/oUtbJ2Ru1qU1f7zMWqWDNKMxPKohZp5GNLv60rjG8aRNPW2+ESj7aqYvgal1GvUim
rxMR79wjLjbaBIrq5wFLNd/ng9/IcebL1oMsVDp3VbHa55BUEzCJ/rXaoyNCoF4UIV8ekrRAKpfr
LWjBgJeJxl830BmalsMwYlOWxAoFjtqKMWBS9YFHpywS0W38xJAkiqZZLT6stugcleUrCnf2RKzr
J+w/RUj0JSfj//FghqvZ0GIOF9sFyCOz01fmeAl1sFNmVPxrRATeNmDAAqaoh2prCT0JvTX/kCXn
uqvEw3nuHAegyK06JjgVbxZ5BeSRPBBCUUB+xobjHNU73KNraoO5x4c95m/gkwd4qrmLkEWjfMiz
2pAQDrVlYC2YTNj6O8zRk15cT9dah+uFq0ooF7PBExDRCUtviYx3YUQ+I0bX5fZHhzAt42RK/Uiq
TpGYs1uGfBLhdjCN3EgjYJJwufuKp45MO+PRK6Ph4j0Rulu+auZRz2oadnSYS5hh+58qn6+MIXKZ
F1wJVuv95hEo3uRxLdIdsy8uQv2MZizE6TCOsgW5MS5u2jkCzeJbumhH3ESOQFg6sKuvZ8wF5J1h
XBtOz5FjPkqL0x4BGN5HcXRvSNFvs1lkeW7m6pNFfw00qzN6P0IjY45R38RaX545a7thLvNMoBv+
tR5PF50heJWTpKJZxmb4mxi5FdKVeUoBI/0MxMqdWURrl/5qLP0N7RsyNCEbYs9JWYvx6G5/Y7RC
YT42FvCkRgOsIxRG5XzbAAUH/WgRavh6VywFTKGb77AfXXT5hXxeF1y7L944oLW2wWE6UX3GvpMk
6nWSBH/QfsA9vC3xIb9MHv03ebWPsSPE53fZObYmlx7PlK6PbDMIoNbyhXK3IbzpzCWheTGBNFJh
S3w0Zl4af8ana1JAY6b4jf7tWxXj8IlHL2FMtr/+ugqcfJWI0hHg/LiFyXfdR4Mfhy0RsIEICIHF
MAOz5ISl5ArhFe7CW0SoM6TuYtLQfxRhSflBKkEIbDmPTtxOOlN0hcrJSQJ+FLgrHgIHw62X0Ix3
KfLwcMSocAlPRX6An7bNCl7ahJUDrbvUCZyKX0LvkJh5tvwfxtN1cT4F6Ef4a2w30vEkNs9C5jjB
ANX5kTsldAL2q+PNYnhdC0vzAHE8Rsmr5d97AXhx0YjVaZT1dJeZTu+BOxAs9ps+4KdQMdLK4FJH
G4E3fotJOBqtUu477/wmBKD1NYN+J6m5nIlTjVfNx9C0uRJNd0GWYsGylJaDzRbd8d5eawXRF6Gr
/VzFtdJejvGJYNhq09uxkb9ss/uq1vAVae6vUJ5JXmuqjahLzTH1J3T/uDyk8hQDsSHJuUscp2r1
F4SHLELOpcQHYnachOdcw85ypCVxs4HJnAefPhqzhMzeckvfcgboIYdXhU0ixVLm0nzLZpjzBpRo
X89WkWTyCNpkUZFo12hk7Ll962oQMejfeuO046CJL3RjAWfWVeCDSBItotOGRaKjVG/JQRHXb1GO
MNckdG+4YRisAxG/is6lY+2Uh0rtuZE/nB0miRBCdijOUK5qfBRNrKMG75OMQNjEO6zkaPn8mxXM
h15YDuspB7D0WgMrwLxHJ6Z3lyGPY9OuG05nHz5yEUR2QBcmwMaBXjfQawL56yXFYyQWnep39bRI
B7PDShghKWxk8FQj4oQqDWrBEPehdOu4n/GniyuJyF2Z6dMwew+sIZRvJE7PTi80iFU2XsKw2sB5
qhTVMGWIpYDY4Jst8K9x7nCRoXSAQOgwh1pAkOnNk9uJusDqP30N73gyWn8hg4ikEoUkO3vu1CGg
YuqpOmLVK3yWRv4RttvyROrKydEg2R3BkMOiW9s1UwK0p28wcKcomP6DcykOp1ux6FLlX51K0YZI
5CTVwdFpDn3uergtEHjhh2fQPbwekbFC4S5mzZ1U21H4tRFBEM4+S10A3meLdkBcIA/3yG9cD0Ta
QlKMznWvioAtD15iA92xi+0i617+9ktBeuHi2NgXmZVM0E7CqOX3Ap9WpkZM0E+2vaczj23ZUu6v
VvbDzeVxHwmf54pONg/Rof4bqI0SRl4UcLtI2fj4zkOxDkq73ffWpjuaCf0M6A3sVtpdvRO+aZmi
80M18vVvHwpFCs45Mm+rv3CDeaTO5vy1PJGLJ38e6GXauBfanz2ygGE6KS4bDNp+1zfRClDdGWSv
QNikqlxOE/ggnpt63YdtKRQFg6UJaxoy24AsfqUmUgPu4BOJKBWH1Ph1umF1cxEa4fAyKlKAEW98
2R/xS4PbVEKut/NjKv5lg64uOYbRC/t82CoT1h4hALiYaSPzKh4RZz2CGmRcIQMNHbMgigrXstSJ
OrwWPqJ63P8L9VJ2Bli1ryctjfn5fV9RYJcehwrCurVeZo3bbzXf9UCldlfuB8VxCB1EBXLbwJrE
4s0WI/8ALt1gkna2TVO7sH3vU7Qxc8LbflxZQ02MOuL6rjP9W4CIZIdqRDpUFFJqjOi29ti1gWeM
aG+IMBRZf1rlvLv9gMtYwR3v+XGTMrnkUIxaS/EBnsm2yFtS1O6Cbu1PmuAiqWt6wuhc7K2C+iGy
QPL3nxvHg+Fg2/FysxjrB2Gt6RjhInU1kCa60LOd+EF4T3EH+uiILRtbssQpBGuMkaztHT7wOoPr
Pp2/WnzIKuUHOKAr4IrAL8wt5GNhv7IEhG3HnLFdZhl/ZQeXXAQN+RpFSFOSUSyffLEuJEDsQsEO
BjsviiLX9Lf6QJrmsUSdXOnsCrqnw+V9yCgG8aN8iExAChACLrW6+7XOvGwfJ7WGtgYRycJbSoLi
tpJSYG6tkXzoBqFQi52g+yzHC+jIV+ZZ48SiYHqJkMA91vqtBZucvkSYu5xHWkaWiwqTG5mj6Rbh
w5HULQ3paIRdADjtNKavh+ppeBjvMOmFsAJlaT31QrZVvF99MAXMn6uLYn2ESX6rgQ9yYM58PN8U
Rr1H5C/5ePAVopB8CBU2h8aVGH14AdrAFfgmm74zKKo5TGeD3lR62r+Y2srl1PzlJfXifn66z2nV
xFV552kEOdwYUvoL+09rhxc5QzNJ/wCLiLFc4tt19G/aQNLSjDLoNXr3jQBeOPmrg+MoW12/3Rx7
wPfWVslbIBjc8iXNR7Zcs9cMY1T30envsKxKa3ePSFRtkuGJ6Jn87Wdf33F8KCkYPKRAYXAwkR9M
htij4qUvojbhilX5diQd47SJSPX2qeAqtNPCaHrZJUoxVAlD+v/v3eEPRoZEk6pk+UZLYPGuCwae
139w3+8Ss97hlSaFHsbcK8hgUO8JFeqtZ/WZ8DkKMGMcnmHAOxlXPEFXYuNYehjQWk9f+cyTtz9s
40BGdimwQ34Pjpq+vdJSdJvtR6WQosq6ANgkw25a0E+KJJdo8dHaGyTkxFMkVZ3xHCagKvVfUhIH
XYgyQzSE+WKFNUEKWKKp1d/wCAlSkVL8tBHaPwOxI60egGJFF0aRQVWJbF3c8OVdZ0kFsIsSI+xl
JHHORE1PcKxSmoZMn/6s+2PojbFF/GIvnB9jFPrRifp0Pow8FzVvUt5XGG3YE/Vn1FNgO3b+JgIr
x6HrABnvVQ/d4K4bgrTVsjJblgKJ2sc+wqm5ol8CurlplF8cEA/DBB4ibb9c8tEG8HC5WyvMYqba
LEJZOav8DIVMYLIU0mNXOyIg2icbuj58d2RvLET8a7WcAL9f9jHae6JlxaTkmJ5JTQmiLR2kLpbb
Hq5k1vXsb6T8eUShOf1YMjBnNMN7JJBbJnyH4tJacExA4jZjiFQKJZqC7Iq9fz3fbnyiWtfTLoX+
8aMsxy9kk9SAj29DuNd+PVj/f2/XfIb6EbW3k0oZ4OetngaCft8X2n+2ziinCZ4esjiPg9DPQ0h+
PuhVyCOPrjdvzf5MWFFg1AJBDy0ECoyUDRn8kIjKnauFXMQy3aWXlOlwhyMOh82chqKp4ylNszHr
pwEbk9uqBADyiyv9HcPZhaJpswQV+FPv1zoRRP0EGaZXRKj4oSMPi+3xbGzIVO1Vst0um3aJgRcw
Hz88byDpywooYzre4g6oDjTs7DcksCNZxZIGYcKV7z/oJchzoYkBC2U55mn+m6pNTTDxUE7Y8ZcD
FhwIf/UBuLIas/lo6nHCvdU/V6Kc2nUkoVoZrnXiVGEn0lJ3fl61Jenu2Uz7HVv28hsARD6MH3ff
wvkxuxYXuELfMxknHDbOVL5KcowuBsBSDYnR5OTo//AOsoId7Pz0mMsoHUD57jtyS8beSOmKBNPD
MEaqwZ2rwWI=
`protect end_protected
| apache-2.0 | fd9a745bbb21707c8d27a56c92d47c66 | 0.934383 | 1.888725 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/pselect.vhd | 15 | 12,532 | -------------------------------------------------------------------------------
-- $Id: pselect.vhd,v 1.1.4.1 2010/09/14 22:35:47 dougt Exp $
-------------------------------------------------------------------------------
-- pselect.vhd - entity/architecture pair
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2001-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: pselect.vhd
--
-- Description: Parameterizeable peripheral select (address decode).
-- AValid qualifier comes in on Carry In at bottom
-- of carry chain. For version with AValid at top of
-- carry chain, see pselect_top.vhd.
--
-- VHDL-Standard: VHDL'93
-------------------------------------------------------------------------------
-- Structure:
-- pselect.vhd
--
-------------------------------------------------------------------------------
-- Author: B.L. Tise
-- Revision: $Revision: 1.1.4.1 $
-- Date: $Date: 2010/09/14 22:35:47 $
--
-- History:
-- BLT 2001-04-10 First Version
-- BLT 2001-04-23 Moved function to this file
-- BLT 2001-05-21 Changed library to MicroBlaze
-- BLT 2001-08-13 Changed pragma to synthesis
-- ALS 2001-10-15 C_BAR is now padded to nearest multiple of 4
-- to handle lut equations
-- FLO 2002-03-26 Corrected implementation for case where C_AB
-- is not a multiple of 4 and the C_BAR values
-- at the pad bits are not '0'.
-- Removed implementation restriction that
-- required C_AW = C_BAR'length.
-- Added assertion to flag invalid generic
-- combinations.
-- ALS, FLO 2002-04-09 -Implemented XST workaround for the case
-- that C_AB = 0.
-- -Removed remnants of earlier
-- "instantiated-lut" implementation.
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library IEEE;
use IEEE.std_logic_1164.all;
use IEEE.std_logic_arith.all;
use IEEE.std_logic_unsigned.all;
library unisim;
use unisim.all;
-----------------------------------------------------------------------------
-- Entity section
-----------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Definition of Generics:
-- C_AB -- number of address bits to decode
-- C_AW -- width of address bus
-- C_BAR -- base address of peripheral (peripheral select
-- is asserted when the C_AB most significant
-- address bits match the C_AB most significant
-- C_BAR bits
-- Definition of Ports:
-- A -- address input
-- AValid -- address qualifier
-- CS -- peripheral select
-------------------------------------------------------------------------------
entity pselect is
generic (
C_AB : integer := 9;
C_AW : integer := 32;
C_BAR : std_logic_vector
);
port (
A : in std_logic_vector(0 to C_AW-1);
AValid : in std_logic;
CS : out std_logic
);
end entity pselect;
-----------------------------------------------------------------------------
-- Architecture section
-----------------------------------------------------------------------------
architecture imp of pselect is
component MUXCY is
port (
O : out std_logic;
CI : in std_logic;
DI : in std_logic;
S : in std_logic
);
end component MUXCY;
attribute INIT : string;
-----------------------------------------------------------------------------
-- Constant Declarations
-----------------------------------------------------------------------------
constant NUM_LUTS : integer := (C_AB+3)/4;
-- C_BAR may not be indexed from 0 and may not be ascending;
-- BAR recasts C_BAR to have these properties.
constant BAR : std_logic_vector(0 to C_BAR'length-1) := C_BAR;
-----------------------------------------------------------------------------
-- Signal Declarations
-----------------------------------------------------------------------------
--signal lut_out : std_logic_vector(0 to NUM_LUTS-1);
signal lut_out : std_logic_vector(0 to NUM_LUTS); -- XST workaround
signal carry_chain : std_logic_vector(0 to NUM_LUTS);
-------------------------------------------------------------------------------
-- Begin architecture section
-------------------------------------------------------------------------------
begin
--------------------------------------------------------------------------------
-- Check that the passed generics allow for correct implementation.
--------------------------------------------------------------------------------
-- synthesis translate_off
assert (C_AB <= C_BAR'length) and (C_AB <= C_AW)
report "pselect generic error: " &
"(C_AB <= C_BAR'length) and (C_AB <= C_AW)" &
" does not hold."
severity failure;
-- synthesis translate_on
--------------------------------------------------------------------------------
-- Build the decoder using the fast carry chain.
--------------------------------------------------------------------------------
carry_chain(0) <= AValid;
XST_WA: if NUM_LUTS > 0 generate -- workaround for XST; remove this
-- enclosing generate when fixed
GEN_DECODE: for i in 0 to NUM_LUTS-1 generate
signal lut_in : std_logic_vector(3 downto 0);
signal invert : std_logic_vector(3 downto 0);
begin
GEN_LUT_INPUTS: for j in 0 to 3 generate
-- Generate to assign address bits to LUT4 inputs
GEN_INPUT: if i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4) generate
lut_in(j) <= A(i*4+j);
invert(j) <= not BAR(i*4+j);
end generate;
-- Generate to assign one to remaining LUT4, pad, inputs
GEN_ZEROS: if not(i < NUM_LUTS-1 or j <= ((C_AB-1) mod 4)) generate
lut_in(j) <= '1';
invert(j) <= '0';
end generate;
end generate;
---------------------------------------------------------------------------
-- RTL LUT instantiation
---------------------------------------------------------------------------
lut_out(i) <= (lut_in(0) xor invert(0)) and
(lut_in(1) xor invert(1)) and
(lut_in(2) xor invert(2)) and
(lut_in(3) xor invert(3));
MUXCY_I: MUXCY
port map (
O => carry_chain(i+1), --[out]
CI => carry_chain(i), --[in]
DI => '0', --[in]
S => lut_out(i) --[in]
);
end generate GEN_DECODE;
end generate XST_WA;
CS <= carry_chain(NUM_LUTS); -- assign end of carry chain to output;
-- if NUM_LUTS=0, then
-- CS <= carry_chain(0) <= AValid
end imp;
| apache-2.0 | e56357fd6d38b35018e2a0289361e631 | 0.399058 | 5.330498 | false | false | false | false |
sandrosalvato94/System-Design-Project | src/polito/sdp2017/Tests/IP_Adder.vhd | 2 | 4,116 | library ieee;
use ieee.std_logic_1164.all;
use ieee.std_logic_unsigned.all;
use ieee.std_logic_arith.all;
use work.CONSTANTS.all;
--&&&&&&&&&&&&&&&&&&&&&&&&& Behaviour of the IP ADDER &&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&&
--Initialized to read row1 (where the CPU writes the first operand)
--One clock cylce after the enable is 1, we ask to read row2 (where the CPU writes the second operand)
--1 cc after, we receive the first operand that is stored
--1 cc after, we receive the second operand that can be added to the first one and sent to the IP manager
--1 cc after, the IP ADDER stops and the result is written in row3
entity IP_ADDER is
port (
clk : in std_logic;
rst : in std_logic;
data_in : out std_logic_vector(DATA_WIDTH-1 downto 0);
data_out : in std_logic_vector(DATA_WIDTH-1 downto 0);
address : out std_logic_vector(ADD_WIDTH-1 downto 0);
W_enable : out std_logic;
R_enable : out std_logic;
generic_en : out std_logic;
enable : in std_logic;
ack : in std_logic;
interrupt : out std_logic
);
end IP_ADDER;
architecture BEHAVIOURAL of IP_ADDER is
signal OP1 : std_logic_vector(DATA_WIDTH-1 downto 0) := (others => '0');
type state_type is (READ_OPERAND2, WRITE_OPERAND1, WRITE_RESULT, IDLE );
signal fsm_state: state_type;
begin
proc_fsm : process(clk,rst,enable)
begin
if rst = '1' then
-- asynchronous reset.
--In idle always ask to read the first operand
OP1 <= (others => '0');
data_in <= (others => '0');
address <= conv_std_logic_vector(1, ADD_WIDTH);
R_enable <= '1';
W_enable <= '0';
generic_en <= '1';
interrupt <= '0';
fsm_state <= READ_OPERAND2;
elsif (clk'event and clk = '0') then -- Working on falling edge
if enable = '1' then
case fsm_state is
when READ_OPERAND2 =>
-- Asking for the second operand, present in row 2 and
address <= conv_std_logic_vector(2, ADD_WIDTH); --address of the second operand
R_enable <= '1';
W_enable <= '0';
generic_en <= '1';
interrupt <= '0';
fsm_state <= WRITE_OPERAND1;
when WRITE_OPERAND1 =>
--Since there is a latency of 1 clock cycle from the read request now we can write OP1 the first operand.
--The reading of the row 1 is done in the reset/init states so that when the IP ADDER is enabled immediately asks for the first operand
OP1 <= data_out;
address <= (others => '0');
R_enable <= '0';
W_enable <= '0';
generic_en <= '0';
interrupt <= '0';
fsm_state <= WRITE_RESULT;
when WRITE_RESULT =>
-- Store the result in row 3. The second operand is in data_out
data_in <= OP1 + data_out; --ADDER
address <= conv_std_logic_vector(3, ADD_WIDTH);
R_enable <= '0';
W_enable <= '1';
generic_en <= '1';
interrupt <= '0';
fsm_state <= IDLE;
when IDLE =>
--In idle always ask to read the first operand
OP1 <= (others => '0');
data_in <= (others => '0');
address <= (others => '0');
R_enable <= '0';
W_enable <= '0';
generic_en <= '0';
interrupt <= '0';
fsm_state <= READ_OPERAND2;
when OTHERS =>
--In idle always ask to read the first operand
OP1 <= (others => '0');
data_in <= (others => '0');
address <= conv_std_logic_vector(1, ADD_WIDTH);
R_enable <= '1';
W_enable <= '0';
generic_en <= '1';
interrupt <= '0';
fsm_state <= READ_OPERAND2;
end case;
elsif enable = '0' then
--In idle always ask to read the first operand
OP1 <= (others => '0');
data_in <= (others => '0');
address <= conv_std_logic_vector(1, ADD_WIDTH);
R_enable <= '1';
W_enable <= '0';
generic_en <= '1';
interrupt <= '0';
fsm_state <= READ_OPERAND2;
end if;
end if;
end process;
end architecture BEHAVIOURAL; | lgpl-3.0 | 65920641bbb65e5f99d506d6c3ebd954 | 0.555637 | 3.037638 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/microblaze_v9_3/7b6e2d75/hdl/vhdl/register_file.vhd | 1 | 14,214 | `protect begin_protected
`protect version = 1
`protect encrypt_agent = "XILINX"
`protect encrypt_agent_info = "Xilinx Encryption Tool 2014"
`protect key_keyowner = "Cadence Design Systems.", key_keyname= "cds_rsa_key", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 64)
`protect key_block
CoONoJc4siJ0YAAErgduAEd7ddu85qedMYT4UHa5xp+VWP7bcfqzYXSb4kaTymItHfGh/PxX70At
XLZQ89os9g==
`protect key_keyowner = "Mentor Graphics Corporation", key_keyname= "MGC-VERIF-SIM-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
V8FtO4VAtBc7tmyzL6kWFpQ/2QZDI7+ThgQ/09HtuTaAjLxL7udji0gOaGK3f108CyXm6g0EFS1V
0jwYkfQ7CXERl5GVz1+q+Av26IOOoacVIrM85dPcE6Lg6m69IoslWxcRdxGTU3GFmIhRvtAGX9pa
ePHjf4rK7JLY5L5O0No=
`protect key_keyowner = "Xilinx", key_keyname= "xilinx_2014_03", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
OViv26VloSeC6j8qmNs12DNprWQ58yW/yhfjCnmc+hkALNRr0rfCEv74JBOU4YcczwnQnAc1krt8
SVpZwCLlxhvNSG0L64Zu1p4uYTSLvK3/ZgvVLS3AOemgnT7phw6gQnqeNvwtVvG5gUvL4CnB0k9J
/suuwUAbB1GoG65vHVR8AFLxB7h2qDyBwxHIzjcqimCJSCz1/XpYLH3ebq4CXQ9JeC1IKExfTDmJ
zuKTEooqZ/XJDAoF6IKVnAb7SNm+NvNNc/IuKc7WQ/UQEJ9byWtNOR7N1huJRB90Tm/SoeEgYNsE
VxJbB90Pu4dAk2ovyKM5KyZftA6QHCyksQeK2A==
`protect key_keyowner = "Synopsys", key_keyname= "SNPS-VCS-RSA-1", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 128)
`protect key_block
GbwrdVVrVey9VEeFvRHlOaBFwL6JsgH2e2zy+i3EvIDaGGgC4E1kgkw9KcUG/8FijnhZC+ZPtkKT
qu/sfuB+Q8Dp8dUSjRMR8uHJrENzQar2m7E4ihNJZwJAmgq0j00gwohhfM0DuOfcbGtvOfIGNgfS
YT+SMvLaPGeomPPbW0w=
`protect key_keyowner = "Aldec", key_keyname= "ALDEC08_001", key_method = "rsa"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 256)
`protect key_block
BreIULyR/4SJz5RKQtsc8H9x0e4ecAPm5NU7SSks3fJHEhrIIsGwgrKkmroK7eUBresVjak50jgb
Xpz7ZT2R4uDwpuLp3rmDufIWGTlRPTPrXenzBkRdNsTNzQpqiyHZ4pt8WhuP/0tu9Dsvli2XV3XI
DfgVw8TTOa0c0l8HbfiGPqwHSE8Fg11U0vhcIR7rYTxlhWINnzpUd4MHUOzcb4JWEqP8fiBGeHlT
lmI6GGtmyDk0XbE718mT8gyppwfRdhhJSgZRul0k0D6kYrRIE5PAFD5f66mKJ8BL99TnuQq5ma9i
HrrgQLzM6whnzeHj9b7zpFmIe5jVF1OIMeFw+Q==
`protect data_method = "AES128-CBC"
`protect encoding = (enctype = "BASE64", line_length = 76, bytes = 8784)
`protect data_block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`protect end_protected
| apache-2.0 | cdd21795488b0140ae4bbfad277d0eaf | 0.936119 | 1.886146 | false | false | false | false |
mkotormus/G3_OrchestraConductorDemo | src/ov7670_marker_tracker_use/ov7670_marker_tracker_use.srcs/sources_1/ipshared/xilinx.com/proc_common_v4_0/bb615326/hdl/src/vhdl/ipif_pkg.vhd | 15 | 53,612 | -------------------------------------------------------------------------------
-- $Id: ipif_pkg.vhd,v 1.1.4.1 2010/09/14 22:35:46 dougt Exp $
-------------------------------------------------------------------------------
-- IPIF Common Library Package
-------------------------------------------------------------------------------
--
-- *************************************************************************
-- ** **
-- ** DISCLAIMER OF LIABILITY **
-- ** **
-- ** This text/file contains proprietary, confidential **
-- ** information of Xilinx, Inc., is distributed under **
-- ** license from Xilinx, Inc., and may be used, copied **
-- ** and/or disclosed only pursuant to the terms of a valid **
-- ** license agreement with Xilinx, Inc. Xilinx hereby **
-- ** grants you a license to use this text/file solely for **
-- ** design, simulation, implementation and creation of **
-- ** design files limited to Xilinx devices or technologies. **
-- ** Use with non-Xilinx devices or technologies is expressly **
-- ** prohibited and immediately terminates your license unless **
-- ** covered by a separate agreement. **
-- ** **
-- ** Xilinx is providing this design, code, or information **
-- ** "as-is" solely for use in developing programs and **
-- ** solutions for Xilinx devices, with no obligation on the **
-- ** part of Xilinx to provide support. By providing this design, **
-- ** code, or information as one possible implementation of **
-- ** this feature, application or standard, Xilinx is making no **
-- ** representation that this implementation is free from any **
-- ** claims of infringement. You are responsible for obtaining **
-- ** any rights you may require for your implementation. **
-- ** Xilinx expressly disclaims any warranty whatsoever with **
-- ** respect to the adequacy of the implementation, including **
-- ** but not limited to any warranties or representations that this **
-- ** implementation is free from claims of infringement, implied **
-- ** warranties of merchantability or fitness for a particular **
-- ** purpose. **
-- ** **
-- ** Xilinx products are not intended for use in life support **
-- ** appliances, devices, or systems. Use in such applications is **
-- ** expressly prohibited. **
-- ** **
-- ** Any modifications that are made to the Source Code are **
-- ** done at the users sole risk and will be unsupported. **
-- ** The Xilinx Support Hotline does not have access to source **
-- ** code and therefore cannot answer specific questions related **
-- ** to source HDL. The Xilinx Hotline support of original source **
-- ** code IP shall only address issues and questions related **
-- ** to the standard Netlist version of the core (and thus **
-- ** indirectly, the original core source). **
-- ** **
-- ** Copyright (c) 2002-2010 Xilinx, Inc. All rights reserved. **
-- ** **
-- ** This copyright and support notice must be retained as part **
-- ** of this text at all times. **
-- ** **
-- *************************************************************************
--
-------------------------------------------------------------------------------
-- Filename: ipif_pkg.vhd
-- Version: Intital
-- Description: This file contains the constants and functions used in the
-- ipif common library components.
--
-------------------------------------------------------------------------------
-- Structure:
--
-------------------------------------------------------------------------------
-- Author: DET
-- History:
-- DET 02/21/02 -- Created from proc_common_pkg.vhd
--
-- DET 03/13/02 -- PLB IPIF development updates
-- ^^^^^^
-- - Commented out string types and string functions due to an XST
-- problem with string arrays and functions. THe string array
-- processing functions were replaced with comperable functions
-- operating on integer arrays.
-- ~~~~~~
--
--
-- DET 4/30/2002 Initial
-- ~~~~~~
-- - Added three functions: rebuild_slv32_array, rebuild_slv64_array, and
-- rebuild_int_array to support removal of unused elements from the
-- ARD arrays.
-- ^^^^^^ --
--
-- FLO 8/12/2002
-- ~~~~~~
-- - Added three functions: bits_needed_for_vac, bits_needed_for_occ,
-- and get_id_index_iboe.
-- (Removed provisional functions bits_needed_for_vacancy,
-- bits needed_for_occupancy, and bits_needed_for.)
-- ^^^^^^
--
-- FLO 3/24/2003
-- ~~~~~~
-- - Added dependent property paramters for channelized DMA.
-- - Added common property parameter array type.
-- - Definded the KEYHOLD_BURST common-property parameter.
-- ^^^^^^
--
-- FLO 10/22/2003
-- ~~~~~~
-- - Some adjustment to CHDMA parameterization.
-- - Cleanup of obsolete code and comments. (The former "XST workaround"
-- has become the officially deployed method.)
-- ^^^^^^
--
-- LSS 03/24/2004
-- ~~~~~~
-- - Added 5 functions
-- ^^^^^^
--
-- ALS 09/03/04
-- ^^^^^^
-- -- Added constants to describe the channel protocols used in MCH_OPB_IPIF
-- ~~~~~~
--
-- DET 1/17/2008 v4_0
-- ~~~~~~
-- - Changed proc_common library version to v4_0
-- - Incorporated new disclaimer header
-- ^^^^^^
--
-------------------------------------------------------------------------------
-- Naming Conventions:
-- active low signals: "*_n"
-- clock signals: "clk", "clk_div#", "clk_#x"
-- reset signals: "rst", "rst_n"
-- generics: "C_*"
-- user defined types: "*_TYPE"
-- state machine next state: "*_ns"
-- state machine current state: "*_cs"
-- combinatorial signals: "*_com"
-- pipelined or register delay signals: "*_d#"
-- counter signals: "*cnt*"
-- clock enable signals: "*_ce"
-- internal version of output port "*_i"
-- device pins: "*_pin"
-- ports: - Names begin with Uppercase
-- processes: "*_PROCESS"
-- component instantiations: "<ENTITY_>I_<#|FUNC>
-------------------------------------------------------------------------------
library ieee;
use ieee.std_logic_1164.all;
-- need conversion function to convert reals/integers to std logic vectors
use ieee.std_logic_arith.all;
use ieee.std_logic_arith.all;
use ieee.std_logic_unsigned.all;
package ipif_pkg is
-------------------------------------------------------------------------------
-- Type Declarations
-------------------------------------------------------------------------------
type SLV32_ARRAY_TYPE is array (natural range <>) of std_logic_vector(0 to 31);
subtype SLV64_TYPE is std_logic_vector(0 to 63);
type SLV64_ARRAY_TYPE is array (natural range <>) of SLV64_TYPE;
type INTEGER_ARRAY_TYPE is array (natural range <>) of integer;
-------------------------------------------------------------------------------
-- Function and Procedure Declarations
-------------------------------------------------------------------------------
function "=" (s1: in string; s2: in string) return boolean;
function equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN;
function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer;
function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE;
index : integer) return integer;
function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer;
function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer;
function S32 (in_string : string) return string;
--------------------------------------------------------------------------------
-- ARD support functions.
-- These function can be useful when operating with the ARD parameterization.
--------------------------------------------------------------------------------
function get_id_index (id_array :INTEGER_ARRAY_TYPE;
id : integer)
return integer;
function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE;
id : integer)
return integer;
function find_ard_id (id_array : INTEGER_ARRAY_TYPE;
id : integer) return boolean;
function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE;
dwidth_array: INTEGER_ARRAY_TYPE;
id : integer;
default : integer)
return integer;
function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE) return integer;
function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE;
id : integer)
return integer ;
function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE;
num_valid_pairs : integer)
return SLV32_ARRAY_TYPE;
function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE;
num_valid_pairs : integer)
return SLV64_ARRAY_TYPE;
function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE;
num_valid_entry : integer)
return INTEGER_ARRAY_TYPE;
-- 5 Functions Added 3/24/04
function populate_intr_mode_array (num_user_intr : integer;
intr_capture_mode : integer)
return INTEGER_ARRAY_TYPE ;
function add_intr_ard_id_array(include_intr : boolean;
ard_id_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE;
function add_intr_ard_addr_range_array(include_intr : boolean;
ZERO_ADDR_PAD : std_logic_vector;
intr_baseaddr : std_logic_vector;
intr_highaddr : std_logic_vector;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_addr_range_array : SLV64_ARRAY_TYPE)
return SLV64_ARRAY_TYPE;
function add_intr_ard_num_ce_array(include_intr : boolean;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_num_ce_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE;
function add_intr_ard_dwidth_array(include_intr : boolean;
intr_dwidth : integer;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_dwidth_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE;
-------------------------------------------------------------------------------
-- Constant Declarations
-------------------------------------------------------------------------------
-------------------------------------------------------------------------------
-- Channel Protocols
-- The constant declarations below give symbolic-name aliases for values that
-- can be used in the C_MCH_PROTOCOL_ARRAY generic of the MCH_OPB_IPIF.
-------------------------------------------------------------------------------
constant XCL : integer := 0;
constant DAG : integer := 1;
--------------------------------------------------------------------------------
-- Address range types.
-- The constant declarations, below, give symbolic-name aliases for values
-- that can be used in the C_ARD_ID_ARRAY generic of IPIFs. The first set
-- gives aliases that are used to include IPIF services.
--------------------------------------------------------------------------------
-- IPIF module aliases
Constant IPIF_INTR : integer := 1;
Constant IPIF_RST : integer := 2;
Constant IPIF_SESR_SEAR : integer := 3;
Constant IPIF_DMA_SG : integer := 4;
Constant IPIF_WRFIFO_REG : integer := 5;
Constant IPIF_WRFIFO_DATA : integer := 6;
Constant IPIF_RDFIFO_REG : integer := 7;
Constant IPIF_RDFIFO_DATA : integer := 8;
Constant IPIF_CHDMA_CHANNELS : integer := 9;
Constant IPIF_CHDMA_GLOBAL_REGS : integer := 10;
Constant CHDMA_STATUS_FIFO : integer := 90;
-- Some predefined user module aliases
Constant USER_00 : integer := 100;
Constant USER_01 : integer := 101;
Constant USER_02 : integer := 102;
Constant USER_03 : integer := 103;
Constant USER_04 : integer := 104;
Constant USER_05 : integer := 105;
Constant USER_06 : integer := 106;
Constant USER_07 : integer := 107;
Constant USER_08 : integer := 108;
Constant USER_09 : integer := 109;
Constant USER_10 : integer := 110;
Constant USER_11 : integer := 111;
Constant USER_12 : integer := 112;
Constant USER_13 : integer := 113;
Constant USER_14 : integer := 114;
Constant USER_15 : integer := 115;
Constant USER_16 : integer := 116;
---( Start of Dependent Properties declarations
--------------------------------------------------------------------------------
-- Declarations for Dependent Properties (properties that depend on the type of
-- the address range, or in other words, address-range-specific parameters).
-- There is one property, i.e. one parameter, encoded as an integer at
-- each index of the properties array. There is one properties array for
-- each address range.
--
-- The C_ARD_DEPENDENT_PROPS_ARRAY generic parameter in (most) IPIFs is such
-- a properties array and it is usually giving its (static) value using a
-- VHDL aggregate construct. (--ToDo, give an example of this.)
--
-- The the "assigned" default value of a dependent property is zero. This value
-- is usually specified the aggregate by leaving its (index) name out so that
-- it is covered by an "others => 0" choice in the aggregate. Some parameters,
-- as noted in the definitions, below, have an "effective" default value that is
-- different from the assigned default value of zero. In such cases, the
-- function, eff_dp, given below, can be used to get the effective value of
-- the dependent property.
--------------------------------------------------------------------------------
constant DEPENDENT_PROPS_SIZE : integer := 32;
subtype DEPENDENT_PROPS_TYPE
is INTEGER_ARRAY_TYPE(0 to DEPENDENT_PROPS_SIZE-1);
type DEPENDENT_PROPS_ARRAY_TYPE
is array (natural range <>) of DEPENDENT_PROPS_TYPE;
--------------------------------------------------------------------------------
-- Below are the indices of dependent properties for the different types of
-- address ranges.
--
-- Example: Let C_ARD_DEPENDENT_PROPS_ARRAY hold the dependent properites
-- for a set of address ranges. Then, e.g.,
--
-- C_ARD_DEPENDENT_PROPS_ARRAY(i)(FIFO_CAPACITY_BITS)
--
-- gives the fifo capacity in bits, provided that the i'th address range
-- is of type IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA.
--
-- These indices should be referenced only by the names below and never
-- by numerical literals. (The right to change numerical index assignments
-- is reserved; applications using the names will not be affected by such
-- reassignments.)
--------------------------------------------------------------------------------
--
--ToDo, if the interrupt controller parameterization is ever moved to
-- C_ARD_DEPENDENT_PROPS_ARRAY, then the following declarations
-- could be uncommented and used.
---- IPIF_INTR IDX
---------------------------------------------------------------------------- ---
constant EXCLUDE_DEV_ISC : integer := 0;
-- 1 specifies that only the global interrupt
-- enable is present in the device interrupt source
-- controller and that the only source of interrupts
-- in the device is the IP interrupt source controller.
-- 0 specifies that the full device interrupt
-- source controller structure will be included.
constant INCLUDE_DEV_PENCODER : integer := 1;
-- 1 will include the Device IID in the device interrupt
-- source controller, 0 will exclude it.
--
-- IPIF_WRFIFO_DATA or IPIF_RDFIFO_DATA IDX
---------------------------------------------------------------------------- ---
constant FIFO_CAPACITY_BITS : integer := 0;
constant WR_WIDTH_BITS : integer := 1;
constant RD_WIDTH_BITS : integer := 2;
constant EXCLUDE_PACKET_MODE : integer := 3;
-- 1 Don't include packet mode features
-- 0 Include packet mode features
constant EXCLUDE_VACANCY : integer := 4;
-- 1 Don't include vacancy calculation
-- 0 Include vacancy calculation
-- See also the functions
-- bits_needed_for_vac and
-- bits_needed_for_occ that are declared below.
constant INCLUDE_DRE : integer := 5;
constant INCLUDE_AUTOPUSH_POP : integer := 6;
constant AUTOPUSH_POP_CE : integer := 7;
constant INCLUDE_CSUM : integer := 8;
--------------------------------------------------------------------------------
--
-- DMA_SG IDX
---------------------------------------------------------------------------- ---
--------------------------------------------------------------------------------
-- IPIF_CHDMA_CHANNELS IDX
---------------------------------------------------------------------------- ---
constant NUM_SUBS_FOR_PHYS_0 : integer :=0;
constant NUM_SUBS_FOR_PHYS_1 : integer :=1;
constant NUM_SUBS_FOR_PHYS_2 : integer :=2;
constant NUM_SUBS_FOR_PHYS_3 : integer :=3;
constant NUM_SUBS_FOR_PHYS_4 : integer :=4;
constant NUM_SUBS_FOR_PHYS_5 : integer :=5;
constant NUM_SUBS_FOR_PHYS_6 : integer :=6;
constant NUM_SUBS_FOR_PHYS_7 : integer :=7;
constant NUM_SUBS_FOR_PHYS_8 : integer :=8;
constant NUM_SUBS_FOR_PHYS_9 : integer :=9;
constant NUM_SUBS_FOR_PHYS_10 : integer :=10;
constant NUM_SUBS_FOR_PHYS_11 : integer :=11;
constant NUM_SUBS_FOR_PHYS_12 : integer :=12;
constant NUM_SUBS_FOR_PHYS_13 : integer :=13;
constant NUM_SUBS_FOR_PHYS_14 : integer :=14;
constant NUM_SUBS_FOR_PHYS_15 : integer :=15;
-- Gives the number of sub-channels for physical channel i.
--
-- These constants, which will be MAX_NUM_PHYS_CHANNELS in number (see
-- below), have consecutive values starting with 0 for
-- NUM_SUBS_FOR_PHYS_0. (The constants serve the purpose of giving symbolic
-- names for use in the dependent-properties aggregates that parameterize
-- an IPIF_CHDMA_CHANNELS address range.)
--
-- [Users can ignore this note for developers
-- If the number of physical channels changes, both the
-- IPIF_CHDMA_CHANNELS constants and MAX_NUM_PHYS_CHANNELS,
-- below, must be adjusted.
-- (Use of an array constant or a function of the form
-- NUM_SUBS_FOR_PHYS(i) to define the indices
-- runs afoul of LRM restrictions on non-locally static aggregate
-- choices. (Further, the LRM imposes perhaps unnecessarily
-- strict limits on what qualifies as a locally static primary.)
-- Note: This information is supplied for the benefit of anyone seeking
-- to improve the way that these NUM_SUBS_FOR_PHYS parameter
-- indices are defined.)
-- End of note for developers ]
--
-- The value associated with any index NUM_SUBS_FOR_PHYS_i in the
-- dependent-properties array must be even since TX and RX channels
-- come in pairs with the TX followed immediately by
-- the corresponding RX.
--
constant NUM_SIMPLE_DMA_CHANS : integer :=16;
-- The number of simple DMA channels.
constant NUM_SIMPLE_SG_CHANS : integer :=17;
-- The number of simple SG channels.
constant INTR_COALESCE : integer :=18;
-- 0 Interrupt coalescing is disabled
-- 1 Interrupt coalescing is enabled
constant CLK_PERIOD_PS : integer :=19;
-- The period of the OPB Bus clock in ps.
-- The default value of 0 is a special value that
-- is synonymous with 10000 ps (10 ns).
-- The value for CLK_PERIOD_PS is relevant only if (INTR_COALESCE = 1).
constant PACKET_WAIT_UNIT_NS : integer :=20;
-- Gives the unit for used for timing of pack-wait bounds.
-- The default value of 0 is a special value that
-- is synonymous with 1,000,000 ns (1 ms) and a non-default
-- value is typically only used for testing.
-- Relevant only if (INTR_COALESCE = 1).
constant BURST_SIZE : integer :=21;
-- 1, 2, 4, 8 or 16
-- The default value of 0 is a special value that
-- is synonymous with a burst size of 16.
-- Setting the BURST_SIZE to 1 effectively disables
-- bursts.
constant REMAINDER_AS_SINGLES : integer :=22;
-- 0 Remainder handled as a short burst
-- 1 Remainder handled as a series of singles
--------------------------------------------------------------------------------
-- The constant below is not the index of a dependent-properties
-- parameter (and, as such, would never appear as a choice in a
-- dependent-properties aggregate). Rather, it is fixed to the maximum
-- number of physical channels that an Address Range of type
-- IPIF_CHDMA_CHANNELS supports. It must be maintained in conjuction with
-- the constants named, e.g., NUM_SUBS_FOR_PHYS_15, above.
--------------------------------------------------------------------------------
constant MAX_NUM_PHYS_CHANNELS : natural := 16;
--------------------------------------------------------------------------
-- EXAMPLE: Here is an example dependent-properties aggregate for an
-- address range of type IPIF_CHDMA_CHANNELS.
-- To have a compact list of all of the CHDMA parameters, all are
-- shown, however three are commented out and the unneeded
-- MUM_SUBS_FOR_PHYS_x are excluded. The "OTHERS => 0" association
-- gives these parameters their default values, such that, for the example
--
-- - All physical channels above 2 have zero subchannels (effectively,
-- these physical channels are not used)
-- - There are no simple SG channels
-- - The packet-wait time unit is 1 ms
-- - Burst size is 16
--------------------------------------------------------------------------
-- (
-- NUM_SUBS_FOR_PHYS_0 => 8,
-- NUM_SUBS_FOR_PHYS_1 => 4,
-- NUM_SUBS_FOR_PHYS_2 => 14,
-- NUM_SIMPLE_DMA_CHANS => 1,
-- --NUM_SIMPLE_SG_CHANS => 5,
-- INTR_COALESCE => 1,
-- CLK_PERIOD_PS => 20000,
-- --PACKET_WAIT_UNIT_NS => 50000,
-- --BURST_SIZE => 1,
-- REMAINDER_AS_SINGLES => 1,
-- OTHERS => 0
-- )
--
--------------------------------------------------------------------------------
--------------------------------------------------------------------------------
-- Calculates the number of bits needed to convey the vacancy (emptiness) of
-- the fifo described by dependent_props, if fifo_present. If not fifo_present,
-- returns 0 (or the smallest value allowed by tool limitations on null arrays)
-- without making reference to dependent_props.
--------------------------------------------------------------------------------
function bits_needed_for_vac(
fifo_present: boolean;
dependent_props : DEPENDENT_PROPS_TYPE
) return integer;
--------------------------------------------------------------------------------
-- Calculates the number of bits needed to convey the occupancy (fullness) of
-- the fifo described by dependent_props, if fifo_present. If not fifo_present,
-- returns 0 (or the smallest value allowed by tool limitations on null arrays)
-- without making reference to dependent_props.
--------------------------------------------------------------------------------
function bits_needed_for_occ(
fifo_present: boolean;
dependent_props : DEPENDENT_PROPS_TYPE
) return integer;
--------------------------------------------------------------------------------
-- Function eff_dp.
--
-- For some of the dependent properties, the default value of zero is meant
-- to imply an effective default value of other than zero (see e.g.
-- PKT_WAIT_UNIT_NS for the IPIF_CHDMA_CHANNELS address-range type). The
-- following function is used to get the (possibly default-adjusted)
-- value for a dependent property.
--
-- Example call:
--
-- eff_value_of_param :=
-- eff_dp(
-- C_IPIF_CHDMA_CHANNELS,
-- PACKET_WAIT_UNIT_NS,
-- C_ARD_DEPENDENT_PROPS_ARRAY(i)(PACKET_WAIT_UNIT_NS)
-- );
--
-- where C_ARD_DEPENDENT_PROPS_ARRAY(i) is an object of type
-- DEPENDENT_PROPS_ARRAY_TYPE, that was parameterized for an address range of
-- type C_IPIF_CHDMA_CHANNELS.
--------------------------------------------------------------------------------
function eff_dp(id : integer; -- The type of address range.
dep_prop : integer; -- The index of the dependent prop.
value : integer -- The value at that index.
) return integer; -- The effective value, possibly adjusted
-- if value has the default value of 0.
---) End of Dependent Properties declarations
--------------------------------------------------------------------------------
-- Declarations for Common Properties (properties that apply regardless of the
-- type of the address range). Structurally, these work the same as
-- the dependent properties.
--------------------------------------------------------------------------------
constant COMMON_PROPS_SIZE : integer := 2;
subtype COMMON_PROPS_TYPE
is INTEGER_ARRAY_TYPE(0 to COMMON_PROPS_SIZE-1);
type COMMON_PROPS_ARRAY_TYPE
is array (natural range <>) of COMMON_PROPS_TYPE;
--------------------------------------------------------------------------------
-- Below are the indices of the common properties.
--
-- These indices should be referenced only by the names below and never
-- by numerical literals.
-- IDX
---------------------------------------------------------------------------- ---
constant KEYHOLE_BURST : integer := 0;
-- 1 All addresses of a burst are forced to the initial
-- address of the burst.
-- 0 Burst addresses follow the bus protocol.
-- IP interrupt mode array constants
Constant INTR_PASS_THRU : integer := 1;
Constant INTR_PASS_THRU_INV : integer := 2;
Constant INTR_REG_EVENT : integer := 3;
Constant INTR_REG_EVENT_INV : integer := 4;
Constant INTR_POS_EDGE_DETECT : integer := 5;
Constant INTR_NEG_EDGE_DETECT : integer := 6;
end ipif_pkg;
library proc_common_v4_0;
use proc_common_v4_0.proc_common_pkg.log2;
package body ipif_pkg is
-------------------------------------------------------------------------------
-- Function Definitions
-------------------------------------------------------------------------------
-----------------------------------------------------------------------------
-- Function "="
--
-- This function can be used to overload the "=" operator when comparing
-- strings.
-----------------------------------------------------------------------------
function "=" (s1: in string; s2: in string) return boolean is
constant tc: character := ' '; -- string termination character
variable i: integer := 1;
variable v1 : string(1 to s1'length) := s1;
variable v2 : string(1 to s2'length) := s2;
begin
while (i <= v1'length) and (v1(i) /= tc) and
(i <= v2'length) and (v2(i) /= tc) and
(v1(i) = v2(i))
loop
i := i+1;
end loop;
return ((i > v1'length) or (v1(i) = tc)) and
((i > v2'length) or (v2(i) = tc));
end;
----------------------------------------------------------------------------
-- Function equaluseCase
--
-- This function returns true if case sensitive string comparison determines
-- that str1 and str2 are the same.
-----------------------------------------------------------------------------
FUNCTION equaluseCase( str1, str2 : STRING ) RETURN BOOLEAN IS
CONSTANT len1 : INTEGER := str1'length;
CONSTANT len2 : INTEGER := str2'length;
VARIABLE equal : BOOLEAN := TRUE;
BEGIN
IF NOT (len1=len2) THEN
equal := FALSE;
ELSE
FOR i IN str1'range LOOP
IF NOT (str1(i) = str2(i)) THEN
equal := FALSE;
END IF;
END LOOP;
END IF;
RETURN equal;
END equaluseCase;
-----------------------------------------------------------------------------
-- Function calc_num_ce
--
-- This function is used to process the array specifying the number of Chip
-- Enables required for a Base Address specification. The array is input to
-- the function and an integer is returned reflecting the total number of
-- Chip Enables required for the CE, RdCE, and WrCE Buses
-----------------------------------------------------------------------------
function calc_num_ce (ce_num_array : INTEGER_ARRAY_TYPE) return integer is
Variable ce_num_sum : integer := 0;
begin
for i in 0 to (ce_num_array'length)-1 loop
ce_num_sum := ce_num_sum + ce_num_array(i);
End loop;
return(ce_num_sum);
end function calc_num_ce;
-----------------------------------------------------------------------------
-- Function calc_start_ce_index
--
-- This function is used to process the array specifying the number of Chip
-- Enables required for a Base Address specification. The CE Size array is
-- input to the function and an integer index representing the index of the
-- target module in the ce_num_array. An integer is returned reflecting the
-- starting index of the assigned Chip Enables within the CE, RdCE, and
-- WrCE Buses.
-----------------------------------------------------------------------------
function calc_start_ce_index (ce_num_array : INTEGER_ARRAY_TYPE;
index : integer) return integer is
Variable ce_num_sum : integer := 0;
begin
If (index = 0) Then
ce_num_sum := 0;
else
for i in 0 to index-1 loop
ce_num_sum := ce_num_sum + ce_num_array(i);
End loop;
End if;
return(ce_num_sum);
end function calc_start_ce_index;
-----------------------------------------------------------------------------
-- Function get_min_dwidth
--
-- This function is used to process the array specifying the data bus width
-- for each of the target modules. The dwidth_array is input to the function
-- and an integer is returned that is the smallest value found of all the
-- entries in the array.
-----------------------------------------------------------------------------
function get_min_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is
Variable temp_min : Integer := 1024;
begin
for i in 0 to dwidth_array'length-1 loop
If (dwidth_array(i) < temp_min) Then
temp_min := dwidth_array(i);
else
null;
End if;
End loop;
return(temp_min);
end function get_min_dwidth;
-----------------------------------------------------------------------------
-- Function get_max_dwidth
--
-- This function is used to process the array specifying the data bus width
-- for each of the target modules. The dwidth_array is input to the function
-- and an integer is returned that is the largest value found of all the
-- entries in the array.
-----------------------------------------------------------------------------
function get_max_dwidth (dwidth_array: INTEGER_ARRAY_TYPE) return integer is
Variable temp_max : Integer := 0;
begin
for i in 0 to dwidth_array'length-1 loop
If (dwidth_array(i) > temp_max) Then
temp_max := dwidth_array(i);
else
null;
End if;
End loop;
return(temp_max);
end function get_max_dwidth;
-----------------------------------------------------------------------------
-- Function S32
--
-- This function is used to expand an input string to 32 characters by
-- padding with spaces. If the input string is larger than 32 characters,
-- it will truncate to 32 characters.
-----------------------------------------------------------------------------
function S32 (in_string : string) return string is
constant OUTPUT_STRING_LENGTH : integer := 32;
Constant space : character := ' ';
variable new_string : string(1 to 32);
Variable start_index : Integer := in_string'length+1;
begin
If (in_string'length < OUTPUT_STRING_LENGTH) Then
for i in 1 to in_string'length loop
new_string(i) := in_string(i);
End loop;
for j in start_index to OUTPUT_STRING_LENGTH loop
new_string(j) := space;
End loop;
else -- use first 32 chars of in_string (truncate the rest)
for k in 1 to OUTPUT_STRING_LENGTH loop
new_string(k) := in_string(k);
End loop;
End if;
return(new_string);
end function S32;
-----------------------------------------------------------------------------
-- Function get_id_index
--
-- This function is used to process the array specifying the target function
-- assigned to a Base Address pair address range. The id_array and a
-- id number is input to the function. A integer is returned reflecting the
-- array index of the id matching the id input number. This function
-- should only be called if the id number is known to exist in the
-- name_array input. This can be detirmined by using the find_ard_id
-- function.
-----------------------------------------------------------------------------
function get_id_index (id_array :INTEGER_ARRAY_TYPE;
id : integer) return integer is
Variable match : Boolean := false;
Variable match_index : Integer := 10000; -- a really big number!
begin
for array_index in 0 to id_array'length-1 loop
If (match = true) Then -- match already found so do nothing
null;
else -- compare the numbers one by one
match := (id_array(array_index) = id);
If (match) Then
match_index := array_index;
else
null;
End if;
End if;
End loop;
return(match_index);
end function get_id_index;
--------------------------------------------------------------------------------
-- get_id_index but return a value in bounds on error (iboe).
--
-- This function is the same as get_id_index, except that when id does
-- not exist in id_array, the value returned is any index that is
-- within the index range of id_array.
--
-- This function would normally only be used where function find_ard_id
-- is used to establish the existence of id but, even when non-existent,
-- an element of one of the ARD arrays will be computed from the
-- returned get_id_index_iboe value. See, e.g., function bits_needed_for_vac
-- and the example call, below
--
-- bits_needed_for_vac(
-- find_ard_id(C_ARD_ID_ARRAY, IPIF_RDFIFO_DATA),
-- C_ARD_DEPENDENT_PROPS_ARRAY(get_id_index_iboe(C_ARD_ID_ARRAY,
-- IPIF_RDFIFO_DATA))
-- )
--------------------------------------------------------------------------------
function get_id_index_iboe (id_array :INTEGER_ARRAY_TYPE;
id : integer) return integer is
Variable match : Boolean := false;
Variable match_index : Integer := id_array'left; -- any valid array index
begin
for array_index in 0 to id_array'length-1 loop
If (match = true) Then -- match already found so do nothing
null;
else -- compare the numbers one by one
match := (id_array(array_index) = id);
If (match) Then match_index := array_index;
else null;
End if;
End if;
End loop;
return(match_index);
end function get_id_index_iboe;
-----------------------------------------------------------------------------
-- Function find_ard_id
--
-- This function is used to process the array specifying the target function
-- assigned to a Base Address pair address range. The id_array and a
-- integer id is input to the function. A boolean is returned reflecting the
-- presence (or not) of a number in the array matching the id input number.
-----------------------------------------------------------------------------
function find_ard_id (id_array : INTEGER_ARRAY_TYPE;
id : integer) return boolean is
Variable match : Boolean := false;
begin
for array_index in 0 to id_array'length-1 loop
If (match = true) Then -- match already found so do nothing
null;
else -- compare the numbers one by one
match := (id_array(array_index) = id);
End if;
End loop;
return(match);
end function find_ard_id;
-----------------------------------------------------------------------------
-- Function find_id_dwidth
--
-- This function is used to find the data width of a target module. If the
-- target module exists, the data width is extracted from the input dwidth
-- array. If the module is not in the ID array, the default input is
-- returned. This function is needed to assign data port size constraints on
-- unconstrained port widths.
-----------------------------------------------------------------------------
function find_id_dwidth (id_array : INTEGER_ARRAY_TYPE;
dwidth_array: INTEGER_ARRAY_TYPE;
id : integer;
default : integer) return integer is
Variable id_present : Boolean := false;
Variable array_index : Integer := 0;
Variable dwidth : Integer := default;
begin
id_present := find_ard_id(id_array, id);
If (id_present) Then
array_index := get_id_index (id_array, id);
dwidth := dwidth_array(array_index);
else
null; -- use default input
End if;
Return (dwidth);
end function find_id_dwidth;
-----------------------------------------------------------------------------
-- Function cnt_ipif_id_blks
--
-- This function is used to detirmine the number of IPIF components specified
-- in the ARD ID Array. An integer is returned representing the number
-- of elements counted. User IDs are ignored in the counting process.
-----------------------------------------------------------------------------
function cnt_ipif_id_blks (id_array : INTEGER_ARRAY_TYPE)
return integer is
Variable blk_count : integer := 0;
Variable temp_id : integer;
begin
for array_index in 0 to id_array'length-1 loop
temp_id := id_array(array_index);
If (temp_id = IPIF_WRFIFO_DATA or
temp_id = IPIF_RDFIFO_DATA or
temp_id = IPIF_RST or
temp_id = IPIF_INTR or
temp_id = IPIF_DMA_SG or
temp_id = IPIF_SESR_SEAR
) Then -- IPIF block found
blk_count := blk_count+1;
else -- go to next loop iteration
null;
End if;
End loop;
return(blk_count);
end function cnt_ipif_id_blks;
-----------------------------------------------------------------------------
-- Function get_ipif_id_dbus_index
--
-- This function is used to detirmine the IPIF relative index of a given
-- ID value. User IDs are ignored in the index detirmination.
-----------------------------------------------------------------------------
function get_ipif_id_dbus_index (id_array : INTEGER_ARRAY_TYPE;
id : integer)
return integer is
Variable blk_index : integer := 0;
Variable temp_id : integer;
Variable id_found : Boolean := false;
begin
for array_index in 0 to id_array'length-1 loop
temp_id := id_array(array_index);
If (id_found) then
null;
elsif (temp_id = id) then
id_found := true;
elsif (temp_id = IPIF_WRFIFO_DATA or
temp_id = IPIF_RDFIFO_DATA or
temp_id = IPIF_RST or
temp_id = IPIF_INTR or
temp_id = IPIF_DMA_SG or
temp_id = IPIF_SESR_SEAR
) Then -- IPIF block found
blk_index := blk_index+1;
else -- user block so do nothing
null;
End if;
End loop;
return(blk_index);
end function get_ipif_id_dbus_index;
------------------------------------------------------------------------------
-- Function: rebuild_slv32_array
--
-- Description:
-- This function takes an input slv32 array and rebuilds an output slv32
-- array composed of the first "num_valid_entry" elements from the input
-- array.
------------------------------------------------------------------------------
function rebuild_slv32_array (slv32_array : SLV32_ARRAY_TYPE;
num_valid_pairs : integer)
return SLV32_ARRAY_TYPE is
--Constants
constant num_elements : Integer := num_valid_pairs * 2;
-- Variables
variable temp_baseaddr32_array : SLV32_ARRAY_TYPE( 0 to num_elements-1);
begin
for array_index in 0 to num_elements-1 loop
temp_baseaddr32_array(array_index) := slv32_array(array_index);
end loop;
return(temp_baseaddr32_array);
end function rebuild_slv32_array;
------------------------------------------------------------------------------
-- Function: rebuild_slv64_array
--
-- Description:
-- This function takes an input slv64 array and rebuilds an output slv64
-- array composed of the first "num_valid_entry" elements from the input
-- array.
------------------------------------------------------------------------------
function rebuild_slv64_array (slv64_array : SLV64_ARRAY_TYPE;
num_valid_pairs : integer)
return SLV64_ARRAY_TYPE is
--Constants
constant num_elements : Integer := num_valid_pairs * 2;
-- Variables
variable temp_baseaddr64_array : SLV64_ARRAY_TYPE( 0 to num_elements-1);
begin
for array_index in 0 to num_elements-1 loop
temp_baseaddr64_array(array_index) := slv64_array(array_index);
end loop;
return(temp_baseaddr64_array);
end function rebuild_slv64_array;
------------------------------------------------------------------------------
-- Function: rebuild_int_array
--
-- Description:
-- This function takes an input integer array and rebuilds an output integer
-- array composed of the first "num_valid_entry" elements from the input
-- array.
------------------------------------------------------------------------------
function rebuild_int_array (int_array : INTEGER_ARRAY_TYPE;
num_valid_entry : integer)
return INTEGER_ARRAY_TYPE is
-- Variables
variable temp_int_array : INTEGER_ARRAY_TYPE( 0 to num_valid_entry-1);
begin
for array_index in 0 to num_valid_entry-1 loop
temp_int_array(array_index) := int_array(array_index);
end loop;
return(temp_int_array);
end function rebuild_int_array;
function bits_needed_for_vac(
fifo_present: boolean;
dependent_props : DEPENDENT_PROPS_TYPE
) return integer is
begin
if not fifo_present then
return 1; -- Zero would be better but leads to "0 to -1" null
-- ranges that are not handled by XST Flint or earlier
-- because of the negative index.
else
return
log2(1 + dependent_props(FIFO_CAPACITY_BITS) /
dependent_props(RD_WIDTH_BITS)
);
end if;
end function bits_needed_for_vac;
function bits_needed_for_occ(
fifo_present: boolean;
dependent_props : DEPENDENT_PROPS_TYPE
) return integer is
begin
if not fifo_present then
return 1; -- Zero would be better but leads to "0 to -1" null
-- ranges that are not handled by XST Flint or earlier
-- because of the negative index.
else
return
log2(1 + dependent_props(FIFO_CAPACITY_BITS) /
dependent_props(WR_WIDTH_BITS)
);
end if;
end function bits_needed_for_occ;
function eff_dp(id : integer;
dep_prop : integer;
value : integer) return integer is
variable dp : integer := dep_prop;
type bo2na_type is array (boolean) of natural;
constant bo2na : bo2na_type := (0, 1);
begin
if value /= 0 then return value; end if; -- Not default
case id is
when IPIF_CHDMA_CHANNELS =>
-------------------
return( bo2na(dp = CLK_PERIOD_PS ) * 10000
+ bo2na(dp = PACKET_WAIT_UNIT_NS ) * 1000000
+ bo2na(dp = BURST_SIZE ) * 16
);
when others => return 0;
end case;
end eff_dp;
function populate_intr_mode_array (num_user_intr : integer;
intr_capture_mode : integer)
return INTEGER_ARRAY_TYPE is
variable intr_mode_array : INTEGER_ARRAY_TYPE(0 to num_user_intr-1);
begin
for i in 0 to num_user_intr-1 loop
intr_mode_array(i) := intr_capture_mode;
end loop;
return intr_mode_array;
end function populate_intr_mode_array;
function add_intr_ard_id_array(include_intr : boolean;
ard_id_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE is
variable intr_ard_id_array : INTEGER_ARRAY_TYPE(0 to ard_id_array'length);
begin
intr_ard_id_array(0 to ard_id_array'length-1) := ard_id_array;
if include_intr then
intr_ard_id_array(ard_id_array'length) := IPIF_INTR;
return intr_ard_id_array;
else
return ard_id_array;
end if;
end function add_intr_ard_id_array;
function add_intr_ard_addr_range_array(include_intr : boolean;
ZERO_ADDR_PAD : std_logic_vector;
intr_baseaddr : std_logic_vector;
intr_highaddr : std_logic_vector;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_addr_range_array : SLV64_ARRAY_TYPE)
return SLV64_ARRAY_TYPE is
variable intr_ard_addr_range_array : SLV64_ARRAY_TYPE(0 to ard_addr_range_array'length+1);
begin
intr_ard_addr_range_array(0 to ard_addr_range_array'length-1) := ard_addr_range_array;
if include_intr then
intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR))
:= ZERO_ADDR_PAD & intr_baseaddr;
intr_ard_addr_range_array(2*get_id_index(ard_id_array,IPIF_INTR)+1)
:= ZERO_ADDR_PAD & intr_highaddr;
return intr_ard_addr_range_array;
else
return ard_addr_range_array;
end if;
end function add_intr_ard_addr_range_array;
function add_intr_ard_dwidth_array(include_intr : boolean;
intr_dwidth : integer;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_dwidth_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE is
variable intr_ard_dwidth_array : INTEGER_ARRAY_TYPE(0 to ard_dwidth_array'length);
begin
intr_ard_dwidth_array(0 to ard_dwidth_array'length-1) := ard_dwidth_array;
if include_intr then
intr_ard_dwidth_array(get_id_index(ard_id_array, IPIF_INTR)) := intr_dwidth;
return intr_ard_dwidth_array;
else
return ard_dwidth_array;
end if;
end function add_intr_ard_dwidth_array;
function add_intr_ard_num_ce_array(include_intr : boolean;
ard_id_array : INTEGER_ARRAY_TYPE;
ard_num_ce_array : INTEGER_ARRAY_TYPE)
return INTEGER_ARRAY_TYPE is
variable intr_ard_num_ce_array : INTEGER_ARRAY_TYPE(0 to ard_num_ce_array'length);
begin
intr_ard_num_ce_array(0 to ard_num_ce_array'length-1) := ard_num_ce_array;
if include_intr then
intr_ard_num_ce_array(get_id_index(ard_id_array, IPIF_INTR)) := 16;
return intr_ard_num_ce_array;
else
return ard_num_ce_array;
end if;
end function add_intr_ard_num_ce_array;
end package body ipif_pkg;
| apache-2.0 | 343699ca3f84f94161df2cb15fb438a6 | 0.489909 | 4.85572 | false | false | false | false |
Subsets and Splits
No community queries yet
The top public SQL queries from the community will appear here once available.